From fd37e4275bcf16fd6c5e134432508fb3d0da19c8 Mon Sep 17 00:00:00 2001 From: marqs Date: Tue, 27 Dec 2022 14:59:47 +0200 Subject: [PATCH] update to Quartus 21.1 --- .../altera_jtag_avalon_master_mod_hw.tcl | 5 +- ossc.qsf | 6 +- rtl/char_array.qip | 2 +- rtl/char_array.v | 4 +- rtl/char_rom.qip | 2 +- rtl/char_rom.v | 4 +- rtl/linebuf.qip | 2 +- rtl/linebuf.v | 4 +- rtl/lpm_mult_4_hybr_ref.qip | 2 +- rtl/lpm_mult_4_hybr_ref.v | 4 +- rtl/lpm_mult_4_hybr_ref_pre.qip | 2 +- rtl/lpm_mult_4_hybr_ref_pre.v | 4 +- rtl/lpm_mult_4_sl.qip | 2 +- rtl/lpm_mult_4_sl.v | 4 +- rtl/pll_2x.qip | 2 +- rtl/pll_2x.v | 7 +- .../mem_init/sys_onchip_memory2_0.hex | 17316 ++++++++-------- sys.qsys | 118 +- sys.sopcinfo | 302 +- 19 files changed, 8739 insertions(+), 9053 deletions(-) diff --git a/ip/altera_jtag_avalon_master_mod/altera_jtag_avalon_master_mod_hw.tcl b/ip/altera_jtag_avalon_master_mod/altera_jtag_avalon_master_mod_hw.tcl index 7a16e52..3050e20 100644 --- a/ip/altera_jtag_avalon_master_mod/altera_jtag_avalon_master_mod_hw.tcl +++ b/ip/altera_jtag_avalon_master_mod/altera_jtag_avalon_master_mod_hw.tcl @@ -5,9 +5,10 @@ package require -exact sopc 9.1 # | set_module_property NAME altera_jtag_avalon_master_mod set_module_property DESCRIPTION "The JTAG to Avalon Master Bridge is a collection of pre-wired components that provide an Avalon Master using the new JTAG channel." -set_module_property VERSION "20.1" +#set_module_property VERSION "21.1" +set_module_property INTERNAL false set_module_property GROUP "Basic Functions/Bridges and Adaptors/Memory Mapped" -set_module_property AUTHOR "Altera Corporation" +#set_module_property AUTHOR "Altera Corporation" set_module_property DISPLAY_NAME "JTAG to Avalon Master Bridge (customized)" set_module_property DATASHEET_URL "http://www.altera.com/literature/hb/nios2/qts_qii55011.pdf" set_module_property INSTANTIATE_IN_SYSTEM_MODULE true diff --git a/ossc.qsf b/ossc.qsf index a3947ec..ba63e37 100644 --- a/ossc.qsf +++ b/ossc.qsf @@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EP4CE15E22C8 set_global_assignment -name TOP_LEVEL_ENTITY ossc set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:27:03 MAY 17, 2014" -set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "21.1.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -230,6 +230,7 @@ set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_GD[7] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_RD[1] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_RD[5] set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to HDMI_TX_RD[7] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name VERILOG_FILE rtl/videogen.v set_global_assignment -name VERILOG_FILE rtl/ir_rcv.v set_global_assignment -name VERILOG_FILE rtl/ossc.v @@ -246,5 +247,4 @@ set_global_assignment -name QIP_FILE rtl/lpm_mult_4_sl.qip set_global_assignment -name SDC_FILE ossc.sdc set_global_assignment -name CDF_FILE output_files/Chain1.cdf set_global_assignment -name SIGNALTAP_FILE output_files/ossc_la.stp -set_global_assignment -name QIP_FILE rtl/char_array.qip -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_global_assignment -name QIP_FILE rtl/char_array.qip \ No newline at end of file diff --git a/rtl/char_array.qip b/rtl/char_array.qip index 4cca7aa..9dc3a16 100644 --- a/rtl/char_array.qip +++ b/rtl/char_array.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "RAM: 2-PORT" -set_global_assignment -name IP_TOOL_VERSION "20.1" +set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "char_array.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "char_array_inst.v"] diff --git a/rtl/char_array.v b/rtl/char_array.v index 37f59bf..60ee132 100644 --- a/rtl/char_array.v +++ b/rtl/char_array.v @@ -14,11 +14,11 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 20.1.1 Build 720 11/11/2020 SJ Lite Edition +// 21.1.0 Build 842 10/21/2021 SJ Lite Edition // ************************************************************ -//Copyright (C) 2020 Intel Corporation. All rights reserved. +//Copyright (C) 2021 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions //and other software and tools, and any partner logic //functions, and any output files from any of the foregoing diff --git a/rtl/char_rom.qip b/rtl/char_rom.qip index a7dcc8d..f17cff1 100644 --- a/rtl/char_rom.qip +++ b/rtl/char_rom.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "ROM: 1-PORT" -set_global_assignment -name IP_TOOL_VERSION "20.1" +set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "char_rom.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "char_rom_inst.v"] diff --git a/rtl/char_rom.v b/rtl/char_rom.v index 0fa7b31..7d7df19 100644 --- a/rtl/char_rom.v +++ b/rtl/char_rom.v @@ -14,11 +14,11 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 20.1.1 Build 720 11/11/2020 SJ Lite Edition +// 21.1.0 Build 842 10/21/2021 SJ Lite Edition // ************************************************************ -//Copyright (C) 2020 Intel Corporation. All rights reserved. +//Copyright (C) 2021 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions //and other software and tools, and any partner logic //functions, and any output files from any of the foregoing diff --git a/rtl/linebuf.qip b/rtl/linebuf.qip index d9665ff..b9f1735 100644 --- a/rtl/linebuf.qip +++ b/rtl/linebuf.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "RAM: 2-PORT" -set_global_assignment -name IP_TOOL_VERSION "20.1" +set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "linebuf.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "linebuf_inst.v"] diff --git a/rtl/linebuf.v b/rtl/linebuf.v index c62dfae..091c470 100644 --- a/rtl/linebuf.v +++ b/rtl/linebuf.v @@ -14,11 +14,11 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 20.1.1 Build 720 11/11/2020 SJ Lite Edition +// 21.1.0 Build 842 10/21/2021 SJ Lite Edition // ************************************************************ -//Copyright (C) 2020 Intel Corporation. All rights reserved. +//Copyright (C) 2021 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions //and other software and tools, and any partner logic //functions, and any output files from any of the foregoing diff --git a/rtl/lpm_mult_4_hybr_ref.qip b/rtl/lpm_mult_4_hybr_ref.qip index b8f2e53..3d143f6 100644 --- a/rtl/lpm_mult_4_hybr_ref.qip +++ b/rtl/lpm_mult_4_hybr_ref.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "LPM_MULT" -set_global_assignment -name IP_TOOL_VERSION "20.1" +set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_4_hybr_ref.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_mult_4_hybr_ref_bb.v"] diff --git a/rtl/lpm_mult_4_hybr_ref.v b/rtl/lpm_mult_4_hybr_ref.v index b87bf42..5e084ed 100644 --- a/rtl/lpm_mult_4_hybr_ref.v +++ b/rtl/lpm_mult_4_hybr_ref.v @@ -14,11 +14,11 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 20.1.1 Build 720 11/11/2020 SJ Lite Edition +// 21.1.0 Build 842 10/21/2021 SJ Lite Edition // ************************************************************ -//Copyright (C) 2020 Intel Corporation. All rights reserved. +//Copyright (C) 2021 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions //and other software and tools, and any partner logic //functions, and any output files from any of the foregoing diff --git a/rtl/lpm_mult_4_hybr_ref_pre.qip b/rtl/lpm_mult_4_hybr_ref_pre.qip index 27e1a66..c42c38a 100644 --- a/rtl/lpm_mult_4_hybr_ref_pre.qip +++ b/rtl/lpm_mult_4_hybr_ref_pre.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "LPM_MULT" -set_global_assignment -name IP_TOOL_VERSION "20.1" +set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_4_hybr_ref_pre.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_mult_4_hybr_ref_pre_bb.v"] diff --git a/rtl/lpm_mult_4_hybr_ref_pre.v b/rtl/lpm_mult_4_hybr_ref_pre.v index 17fd572..4a6a347 100644 --- a/rtl/lpm_mult_4_hybr_ref_pre.v +++ b/rtl/lpm_mult_4_hybr_ref_pre.v @@ -14,11 +14,11 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 20.1.1 Build 720 11/11/2020 SJ Lite Edition +// 21.1.0 Build 842 10/21/2021 SJ Lite Edition // ************************************************************ -//Copyright (C) 2020 Intel Corporation. All rights reserved. +//Copyright (C) 2021 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions //and other software and tools, and any partner logic //functions, and any output files from any of the foregoing diff --git a/rtl/lpm_mult_4_sl.qip b/rtl/lpm_mult_4_sl.qip index cfe37a4..c459082 100644 --- a/rtl/lpm_mult_4_sl.qip +++ b/rtl/lpm_mult_4_sl.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "LPM_MULT" -set_global_assignment -name IP_TOOL_VERSION "20.1" +set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "lpm_mult_4_sl.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "lpm_mult_4_sl_bb.v"] diff --git a/rtl/lpm_mult_4_sl.v b/rtl/lpm_mult_4_sl.v index 4ffbaa0..8748bc0 100644 --- a/rtl/lpm_mult_4_sl.v +++ b/rtl/lpm_mult_4_sl.v @@ -14,11 +14,11 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 20.1.1 Build 720 11/11/2020 SJ Lite Edition +// 21.1.0 Build 842 10/21/2021 SJ Lite Edition // ************************************************************ -//Copyright (C) 2020 Intel Corporation. All rights reserved. +//Copyright (C) 2021 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions //and other software and tools, and any partner logic //functions, and any output files from any of the foregoing diff --git a/rtl/pll_2x.qip b/rtl/pll_2x.qip index 8442b85..a9995dc 100644 --- a/rtl/pll_2x.qip +++ b/rtl/pll_2x.qip @@ -1,5 +1,5 @@ set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "20.1" +set_global_assignment -name IP_TOOL_VERSION "21.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll_2x.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll_2x_inst.v"] diff --git a/rtl/pll_2x.v b/rtl/pll_2x.v index 0353650..ad71f6b 100644 --- a/rtl/pll_2x.v +++ b/rtl/pll_2x.v @@ -9,16 +9,16 @@ // altpll // // Simulation Library Files(s): -// altera_mf +// // ============================================================ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 20.1.1 Build 720 11/11/2020 SJ Lite Edition +// 21.1.0 Build 842 10/21/2021 SJ Lite Edition // ************************************************************ -//Copyright (C) 2020 Intel Corporation. All rights reserved. +//Copyright (C) 2021 Intel Corporation. All rights reserved. //Your use of Intel Corporation's design tools, logic functions //and other software and tools, and any partner logic //functions, and any output files from any of the foregoing @@ -405,5 +405,4 @@ endmodule // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x_bb.v TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.mif TRUE // Retrieval info: GEN_FILE: TYPE_NORMAL pll_2x.hex TRUE -// Retrieval info: LIB_FILE: altera_mf // Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex index 758f6b5..5c47073 100644 --- a/software/sys_controller/mem_init/sys_onchip_memory2_0.hex +++ b/software/sys_controller/mem_init/sys_onchip_memory2_0.hex @@ -44,8856 +44,8542 @@ :04002B008786870637 :04002C000000A11718 :04002D00F5010113C5 -:04002E000000971720 -:04002F00A507071307 +:04002E000000871730 +:04002F0056870713D6 :04003000000097979E -:040031000A078793A0 +:04003100BAC7879330 :0400320000F7576319 :04003300000720237F :04003400DDE30711F0 :0400350000EFFEE7F3 :040036001151004024 :04003700C4064501B5 -:04003800200520057A +:04003800283528350A :04003900A60367E5CE -:04003A0067E50F0760 -:04003B000F47A58343 +:04003A0067E5BFC7F0 +:04003B00C007A583D2 :04003C0040A267E592 -:04003D000F87A50381 -:04003E00406F0131DD -:04003F00A98512C0BD -:0400400067E580826E -:04004100000207377B -:0400420010E7A02300 -:0400430007B7656135 -:040044006765019C4F -:04004500CC078793CA -:04004600F9050513A0 -:040047000EF72E235F -:040048006765AC6DCF -:040049000FC72703B3 -:04004A00A78367E53C -:04004B00557D1007C8 -:04004C004721CB116C -:04004D006741C3D86C -:04004E00C798177DBB -:04004F004711C7D8B6 -:040050004501C3D8CB -:0400510067E580825D -:040052000FC7A783AA -:04005300CF91557D77 -:04005400A78367E532 -:04005500A8231007C5 -:040056004B880007CC -:0400570005424BDC37 -:0400580007C2814119 -:0400590045138D5D61 -:04005A008082FFF5AC -:04005B00A50367E5AD -:04005C0080820FC7C8 -:04005D002783C90D1F -:04005E0011510C052B -:04005F00C22205A212 -:04006000E593C4065A -:04006100842A0035B8 -:040062002703C7CCDD -:04006300431C0C042A -:04006400E7998B8508 -:04006500441240A25F -:040066004501431CF1 -:040067008082013161 -:0400680026F1450533 -:040069005529B7DD81 -:04006A0087AA80825F -:04006B00CF815529C3 -:04006C00CA11C99953 -:04006D00556D5B98DA -:04006E00C711C218DC -:04006F000347879329 -:040070004501C19CE9 -:040071008082808287 -:04007200552987AADB -:04007300C863CBA9EA -:04007400A7030405D5 -:04007500F4630C47DD -:04007600A68304E574 -:0400770087130D07D7 -:040078008F6DFFF693 -:04007900D5B3EF0DFF -:04007A00073702D56D -:04007B000713010066 -:04007C004501F00743 -:04007D008DF905A252 -:04007E000C07A703C1 -:04007F000045E693BF -:040080000025E593DF -:04008100A703C754B6 -:04008200C74C0C0754 -:040083000C07A703BC -:040084008B854B1C01 -:040085004785C7895B -:04008600556DCB1CCD -:040087008082808271 -:040088000C05C3633D -:04008900CC22110173 -:04008A00CA26CE06AE -:04008B008432832A0E -:04008C004D63552942 -:04008D000B630806F3 -:04008E00CAC90803D0 -:04008F000C43278374 -:0400900008F6766395 -:0400910008F5F46317 -:040092000D032783B0 -:04009300073384BAF1 -:04009400873340B6B8 -:040095006B6340E772 -:04009600C96306979D -:0400970017FD060447 -:04009800E7AD8FED54 -:040099004501E099A4 -:04009A00577DA09559 -:04009B007513C83AD7 -:04009C00C135003436 -:04009D008F0947116F -:04009E0073638626DC -:04009F00863A009706 -:0400A00085B38C098F -:0400A100C23E00F665 -:0400A200953E081C63 -:0400A300C432C61A83 -:0400A4002E15C0361F -:0400A500462243327A -:0400A6002703479253 -:0400A7008C910B83AA -:0400A800464297B283 -:0400A90046829722D2 -:0400AA002703C31055 -:0400AB0097220B830A -:0400AC000363430C9B -:0400AD00C31000B6C6 -:0400AE000C03270315 -:0400AF00010706132C -:0400B0008B094B1855 -:0400B1004789CF1993 -:0400B200556DC21CAA -:0400B300446240F271 -:0400B400610544D2CC -:0400B500470D8082F1 -:0400B60064E34611A8 -:0400B7008626FA9708 -:0400B8000411B74D2B -:0400B9005529B741CD -:0400BA00011380822C -:0400BB00D006FDC1AD -:0400BC00CC26CE225E -:0400BD00CD59C03227 -:0400BE005529842A12 -:0400BF002703CE49FC -:0400C00087B60B8470 -:0400C100972E84AE44 -:0400C20000E686339B -:0400C3000BC42683C1 -:0400C40008D7736383 -:0400C50008C6E16325 -:0400C6000D042303FF -:0400C700D33342816C -:0400C80027030265A3 -:0400C90064630CC49C -:0400CA00450100E309 -:0400CB00DFF5A0AD10 -:0400CC000D04258377 -:0400CD0005B347012F -:0400CE008DE540B0CC -:0400CF0000B4846392 -:0400D00040B487337E -:0400D100CA3A852280 -:0400D200C616C81A6C -:0400D300C22EC43E37 -:0400D400E1313DA534 -:0400D5000D0426836D -:0400D60047A24752A4 -:0400D7008733459294 -:0400D80042B240E60A -:0400D900F363434248 -:0400DA00873E00E776 -:0400DB004782C63E54 -:0400DC0085228626CD -:0400DD00005786B38F -:0400DE00C43AC81A3E -:0400DF00354DC216C3 -:0400E0004722E919B1 -:0400E100429247B24E -:0400E2008F9943426D -:0400E30094BA92BA7F -:0400E400BF41030510 -:0400E50050825529C7 -:0400E60044E244723A -:0400E70002410113BE -:0400E800872A808261 -:0400E9008532C9058E -:0400EA00C61557A937 -:0400EB000B872783D5 -:0400EC000BC7270314 -:0400ED0095BE863600 -:0400EE0057A996AECA -:0400EF0000E5FD63C8 -:0400F00000D76B6367 -:0400F100C4061151DF -:0400F20040A22AFD01 -:0400F300853E47817E -:0400F40080820131D4 -:0400F500853E57A944 -:0400F600CD4D8082EA -:0400F7000C052703CA -:0400F800CB5557B5D8 -:0400F9000C852783C8 -:0400FA004718EBA90F -:0400FB00771346B180 -:0400FC0007930FF760 -:0400FD00E963FEB7FE -:0400FE0066D902F6C7 -:0400FF008693078A53 -:0401000097B67E86AA -:040101008782439C12 -:04010200200007933F -:040103000D0526833D -:040104000C4526037D -:0401050002F685B3C6 -:0401060000B61663C6 -:040107000CC525837B -:0401080004F5866311 -:0401090002052823A0 -:04010A00A0B557B590 -:04010B004000079316 -:04010C006785BFF153 -:04010D00800787934D -:04010E004358BFD1C2 -:04010F00771347D942 -:040110000F630FF773 -:0401110047E100F7CB -:0401120000F70F6380 -:0401130057B546D1C5 -:0401140004D7136396 -:04011500020007934A -:040116000793BF5537 -:04011700B77D0400AC -:040118000800079341 -:040119000793B7652C -:04011A00B74D1000CD -:04011B00C4061151B4 -:04011C000CE52C239F -:04011D00470565E548 -:04011E00D918DD5CB3 -:04011F0002052A2388 -:04012000C134DD10F9 -:04012100AA458593D3 -:0401220040A22201D4 -:04012300853E47814D -:0401240080820131A3 -:04012500853E57A913 -:0401260047958082F7 -:0401270002F60633A3 -:040128000005242387 -:04012900C91C478521 -:04012A0002C5D5B382 -:04012B00F79315FD34 -:04012C0081A10FF5A9 -:04012D00F593C11C69 -:04012E00C14C0FF5BC -:04012F00080007932A -:040130008082C51CE8 -:040131008A050586B0 -:04013200C54C95B271 -:040133000900079325 -:0401340001050713A7 -:04013500431CC91C82 -:04013600FFF58B89BD -:04013700811D4908D5 -:040138008082890533 -:0401390001050713A2 -:04013A0006800793A1 -:04013B000793E199AC -:04013C00C91C0200D8 -:04013D008B89431C4B -:04013E004548FFF53C -:04013F00C54C8082A9 -:04014000010507139B -:04014100050007931B -:0401420047C1E211BE -:04014300431CC91C74 -:04014400FFF58B89AF -:04014500811D4908C7 -:040146008082890525 -:040147000693478153 -:04014800C36302C0CB -:04014900808200C7E9 -:04014A000105031395 -:04014B002703C914A9 -:04014C008B09000318 -:04014D00C599FF6DE4 -:04014E0000C52303C2 -:04014F0000F58733FD -:040150000067002321 -:04015100BFF107856E -:0401520046F14781AA -:0401530000C7C363BB -:0401540087338082EB -:04015500470300F567 -:040156000313000788 -:04015700C558010581 -:040158002703C9149C -:040159008B0900030B -:04015A000785FF6DA9 -:04015B0047EDB7C5F0 -:04015C0002F5053370 -:04015D005533479D32 -:04015E00E46302F55F -:04015F00450100A7AF -:040160000001808298 -:04016100BFD507857A -:04016200451CC1195E -:0401630067E5EF91CC -:04016400AAC7A783FC -:040165001151C395DC -:040166009782C406B2 -:0401670047D940A292 -:040168005529C11C38 -:04016900808201315E -:04016A00C14C419CA7 -:04016B00419CC11CD6 -:04016C00C188C3C8BB -:04016D008082450146 -:04016E0005136565AB -:04016F0047D9150552 -:040170005529C11C30 -:04017100832A8082DB -:040172008383CA09B0 -:040173000023000560 -:04017400167D007381 -:0401750005850305F4 -:040176008082FA6D1C -:04017700832AC21500 -:0401780067634685EE -:0401790056FD00B57A -:04017A00FFF6071372 -:04017B0095BA933A64 -:04017C000005838374 -:04017D0000730023E8 -:04017E009336167D21 -:04017F00FA6D95B6CA +:04003D00C047A50310 +:04003E00306F0131ED +:04003F0011414560C6 +:04004000C02AC60606 +:0400410014A050EFC8 +:0400420040B2458201 +:040043006561862A43 +:0400440005134681D9 +:0400450001415C0514 +:04004600A941A01D0F +:0400470067E5808267 +:040048000002073774 +:04004900C0E7A62343 +:04004A0007B765612E +:04004B006765019C48 +:04004C00CC078793C3 +:04004D00AE050513E4 +:04004E00C0F72423B0 +:04004F004118ACE9BF +:0400500000C586B3AE +:04005100E4637541AE +:04005200853200D51E +:04005300435C808208 +:04005400DBF58FE960 +:040055000005C78358 +:04005600C31C05853D +:040057006765B7ED35 +:04005800C087270333 +:04005900A78367E52D +:04005A00557DC0C749 +:04005B004721CB115D +:04005C006741C3D85D +:04005D00C798177DAC +:04005E004711C7D8A7 +:04005F004501C3D8BC +:0400600067E580824E +:04006100C087A7832A +:04006200CF91557D68 +:04006300A78367E523 +:04006400A823C0C746 +:040065004B880007BD +:0400660005424BDC28 +:0400670007C281410A +:0400680045138D5D52 +:040069008082FFF59D +:04006A00A50367E59E +:04006B008082C08748 +:04006C002783C90D10 +:04006D0011510C051C +:04006E00C22205A203 +:04006F00E593C4064B +:04007000842A0035A9 +:040071002703C7CCCE +:04007200431C0C041B +:04007300E7998B85F9 +:04007400441240A250 +:040075004501431CE2 +:040076008082013152 +:0400770026F1450524 +:040078005529B7DD72 +:0400790087AA808250 +:04007A00CF815529B4 +:04007B00CA11C99944 +:04007C00556D5B98CB +:04007D00C711C218CD +:04007E00034787931A +:04007F004501C19CDA +:040080008082808278 +:04008100552987AACC +:04008200C863CBA9DB +:04008300A7030405C6 +:04008400F4630C47CE +:04008500A68304E565 +:0400860087130D07C8 +:040087008F6DFFF684 +:04008800D5B3EF0DF0 +:04008900073702D55E +:04008A000713010057 +:04008B004501F00734 +:04008C008DF905A243 +:04008D000C07A703B2 +:04008E000045E693B0 +:04008F000025E593D0 +:04009000A703C754A7 +:04009100C74C0C0745 +:040092000C07A703AD +:040093008B854B1CF2 +:040094004785C7894C +:04009500556DCB1CBE +:040096008082808262 +:040097000C05C3632E +:04009800CC22110164 +:04009900CA26CE069F +:04009A008432832AFF +:04009B004D63552933 +:04009C000B630806E4 +:04009D00CAC90803C1 +:04009E000C43278365 +:04009F0008F6766386 +:0400A00008F5F46308 +:0400A1000D032783A1 +:0400A200073384BAE2 +:0400A300873340B6A9 +:0400A4006B6340E763 +:0400A500C96306978E +:0400A60017FD060438 +:0400A700E7AD8FED45 +:0400A8004501E09995 +:0400A900577DA0954A +:0400AA007513C83AC8 +:0400AB00C135003427 +:0400AC008F09471160 +:0400AD0073638626CD +:0400AE00863A0097F7 +:0400AF0085B38C0980 +:0400B000C23E00F656 +:0400B100953E081C54 +:0400B200C432C61A74 +:0400B3002E15C03610 +:0400B400462243326B +:0400B5002703479244 +:0400B6008C910B839B +:0400B700464297B274 +:0400B80046829722C3 +:0400B9002703C31046 +:0400BA0097220B83FB +:0400BB000363430C8C +:0400BC00C31000B6B7 +:0400BD000C03270306 +:0400BE00010706131D +:0400BF008B094B1846 +:0400C0004789CF1984 +:0400C100556DC21C9B +:0400C200446240F262 +:0400C300610544D2BD +:0400C400470D8082E2 +:0400C50064E3461199 +:0400C6008626FA97F9 +:0400C7000411B74D1C +:0400C8005529B741BE +:0400C900011380821D +:0400CA00D006FDC19E +:0400CB00CC26CE224F +:0400CC00CD59C03218 +:0400CD005529842A03 +:0400CE002703CE49ED +:0400CF0087B60B8461 +:0400D000972E84AE35 +:0400D10000E686338C +:0400D2000BC42683B2 +:0400D30008D7736374 +:0400D40008C6E16316 +:0400D5000D042303F0 +:0400D600D33342815D +:0400D7002703026594 +:0400D80064630CC48D +:0400D900450100E3FA +:0400DA00DFF5A0AD01 +:0400DB000D04258368 +:0400DC0005B3470120 +:0400DD008DE540B0BD +:0400DE0000B4846383 +:0400DF0040B487336F +:0400E000CA3A852271 +:0400E100C616C81A5D +:0400E200C22EC43E28 +:0400E300E1313DA525 +:0400E4000D0426835E +:0400E50047A2475295 +:0400E6008733459285 +:0400E70042B240E6FB +:0400E800F363434239 +:0400E900873E00E767 +:0400EA004782C63E45 +:0400EB0085228626BE +:0400EC00005786B380 +:0400ED00C43AC81A2F +:0400EE00354DC216B4 +:0400EF004722E919A2 +:0400F000429247B23F +:0400F1008F9943425E +:0400F20094BA92BA70 +:0400F300BF41030501 +:0400F40050825529B8 +:0400F50044E244722B +:0400F60002410113AF +:0400F700872A808252 +:0400F8008532C9057F +:0400F900C61557A928 +:0400FA000B872783C6 +:0400FB000BC7270305 +:0400FC0095BE8636F1 +:0400FD0057A996AEBB +:0400FE0000E5FD63B9 +:0400FF0000D76B6358 +:04010000C4061151CF +:0401010040A22AFDF1 +:04010200853E47816E +:0401030080820131C4 +:04010400853E57A934 +:04010500CD4D8082DA +:040106000C052703BA +:04010700CB5557B5C8 +:040108000C852783B8 +:040109004718EBA9FF +:04010A00771346B170 +:04010B0007930FF750 +:04010C00E963FEB7EE +:04010D0066D902F6B7 +:04010E008693078A43 +:04010F0097B6D2C607 +:040110008782439C03 +:040111002000079330 +:040112000D0526832E +:040113000C4526036E +:0401140002F685B3B7 +:0401150000B61663B7 +:040116000CC525836C +:0401170004F5866302 +:040118000205282391 +:04011900A0B557B581 +:04011A004000079307 +:04011B006785BFF144 +:04011C00800787933E +:04011D004358BFD1B3 +:04011E00771347D933 +:04011F000F630FF764 +:0401200047E100F7BC +:0401210000F70F6371 +:0401220057B546D1B6 +:0401230004D7136387 +:04012400020007933B +:040125000793BF5528 +:04012600B77D04009D +:040127000800079332 +:040128000793B7651D +:04012900B74D1000BE +:04012A00C4061151A5 +:04012B000CE52C2390 +:04012C00470565E13D +:04012D00D918DD5CA4 +:04012E0002052A2379 +:04012F00C134DD10EA +:040130005B858593D3 +:0401310040A22201C5 +:04013200853E47813E +:040133008082013194 +:04013400853E57A904 +:0401350047958082E8 +:0401360002F6063394 +:040137000005242378 +:04013800C91C478512 +:0401390002C5D5B373 +:04013A00F79315FD25 +:04013B0081A10FF59A +:04013C00F593C11C5A +:04013D00C14C0FF5AD +:04013E00080007931B +:04013F008082C51CD9 +:040140008A050586A1 +:04014100C54C95B262 +:040142000900079316 +:040143000105071398 +:04014400431CC91C73 +:04014500FFF58B89AE +:04014600811D4908C6 +:040147008082890524 +:040148000105071393 +:040149000680079392 +:04014A000793E1999D +:04014B00C91C0200C9 +:04014C008B89431C3C +:04014D004548FFF52D +:04014E00C54C80829A +:04014F00010507138C +:04015000050007930C +:0401510047C1E211AF +:04015200431CC91C65 +:04015300FFF58B89A0 +:04015400811D4908B8 +:040155008082890516 +:040156000693478144 +:04015700C36302C0BC +:04015800808200C7DA +:040159000105031386 +:04015A002703C9149A +:04015B008B09000309 +:04015C00C599FF6DD5 +:04015D0000C52303B3 +:04015E0000F58733EE +:04015F000067002312 +:04016000BFF107855F +:0401610046F147819B +:0401620000C7C363AC +:0401630087338082DC +:04016400470300F558 +:040165000313000779 +:04016600C558010572 +:040167002703C9148D +:040168008B090003FC +:040169000785FF6D9A +:04016A0047EDB7C5E1 +:04016B0002F5053361 +:04016C005533479D23 +:04016D00E46302F550 +:04016E00450100A7A0 +:04016F000001808289 +:04017000BFD507856B +:04017100451CC1194F +:0401720067E1EF91C1 +:040173005C47A783BB +:040174001151C395CD +:040175009782C406A3 +:0401760047D940A283 +:040177005529C11C29 +:04017800808201314F +:04017900C14C419C98 +:04017A00419CC11CC7 +:04017B00C188C3C8AC +:04017C008082450137 +:04017D00051365659C +:04017E0047D9C5C5D3 +:04017F005529C11C21 :04018000832A8082CC -:040181000023C61180 -:04018200167D00B333 -:04018300FE6503050D -:04018400460380822C -:04018500C683000528 -:040186000505000566 -:040187001363058574 -:04018800FA6500D63E -:0401890040D6053324 -:04018A007179808285 -:04018B00D62267E52C -:04018C008713D426DB -:04018D000403B1872F -:04018E0045F100A790 -:04018F0005B366E569 -:04019000861302B41C -:040191004503C74615 -:04019200448301F7AA -:040193008693012727 -:04019400CE36C74656 -:04019500B187879314 -:04019600962E4281DE -:040197000146458355 -:040198005583C62E97 -:04019900460300C653 -:04019A00C82E013634 -:04019B004603CA321B -:04019C0047030237DC -:04019D00D23200C793 -:04019E007713C03AD9 -:04019F00EB0D0FD580 -:0401A0000257C70338 -:0401A1004685C219B4 -:0401A2004602A0056C -:0401A3000B63468D17 -:0401A400458200D6BA -:0401A5008F6346110D -:0401A600468910C5B1 -:0401A700FED595E309 -:0401A800B7D50706BA -:0401A900070646827D -:0401AA0000E69733A1 -:0401AB000FF7729345 -:0401AC00FFF50693C2 -:0401AD000FF6F693C0 -:0401AE00438147053D -:0401AF0000D76F63A3 -:0401B000C6034691AB -:0401B100EA630257A4 -:0401B20086930E968C -:0401B30086B3FFF41C -:0401B40016B302C6B6 -:0401B500F39300D7E9 -:0401B600C7030FF676 -:0401B70066E50247B0 -:0401B800D03A4601F2 -:0401B900B186871371 -:0401BA008693CC3A22 -:0401BB00C202B18645 -:0401BC001313470DC5 -:0401BD001C63002699 -:0401BE00C7030CE582 -:0401BF00059302663C -:0401C00089BDFFF7FF -:0401C100006595B38D -:0401C200373343127A -:0401C300173300E00E -:0401C40065B300C758 -:0401C500673300B3E9 -:0401C600C22E0057EE -:0401C7000FF7729329 -:0401C80047150605CC -:0401C90015E30685AF -:0401CA004681FCE688 -:0401CB004319C4020E -:0401CC009593470DB3 -:0401CD001463002691 -:0401CE0047620AE595 -:0401CF0002B7470329 -:0401D000FFF706131C -:0401D10016338A3D1A -:0401D20045A200B68C -:0401D30000E03733DE -:0401D40000D7173306 -:0401D50067338E4DB1 -:0401D600C4320077B8 -:0401D7000FF7739318 -:0401D80006854762EF -:0401D900CC3A070510 -:0401DA00FC6694E348 -:0401DB00073347712E -:0401DC0046F202E401 -:0401DD009736CC0283 -:0401DE008B054F1826 -:0401DF004702CB0107 -:0401E000C703C71179 -:0401E10037130227A7 -:0401E200CC3A0017FC -:0401E30001E7C70366 -:0401E4001D634685CC -:0401E500470204D7F2 -:0401E6004771CB1979 -:0401E70002E40733F4 -:0401E800973646F20E -:0401E90046914B5898 -:0401EA0012638375A4 -:0401EB00438104D771 -:0401EC00A83542816F -:0401ED0002D70733FB -:0401EE008713BDC5F1 -:0401EF000633FFE4F0 -:0401F000470D02C7EE -:0401F10000C71633FA -:0401F2000FF67393FE -:0401F3005702B739BF -:0401F400006713335A -:0401F5006733471213 -:0401F600C23A0067A2 -:0401F7005702B79163 -:0401F80000B715B384 -:0401F9008F4D4722BD -:0401FA00BF9DC43AA7 -:0401FB004F94D369E1 -:0401FC00896367218B -:0401FD006E6320E627 -:0401FE0006131CD7F1 -:0401FF004711100094 -:0402000000C68B6346 -:04020100470D66112E -:0402020000C6876348 -:0402030008000613D6 -:040204001EC683632C -:04020500666587267D -:0402060013764583A3 -:040207009763462192 -:04020800463200C5B5 -:04020900064206069D -:04020A00C632824135 -:04020B000147C603DE -:04020C00430545B2AF -:04020D0000B678635C -:04020E0040C586332E -:04020F0001061313BE -:040210000103531380 -:0402110005334571FB -:04021200467202A48A -:04021300F393440914 -:04021400953203F329 -:040215008633450CDB -:0402160081D540E46A -:0402170002C5863363 -:0402180002864633E1 -:0402190003D7C40340 -:04021A000287043320 -:04021B0082410642D4 -:04021C00CE22943228 -:04021D000125440370 -:04021E00029585B30D -:04021F008433C6223C -:04022000F5930284CC -:0402210074137FF5DE -:04022200042E1FF493 -:0402230085B38C4DC6 -:04022400F5930264E8 -:0402250005D20FF5FA -:0402260074418DC1D1 -:04022700B69396A252 -:0402280006F20016C4 -:04022900C6838DD526 -:04022A004412036710 -:04022B0036B316FDD3 -:04022C0006F600D002 -:04022D00C6838DD522 -:04022E0006FA00D7F5 -:04022F0046B28DD571 -:0402300086B39336C8 -:04023100033340E46F -:04023200C68302D3AA -:040233008A9D013768 -:04023400933206B645 -:040235000074F61348 -:040236007313062A0E -:0402370063333FF3FB -:04023800633300C369 -:04023900460300D3A5 -:04023A007693014175 -:04023B00477200778F -:04023C0066B306C2DD -:04023D00749300D3E3 -:04023E0017137FF71C -:04023F00464200B67D -:0402400000C41313D0 -:0402410000C3531390 -:040242007FF67613BA -:0402430047038E5986 -:04024400C503015598 -:0402450004CE03E7F9 -:04024600074E8B1DB7 -:0402470003F5751333 -:04024800055A8E596C -:0402490000A6673371 -:04024A00C5034602A0 -:04024B008EC5040751 -:04024C008F51067652 -:04024D0003F7C603EA -:04024E000512891DEF -:04024F008D518A3D06 -:040250000547C60395 -:04025100061E8A7D7E -:04025200C6038D5101 -:040253008A050537DC -:040254008E49063297 -:0402550001F2F513AA -:0402560065330552B5 -:04025700C303006578 -:04025800C78302074F -:04025900064E021734 -:04025A0001F3731326 -:04025B008B85036626 -:04025C0000656533A1 -:04025D008FC907FA44 -:04025E00824D456226 -:04025F0001F513137F -:04026000E7B3452299 -:0402610003370067F8 -:04026200137D010007 -:04026300006572B30D -:04026400F333551209 -:04026500051E006210 -:040266000075653387 -:040267000182D393AA -:040268000403F39305 -:040269000075653384 -:04026A00000223B7B4 -:04026B0000B3A62313 -:04026C0000D3A823F0 -:04026D0000E3AA23DD -:04026E0000C3AC23FA -:04026F00AE23056253 -:04027000653300F3FF -:04027100A02300A323 -:04027200543202A35D -:04027300614554A2EB -:040274000737808246 -:040275008C63002076 -:04027600073702E65E -:040277008C63040090 -:04027800664102E6F3 -:0402790088E34719B6 -:04027A000637E2C69B -:04027B008726004092 -:04027C0002C6F9635A -:04027D00C603B50DF2 -:04027E008F1103C712 -:04027F0077130706E4 -:04028000BD110FF7A6 -:0402810003C7C603E6 -:040282008F11471180 -:04028300C603BFCD22 -:04028400471503C750 -:04028500C603BFDD10 -:04028600471903C74A -:0402870077138F1149 -:04028800C6030FF7A3 -:0402890018E303670C -:04028A004642DE0604 -:04028B0006421621F0 -:04028C00C8328241B1 -:04028D00063146529E -:04028E008241064261 -:04028F00BBE1CA32D3 -:04029000C78367E5D4 -:04029100676514C7C2 -:0402920014F705A3B5 -:0402930087B3477175 -:04029400676502E7B1 -:04029500C74707133D -:04029600D68397BABA -:04029700676500E7B0 -:0402980012D71E2338 -:0402990066E54B9833 -:04029A009F238B7D96 -:04029B00C68312E61E -:04029C00676501474A -:04029D0014D710233F -:04029E000127C683EB -:04029F001D2367654F -:0402A000479812D792 -:0402A100835566E536 -:0402A20012E69C23A1 -:0402A3000157C683B6 -:0402A4001423676553 -:0402A500C68314D721 -:0402A6006765013750 -:0402A70014D7132332 -:0402A80000C7D68332 -:0402A9001223676550 -:0402AA00C70314D79B -:0402AB0067E501679B -:0402AC0014E791239F -:0402AD00678580825F -:0402AE00802787938B -:0402AF0002F507B39A -:0402B00000022737EA -:0402B1001107071317 -:0402B20067218FF938 -:0402B3000207071324 -:0402B40002E5053327 -:0402B500000887377F -:0402B60044070713DF -:0402B7008D5D8D7953 -:0402B800879367C100 -:0402B90005331017E2 -:0402BA00814102F587 -:0402BB000FF57513B3 -:0402BC0057138082D2 -:0402BD00179301850D -:0402BE008FD901854E -:0402BF0000FF06B77F -:0402C000008517138B -:0402C1008FD98F75CD -:0402C2000713674176 -:0402C3008121F0079E -:0402C4008D5D8D7946 -:0402C500C609808264 -:0402C600000217B764 -:0402C7000007A02369 -:0402C800F693470161 -:0402C9001637FFC520 -:0402CA0007B3000274 -:0402CB00646300E583 -:0402CC00898D02D73F -:0402CD009463470DE2 -:0402CE00D68302E5EC -:0402CF0017370007D6 -:0402D00012230002F3 -:0402D100C78300D708 -:0402D20002230027DC -:0402D30017B700F762 -:0402D4004B88000251 -:0402D500439C808244 -:0402D600C25C0711EE -:0402D7004709B7F923 -:0402D80000E5996341 -:0402D9000007D70340 -:0402DA00000217B750 -:0402DB0000E7922383 -:0402DC004705BFF91A -:0402DD00FCE59DE3BC -:0402DE000007C7034B -:0402DF00000217B74B -:0402E00000E782238E -:0402E1006765B7E9AD -:0402E20013270713C4 -:0402E3000007578336 -:0402E4000807E7938D -:0402E50000F71023EB -:0402E60000021737C4 -:0402E7000EF72023CB -:0402E80011518082AE -:0402E9001437C222E2 -:0402EA00C026000228 -:0402EB0084AA46019A -:0402EC0004C00593B2 -:0402ED0004040513ED -:0402EE003229C406E7 -:0402EF000FF4F59380 -:0402F00005134601AB -:0402F1003A250404A2 -:0402F20004C00593AC -:0402F30004040513E7 -:0402F40038CD4605B6 -:0402F50004040513E5 -:0402F60040A24412CC -:0402F7004585448273 -:0402F800B209013115 -:0402F900C4221141C9 -:0402FA0000021437B3 -:0402FB00C02AC2262D -:0402FC00460184AE85 -:0402FD0004C00593A1 -:0402FE0004040513DC -:0402FF0030D9C60626 -:0403000046014782E9 -:0403010004040513D8 -:040302000FF7F59369 -:04030300051338CDD9 -:040304004422040487 -:0403050085A640B2D7 -:0403060046054492D2 -:04030700B0C501413B -:040308004511115139 -:040309003FB5C40632 -:04030A00659340A215 -:04030B00F593004521 -:04030C0045110FF593 -:04030D00B77D013186 -:04030E00C2221151A5 -:04030F00842A458176 -:04031000C406453D9D -:040311000513374554 -:040312003FA10C10EB -:040313000FE57593EA -:0403140005138DC17F -:0403150037790C1018 -:0403160040A24412AB -:040317000513458DF8 -:0403180001310C6043 -:040319001141B74196 -:04031A001437C422AE -:04031B00C2260002F4 -:04031C0084AEC02AC1 -:04031D0005934601FD -:04031E00051304A01F -:04031F00C606040406 -:04032000845FF0EF17 -:0403210046014782C8 -:0403220004040513B7 -:04032300F0EF85BEB4 -:040324000513871F17 -:040325004422040466 -:0403260085A640B2B6 -:0403270046054492B1 -:04032800F06F014130 -:04032900114185DF1A -:04032A001437C4229E -:04032B00C2260002E4 -:04032C0084AEC02AB1 -:04032D0005934601ED -:04032E00051302C0F1 -:04032F00C6060404F6 -:04033000805FF0EF0B -:0403310046014782B8 -:0403320004040513A7 -:04033300F0EF85BEA4 -:040334000513831F0B -:040335004422040456 -:0403360085A640B2A6 -:0403370046054492A1 -:04033800F06F014120 -:04033900115181DFFE -:04033A001437C22290 -:04033B00C0260002D6 -:04033C0084AA460148 -:04033D0005C005935F -:04033E00040405139B -:04033F00F0EFC40611 -:04034000F593FC6FC6 -:0403410046050FF46A -:040342000404051397 -:04034300FF2FF0EFA9 -:0403440005C0059358 -:040345000404051394 -:04034600F0EF460589 -:040347000513FAAFF1 -:040348004412040453 -:04034900448240A208 -:04034A0001314585B3 -:04034B00FB8FF06FC5 -:04034C00C422114175 -:04034D00000214375F -:04034E00C02AC226D9 -:04034F00460184AE31 -:0403500005C005934C -:040351000404051388 -:04035200F0EFC606FC -:040353004782F7AF37 -:040354000513460146 -:04035500F593040414 -:04035600F0EF0FF7BE -:040357000513FA4F41 -:040358004422040433 -:0403590085A640B283 -:04035A00460544927E -:04035B00F06F0141FD -:04035C001151F90F33 -:04035D00C222C406EE -:04035E00006547836C -:04035F00456D842A3A -:04036000004795932A -:04036100F5938DDDA6 -:04036200375D0FF5FF -:04036300006445836A -:040364003F79457127 -:040365000034458398 -:040366003F5945298D -:040367000044458386 -:040368003779452577 -:040369000054458374 -:04036A003759452199 -:04036B0000044583C2 -:04036C003FBD453517 -:04036D0000144583B0 -:04036E003F9D453139 -:04036F00002445839E -:0403700040A2441251 -:040371000131452DE4 -:040372001141B7A5D9 -:04037300842AC422F2 -:04037400C226451147 -:0403750084AEC03260 -:040376003731C6064F -:040377007593460232 -:04037800C65D0F85CA -:040379007FF0079377 -:04037A000A87E463A7 -:04037B00E5930406FC -:04037C004511001512 -:04037D003F2D0442CA -:04037E0055938041D2 -:04037F00F5930044AE -:0403800045050FF52B -:040381001593373564 -:04038200F5930044AB -:0403830045090F0514 -:0403840007B737057B -:040385008793019CBD -:04038600D7B3CC0716 -:04038700573702974B -:040388000713022530 -:0403890045810FF7A4 -:04038A00028787B3AC -:04038B0002F77063A2 -:04038C00042C2737DF -:04038D00D7F7071384 -:04038E0079634585C5 -:04038F00F5B700F7C7 -:040390008593080B3E -:04039100B5B3FBF510 -:04039200058900F5E4 -:04039300879367E104 -:0403940097AEF2C767 -:040395000007C50395 -:040396000280079347 -:040397000533471DC6 -:04039800579302F580 -:04039900953E001479 -:04039A000285443361 -:04039B000FF47413D4 -:04039C000FF4779350 -:04039D0000877363FF -:04039E004422479D11 -:04039F00449240B292 -:0403A000059A078E25 -:0403A100F5938DDD66 -:0403A200450D0F8571 -:0403A300B54D014112 -:0403A4003D79451149 -:0403A5005583B79D28 -:0403A600115100A54C -:0403A70081A1C2224C -:0403A8000513842A8B -:0403A900C40604B0D2 -:0403AA0045833561F1 -:0403AB00051300A492 -:0403AC003DBD04A0AF -:0403AD0000C45583B0 -:0403AE0004D005135F -:0403AF003D8D81A15E -:0403B00000C44583BD -:0403B10004C005136C -:0403B200558335A595 -:0403B300051300E44A -:0403B40081A104F02F -:0403B50045833DB18E -:0403B600051300E447 -:0403B7003D8904E098 -:0403B8000044558325 -:0403B9000510051313 -:0403BA00359981A14F -:0403BB000044458332 -:0403BC000500051320 -:0403BD0055833D35F2 -:0403BE0005130064BF -:0403BF0081A10530E3 -:0403C00045833D052F -:0403C10005130064BC -:0403C200351D0520C0 -:0403C30000845583DA -:0403C40005500513C8 -:0403C5003D2981A1AC -:0403C60000844583E7 -:0403C70005400513D5 -:0403C80055833D011B -:0403C9000513010413 -:0403CA0081A1057098 -:0403CB004583351120 -:0403CC000513010410 -:0403CD003BED05609F -:0403CE00012455832E -:0403CF00059005137D -:0403D00033FD81A1D7 -:0403D100012445833B -:0403D200058005138A -:0403D300558333D546 -:0403D40005130144C8 -:0403D50081A105B04D -:0403D60045833BE13F -:0403D7004412014487 -:0403D800051340A227 -:0403D900013105A049 -:0403DA001151B3E129 -:0403DB00842AC2228C -:0403DC0003F0051312 -:0403DD003B85C40692 -:0403DE000F057593FF -:0403DF0044128DC176 -:0403E000051340A21F -:0403E100013103F0F3 -:0403E2001151B3659D -:0403E300842AC22284 -:0403E400C40645699D -:0403E50075133B89C8 -:0403E600041A03F5FD -:0403E70075938C4935 -:0403E80044120FF4B8 -:0403E900456940A280 -:0403EA00B3590131D1 -:0403EB00C2221151C8 -:0403EC00842EC02675 -:0403ED00843384AA27 -:0403EE00451102842F -:0403EF003325C406E8 -:0403F0007593891D5B -:0403F100058E01F480 -:0403F20045118DC95B -:0403F30040A233955C -:0403F4004054551309 -:0403F50044824412E8 -:0403F6000FF5751377 -:0403F70080820131CE -:0403F800C2221151BB -:0403F90000021437B3 -:0403FA000593460120 -:0403FB00051302C024 -:0403FC00C40604042B -:0403FD00CD0FF0EF41 -:0403FE0045854605E6 -:0403FF0004040513DA -:04040000CFEFF0EF5B -:0404010002C005939D -:0404020004040513D6 -:04040300F0EF4605CB -:040404000513CB6FA2 -:040405004412040495 -:04040600458540A246 -:04040700F06F013160 -:040408001151CC6F53 -:04040900C222C40641 -:04040A003F5D842AA4 -:04040B00FE75759372 -:04040C008C4D040E01 -:04040D000FF4741361 -:04040E00450585A279 -:04040F0085A231ADE4 -:0404100031954509D4 -:04041100441285A26A -:04041200450D40A2B2 -:04041300B9A1013159 -:04041400C02611519C -:04041500C40667E5CD -:04041600C703C22234 -:0404170084AA135749 -:0404180067E5E1496A -:04041900B18787938D -:04041A00043743D48C -:04041B000413019C29 -:04041C005433CC0485 -:04041D00878302D4FB -:04041E0046F100A7FC -:04041F0002D786B3C7 -:04042000879367E572 -:0404210097B6C7477C -:0404220000E7D78395 -:0404230002F40433A8 -:040424001D63478984 -:04042500C7B700F75E -:04042600879301C9EE -:04042700E36337F75D -:0404280044BD008748 -:0404290035D185261E -:04042A00A03D450D9F -:04042B0067E53D7DC7 -:04042C001377C70378 -:04042D00FF870793AB -:04042E000F77F793BA -:04042F004791C79991 -:040430001B63450104 -:04043100450500F786 -:04043200B537A80131 -:04043300051304C4E5 -:0404340035333FF528 -:040435000509008530 -:0404360040A244128A -:0404370001314482C9 -:040438004409B78933 -:0404390000871763BE -:04043A008EE3453DCB -:04043B004501FAE499 -:04043C004501BF5D5A -:04043D00450D3D9D8F -:04043E00FE9470E3D5 -:04043F008D054515CD -:040440000FF575132C -:040441001793BFD17D -:0404420065610025CB -:040443008B0505130D -:04044400410C953E94 -:04044500464565655E -:04044600B9C505131C -:040447005780506F1B -:04044800053345F142 -:0404490065E502B5AE -:04044A00C74585938A -:04044B0095AA4645E3 -:04044C0005136565CA -:04044D00506FB9C56E -:04044E0047A955E085 -:04044F0000F50E6343 -:04045000050A67E151 -:04045100084787933E -:04045200410C953E86 -:040453004645656550 -:04045400B9C505130E -:040455005400506F90 -:04045600859365DD48 -:04045700B7FD81C5A7 -:04045800112167E522 -:0404590011C7A6839E -:04045A00C82267E568 -:04045B008793C62697 -:04045C00CA06C2C743 -:04045D000377C70357 -:04045E000387C60347 -:04045F003E800413C4 -:04046000D4334785C5 -:04046100648D02861E -:0404620000F7156327 -:040463008493648991 -:040464004585800446 -:04046500C432453D1B -:04046600C036C23AA0 -:04046700A49FF0EF6F -:0404680005134581B2 -:04046900F0EF03307D -:04046A00D593A3FF84 -:04046B0005130084F1 -:04046C00F0EF03406A -:04046D004581A33FE3 -:04046E00035005131F -:04046F00A29FF0EF69 -:040470000FF475937D -:04047100030005136C -:04047200A1DFF0EF27 -:040473000084559319 -:040474000FF5F593F8 -:040475000310051358 -:04047600A0DFF0EF24 -:040477000104559394 -:040478000FF5F593F4 -:040479000320051344 -:04047A009FDFF0EF21 -:04047B00453D458135 -:04047C009F5FF0EF9F -:04047D00051345819D -:04047E00F0EF0C503F -:04047F0046829EBF54 -:04048000471264E5D6 -:04048100B084879329 -:040482004785C7944F -:0404830084934622F6 -:040484004409B084F3 -:0404850000F7036316 -:04048600468544293A -:04048700008483A3C7 -:0404880000D48223F7 -:04048900036347BD05 -:04048A00478100D6D0 -:04048B0000F482A354 -:04048C000E00051346 -:04048D000C000593C7 -:04048E00F0EFC03A91 -:04048F0047029ABFC7 -:040490000513478584 -:040491001D63059052 -:04049200F0EF10F780 -:040493007593959F29 -:04049400E5930FC518 -:040495000513001536 -:04049600F0EF0590EE -:04049700451198BFB4 -:04049800943FF0EFAE -:040499000EB5759394 -:04049A00F0EF451129 -:04049B00C58397BFBF -:04049C000513004400 -:04049D00F0EF0E105E -:04049E00059396FF2D -:04049F0005130E40F3 -:0404A000F0EF0E204B -:0404A100C583963F3A -:0404A20005130054EA -:0404A30089BD0E30D1 -:0404A400955FF0EF81 -:0404A500051345A155 -:0404A600F0EF0E4025 -:0404A700458594BF34 -:0404A800F0EF453DEF -:0404A90045A1943F96 -:0404AA00091005131D -:0404AB00939FF0EF3C -:0404AC00051345816E -:0404AD00F0EF092043 -:0404AE00458592FFEF -:0404AF0009300513F8 -:0404B000925FF0EF78 -:0404B1000513458169 -:0404B200F0EF09401E -:0404B30085A291BFCE -:0404B40009800513A3 -:0404B500911FF0EFB4 -:0404B6000FF4459367 -:0404B700E593059232 -:0404B800F59300B503 -:0404B90005130FB563 -:0404BA00F0EF0990C6 -:0404BB0045818FBF29 -:0404BC00F0EF453DDB -:0404BD0005938F3FD5 -:0404BE0005130C1006 -:0404BF00F0EF0E004C -:0404C00007938E7F91 -:0404C1008323FC1085 -:0404C200458500F478 -:0404C300F0EF453DD4 -:0404C40045858D7F5E -:0404C5000680051395 -:0404C6008CDFF0EFE8 -:0404C7000513458153 -:0404C800F0EF0690BB -:0404C90045818C3F9E -:0404CA0006B0051360 -:0404CB008B9FF0EF24 -:0404CC00051345814E -:0404CD00F0EF06C086 -:0404CE0005938AFF09 -:0404CF00051307000A -:0404D000F0EF06D073 -:0404D10045818A3F98 -:0404D200F0EF453DC5 -:0404D300444289BF57 -:0404D40044B240D21C -:0404D5000513458D39 -:0404D60001610CE0D4 -:0404D700889FF06F9B -:0404D800843FF0EF7E -:0404D9000FC5759343 -:0404DA000113B5FD58 -:0404DB00D006FDC189 -:0404DC00CC26CE223A -:0404DD0087AA47099A -:0404DE0010E59C6326 -:0404DF0005000713FA -:0404E00000E105A38F -:0404E10000B147031C -:0404E2000076141379 -:0404E30004800593F9 -:0404E4000084641319 -:0404E5000036F49356 -:0404E6000027671371 -:0404E70000B1062337 -:0404E8000FF4741386 -:0404E900453D4585C3 -:0404EA0000F10723F3 -:0404EB0005A3C03E67 -:0404EC00C23A00E12F -:0404ED00008106A3E1 -:0404EE00009107A3CF -:0404EF00CA02C80273 -:0404F000825FF0EF48 -:0404F1000513471296 -:0404F20085BA058042 -:0404F300819FF0EF06 -:0404F40004800593E8 -:0404F5000590051356 -:0404F60080DFF0EFC4 -:0404F700051385A2C2 -:0404F800F0EF05A07C -:0404F9004782803F77 -:0404FA0005B0051331 -:0404FB00F0EF85BEDB -:0404FC0085A6FF6F63 -:0404FD0005C005131E -:0404FE00FECFF0EF4E -:0404FF00051345811B -:04050000F0EF05E033 -:040501004581FE2F03 -:0405020005F00513E8 -:04050300FD8FF0EF89 -:040504000513458115 -:04050500F0EF06000D -:040506004581FCEF40 -:0405070006100513C2 -:04050800FC4FF0EFC5 -:040509000513458110 -:04050A00F0EF0620E8 -:04050B004581FBAF7C -:04050C00063005139D -:04050D00FB0FF0EF01 +:040181008383CA09A1 +:040182000023000551 +:04018300167D007372 +:0401840005850305E5 +:040185008082FA6D0D +:04018600832AC215F1 +:0401870067634685DF +:0401880056FD00B56B +:04018900FFF6071363 +:04018A0095BA933A55 +:04018B000005838365 +:04018C0000730023D9 +:04018D009336167D12 +:04018E00FA6D95B6BB +:04018F00832A8082BD +:040190000023C61171 +:04019100167D00B324 +:04019200FE650305FE +:04019300460380821D +:04019400C683000519 +:040195000505000557 +:040196001363058565 +:04019700FA6500D62F +:0401980040D6053315 +:0401990067E5808214 +:04019A00C587C783CB +:04019B000BA36765E6 +:04019C004771C4F7EC +:04019D0002E787B33B +:04019E00071367617B +:04019F0097BA78078C +:0401A00000E7D6831B +:0401A1001423676557 +:0401A2004B98C4D7DB +:0401A3008B7D66E505 +:0401A400C4E69523F5 +:0401A5000147C683C5 +:0401A6001623676550 +:0401A700C683C4D770 +:0401A800676501275F +:0401A900C4D7132381 +:0401AA0066E5479827 +:0401AB0092238355C3 +:0401AC00C683C4E65C +:0401AD00676501572A +:0401AE00C4D71A2375 +:0401AF000137C683CB +:0401B0001923676543 +:0401B100D683C4D756 +:0401B200676500C7B6 +:0401B300C4D7182372 +:0401B4000167C70315 +:0401B500972367E540 +:0401B6008082C4E798 +:0401B700879367853E +:0401B80007B38027E2 +:0401B900273702F5ED +:0401BA000713000225 +:0401BB008FF91107A0 +:0401BC00071367219D +:0401BD0005330207FD +:0401BE00873702E598 +:0401BF00071300081A +:0401C0008D794407EA +:0401C10067C18D5D28 +:0401C20010178793F8 +:0401C30002F5053309 +:0401C40075138141ED +:0401C50080820FF530 +:0401C600C2221151EF +:0401C70000021437E7 +:0401C8004601C02606 +:0401C900059384AA6C +:0401CA00051304C055 +:0401CB00C40604045E +:0401CC00F5933BC1AB +:0401CD0046010FF4E4 +:0401CE00040405130D +:0401CF0005933BFD5C +:0401D000051304C04F +:0401D10046050404D7 +:0401D20005133B6571 +:0401D30044120404CA +:0401D400448240A27F +:0401D500013145852A +:0401D6001141B3E13F +:0401D7001437C422F3 +:0401D800C226000239 +:0401D90084AEC02A06 +:0401DA000593460142 +:0401DB00051304C044 +:0401DC00C60604044B +:0401DD0047823371B1 +:0401DE0005134601BE +:0401DF00F59304048C +:0401E0003B650FF775 +:0401E10004040513FA +:0401E20040B24422C1 +:0401E300449285A617 +:0401E400014146058A +:0401E5001151B35DA4 +:0401E600C4064511F5 +:0401E70040A23FB53E +:0401E80000456593D6 +:0401E9000FF5F59386 +:0401EA000131451189 +:0401EB001151B77D7A +:0401EC004581C22265 +:0401ED00453D842ADE +:0401EE003745C406C7 +:0401EF000C100513D8 +:0401F00075933FA123 +:0401F1008DC10FE5C8 +:0401F2000C100513D5 +:0401F3004412377902 +:0401F400458D40A253 +:0401F5000C60051382 +:0401F600B7410131DB +:0401F700C4221141CC +:0401F80000021437B6 +:0401F900C02AC22630 +:0401FA00460184AE88 +:0401FB0004A00593C4 +:0401FC0004040513DF +:0401FD003329C606D6 +:0401FE0046014782ED +:0401FF0004040513DC +:040200003B2585BE57 +:0402010004040513D9 +:0402020040B24422A0 +:04020300449285A6F6 +:040204000141460569 +:040205001141B31DD3 +:040206001437C422C3 +:04020700C226000209 +:0402080084AEC02AD6 +:040209000593460112 +:04020A00051302C016 +:04020B00C60604041B +:04020C00478239C12B +:04020D00051346018E +:04020E0085BE0404A1 +:04020F00051339FD9D +:04021000442204047C +:0402110085A640B2CC +:0402120046054492C7 +:04021300B1F50141FF +:04021400C2221151A0 +:040215000002143798 +:040216004601C026B7 +:04021700059384AA1D +:04021800051305C005 +:04021900C40604040F +:04021A00F5933961BE +:04021B0046050FF491 +:04021C0004040513BE +:04021D00059331D93B +:04021E00051305C0FF +:04021F004605040488 +:040220000513314150 +:04022100441204047B +:04022200448240A230 +:0402230001314585DB +:040224001141B9418A +:040225001437C422A4 +:04022600C2260002EA +:0402270084AEC02AB7 +:0402280005934601F3 +:04022900051305C0F4 +:04022A00C6060404FC +:04022B00478239913C +:04022C00051346016F +:04022D00F59304043D +:04022E0031410FF754 +:04022F0004040513AB +:0402300040B2442272 +:04023100449285A6C8 +:04023200014146053B +:040233001151B1BDF7 +:04023400C222C40618 +:040235000065478396 +:04023600456D842A64 +:040237000047959354 +:04023800F5938DDDD0 +:0402390037750FF511 +:04023A000064458394 +:04023B00375545717D +:04023C0000344583C2 +:04023D003F7145299F +:04023E0000444583B0 +:04023F003F514525C1 +:04024000005445839E +:0402410037714521AB +:0402420000044583EC +:0402430037514535B5 +:0402440000144583DA +:040245003FB545314B +:0402460000244583C8 +:0402470040A244127B +:040248000131452D0E +:040249005583B7BD65 +:04024A00115100A5A9 +:04024B0081A1C222A9 +:04024C000513842AE8 +:04024D00C40604B02F +:04024E0045833FA9FC +:04024F00051300A4EF +:040250003F8104A046 +:0402510000C455830D +:0402520004D00513BC +:04025300379181A1BD +:0402540000C445831A +:0402550004C00513C9 +:0402560055833F2D60 +:04025700051300E4A7 +:0402580081A104F08C +:040259004583373D65 +:04025A00051300E4A4 +:04025B00371504E06F +:04025C000044558382 +:04025D000510051370 +:04025E003F2181A11A +:04025F00004445838F +:04026000050005137D +:040261005583373951 +:04026200051300641C +:0402630081A1053040 +:04026400458337098E +:040265000513006419 +:040266003DE505204D +:040267000084558337 +:040268000550051325 +:0402690035F581A145 +:04026A000084458344 +:04026B000540051332 +:04026C00558335CDB4 +:04026D000513010470 +:04026E0081A10570F5 +:04026F0045833DD9AD +:04027000051301046D +:0402710035F10560FE +:04027200012455838B +:0402730005900513DA +:0402740035C181A16E +:040275000124458398 +:0402760005800513E7 +:0402770055833D5D11 +:040278000513014425 +:0402790081A105B0AA +:04027A004583356D16 +:04027B0044120144E4 +:04027C00051340A284 +:04027D00013105A0A6 +:04027E001151BD69F4 +:04027F001437C2224C +:040280004601000231 +:0402810002C005931F +:040282000404051358 +:040283003CCDC406A4 +:040284004585460561 +:040285000404051355 +:040286000593360D99 +:04028700051302C099 +:04028800460504041F +:0402890005133CF12C +:04028A004412040412 +:04028B00458540A2C3 +:04028C00B4FD01318B +:04028D00002517939E +:04028E000513655D92 +:04028F00953E400553 +:040290006561410C57 +:0402910005134645C6 +:04029200506F6B45F9 +:0402930045F11AC057 +:0402940002B5053377 +:04029500859365E107 +:04029600464578055C +:04029700656195AA5E +:040298006B4505139A +:040299001920506F69 +:04029A000E6347A9FF +:04029B0067E100F522 +:04029C008793050A35 +:04029D00953EBD4786 +:04029E006561410C49 +:04029F0005134645B8 +:0402A000506F6B45EB +:0402A10065D91740C4 +:0402A200D605859365 +:0402A3001151B7FD41 +:0402A400022005131C +:0402A500C222C406A7 +:0402A6003B5DC026D6 +:0402A7000FF57413C8 +:0402A800455945CDA2 +:0402A900648933FD34 +:0402AA007104851343 +:0402AB00E6EFF0EF9B +:0402AC00455D458DDA +:0402AD0085133BF981 +:0402AE00F0EF7104F8 +:0402AF006593E60F5E +:0402B0000513080426 +:0402B10033F1022003 +:0402B2003E80051372 +:0402B300E4EFF0EF95 +:0402B40007F4759343 +:0402B50040A244120D +:0402B6000513448266 +:0402B70001310220EF +:0402B8000693BB4DA1 +:0402B9000533467053 +:0402BA00069302D5D0 +:0402BB00665906403A +:0402BC00D6860613C9 +:0402BD0046B345C53A +:0402BE00656102D59F +:0402BF006B45051373 +:0402C0006E00406F1D +:0402C100000F46B72D +:0402C20024068693F5 +:0402C30002D5053328 +:0402C400879367892C +:0402C500071396473E +:0402C60036B73E8089 +:0402C70086930063B7 +:0402C8006659EA0683 +:0402C900D70606133B +:0402CA0057B345C51C +:0402CB00F7B302F58E +:0402CC00472902E7D5 +:0402CD0002D556B34D +:0402CE00051365614E +:0402CF00D7336B4571 +:0402D000406F02E792 +:0402D100069369E047 +:0402D20005052710E7 +:0402D30002D5053318 +:0402D4000640069347 +:0402D500061366594D +:0402D60045C5D7C67D +:0402D70002D546B353 +:0402D8000513656144 +:0402D900406F6B45C2 +:0402DA00069367A080 +:0402DB0005332710B0 +:0402DC00069302D5AE +:0402DD006659064018 +:0402DE00D7C6061366 +:0402DF0046B345C518 +:0402E000656102D57D +:0402E1006B45051351 +:0402E2006580406F84 +:0402E30086AABFF92F +:0402E4006561665991 +:0402E500D8460613DE +:0402E600051345C5F2 +:0402E700406F6B45B4 +:0402E80086AA64205E +:0402E900656166598C +:0402EA00D906061318 +:0402EB00051345C5ED +:0402EC00406F6B45AF +:0402ED0086AA62E09B +:0402EE006561665987 +:0402EF00D9C6061353 +:0402F000051345C5E8 +:0402F100406F6B45AA +:0402F200069361A06E +:0402F30006E2F80522 +:0402F4006561665981 +:0402F500061386E185 +:0402F60045C549466B +:0402F7006B4505133B +:0402F8006000406FF3 +:0402F90001851693D2 +:0402FA00665986E1DA +:0402FB0016D1656152 +:0402FC00DA06061305 +:0402FD00051345C5DB +:0402FE00406F6B459D +:0402FF0046855E6072 +:0403000000A696B30A +:040301006561665973 +:04030200D8460613C0 +:04030300051345C5D4 +:04030400406F6B4596 +:0403050005055CE0AE +:0403060096B34685DF +:04030700665900A68D +:040308000613656112 +:0403090045C5D90607 +:04030A006B45051327 +:04030B005B40406FA4 +:04030C0000454783DE +:04030D0086B247115C +:04030E0006F7696322 +:04030F00078A675999 +:04031000DBC707132D +:04031100439C97BAB8 +:04031200451C87827D +:04031300C703464591 +:04031400491C000779 +:0403150097BA070A82 +:040316006561438C4E +:040317006B4505131A +:040318007970406F49 +:04031900491C45181E +:04031A000007450390 +:04031B00491C878270 +:04031C008782450887 +:04031D008A2367E1E7 +:04031E0080826A0768 +:04031F00E611C1958D +:04032000464565D910 +:04032100DA85859361 +:040322005063BFC99C +:04032300665902060F +:0403240006136561F6 +:0403250045C5DB06E9 +:040326006B4505130B +:040327005440406F8F +:04032800DBE9455C6C +:04032900479C439812 +:04032A008082B7C155 +:04032B00C78367E538 +:04032C00C3E1C26700 +:04032D00C70367E1BA +:04032E0047855E871A +:04032F000AF71B634B +:0403300011116761DF +:040331004747071320 +:04033200C826CA22ED +:040333004401CC06AF +:040334004781448138 +:040335006765C23AFC +:04033600C277470340 +:04033700070E4692D5 +:0403380043109736A1 +:040339000006470370 +:04033A0000E7CD63A8 +:04033B00000247B7BE +:04033C003C97A22325 +:04033D003C87A42332 +:04033E00445240E203 +:04033F00017144C242 +:04034000475180821F +:0403410002E7873315 +:0403420096934250FC +:04034300C63E00575B +:04034400000247B7B5 +:0403450000F6853306 +:040346009732C036F4 +:040347004641430CDC +:0403480040EFC43A84 +:0403490047226D508A +:04034A00458547B2EC +:04034B00004746031E +:04034C0000F592B373 +:04034D001675C61645 +:04034E000FF676131D +:04034F000054E4B3BF +:0403500002C5F76388 +:040351004601853AA2 +:04035200C43E4581DF +:0403530047B735D59E +:04035400851300020B +:0403550047820107D3 +:04035600464165E1D6 +:040357008593953EB7 +:0403580040EF6B45C2 +:0403590042B26950F3 +:04035A00643347A21F +:04035B0007850054BE +:04035C008082B79D47 +:04035D00FD8101130A +:04035E00D2226765DB +:04035F00C4C75403B8 +:040360004703676583 +:04036100D026C46777 +:04036200C03A62E556 +:040363005703676570 +:0403640064E5C44741 +:04036500C23A6665CD +:04036600570367656D +:04036700D683C5472D +:040368005303C484F3 +:04036900C43AC4E6E8 +:04036A004703676579 +:04036B0085AAC52773 +:04036C00C48484932E +:04036D006765C63AC0 +:04036E00C507570365 +:04036F00C4A2D5034C +:04037000C4E60613C6 +:040371006761C83ABE +:040372006307071303 +:0403730000B7438309 +:04037400C4A282930A +:04037500CC1667E556 +:0403760062E1CA2650 +:04037700C783CE3238 +:040378008293C57730 +:040379008163780222 +:04037A00060308036B +:04037B001D6300A757 +:04037C00477106F6C9 +:04037D0002E607335A +:04037E0053839716F8 +:04037F00916300E79F +:04038000238306D3FA +:040381007493010769 +:04038200F3930FF5ED +:04038300996301F386 +:040384004383049318 +:040385009563014734 +:040386004383048326 +:040387004482012784 +:0403880004939063E7 +:040389000087238343 +:04038A00D393449233 +:04038B00996301531E +:04038C004383029312 +:04038D0044A201572E +:04038E0002939463DF +:04038F00013743836C +:040390009F6344B271 +:04039100570300937B +:0403920044C200C79A +:0403930000971A6352 +:040394000633477174 +:04039500961602E6D0 +:0403960001664703B2 +:040397000067066392 +:04039800460567654A +:04039900C4C70B23A7 +:04039A0087B347716D +:04039B00771302E7EB +:04039C0092BE01F517 +:04039D000102D783FF +:04039E0000D29723CF +:04039F0000828A232B +:0403A000E5339B8125 +:0403A100982300E7B6 +:0403A200478200A2EC +:0403A30000628B2346 +:0403A40089236561E3 +:0403A500479200F289 +:0403A6000057961353 +:0403A70000A2D78356 +:0403A8008FD18BFD69 +:0403A90000F29523A6 +:0403AA008AA347A239 +:0403AB0047B200F263 +:0403AC0000F289A32F +:0403AD00962347C28A +:0403AE0047F200F220 +:0403AF0002F596635A +:0403B000465006931A +:0403B10002D303333D +:0403B2000640069368 +:0403B300061366596E +:0403B40046B3DD0669 +:0403B500541202D309 +:0403B60045C5548263 +:0403B7006B4505137A +:0403B80002810113AA +:0403B9002FC0406FA2 +:0403BA00966347D22D +:0403BB00665900F58A +:0403BC00D9C6061385 +:0403BD0047E2B7CD8F +:0403BE0000F599634A +:0403BF0066594695A0 +:0403C00002D706B3A7 +:0403C100DD860613BC +:0403C200D683B7F92E +:0403C300B7C50005B5 +:0403C400656165DD2D +:0403C50006131151B9 +:0403C60085930460B7 +:0403C70005134F8546 +:0403C800C40673856F +:0403C900EDEFF0EF75 +:0403CA00656165DD27 +:0403CB0047C006130E +:0403CC0054058593BC +:0403CD007805051397 +:0403CE00ECAFF0EFB1 +:0403CF0067E540A2FC +:0403D0008B2347052F +:0403D1004501C4E737 +:0403D20080820131F3 +:0403D300DDC1011374 +:0403D40020B1282309 +:0403D50020C12A23F6 +:0403D60020D12C23E3 +:0403D7000C14862A52 +:0403D8002000059369 +:0403D900262300488F +:0403DA002E2320119D +:0403DB00202320E1DA +:0403DC00C03622F114 +:0403DD00525040EF4B +:0403DE0000A05563C3 +:0403DF00F0EF0048F3 +:0403E0002083980FCF +:0403E100450120C1F1 +:0403E20022410113A0 +:0403E3000113808200 +:0403E40067E1FC8150 +:0403E500DA06D62638 +:0403E6008613D82280 +:0403E7000083630725 +:0403E800477100A6B3 +:0403E9008733636192 +:0403EA00069302E094 +:0403EB0045837803CB +:0403EC00440301F6CF +:0403ED0084930126CE +:0403EE0043816307DD +:0403EF00D70396BAE0 +:0403F000C28300C6FE +:0403F100CA3A0146BD +:0403F2000136C70306 +:0403F30078030693F2 +:0403F400CC3AC83601 +:0403F5000FD5F71316 +:0403F600C683E331A6 +:0403F700C703023402 +:0403F800C68902545C +:0403F900973346856B +:0403FA00A00D00E66C +:0403FB0000C4C683F1 +:0403FC008063460DC7 +:0403FD00451102C6DE +:0403FE0012A684635C +:0403FF0093E3460935 +:040400001693FEC68B +:040401004705001794 +:0404020000D71733D5 +:040403000FF77393E9 +:040404000706A03116 +:0404050000E696B3C4 +:040406000FF6F39367 +:04040700FFF58693E4 +:04040800F693CE2277 +:0404090047050FF69E +:04040A006063C00269 +:04040B00469102D73D +:04040C000254C603CD +:04040D000E86ED6307 +:04040E00FFF406935E +:04040F0002C686B3E8 +:0404100000D716B348 +:040411000FF6F713D8 +:04041200C703C03A22 +:040413008313024409 +:0404140046816307B3 +:040415008793C63AC9 +:04041600C2026307B4 +:040417009513470DE5 +:0404180090630026C7 +:04041900C7030EE522 +:04041A00061302675C +:04041B008A3DFFF720 +:04041C0000A61633ED +:04041D00373345121A +:04041E00173300E0B0 +:04041F008E4900D72B +:0404200000776733C7 +:040421007393C232DD +:0404220006850FF745 +:0404230007854715ED +:04042400FCE696E379 +:04042500C4024701C5 +:04042600478D4519A0 +:040427000027161381 +:040428000AF59863D6 +:0404290002B3478350 +:04042A00FFF78693BF +:04042B0096B38ABD3D +:04042C00462200C69E +:04042D0000F037B3F1 +:04042E0000E797B399 +:04042F00C4368ED170 +:040430008FD546829C +:040431000FF7F79337 +:040432000705C03EBC +:0404330016E30305C4 +:0404340047F1FCA7E9 +:0404350002F087B397 +:04043600C602474271 +:040437004F9C97BA85 +:04043800CB918B8554 +:0404390000C4C783B1 +:04043A00C791C63E62 +:04043B000224C7834D +:04043C000017B7935B +:04043D00C783C63E6D +:04043E00470501E489 +:04043F0006E79163D8 +:0404400000C4C783AA +:0404410047F1CB991B +:0404420002F087B38A +:0404430097BA4742DB +:0404440047114BDC35 +:04044500956383F543 +:04044600C00204E705 +:04044700A0894381C4 +:0404480002C70733AD +:0404490000E6163380 +:04044A000FF67393A3 +:04044B000713BDC511 +:04044C000633FFE490 +:04044D00470D02C78E +:04044E0000C716339A +:04044F000FF677131A +:040450004732B72157 +:0404510000A71533B8 +:040452008F49471275 +:04045300BF35C23AB5 +:04045400963347B2E2 +:0404550047A200C7F3 +:04045600C43E8FD140 +:04045700DFD5B7BD79 +:0404580067214C9C30 +:0404590022E78B63A8 +:04045A0020F76163C3 +:04045B001000071373 +:04045C0026E78363A9 +:04045D00826367113E +:04045E00071326E773 +:04045F0086630800A8 +:0404600067E520E745 +:04046100C437C703D2 +:04046200186347A133 +:04046300979300F774 +:04046400929300125D +:04046500D293010726 +:04046600C783010245 +:040467004685014481 +:040468000057F663E0 +:0404690040F286B324 +:04046A0082C106C283 +:04046B00853347711D +:04046C0047F202E071 +:04046D008333D41EE3 +:04046E0047C24087BA +:04046F00450C953E65 +:040470004503478970 +:0404710081D501250B +:04047200026585B3E7 +:04047300D0369536B4 +:0404740002F5C5B315 +:0404750003D4C78362 +:0404760002650333E5 +:0404770001059613D2 +:0404780005C28241F6 +:0404790081C165597F +:04047A00DE05051383 +:04047B0002F407B3CD +:04047C000442881D91 +:04047D00961A97B282 +:04047E00064207C269 +:04047F00824183C172 +:04048000CE32D23E68 +:04048100830333A11D +:04048200477100A41A +:04048300073347C232 +:04048400C50302E3C7 +:040485005682012476 +:0404860053A24672C5 +:040487003FF67613B3 +:0404880000E78333D3 +:040489000123428386 +:04048A000083258343 +:04048B0002D507335C +:04048C0081D54C9436 +:04048D00F7935792F8 +:04048E0007CE7FF71F +:04048F0002A282B390 +:040490000FF77713D8 +:0404910085B30752D6 +:04049200F29302A53A +:0404930002AE1FF2A4 +:04049400052A891D8F +:04049500C6038D51BC +:040496008A1D013486 +:040497007FF5F59365 +:0404980000B2E5B316 +:0404990065C18F4D5D +:04049A00B6938E8DFA +:04049B0006F200164F +:04049C00C7038ED92B +:04049D0006360364B8 +:04049E00177D8D51E8 +:04049F0000E037330F +:0404A0008F550776F7 +:0404A10000D4C6833A +:0404A2008D5D8D419E +:0404A30047D206FA3C +:0404A40046838F55A7 +:0404A500F793018147 +:0404A600C6037FF713 +:0404A70006AE00C4D9 +:0404A80047838EDD1B +:0404A900067601537F +:0404AA008B9D45924F +:0404AB008EDD07CE0D +:0404AC0003E4C7831B +:0404AD0081B105B262 +:0404AE0003F7F793C6 +:0404AF008EDD07DAFD +:0404B0000404C783F6 +:0404B100C6038ED11F +:0404B2008B9D03F427 +:0404B3008A3D0792E5 +:0404B400C6038FD11B +:0404B5008A7D0544F3 +:0404B6008FD1061EBE +:0404B7000534C6033F +:0404B80006328A0579 +:0404B900F6138FD1D6 +:0404BA00065201F3F2 +:0404BB00C5838E4D1A +:0404BC0007CE020461 +:0404BD0089FD83CD65 +:0404BE008E4D05E674 +:0404BF000214C583DB +:0404C00005FA89852B +:0404C10045B28E4D65 +:0404C20001F593931A +:0404C300007663B3A9 +:0404C40005B7462210 +:0404C50015FD010020 +:0404C60000B67333D6 +:0404C7000234C60332 +:0404C80000B375B355 +:0404C9000076129314 +:0404CA00761346025D +:0404CB00E63303F61B +:0404CC00529300C285 +:0404CD00F293018322 +:0404CE00663304028B +:0404CF0022B70056FA +:0404D000A62300025D +:0404D100A82300E27A +:0404D200066200A21C +:0404D30000D2AA2386 +:0404D40000F2AC2363 +:0404D500AE238E4D77 +:0404D600A0230072ED +:0404D70050D202C23B +:0404D80054B2544284 +:0404D9000381011387 +:0404DA0007378082DE +:0404DB008B6300200F +:0404DC00073702E7F5 +:0404DD008B63040029 +:0404DE00674102E789 +:0404DF0006E7816348 +:0404E000004007379A +:0404E10002E7FA63D1 +:0404E200C783BBED24 +:0404E300440D03C4FD +:0404E40004068C1D61 +:0404E5000FF4741389 +:0404E600C783B3ED28 +:0404E700441103C4F5 +:0404E800BFCD8C1DDB +:0404E90003C4C783FE +:0404EA00BFDD441519 +:0404EB0003C4C783FC +:0404EC008C1D441906 +:0404ED000FF4741381 +:0404EE000364C78359 +:0404EF00DC0793E3B0 +:0404F00017A147D237 +:0404F10083C107C2FA +:0404F20047E2CA3ED5 +:0404F30007C207B184 +:0404F400CC3E83C1B6 +:0404F5004411B37D7E +:0404F600440DB36D91 +:0404F7004419B35D94 +:0404F80067E5B34DB4 +:0404F900A6831121A4 +:0404FA0067E1C2876D +:0404FB00C626C82227 +:0404FC0073878793E8 +:0404FD00C703CA0661 +:0404FE00C6030377B7 +:0404FF000413038758 +:0405000047853E806D +:040501000286D43367 +:040502001563648D8C +:04050300648900F710 +:040504008004849358 +:04050500453D4585A6 +:04050600C23AC432FF +:04050700F0EFC0361B +:040508004581B3CFA7 +:0405090003300513A3 +:04050A00B32FF0EF2C +:04050B000084D59300 +:04050C000340051390 +:04050D00B26FF0EFEA :04050E00051345810B -:04050F00F0EF0640C3 -:040510004581FA6FB8 -:040511000650051378 -:04051200F9CFF0EF3E -:040513000713003C8E -:0405140045810151CB -:040515000037C68362 -:040516008D95078533 -:040517000FF5F59354 -:04051800FEF71AE3ED -:0405190006F58593CB -:04051A000FF5F59351 -:04051B0005D00513EF -:04051C00F74FF0EFB6 -:04051D00453D458192 -:04051E00F6CFF0EF35 -:04051F005082447250 -:04052000458D44E2DF -:040521000CD00513E2 -:04052200024101137E -:04052300F58FF06FF1 -:04052400B5FD474199 -:04052500CA221111C4 -:040526004505842AD9 -:04052700C826CC0610 -:04052800F98FF0EF68 -:04052900F0EF451199 -:04052A006593EFCF17 -:04052B00F5930085BF -:04052C0045110FF571 -:04052D00F30FF0EFE9 -:04052E00030005932E -:04052F00061005139A -:04053000F24FF0EFA7 -:0405310005134581E8 -:04053200F0EF0CD00A -:0405330067E5F1AFD8 -:04053400B1878713F1 -:0405350001074483F3 -:04053600B18787936F -:04053700C03E470972 -:04053800009034B348 -:04053900C23A4785F6 -:04053A0003630485CE -:04053B00C20200F404 -:04053C00451145F52B -:04053D00EF0FF0EFDD -:04053E00079366E5D4 -:04053F008613FFE43C -:0405400037B3B08697 -:04054100971300F01C -:0405420047830017D4 -:040543009BF500C65E -:0405440087138FD9B1 -:040545000623B08653 -:04054600C43A00F6BD -:04054700C7818B8954 -:04054800F0EF450586 -:0405490047A2F16F65 -:04054A00070005138E -:04054B000037C7832B -:04054C00F0EFC63EC8 -:04054D0047B2E70FBB -:04054E00003575936C -:04054F000087F71317 -:04055000E593C31953 -:04055100F713020595 -:04055200C3190017B2 -:040553000105E59326 -:040554000027F71372 -:04055500E593C3194E -:040556008B91008500 -:04055700E593C399CC -:040558000513004542 -:04055900F0EF0700B8 -:04055A004792E7EFEE -:04055B00C3B545815E -:04055C00879367E535 -:04055D00C583AC475F -:04055E00051300077A -:04055F00F0EF073082 -:0405600067E5E66FF6 -:04056100AC47871309 -:0405620000174583B6 -:040563000740051335 -:04056400E54FF0EF80 -:04056500879367E52C -:04056600C583AC4756 -:040567000513002751 -:04056800F0EF075059 -:040569006761E42FB3 -:04056A0007134781AB -:04056B0006B30B07C1 -:04056C00C58300F74C -:04056D0085130006EC -:04056E00C23E07671B -:04056F00E28FF0EF38 -:0405700067614792E6 -:04057100078546C9EB -:040572000B07071359 -:04057300FED791E33B -:04057400051345899D -:04057500C22E07206B -:04057600DCAFF0EF17 -:0405770089714592AF -:0405780005138DC911 -:04057900F0EF072078 -:04057A0047A2DFEFC6 -:04057B00C783458568 -:04057C008B8900C7A0 -:04057D004581E39140 -:04057E000C00051355 -:04057F00DE8FF0EF2C -:04058000451145D507 -:04058100DE0FF0EFAA -:04058200051345C157 -:04058300F0EF06107F -:040584004789DD6F57 -:040585000EF49E636F -:040586000880059351 -:040587000620051332 -:04058800DC4FF0EF65 -:04058900051345C150 -:04058A00F0EF063058 -:04058B000593DBAF4A -:04058C00051308400B -:04058D00F0EF064045 -:04058E000513DAEF88 -:04058F00E0EF3E80DB -:040590004511AC1F46 -:04059100D5EFF0EFC3 -:0405920001D5759387 -:04059300F0EF45112F -:040594006561D96F55 -:040595006A050513DB -:04059600AA7FE0EF69 -:04059700F0EF45112B -:040598007593D44F34 -:0405990045110155B2 -:04059A00D7CFF0EFD8 -:04059B00064004937F -:04059C00F0EF4539FE -:04059D008941D30FAE -:04059E0014FDE90956 -:04059F00051304C27A -:0405A00080C13E8058 -:0405A100A7BFE0EF21 -:0405A2004581F4E5B6 -:0405A300F0EF4531FF -:0405A4000593D56F77 -:0405A50045350400D4 -:0405A600D4CFF0EFCF -:0405A7004539458508 -:0405A800D44FF0EF4D -:0405A900453945810A -:0405AA00D3CFF0EFCC -:0405AB00453D458104 -:0405AC00D34FF0EF4A -:0405AD00051345816C -:0405AE00F0EF061054 -:0405AF004789D2AFF7 -:0405B00002F40563E9 -:0405B10064E5478234 -:0405B200C2C48493A8 -:0405B30000F7C68304 -:0405B4000117C50363 -:0405B5000244C60333 -:0405B600008035B3D9 -:0405B7003171058613 -:0405B8000244C783AF -:0405B90001234702D1 -:0405BA00F0EF04F763 -:0405BB004581D36F34 -:0405BC000CE0051337 -:0405BD00CF0FF0EF7D -:0405BE000463478902 -:0405BF00F0EF00F465 -:0405C0004452A63FBC -:0405C10044C240E20E -:0405C200017145017D -:0405C300D2CFF06F34 -:0405C400051345E1F5 -:0405C500F0EF06202D -:0405C60045C1CCEF70 -:0405C70006300513E2 -:0405C800CC4FF0EF35 -:0405C900B73145B150 -:0405CA0011416789EB -:0405CB00710787939A -:0405CC0054B3C2263C -:0405CD0066C102F50C -:0405CE00C606C42277 -:0405CF0016FD4401D0 -:0405D000000217B757 -:0405D1000D07A70368 -:0405D200879367E5BF -:0405D3008F75BB075E -:0405D4000347861340 -:0405D5000007D50343 -:0405D60002E50463D3 -:0405D7009BE3078912 -:0405D8006509FEC7EC -:0405D9007105051390 -:0405DA00E0EFC02E60 -:0405DB0067C1995FFC -:0405DC00458204054B -:0405DD00FFF786930B -:0405DE00FC9464E342 -:0405DF00A019450119 -:0405E0004505C19874 -:0405E100442240B2BE -:0405E20001414492FD -:0405E30011418082C0 -:0405E40000A101A3CE -:0405E50000021537C4 -:0405E6004605C422E0 -:0405E7000513842E46 -:0405E800059304056E -:0405E900C606003111 -:0405EA00DA1FE0EF45 -:0405EB00E0EF852296 -:0405EC0040B2951F65 -:0405ED000141442262 -:0405EE0011518082A5 -:0405EF0002200513CE -:0405F000C222C40659 -:0405F100F0EFC02641 -:0405F2007413D20F9D -:0405F30045CD0FF5EE -:0405F400F0EF455986 -:0405F5006489D5EF51 -:0405F60071048513F4 -:0405F700923FE0EF60 -:0405F800455D458D8B -:0405F900D4CFF0EF7C -:0405FA0071048513F0 -:0405FB00913FE0EF5D -:0405FC0008046593F7 -:0405FD0002200513C0 -:0405FE00D38FF0EFB8 -:0405FF003E80051322 -:040600008FFFE0EF99 -:0406010007F47593F2 -:0406020040A24412BC -:040603000513448215 -:04060400013102209E -:04060500D1CFF06FF2 -:04060600EF634789CE -:0406070011510CA7DA -:04060800C026C22224 -:0406090084B7C406E8 -:04060A00409C00020E -:04060B0083ED842ACD -:04060C008E638BBDB1 -:04060D0047D10AA720 -:04060E0002F507B337 -:04060F00859365E189 -:0406100046518BC5FF -:040611000044851309 -:04061200040A883D11 -:04061300E0EF95BEC1 -:04061400409CD79F90 -:04061500FC37F79324 -:04061600C0808C5DB7 -:04061700079364657C -:04061800C783B1845F -:04061900041301C7FE -:04061A00C395B1844F -:04061B0007136765F5 -:04061C0057831327C6 -:04061D004529000764 -:04061E0007C29BF57F -:04061F00102383C160 -:04062000173700F791 -:040621002023000290 -:04062200E0EF0EF700 -:0406230017B7875F1F -:04062400A7830002A6 -:0406250007370D077F -:040626008FF9000840 -:0406270087B7EB8D19 -:0406280043980002F1 -:04062900000759630A -:04062A0045054398A7 -:04062B00001767133A -:04062C00E0EFC398A0 -:04062D0087B784DF28 -:04062E0043980002EB -:04062F009B79452945 -:040630004398C39890 -:040631000027671324 -:04063200E0EFC3989A -:040633004783835F17 -:04063400CF9101C49D -:0406350007136765DB -:0406360057831327AC -:04063700E79300073E -:040638001023002764 -:04063900173700F778 -:04063A002023000277 -:04063B0040A20EF7D4 -:04063C00448244129E -:04063D008082013185 -:04063E0065E1808270 -:04063F00115165658B -:040640000460061339 -:040641009A8585937E -:04064200C2C5051315 -:04064300E0EFC4061A -:0406440065E1CB9F02 -:0406450006136565CE -:04064600859347C091 -:0406470005139F05F3 -:04064800E0EFC745D3 -:0406490040A2CA5FA2 -:04064A00470567E514 -:04064B0014E7852308 -:04064C000131450132 -:04064D00069380820E -:04064E0005334670BA -:04064F00069302D537 -:04065000665D06409D -:0406510082460613C4 -:0406520046B345C5A1 -:04065300656502D502 -:04065400B9C505130C -:0406550033C0406FFF -:04065600000F46B794 -:04065700240686935C -:0406580002D505338F -:040659008793678993 -:04065A0007139647A5 -:04065B0036B73E80F0 -:04065C00869300631E -:04065D00665DEA06E6 -:04065E0082C6061337 -:04065F0057B345C583 -:04066000F7B302F5F5 -:04066100472902E73C -:0406620002D556B3B4 -:0406630005136565B1 -:04066400D733B9C50A -:04066500406F02E7F9 -:0406660006932FA028 -:04066700050527104E -:0406680002D505337F -:0406690006400693AE -:04066A000613665DB0 -:04066B0045C5838678 -:04066C0002D546B3BA -:04066D0005136565A7 -:04066E00406FB9C55B -:04066F0006932D6061 -:040670000533271017 -:04067100069302D515 -:04067200665D06407B -:040673008386061361 -:0406740046B345C57F -:04067500656502D5E0 -:04067600B9C50513EA -:040677002B40406F65 -:0406780086AABFF996 -:040679006565665DF0 -:04067A0084060613D9 -:04067B00051345C559 -:04067C00406FB9C54D -:04067D0086AA29E040 -:04067E006565665DEB -:04067F0084C6061314 -:04068000051345C554 -:04068100406FB9C548 -:0406820086AA28A07C -:040683006565665DE6 -:04068400858606134E -:04068500051345C54F -:04068600406FB9C543 -:04068700069327604F -:0406880006E2F80589 -:040689006565665DE0 -:04068A00061386E1EC -:04068B0045C5B48627 -:04068C00B9C50513D4 -:04068D0025C0406FD5 -:04068E000185169339 -:04068F00665D86E13D -:0406900016D16565B5 -:0406910085C6061301 -:04069200051345C542 -:04069300406FB9C536 -:040694004685242053 -:0406950000A696B372 -:040696006565665DD3 -:0406970084060613BC -:04069800051345C53C -:04069900406FB9C530 -:04069A00050522A090 -:04069B0096B3468547 -:04069C00665D00A6F1 -:04069D000613656576 -:04069E0045C584C604 -:04069F00B9C50513C1 -:0406A0002100406F86 -:0406A1000045478346 -:0406A20086B24711C4 -:0406A30006F769638A -:0406A400078A675DFD -:0406A5008787071329 -:0406A600439C97BA20 -:0406A700451C8782E5 -:0406A800C7034645F9 -:0406A900491C0007E1 -:0406AA0097BA070AEA -:0406AB006565438CB2 -:0406AC00B9C50513B4 -:0406AD003E10406F4C -:0406AE00491C451886 -:0406AF0000074503F8 -:0406B000491C8782D8 -:0406B10087824508EF -:0406B2008E2367E547 -:0406B3008082B80782 -:0406B400E611C195F5 -:0406B500464565DD74 -:0406B600864585935D -:0406B7005063BFC904 -:0406B800665D020673 -:0406B900061365655A -:0406BA0045C586C6E6 -:0406BB00B9C50513A5 -:0406BC001A00406F71 -:0406BD00DBE9455CD4 -:0406BE00479C43987A -:0406BF008082B7C1BD -:0406C000C78367E5A0 -:0406C100C3E111A7D9 -:0406C200C70367E51E -:0406C3004785AD07B3 -:0406C4000AF71B63B3 -:0406C5001111676543 -:0406C6009607071379 -:0406C700C826CA2255 -:0406C8004401CC0617 -:0406C90047814481A0 -:0406CA006765C23A64 -:0406CB0011B7470319 -:0406CC00070E46923D -:0406CD004310973609 -:0406CE0000064703D8 -:0406CF0000E7CD6310 -:0406D000000247B726 -:0406D1003C97A2238D -:0406D2003C87A4239A -:0406D300445240E26B -:0406D400017144C2AA -:0406D5004751808287 -:0406D60002E787337D -:0406D7009693425064 -:0406D800C63E0057C3 -:0406D900000247B71D -:0406DA0000F685336E -:0406DB009732C0365C -:0406DC004641430C44 -:0406DD0040EFC43AEC -:0406DE00472231F08E -:0406DF00458547B254 -:0406E0000047460386 -:0406E10000F592B3DB -:0406E2001675C616AD -:0406E3000FF6761385 -:0406E4000054E4B327 -:0406E50002C5F763F0 -:0406E6004601853A0A -:0406E700C43E458147 -:0406E80047B735D506 -:0406E9008513000273 -:0406EA00478201073B -:0406EB00464165E53A -:0406EC008593953E1F -:0406ED0040EFB9C55C -:0406EE0042B22DF0F7 -:0406EF00643347A287 -:0406F0000785005426 -:0406F1008082B79DAF -:0406F200FD81011372 -:0406F300D222676543 -:0406F4001407540390 -:0406F50047036765EB -:0406F600D02613A750 -:0406F700C03A62E5BE -:0406F80057036765D8 -:0406F90064E513871A -:0406FA00C23A666535 -:0406FB0057036765D5 -:0406FC00D683148706 -:0406FD00530313C4CC -:0406FE00C43A1426C0 -:0406FF0047036765E1 -:0407000085AA14674B -:0407010013C4849306 -:040702006765C63A27 -:04070300144757033D -:0407040013E2D50324 -:04070500142606139D -:040706006765C83A21 -:04070700B18707139C -:0407080000B7438370 -:0407090013E28293E2 -:04070A00CC1667E5BD -:04070B0062E5CA26B3 -:04070C00C783CE329F -:04070D00829314B708 -:04070E008163C742FA -:04070F0006030803D2 -:040710001D6300A7BE -:04071100477106F630 -:0407120002E60733C1 -:04071300538397165F -:04071400916300E706 -:04071500238306D361 -:0407160074930107D0 -:04071700F3930FF554 -:04071800996301F3ED -:04071900438304937F -:04071A00956301479B -:04071B00438304838D -:04071C0044820127EB -:04071D00049390634E -:04071E0000872383AA -:04071F00D39344929A -:040720009963015385 -:040721004383029379 -:0407220044A2015795 -:040723000293946346 -:0407240001374383D3 -:040725009F6344B2D8 -:0407260057030093E2 -:0407270044C200C701 -:0407280000971A63B9 -:0407290006334771DB -:04072A00961602E637 -:04072B000166470319 -:04072C0000670663F9 -:04072D0046056765B1 -:04072E0014C70523C4 -:04072F0087B34771D4 -:04073000771302E752 -:0407310092BE01F57E -:040732000102D78366 -:0407330000D2972336 -:0407340000828A2392 -:04073500E5339B818C -:04073600982300E71D -:04073700478200A253 -:0407380000628B23AD -:040739008923656546 -:04073A00479200F2F0 -:04073B0000579613BA -:04073C0000A2D783BD -:04073D008FD18BFDD0 -:04073E0000F295230D -:04073F008AA347A2A0 -:0407400047B200F2CA -:0407410000F289A396 -:04074200962347C2F1 -:0407430047F200F287 -:0407440002F59663C1 -:040745004650069381 -:0407460002D30333A4 -:0407470006400693CF -:040748000613665DD1 -:0407490046B388C665 -:04074A00541202D370 -:04074B0045C55482CA -:04074C00B9C5051313 -:04074D000281011311 -:04074E007590306F03 -:04074F00966347D294 -:04075000665D00F5ED -:040751008586061380 -:0407520047E2B7CDF6 -:0407530000F59963B1 -:04075400665D469503 -:0407550002D706B30E -:0407560089460613B7 -:04075700D683B7F995 -:04075800B7C500051C -:04075900879367E536 -:04075A00439812C7E7 -:04075B00671DEF190E -:04075C009787071361 -:04075D0002E5053379 -:04075E00C40611516B -:04075F00E0EFC3887C -:0407600040A2BA4FAA -:04076100013145011C -:040762004505808247 -:04076300115180822E -:04076400E0EFC406F8 -:0407650067E5BB4F3A -:0407660012C7A7838C -:04076700353340A244 -:04076800013100F566 -:04076900473D808206 -:04076A0024A76363FA -:04076B00445257B7E6 -:04076C00EDC10113C7 -:04076D0035578793E2 -:04076E0057B7CC3E6F -:04076F00879300412B -:04077000CE3E44171E -:0407710000F53793C5 -:0407720010812E23A1 -:04077300121120231C -:0407740010912C2391 -:0407750002F1012369 -:040776001F63842A4F -:0407770067950CE591 -:04077800500787930C -:04077900102365E5FF -:04077A00462902F119 -:04077B0004A007933C -:04077C00B7C58593E5 -:04077D00025105130D -:04077E0002F101A3E0 -:04077F00020102234E -:04078000FC6FE0EF3B -:04078100C78367E5DE -:0407820065E51347CF -:0407830003600613F6 -:0407840002F1082353 -:04078500C78367E5DA -:04078600859311073F -:040787000513BB0596 -:0407880008A303912E -:0407890067E502F12D -:04078A001217C783F8 -:04078B0004930820AB -:04078C0007A306F1C8 -:04078D0067E502F129 -:04078E001187C78385 -:04078F0002F1092347 -:04079000C78367E5CF -:0407910009A3107731 -:0407920067E502F124 -:040793001057C783B1 -:0407940002F10A2341 -:04079500C78367E5CA -:040796000AA3AC07FF -:0407970067E502F11F -:040798001067C7839C -:0407990002F10B233B -:04079A00C78367E5C5 -:04079B000BA3AD07F8 -:04079C0067E502F11A -:04079D00AD17C7834A -:04079E0002F10C2335 -:04079F00F4AFE0EFE4 -:0407A0000004450309 -:0407A100E0EF04057C -:0407A2000FA3C31FBF -:0407A30019E3FEA4B4 -:0407A40067E5FE9473 -:0407A5001147A50350 -:0407A6000570069341 -:0407A70005B708305A -:0407A800E0EF001F5F -:0407A9002083C48F56 -:0407AA002403120111 -:0407AB00248311C1D1 -:0407AC0001131181A3 -:0407AD0080821241F3 -:0407AE00879367992D -:0407AF00102380078C -:0407B00007B702F194 -:0407B1008793047CAA -:0407B20064E504678F -:0407B300C783D83EE2 -:0407B400EB89C1C448 -:0407B5000613665D64 -:0407B60045B589C6F6 -:0407B700C1C4851321 -:0407B8005B1030EFB3 -:0407B900C1C485939F -:0407BA0005134635A8 -:0407BB0040EF0231D8 -:0407BC0065E57A6015 -:0407BD0004600613BB -:0407BE00C2C5859398 -:0407BF00E0EF184807 -:0407C00064E5EC8F71 -:0407C10009E0061332 -:0407C200C744859310 -:0407C30007A1051372 -:0407C400EB6FE0EF08 -:0407C500C503083C24 -:0407C600C03E00072A -:0407C700B9BFE0EFE7 -:0407C8000A38478222 -:0407C90000A78023E2 -:0407CA0096E3078526 -:0407CB000441FEE700 -:0407CC000FF474139F -:0407CD000104179379 -:0407CE0067E5C23EDB -:0407CF001147A50326 -:0407D000100006937C -:0407D1001593083044 -:0407D200E0EF01044F -:0407D30067E5BA0F0D -:0407D40011478793AF -:0407D500F921C63E02 -:0407D600C7448793FA -:0407D70007130442BE -:0407D80084931004F2 -:0407D900879309E712 -:0407DA00C03A49E7F1 -:0407DB000413C43E01 -:0407DC0006933DE063 -:0407DD00872210005F -:0407DE000086F4633A -:0407DF0010000713EC -:0407E0008341074208 -:0407E10085A6863A29 -:0407E200C83A0828E1 -:0407E300E3AFE0EFB1 -:0407E400083447424C -:0407E5000006C50342 -:0407E600C836CA3A0D -:0407E700B1BFE0EFCF -:0407E8000A3C46C2BF -:0407E90080234752D0 -:0407EA00068500A6DA -:0407EB00FEF694E39F -:0407EC00460247B2C8 -:0407ED004388459266 -:0407EE00E0EF0834FC -:0407EF0014E3A66FFA -:0407F0000693EE0579 -:0407F100872210004B -:0407F20000D4746358 -:0407F30010000713D8 -:0407F400F007079370 -:0407F5000107941351 -:0407F600849347821F -:0407F7008041100429 -:0407F80010078793CC -:0407F90047A2C03E15 -:0407FA00F8F495E397 -:0407FB00557DBD6DFE -:0407FC001151808295 -:0407FD0064E5C026C9 -:0407FE00123484939A -:0407FF000004C5032A -:04080000C406C22246 -:04080100842A334DC5 -:04080200C703E5152E -:0408030067E50004A1 -:040804008123468185 -:0408050067E512E7AA -:040806001217C7837B -:0408070067E5C78159 -:04080800B347C683A9 -:04080900879367E585 -:04080A0097B6B7C71F -:04080B0000E780235F -:04080C003B95453D96 -:04080D00852240A25E -:04080E0044824412CA -:04080F0080820131B1 -:04081000EDC1011322 -:040811008E2367E5E6 -:04081200C43EC00719 -:04081300121120237B -:0408140010812E23FE -:0408150010912C23EF -:04081600473DC22E6A -:04081700636357FDC3 -:04081800676506A763 -:04081900779305418B -:04081A0025030FF5AE -:04081B009593114759 -:04081C000693010737 -:04081D00083010008F -:04081E00E0EFC03E09 -:04081F006765B28FC8 -:04082000071308248E -:0408210087AA11474A -:04082200C63A842628 -:040823000004450385 -:040824000405C83EC1 -:04082500A23FE0EF1F -:04082600FEA40FA37A -:0408270047C20A3882 -:04082800FE8716E34E -:0408290065DDE38521 -:04082A008A458593E3 -:04082B00E0EF0828CA -:04082C0087AAD64F72 -:04082D001E051F6322 -:04082E000221470359 -:04082F004685CF111A -:040830000ED70D636F -:04083100120120830D -:0408320011C12403C9 -:040833001181248388 -:040834000113853EE9 -:04083500808212416A -:040836000201470371 -:040837001C071D631A -:0408380002114683E0 -:04083900055007134C -:04083A001CE69763BE -:04083B00024147032C -:04083C0002314683BC -:04083D008F550722AA -:04083E0004A0069379 -:04083F00FCD714E3EB -:04084000F3694712FF -:04084100478167651F -:04084200071345B99A -:040843004629B7C7C4 -:0408440000D4C68393 -:0408450000D5E66391 -:0408460000F705337F -:0408470000D50023B5 -:040848000485078597 -:04084900FEC796E36D -:04084A0003114783CC -:04084B0008236765B2 -:04084C00472510F735 -:04084D0000F76663E7 -:04084E000A236765AD -:04084F00A02912F7D3 -:0408500003014783D6 -:04085100FEF77AE351 -:040852000331470324 -:04085300656567E58B -:0408540010E783A383 -:040855000341470311 -:04085600061367E539 -:0408570082A3036015 -:04085800470310E75B -:0408590067E50351FB -:04085A00039105936E -:04085B00ACE7802363 -:04085C0003614703EA -:04085D00051367E533 -:04085E008323BB0530 -:04085F00470310E754 -:0408600067E50371D4 -:04086100ACE7882355 -:0408620003814703C4 -:0408630088A367E51A -:040864004703ACE7B3 -:0408650067E502F150 -:0408660012E780A372 -:04086700C70367E577 -:0408680067E5B7C7C2 -:0408690012E78123EE -:04086A00032147031C -:04086B008C2367E58E -:04086C00E0EF10E7C2 -:04086D004781C14FAF -:04086E004483B731D7 -:04086F009C63020183 -:0408700046830E04A9 -:040871000713021156 -:040872009663058004 -:0408730056830EE6B4 -:040874000713030162 -:0408750097E30460A1 -:040876005403EEE653 -:04087700071303213F -:0408780011E347C081 -:0408790047A2EEE4C0 -:04087A004635C82A0D -:04087B00C1C7851359 -:04087C0002310593AD -:04087D004A0040EFFE -:04087E0047C2471214 -:04087F00EC0714E38B -:040880000613656591 -:04088100184C0460AB -:04088200C2C50513D3 -:04088300BBAFE0EF38 -:0408840067654782DB -:04088500C747071347 -:04088600C23E07A2C5 -:040887000793C00211 -:04088800C43A062048 -:04088900468247223A -:04088A001000061341 -:04088B0005338E1D86 -:04088C00083800D751 -:04088D0000F705B3B8 -:04088E0006C44563F4 -:04088F00E0EFC83E90 -:0408900047C2B88F14 -:040891000485470291 -:040892008F1D943EE4 -:04089300F493479201 -:0408940007130FF443 -:0408950085B3100710 -:0408960047B200F471 -:040897008341074250 -:04089800041343887A -:040899000693F004CE -:04089A000830100012 -:04089B00C03A05A2B8 -:04089C00E0EF044243 -:04089D008041930FF4 -:04089E00083887AAE5 -:04089F000007450306 -:0408A000C83ACA3E4A -:0408A100833FE0EFC2 -:0408A2000A3447428B -:0408A300002347D215 -:0408A400070500A79D -:0408A500FEE694E3F4 -:0408A600E20796E3EC -:0408A700F05947813C -:0408A8008622A021E3 -:0408A900B22FE0EF9B -:0408AA00470567E5B2 -:0408AB0014E78523A6 -:0408AC004785B719AC -:0408AD004789BD01B9 -:0408AE001151B531FE -:0408AF0064E5C02616 -:0408B00012348493E7 -:0408B1000004C50377 -:0408B200C222458198 -:0408B300F0EFC40698 -:0408B400842AD73F7C -:0408B500C703E12173 -:0408B60067E50004EE -:0408B700812346A9AA -:0408B80067E512E7F7 -:0408B900C717C78313 -:0408BA0000D785637B -:0408BB008A2366E541 -:0408BC0067E512F6E4 -:0408BD001217C783C4 -:0408BE00C781468127 -:0408BF00C68367E5A0 -:0408C00067E513478E -:0408C100B7C787939B -:0408C200802397B642 -:0408C300453D00E7C8 -:0408C400A97FF0EF29 -:0408C500852240A2A6 -:0408C6004482441212 -:0408C70080820131F9 -:0408C8004585114110 -:0408C900C02AC60675 -:0408CA00D19FF0EFDB -:0408CB004783676593 -:0408CC004682C1C7D8 -:0408CD00C1C7071385 -:0408CE00675DE781FA -:0408CF008AC70713BA -:0408D000665D40B26F -:0408D1000613656540 -:0408D20045C58B4647 -:0408D300B9C505138B -:0408D400306F01413F -:0408D500112113F0EA -:0408D6006465C8226B -:0408D70013240713CC -:0408D800000757833B -:0408D900C626CA065F -:0408DA00F9F7F793A0 -:0408DB0083C107C20C -:0408DC0000F71023EE -:0408DD00000216B748 -:0408DE00A023C02E65 -:0408DF0005930EF679 -:0408E00084AA3200B4 -:0408E100F0EF4505EA -:0408E2000513C08FAB -:0408E300D0EF190039 -:0408E4000713D71F00 -:0408E50057831324FE -:0408E60016B700073A -:0408E70045C1000205 -:0408E8000207E79389 -:0408E90000F71023E1 -:0408EA000EF6A02343 -:0408EB0040EF85262F -:0408EC00779330A02E -:0408ED0004130FF5EC -:0408EE00EB8913245B -:0408EF00464565E134 -:0408F000E9C585933E -:0408F10040EF852629 -:0408F20047852CE02A -:0408F30086B3470180 -:0408F400C50300E454 -:0408F50045D10006E3 -:0408F600C23AC43E00 -:0408F700BB2FF0EF34 -:0408F80047A24712BA -:0408F90076930705E6 -:0408FA00E2E30FF72F -:0408FB005783FEF62B -:0408FC0014B7000429 -:0408FD0045D10002DF -:0408FE00FDF7F79378 -:0408FF0083C107C2E8 -:0409000000F41023CC -:040901000EF4A0232D -:040902000C000513CD -:04090300B82FF0EF2A -:040904000004578311 -:0409050045C14502A1 -:040906000207E7936A -:0409070000F41023C5 -:040908000EF4A02326 -:04090900294040EF52 -:04090A000FF57493DE -:04090B004502E88930 -:04090C00464565E116 -:04090D00E9C5859320 -:04090E0025C040EFD1 -:04090F004781448553 -:0409100045D1470284 -:04091100973EC23E0D -:040912000007450392 -:04091300B42FF0EF1E -:04091400078547927A -:040915000FF7F713CE -:04091600FE9764E301 -:0409170000045783FE -:04091800173740D27B -:04091900E79300025E -:04091A00102304079B -:04091B00444200F45E -:04091C000EF720238F -:04091D00016144B27E -:04091E001141808281 -:04091F00C226C42206 -:040920004785C6063B -:04092100646564E5C0 -:0409220000F5086371 -:04092300468367653B -:040924004709AD07CB -:0409250004E69463ED -:04092600859346412E -:040927004537B88414 -:0409280040EF00029A -:0409290047B71F208D -:04092A00851300022F -:04092B004641020738 -:04092C00B9C40593B2 -:04092D001E0040EF79 -:04092E00000247B7C5 -:04092F003C07A623B8 -:04093000A223470DAA -:04093100A4233CE7D8 -:0409320005933C07E6 -:040933004422B9C4DD -:04093400851340B235 -:040935004492B884AC -:04093600BDB5014109 -:04093700FEE517E3DF -:0409380047036765A5 -:04093900464111B76B -:04093A00B9C40593A4 -:04093B0000371693D8 -:04093C0007136765D1 -:04093D00973696074C -:04093E0000474683A5 -:04093F000002473734 -:0409400000569513B5 -:04094100953A05419D -:0409420040EFC0368C -:04094300468218A030 -:04094400000247372F -:040945003C872603C2 -:0409460097B3478597 -:040947008FD100D775 -:040948003CF7242331 -:0409490067E5B75D4A -:04094A001197C783B7 -:04094B00FD81011316 -:04094C000785D02229 -:04094D000FF7F79316 -:04094E0007C207B223 -:04094F00646583C197 -:040950000713C83E83 -:040951005783132491 -:0409520046C2000792 -:04095300CE26D206D4 -:0409540076E18FD5E4 -:0409550007C28FD571 -:04095600102383C126 -:04095700C20200F7E1 -:04095800000217374B -:0409590064E5C4028B -:04095A002023665D93 -:04095B0006130EF77A -:04095C0045C58BC63C -:04095D00B9C4851381 -:04095E00718030EF85 -:04095F003DF545011C -:04096000177D674157 -:0409610004134785AF -:04096200CA3A132456 -:040963000002173740 -:040964000D072683D2 -:040965008EF1465277 -:04096600EFC5C636DD -:0409670066E5CADD9A -:04096800BB068693B1 -:040969000166D6034A -:04096A00578345B2B8 -:04096B00166300040B -:04096C0076F510B656 -:04096D008FF516FDEF -:04096E0000F410235E -:04096F000EF720233C -:040970008E2345018C -:040971003D55B80434 -:04097200000625371F -:04097300A8050513BB -:04097400B2FFD0EF0F -:0409750000045783A0 -:0409760066914742FD -:040977003E800513A6 -:040978008FD58FD9AF -:0409790083C107C26D -:04097A0000F4102352 -:04097B000002173728 -:04097C000EF720232F -:04097D00F70FF0EF91 -:04097E00F96FF0EF2E -:04097F001063478535 -:0409800027B702F59E -:04098100479C00028D -:0409820001079713BF -:04098300C23A8341B0 -:04098400004797137E -:04098500C43A83519C -:04098600DFE3CC3EA1 -:0409870067E5FC071D -:040988001207A62389 -:04098900EF8D479215 -:04098A000613665D8D -:04098B0045C58C864C -:04098C00B9C4851352 -:04098D0065C030EF22 -:04098E003581450169 -:04098F000004570306 -:0409900017FD77F1E7 -:0409910010238FF9A7 -:04099200173700F41F -:04099300202300021B -:0409940065090EF7EC -:0409950071050513D0 -:04099600AA7FD0EF75 -:04099700B73D47B26F -:0409980047524792E9 -:0409990000E796637A -:04099A000613665D7D -:04099B00B7C18D86CD -:04099C0045A2471217 -:04099D000793660551 -:04099E00167D06407C -:04099F0002F756B352 -:0409A00002F77733B0 -:0409A10000C59B638F -:0409A2000613665D75 -:0409A30045C58E06B2 -:0409A400B9C485133A -:0409A5005FC030EF10 -:0409A6004622B745E9 -:0409A700851345C5AA -:0409A8007633B9C425 -:0409A900C03202F660 -:0409AA0057B34622D7 -:0409AB00665D02F68D -:0409AC008EC60613DA -:0409AD005DC030EF0A -:0409AE00D683B741F4 -:0409AF004632018645 -:0409B000F6C69EE306 -:0409B10083D107D215 -:0409B20000F410231A -:0409B3005402509208 -:0409B4000EF72023F7 -:0409B500450144F2C2 -:0409B60002810113A6 -:0409B70067E58082EE -:0409B80011A7C78339 -:0409B9001151E3B540 -:0409BA00C222C4068B -:0409BB00C909C02680 -:0409BC0000024737B7 -:0409BD003C07278349 -:0409BE000027E79394 -:0409BF003CF72023BE -:0409C000859364E5D2 -:0409C1004641BE8469 -:0409C20000024537B3 -:0409C300789030EF09 -:0409C40000024437B2 -:0409C500859367E5CA -:0409C6000513BFC78F -:0409C700464102049F -:0409C800775030EF45 -:0409C9002623470D8D -:0409CA0022233C04A4 -:0409CB0024233CE4C1 -:0409CC0044123C0491 -:0409CD00851340A2AC -:0409CE004482BE841D -:0409CF00859367E5C0 -:0409D0000131BFC76B -:0409D100C13FF06FC3 -:0409D20067E58082D3 -:0409D300470563650C -:0409D40088237159AA -:0409D500079312E78B -:0409D600D4A2B18373 -:0409D700D2A643C0A1 -:0409D8000493D68628 -:0409D9000063B18383 -:0409DA004098160427 -:0409DB0014070D638D -:0409DC00019C07B7BC -:0409DD00CC07879329 -:0409DE000287D33386 -:0409DF00A0EEC7B708 -:0409E000B007879342 -:0409E10002E7D7B39F -:0409E2000084C703C3 -:0409E30012070B6389 -:0409E4000287D4337F -:0409E50003B0051343 -:0409E600E0EFC21A62 -:0409E700C62AD4CF79 -:0409E80000C1478380 -:0409E90003C005132F -:0409EA00E0EFCC3E30 -:0409EB00C783D3CF1C -:0409EC00C60301C479 -:0409ED00431200842D -:0409EE000027971334 -:0409EF00879367E1A2 -:0409F00097BA8487A7 -:0409F1004098439453 -:0409F2000700079360 -:0409F3000793E2196B -:0409F400665D0690A6 -:0409F500061365651B -:0409F60045C590065D -:0409F700BE850513A1 -:0409F80030EFC21A00 -:0409F90043124AE07B -:0409FA000640079319 -:0409FB003E800693A1 -:0409FC0045C5656523 -:0409FD0002F4773356 -:0409FE00BFC5051359 -:0409FF0002D3763376 -:040A00004729C03A88 -:040A010002E657337F -:040A02000613665D14 -:040A030057B390C68F -:040A040056B302F4EF -:040A050030EF02D3F9 -:040A0600450547A0BB -:040A0700409C35C911 -:040A08000324C703F9 -:040A09000434C2836C -:040A0A00C783C83E98 -:040A0B000BA30084B5 -:040A0C0063850201FB -:040A0D004791CA3E05 -:040A0E0047A1DC3EE2 -:040A0F0000E797B3B2 -:040A10000334C703E1 -:040A11000087E793E0 -:040A12000793DE3E2A -:040A130097B3400055 -:040A1400C70300E72D -:040A1500C0BE034418 -:040A1600000207B71C -:040A170000E797B3AA -:040A18000354C703B9 -:040A190007B7C2BE9B -:040A1A0097B300404E -:040A1B00C4BE00E76E -:040A1C0003710793C8 -:040A1D008793C6BE37 -:040A1E00C8BE0374D7 -:040A1F000384879332 -:040A20008793CABE30 -:040A2100CCBE0394B0 -:040A220003A487930F -:040A23008793CEBE29 -:040A2400D0BE03B489 -:040A25000444C7833B -:040A2600C43E470182 -:040A2700869367E566 -:040A28008793C747A2 -:040A2900C23EC747BB -:040A2A000FD2F7935D -:040A2B001793D03E0F -:040A2C0087E10187D6 -:040A2D000793CE3E1F -:040A2E001D630290B2 -:040A2F00479100F7F4 -:040A30000786AEA9DE -:040A31006405B5F1B2 -:040A320004136791B1 -:040A330083137704AE -:040A3400B5C9D54724 -:040A350006334671CD -:040A3600479202C71A -:040A3700963E458D15 -:040A380083F54A5C9C -:040A39001AB78963FC -:040A3A008D63451172 -:040A3B0045891AA728 -:040A3C0006B79D63F9 -:040A3D00100005930D -:040A3E0065C1C0AE20 -:040A3F000593C2AEAB -:040A4000C4AE400000 -:040A410005934A10BF -:040A420082151C10ED -:040A43007FF67613B1 -:040A440004B61D6374 -:040A450067DDD43E57 -:040A460092478593BB -:040A4700461D85368D -:040A4800D616D83AAC -:040A490030EFD23682 -:040A4A00569254105C -:040A4B0052B257A2AA -:040A4C006385574225 -:040A4D004622E51147 -:040A4E000705EA0DA1 -:040A4F00BF8506F168 -:040A500067DDD43E4C -:040A510092C7859330 -:040A5200461D853682 -:040A5300D616D83AA1 -:040A540030EFD23677 -:040A55005692515014 -:040A560052B257A29F -:040A5700638557421A -:040A580045A2E509C5 -:040A59008AE34605E1 -:040A5A004671FCC520 -:040A5B0002C7063395 -:040A5C00962E4592FB -:040A5D0000A6558317 -:040A5E0089FD464583 -:040A5F0000C58B63E0 -:040A600000D5861324 -:040A610045058A7D40 -:040A620000C57563F3 -:040A63009763467DD2 -:040A6400660500C55E -:040A650057B6061367 -:040A6600FA8661E3C8 -:040A6700961310C80A -:040A6800962A0027A3 -:040A6900FE862603DC -:040A6A000186A083DE -:040A6B000006460338 -:040A6C00962A060AB6 -:040A6D00FD46260319 -:040A6E0000C0F6339B -:040A6F004352DE3DD3 -:040A7000FFF0C513BB -:040A71001AE38905F6 -:040A72004571F6A331 -:040A730002A705339E -:040A7400951A43127A -:040A750043424908A7 -:040A7600751381155E -:040A770005797FF589 -:040A7800F4656DE3D1 -:040A79008923450583 -:040A7A00972300A41A -:040A7B0088A3000448 -:040A7C008A230004C5 -:040A7D00CC90000415 -:040A7E000E6365217D -:040A7F00666336A6CE -:040A8000051314C581 -:040A810002631000FC -:040A8200626332A6D3 -:040A8300454110C514 -:040A840010A60F6346 -:040A85000CC56B63CE -:040A860003634511B0 -:040A870045A11CA6C3 -:040A8800F0B61DE3C4 -:040A890086234685F5 -:040A8A00C68300D44B -:040A8B009563051456 -:040A8C0046F12E06FB -:040A8D0002D706B3D3 -:040A8E0096B24612C4 -:040A8F0000E6D603A4 -:040A90005770069302 -:040A91002CC6EA6322 -:040A920001D796935F -:040A93002CD05663AA -:040A940086A3468D62 -:040A9500468900D4BA -:040A960000D48923DC -:040A9700FFE786935C -:040A98000FD6F693EC -:040A99004695CE9917 -:040A9A002ED794635C -:040A9B00073347F1E5 -:040A9C00479202F784 -:040A9D005703973E26 -:040A9E00079300E7D3 -:040A9F00E9634AF0CD -:040AA000C7832CE7F5 -:040AA1008263046404 -:040AA20086A32C07F4 -:040AA300478900047B -:040AA40000F48923AE -:040AA5000613AC7513 -:040AA600C0B240009A -:040AA700000206370C -:040AA800B5E1C2B240 -:040AA90000A655034B -:040AAA00897D4609F3 -:040AAB0000C51E6301 -:040AAC00000286635B -:040AAD008AE346058D -:040AAE00B541EAC2A2 -:040AAF00061345E203 -:040AB00074E30520C6 -:040AB100BD95EAB64F -:040AB20076134E90D9 -:040AB300C61920063A -:040AB400E6B295E32E -:040AB5002000061304 -:040AB600BD41DE322E -:040AB70016E34605F7 -:040AB8005602E8C535 -:040AB900E4061BE351 -:040ABA000793B549A0 -:040ABB000A630400C6 -:040ABC00079300F6A6 -:040ABD0004630800C6 -:040ABE00079322F682 -:040ABF001EE3020030 -:040AC0000793E2F6C0 -:040AC1009623301038 -:040AC200479100F464 -:040AC3000B63B751B9 -:040AC400E36324764E -:040AC500059302C3D0 -:040AC6000E6340007B -:040AC700079320B6BB -:040AC8008C638006B5 -:040AC9000793220766 -:040ACA0018E320000D -:040ACB000793E0F6B7 -:040ACC00962320103D -:040ACD00BFA100F4D1 -:040ACE0008636789C9 -:040ACF00679122F613 -:040AD000DEF61DE34E -:040AD1002020079347 -:040AD20005B7BF7D28 -:040AD3000863002094 -:040AD400EE6324B6F3 -:040AD50005B702C59A -:040AD6000C630004A9 -:040AD700ED6322B6F3 -:040AD80065C100C52F -:040AD90020B60C63D4 -:040ADA00000205B75A -:040ADB00DCB617E38B -:040ADC009623470D09 -:040ADD00A2E100E4AE -:040ADE00000807B74E -:040ADF0020F60E638C -:040AE000001007B744 -:040AE100DAF61BE343 -:040AE2002030079326 -:040AE30007B7BFADE5 -:040AE4000F6301009B -:040AE500E46320F6B0 -:040AE60007B702C785 -:040AE7000363004065 -:040AE80007B720F636 -:040AE9001AE300808C -:040AEA000793D8F6A0 -:040AEB0096232040EE -:040AEC00478D00F43E -:040AED0000F4892365 -:040AEE0002800793E8 -:040AEF0007B7AAC1DA -:040AF000006302009D -:040AF10007B720F62D -:040AF20018E3040001 -:040AF3000793D6F699 -:040AF40096232040E5 -:040AF500479900F429 -:040AF60000F489235C -:040AF700A27D47D1C4 -:040AF800000496233D -:040AF90000B488A31A -:040AFA000057F71397 -:040AFB0009634685C0 -:040AFC00470900D7CF -:040AFD0002E7906319 -:040AFE000020F09351 -:040AFF0000008C6304 -:040B00000464C7833F -:040B010010078663F0 -:040B02008923478973 -:040B0300478500F42E -:040B040000F487A3CF -:040B050012058E63E4 -:040B0600852347F20A -:040B0700878300F4EC -:040B0800676500A479 -:040B09000623461267 -:040B0A00477114F724 -:040B0B0002E7873343 -:040B0C000124C68377 -:040B0D004B009732D0 -:040B0E00887D461583 -:040B0F0002D40433D5 -:040B100002C40433E4 -:040B11000640061381 -:040B120003240413A1 -:040B130002C44433A1 -:040B140000E756039D -:040B150002C686B3DB -:040B160006136665F7 -:040B17009436137687 -:040B180080410442D2 -:040B190000849B2396 -:040B1A000177470315 -:040B1B007693C432D7 -:040B1C008B2101F731 -:040B1D001607006354 -:040B1E0046036765BE -:040B1F004689136789 -:040B2000F363472113 -:040B2100474100C682 -:040B2200802346A244 -:040B2300473200E66F -:040B2400759340D0B5 -:040B250085B30FF78E -:040B260047220285DB -:040B2700010616931A -:040B2800C63682C18A -:040B29000007470377 -:040B2A00D5334681F8 -:040B2B00C58302C5B7 -:040B2C00C98904541B -:040B2D0087B346F153 -:040B2E00469202D712 -:040B2F004F9497B692 -:040B30008A858285AB -:040B310005B345F9CA -:040B3200064202B4C1 -:040B3300F793824171 -:040B3400C83E0FF6B2 -:040B3500C5B357D914 -:040B3600059502C55A -:040B370002F5C5B34B -:040B38000594C783D6 -:040B3900F80787939F -:040B3A0087E107E266 -:040B3B00F59395AAEF -:040B3C0095BE0FF55E -:040B3D00478505C221 -:040B3E00016381C10D -:040B3F0047A10EF7C5 -:040B400030F7056322 -:040B410005C205994B -:040B4200478985C199 -:040B4300A8E146C11E -:040B44008723478537 -:040B4500BDE500F416 -:040B4600000486A37E -:040B47000793B381DC -:040B480096233010B0 -:040B4900479900F4D4 -:040B4A000793B3A5B5 -:040B4B0096232010BD -:040B4C00479500F4D5 -:040B4D004709BBB1E8 -:040B4E0000E4962306 -:040B4F009963470D52 -:040B5000C78300E770 -:040B510094E30464C1 -:040B52004785D407F8 -:040B530000F4872300 -:040B54000584C783CA -:040B550000F488A37D -:040B56000793B5C18B -:040B570096231020B1 -:040B5800B7FD00F4F1 -:040B590020200793BE -:040B5A000793B3E961 -:040B5B00962320209D -:040B5C00478D00F4CD -:040B5D000793BB310E -:040B5E00BF5520203F -:040B5F0020200793B8 -:040B600000F49623E4 -:040B61008923479D00 -:040B620047B500F49F -:040B630000F48A23ED -:040B64000793B7C17B -:040B6500BB71203010 -:040B660020300793A1 -:040B67000793BFC968 -:040B6800B771203011 -:040B690096234791F7 -:040B6A00079300F4F9 -:040B6B00BFF9078047 -:040B6C00204007938B -:040B6D0000F49623D7 -:040B6E0089234791FF -:040B6F0047F900F44E -:040B70000793B7F13F -:040B71009623204067 -:040B7200479500F4AF -:040B730000F48923DE -:040B7400BF6D47E129 -:040B750000234722F0 -:040B7600BD5500D792 -:040B770005C2058925 -:040B7800478585C167 -:040B790086334699E0 -:040B7A00C86300D775 -:040B7B008533220597 -:040B7C0097AA00D55F -:040B7D000FF005135D -:040B7E0000F55563C6 -:040B7F000FF00593DB -:040B8000F7938D91C9 -:040B810085BE0FF529 -:040B8200CC3A45150F -:040B8300CA3ED03264 -:040B8400D0EFCE36AA -:040B850046F2F1FF44 -:040B860085B64519D2 -:040B8700F15FD0EF5B -:040B880008000593C9 -:040B890002600513EE -:040B8A00F09FD0EF19 -:040B8B00560247D2F5 -:040B8C00031005133A -:040B8D0000C785B365 -:040B8E000FF5F593D7 -:040B8F00EF5FD0EF55 -:040B900047C14762B0 -:040B9100036345B104 -:040B920045A100F782 -:040B93000220051324 -:040B9400EE1FD0EF91 -:040B950045B24642DD -:040B9600D0EF8522F5 -:040B9700C503F71F7C -:040B9800E0EF04D4B2 -:040B9900C5039EEF03 -:040B9A004785047413 -:040B9B0000A7F86354 -:040B9C00C50347A2A4 -:040B9D0015610007D7 -:040B9E0000153513F6 -:040B9F00053347E1F2 -:040BA00067E102F512 -:040BA1008747879368 -:040BA200E0EF953EAD -:040BA300878380CFF5 -:040BA400477100A4F1 -:040BA5000124C583DF -:040BA60002E787B328 -:040BA70097BA4712A0 -:040BA8000167C50319 -:040BA900908FE0EF5A -:040BAA0000C4C78339 -:040BAB0000A489A376 -:040BAC00000286B706 -:040BAD000017B71363 -:040BAE009BF9429CD1 -:040BAF00C29C8FD97C -:040BB00000C4C78333 -:040BB1006C63470D1D -:040BB200470514F7E8 -:040BB30014F76B6365 -:040BB40000E795635E -:040BB5008EA347853F -:040BB600C50300F47F -:040BB700E0EF01D496 -:040BB800C70393BF1D -:040BB900106300C401 -:040BBA008783140712 -:040BBB00477100A4DA -:040BBC0002E787B312 -:040BBD0097BA47128A -:040BBE0000C7D70392 -:040BBF0037134F9CFD -:040BC00047132BD7D5 -:040BC1008B85001709 -:040BC200463797BA61 -:040BC3000613000213 -:040BC4004234380679 -:040BC500859375F9A6 -:040BC6008B8D7FF59F -:040BC70007AE8EEDFA -:040BC800C23C8FD5C7 -:040BC9001693423C01 -:040BCA00776900D770 -:040BCB008F7D177D86 -:040BCC00C2388F5547 -:040BCD00EF6FD0EF07 -:040BCE000414C70341 -:040BCF00C683478909 -:040BD000440100E4F8 -:040BD10000F70763BF -:040BD20000F4C40364 -:040BD30034138C1536 -:040BD4004581001443 -:040BD500C236453DA2 -:040BD600C8DFD0EFB5 -:040BD700059005136D -:040BD800C43FD0EF57 -:040BD90002F5759319 -:040BDA004692E8094E -:040BDB000066979386 -:040BDC00F5938DDD23 -:040BDD00E5930FF598 -:040BDE0005130105F5 -:040BDF00D0EF0590BE -:040BE00040D8C67FB4 -:040BE100019C07B7B5 -:040BE200CC07879322 -:040BE30002E7D7B39B -:040BE4000164D703CE -:040BE50000D4C6036F -:040BE60087B3468DFE -:040BE700C70302E757 -:040BE800070500C439 -:040BE90002E787B3E5 -:040BEA0000E4C70359 -:040BEB0087B30705C0 -:040BEC00676502E750 -:040BED0010F72E23AC -:040BEE0011C7071311 -:040BEF0008D61863A9 -:040BF000C31C83851A -:040BF100073743146B -:040BF20007130511CF -:040BF3004785F40737 -:040BF40000D76B6358 -:040BF50004787737D2 -:040BF6008BF707135F -:040BF700746347815B -:040BF800C78300D7D8 -:040BF900C703010429 -:040BFA00C5830504A6 -:040BFB00E7090414EE -:040BFC000104C70326 -:040BFD0006F7036391 -:040BFE0050B6542673 -:040BFF0000F4882353 -:040C0000852E549653 -:040C0100E06F6165DA -:040C02008593C8EF1F -:040C030005C20325FE -:040C040047A185C1BE -:040C05000200069350 -:040C06004581B3F978 -:040C07004711B3DD01 -:040C08004789BD4516 -:040C0900C783BD4D93 -:040C0A00C68300D4C9 -:040C0B0017F500E4F5 -:040C0C000017B79383 -:040C0D0086938F95A6 -:040C0E003793001701 -:040C0F00C793003750 -:040C100097B600177C -:040C11000FF7F7934F -:040C1200B5C1470120 -:040C13001BE3458515 -:040C1400078AF6B69F -:040C150002D7D7B378 -:040C16004789B7ADA6 -:040C170002F58063FF -:040C18000114C503FB -:040C19004589C191B7 -:040C1A00C683542613 -:040C1B00C60300F418 -:040C1C0050B60424A6 -:040C1D006165549623 -:040C1E00AF2FE06FA5 -:040C1F00542650B651 -:040C20006165549620 -:040C210067E5808281 -:040C22001247A703CB -:040C2300879367E567 -:040C240046ADBB0717 -:040C2500D5834645E8 -:040C26009F63016760 -:040C2700F69304E557 -:040C2800E1190FF6C9 -:040C290028070A632B -:040C2A00C6261121A8 -:040C2B00C50364E5B4 -:040C2C00C82211B415 -:040C2D000793646560 -:040C2E0016139604FF -:040C2F0097B2003543 -:040C3000438CCA0621 -:040C31000047C7832E -:040C3200A303475180 -:040C330087330045BE -:040C3400849302E7BC -:040C3500041311B4DF -:040C3600971A96046F -:040C37006363433977 -:040C3800433102D36F -:040C390004D363631A -:040C3A00806347ADDF -:040C3B0086630CF6CA -:040C3C00478108667E -:040C3D00A0F14701DA -:040C3E000789068597 -:040C3F00F8C69DE373 -:040C4000B7454681ED -:040C4100004747839E -:040C42009B63460D5D -:040C4300475016C739 -:040C4400421845BD50 -:040C450000074783DA -:040C46001EB69C63D7 -:040C470017FDC7814D -:040C48000FF7F79318 -:040C490000F700238D -:040C4A004503B7E9BE -:040C4B004705004712 -:040C4C007513157592 -:040C4D006E630FF5CE -:040C4E00453700A77F -:040C4F002303000279 -:040C500017333C8595 -:040C5100471300F74E -:040C52007733FFF7FE -:040C530024230067EF -:040C540047353CE5FF -:040C550000E69C63B6 -:040C5600C783E399D4 -:040C570017FD000580 -:040C58000FF7F79308 -:040C590002239622BA -:040C5A00B76100F688 -:040C5B000005C703C6 -:040C5C009622078550 -:040C5D0002E7E7B310 -:040C5E00C519B7FD00 -:040C5F008023157D5C -:040C6000E0EF00A41D -:040C6100B7B597FF8D -:040C62008D2367E592 -:040C630047371007F8 -:040C640027830002E0 -:040C650045013C0702 -:040C660020239BEDBF -:040C670044423CF7D0 -:040C680044B240D280 -:040C6900F06F0161C6 -:040C6A004783D38F5A -:040C6B00468D00476B -:040C6C000AD78163BF -:040C6D009EE346912B -:040C6E00471CF2D756 -:040C6F0087AA978237 -:040C7000C6834705EB -:040C710045D1000465 -:040C7200068E656520 -:040C7300429096A273 -:040C74000046C683ED -:040C7500B885051326 -:040C760086B34250AF -:040C7700C43E02B6BF -:040C780096B2C23A34 -:040C79004645428C1E -:040C7A0030EFC03661 -:040C7B0047124AA032 -:040C7C00468247A2C3 -:040C7D00863E85BA70 -:040C7E00E0EF8536E8 -:040C7F00C78388BFE0 -:040C800065E5000422 -:040C8100000244B772 -:040C8200943E078E07 -:040C830000444503E1 -:040C840085934641CD -:040C85000516B9C5D2 -:040C86009526054169 -:040C8700478030EF83 -:040C880000444603DB -:040C890097B3478551 -:040C8A00A62300C7D6 -:040C8B0047123CF4DC -:040C8C004682EB09A8 -:040C8D00C703461142 -:040C8E0015630046A4 -:040C8F0046D80EC76E -:040C90004737C76DAE -:040C910026830002B4 -:040C92008FD53C8737 -:040C93003CF72423E3 -:040C94004B1CA8E964 -:040C9500C03AC78119 -:040C960047029782F8 -:040C97000004C7830B -:040C98000785471471 -:040C99000037971376 -:040C9A0000E4063339 -:040C9B008463420C20 -:040C9C00022300D55A -:040C9D009722000694 -:040C9E008023C314D8 -:040C9F00B71100F495 -:040CA00002F669638C -:040CA1008863460915 -:040CA200470C04C730 -:040CA3004503433D85 -:040CA400460300C73C -:040CA500C78300D72A -:040CA60047030005FB -:040CA700916300E76E -:040CA8007C63026601 -:040CA90017FD00F63D -:040CAA000FF7F71336 -:040CAB0000E58023BD -:040CAC004611B589AF -:040CAD00E4C78DE328 -:040CAE00F96DBD2DF2 -:040CAF00B7FD8732D4 -:040CB00000E7F863FE -:040CB100F6130785AA -:040CB20080230FF795 -:040CB300B51500C5AE -:040CB400863AFD6D12 -:040CB500470CBFDD4C -:040CB6005603453D5F -:040CB700D78300C718 -:040CB80057030005D9 -:040CB9009E6300E74F -:040CBA00776300A6B6 -:040CBB0017FD00F62B -:040CBC000107971382 -:040CBD00A0198341B6 -:040CBE008732C211A6 -:040CBF0000E5902399 -:040CC000F463BBCD51 -:040CC100078500E7BC -:040CC200FA6DB7E52B -:040CC300B7FD470131 -:040CC400004646831D -:040CC50000D7F563FC -:040CC600F693078515 -:040CC70000230FF700 -:040CC800BBC100D7D5 -:040CC9000CE3460DE5 -:040CCA004442F0C7E9 -:040CCB0044B240D21D -:040CCC00016145017C -:040CCD00946FF06FC1 -:040CCE00862E80826C -:040CCF00153785AAA6 -:040CD0000513000206 -:040CD100D06F0205D9 -:040CD20011119D6FF0 -:040CD30001851793ED -:040CD400C826CA2242 -:040CD50087E1CC06E1 -:040CD60084AE842A3A -:040CD7000007DD63D2 -:040CD800051345813A -:040CD90037D5077094 -:040CDA0000A101A3D1 -:040CDB00E663478500 -:040CDC0074130AA7DC -:040CDD00D0EF07F459 -:040CDE004591811F9C -:040CDF003F75450117 -:040CE000071367652A -:040CE10057831327FB -:040CE200F79300077D -:040CE30007C2F7F756 -:040CE400102383C195 -:040CE500173700F7C6 -:040CE60020230002C5 -:040CE700D7930EF79A -:040CE80002A30184DE -:040CE900D79300F1AC -:040CEA0003230104DB -:040CEB00D79300F1AA -:040CEC0003A30084DA -:040CED00022300F1ED -:040CEE00042300815A -:040CEF000713009156 -:040CF0000793040062 -:040CF100096309503A -:040CF200071300E400 -:040CF30047850480AD -:040CF40000E41463A1 -:040CF50008700793E9 -:040CF60000021537AC -:040CF700004C46194E -:040CF80002050513D9 -:040CF90000F104A35F -:040CFA00960FD0EF92 -:040CFB00E0EF4515CC -:040CFC00842A977F30 -:040CFD000513458511 -:040CFE003781003109 -:040CFF000031078336 -:040D00000007D763AE -:040D010098BFE0EFC8 -:040D020005E3478539 -:040D0300E401FEF514 -:040D0400A62367E5D6 -:040D05004503120789 -:040D060040E2003196 -:040D070044C244524C -:040D08008082017173 -:040D0900879367E580 -:040D0A004798C1073E -:040D0B00C6221131BA -:040D0C00C426C8062B -:040D0D006B63440DC3 -:040D0E00C7830CB7D4 -:040D0F0084AA00476B -:040D1000E3918BA13F -:040D1100051305A61B -:040D1200F0EF058079 -:040D1300842AF01F1F -:040D14001537ED4D55 -:040D150057F9000288 -:040D160002050513BA -:040D170005934605F5 -:040D180003A30071C0 -:040D1900D0EF00F126 -:040D1A0017378E2FCA -:040D1B00478100020A -:040D1C0002070513B2 -:040D1D0000F486B3A5 -:040D1E000006C68382 -:040D1F0005934605ED -:040D2000C03E007160 -:040D210000D103A357 -:040D22008C0FD0EF73 -:040D230017374782B5 -:040D24000693000230 -:040D2500078520001E -:040D260002070513A8 -:040D2700FCD79CE376 -:040D2800460554FD2B -:040D290000710593BD -:040D2A00009103A38E -:040D2B0089CFD0EFAD -:040D2C000002173773 -:040D2D0002070513A1 -:040D2E0005934605DE -:040D2F0003A30071A9 -:040D3000D0EF00916F -:040D31004585886FFD -:040D32000071051334 -:040D3300E6FFF0EFF8 -:040D34000071478380 -:040D35008BFD4715D6 -:040D360004E79063DB -:040D37000FA00513F1 -:040D3800885FE0EF01 -:040D390005134585D4 -:040D3A00F0EF007165 -:040D3B004483E51FE9 -:040D3C00E4910071CD -:040D3D0089BFE0EF9B -:040D3E0005E34785FD -:040D3F0067E5FEF571 -:040D40001207A623CD -:040D41004411E481F4 -:040D42004409A011AF -:040D4300852240C203 -:040D440044A244324F -:040D45008082015156 -:040D4600BFCD4415C4 -:040D4700879367E542 -:040D48004798C10700 -:040D4900C62211317C -:040D4A00C426C806ED -:040D4B00440DC02A69 -:040D4C0000B76F631A -:040D4D000047C78311 -:040D4E008BA184B23F -:040D4F0005A6E39181 -:040D50000510051372 -:040D5100E07FF0EF60 -:040D5200C901842A25 -:040D530040C244094D -:040D5400443285227E -:040D5500015144A262 -:040D5600051380827F -:040D5700E0EF064083 -:040D58004585807FCE -:040D5900007105130D -:040D5A00DD3FF0EF9A -:040D5B0000714703D9 -:040D5C000FF00793FA -:040D5D0000F7176321 -:040D5E00817FE0EFC2 -:040D5F0002E34785DF -:040D60004703FEF552 -:040D610067E50071D1 -:040D62001207A623AB -:040D63000FE0079303 -:040D6400FAF71EE399 -:040D650085A6450218 -:040D6600DA3FF0EF91 -:040D670020200593B0 -:040D680005C28D85AE -:040D6900450181C1FE -:040D6A00D93FF0EF8E -:040D6B007139B74DD6 -:040D6C00C42A65DD53 -:040D6D0085934629FB -:040D6E000848934559 -:040D6F00DC22DE069E -:040D7000D0EFDA26C0 -:040D7100478D804FDB -:040D720027B7C03EA1 -:040D7300143700062B -:040D740004B70002BE -:040D75008613019C44 -:040D76008593A807B2 -:040D77000513CC0490 -:040D7800C0EF0204C2 -:040D7900D0EFEB9F2D -:040D7A0027B7DA0FAE -:040D7B0086130006D5 -:040D7C008593A807AC -:040D7D000513CC048A -:040D7E00C0EF0204BC -:040D7F000513EA1F4F -:040D800046290204FA -:040D8100C0EF084C6B -:040D820064E5F43FF1 -:040D8300051345818E -:040D8400A82304009C -:040D8500F0EFC004C7 -:040D86004785D35F6B -:040D87008493842AA3 -:040D88001763C10428 -:040D890005931CF5BD -:040D8A0005131AA093 -:040D8B00F0EF048001 -:040D8C00C22AD1DFC7 -:040D8D0014851D6349 -:040D8E00080845917B -:040D8F00CFFFF0EFB3 -:040D900001214703F3 -:040D9100156347920D -:040D920047031AF702 -:040D93000793013190 -:040D94001F630AA02F -:040D9500051318F733 -:040D9600E0EF3E80CC -:040D9700E0EFF0AFEA -:040D98004785F30F89 -:040D990000F51963E5 -:040D9A00400005B759 -:040D9B000E9005139E -:040D9C00CDBFF0EFE8 -:040D9D00E0EFF56D21 -:040D9E004785F18F05 -:040D9F000EF50563E5 -:040DA00067E54401BE -:040DA1001207A6236C -:040DA20017FD478270 -:040DA3000FF7F793BC -:040DA4008163C03E69 -:040DA500D815160740 -:040DA60045814785B7 -:040DA700049005139C -:040DA8008223C09C46 -:040DA900F0EF0084E3 -:040DAA004781CA5F54 -:040DAB004515E551B4 -:040DAC00EB4FE0EF3A -:040DAD004585842ACA -:040DAE0000F1051338 -:040DAF00C7FFF0EF9B -:040DB00000F1470304 -:040DB1000FF00793A5 -:040DB20000F71763CC -:040DB300EC2FE0EF52 -:040DB40002E347858A -:040DB500E401FEF562 -:040DB600A62367E524 -:040DB7004683120756 -:040DB800071300F12C -:040DB90047810FE07F -:040DBA0004E6986350 -:040DBB00100845C90E -:040DBC00C4BFF0EFD1 -:040DBD000044C70324 -:040DBE000027769301 -:040DBF001006866331 -:040DC0000261470382 -:040DC10002714783F1 -:040DC20002A1460341 -:040DC30007228B0D6B -:040DC40047838F5D75 -:040DC500070A028196 -:040DC600025146830D -:040DC7008FD98399A4 -:040DC800029147034A -:040DC9008ABD821D40 -:040DCA008B19070674 -:040DCB0096BA8F51F4 -:040DCC0016E507859C -:040DCD0000D797B301 -:040DCE00002936378B -:040DCF00019C05B7C7 -:040DD00000021537D1 -:040DD100061317FDF1 -:040DD20085932E06D1 -:040DD3000513CC0533 -:040DD400C49C0205B4 -:040DD500D47FC0EF18 -:040DD6000613452299 -:040DD7004581200032 -:040DD800DBDFF0EF7E -:040DD9004581A8594F -:040DDA0007A0051356 -:040DDB00BDFFF0EF79 -:040DDC00F00518E323 -:040DDD00080845912C -:040DDE00BC3FF0EF37 -:040DDF000101478344 -:040DE000F793443110 -:040DE1009EE3040782 -:040DE2004411EE07C3 -:040DE3004581BDDDAC -:040DE4000E90051355 -:040DE500BB7FF0EFF1 -:040DE600041007935B -:040DE70000A465639C -:040DE8000793440920 -:040DE90005130E9050 -:040DEA00C23E0FA056 -:040DEB00DB8FE0EFCB -:040DEC00DDEFE0EF68 -:040DED0017634785BC -:040DEE00451200F5B5 -:040DEF00F0EF45815B -:040DF000F57DB8DFF6 -:040DF100DCAFE0EFA4 -:040DF2004401E111C6 -:040DF300458167E5EA -:040DF40007B005132C -:040DF5001207A62318 -:040DF600B73FF0EF24 -:040DF7004401C111E1 -:040DF800200005933F -:040DF90005000513D9 -:040DFA00B63FF0EF21 -:040DFB00E8050EE316 -:040DFC00BD59440198 -:040DFD0011E34505B4 -:040DFE0050F2EA04C1 -:040DFF0054D2546214 -:040E000040A00533D6 -:040E01008082612169 -:040E020047818B1188 -:040E0300F20701E30E -:040E0400028147839D -:040E0500029147030C -:040E06008FD907A2D7 -:040E0700BF0147459B -:040E0800DD410113B4 -:040E0900201007931B -:040E0A001008CE3EC0 -:040E0B00301F17B7C6 -:040E0C0022912023EC -:040E0D002211242367 -:040E0E0022812223F8 -:040E0F00F0EFCC3EF6 -:040E100084AAD71FBA -:040E1100B42FD0EF3B -:040E120004B3C4B1B0 -:040E1300D0EF40904C -:040E14004705B38F4C -:040E150036E48C63D0 -:040E16003697456363 -:040E17008B63572969 -:040E18008D6336E4CC -:040E190065DD360459 -:040E1A0094C5859363 -:040E1B00464567E5FC -:040E1C00B9C78513BA -:040E1D00621020EF50 -:040E1E00C481450541 -:040E1F000513653919 -:040E20002083EAD56C -:040E21002403228103 -:040E220024832241C2 -:040E23000113220194 -:040E2400808222C1E5 -:040E250005136561EB -:040E2600C0EF6A05AA -:040E27004481865F1D -:040E2800141867D95A -:040E2900009706B375 -:040E2A00DF86C58317 -:040E2B0075078613AE -:040E2C00460995B22C -:040E2D0006C4806314 -:040E2E008263460D88 -:040E2F00443706C47A -:040E30000413000F98 -:040E310067E5240449 -:040E3200B9C78513A4 -:040E3300C4834645E9 -:040E340020EFDFC606 -:040E350045095C30DF -:040E3600BA3FE0EFF0 -:040E37008522084CBC -:040E3800E49FD0EF74 -:040E3900DD5567D943 -:040E3A000693646552 -:040E3B004752BB045B -:040E3C000006D603D3 -:040E3D00BB040413DB -:040E3E0002E60E6357 -:040E3F000026D603B0 -:040E400002C714636E -:040E4100A82FD0EF17 -:040E4200069004937F -:040E4300859365DD51 -:040E4400BFA99405A9 -:040E4500001E8437D0 -:040E46004804041345 -:040E47009437B76DB8 -:040E4800041300048B -:040E4900B7453E0467 -:040E4A000186D683C4 -:040E4B00FCD70CE3E1 -:040E4C00BF85448D8D -:040E4D0005136561C3 -:040E4E00C0EF6A0582 -:040E4F0065DDFC4F12 -:040E50004645656549 -:040E510098458593A8 -:040E5200B885051347 -:040E5300549020EFA8 -:040E540065DD67E50C -:040E550099058593E3 -:040E56008513464575 -:040E570020EFB9C708 -:040E58004509537085 -:040E5900B17FE0EF96 -:040E5A00004C5537BC -:040E5B000513084C27 -:040E5C00D0EFB4051A -:040E5D00D559DB7F09 -:040E5E00570347D21D -:040E5F000063000428 -:040E600057030CF731 -:040E61009FE30024E7 -:040E6200C002F6E7ED -:040E630065DD67E5FD -:040E6400B9C7851372 -:040E650085934645E6 -:040E660020EF9A05DA -:040E670045094FB03A -:040E6800ADBFE0EF4B -:040E69000024570307 -:040E6A001E6347D2EA -:040E6B00061308F76B -:040E6C00458120009C -:040E6D00C0EF1008BA -:040E6E004582C4DF16 -:040E6F00F0EF100888 -:040E700084AAA67F2B -:040E7100E80515E398 -:040E72000437478278 -:040E730007850010DF -:040E74006785C03E90 -:040E75000793C23EDF -:040E760086A2200030 -:040E77000087F46399 -:040E780020000693BD -:040E7900101047927C -:040E7A009593C43652 -:040E7B0067E50087A0 -:040E7C001147A50372 -:040E7D009AFFC0EF29 -:040E7E00101846A260 -:040E7F00063384AA08 -:040E8000166300D71E -:040E810094E318E6F8 -:040E82000713E4046A -:040E83006D631FF08C -:040E8400101C0087B7 -:040E85002000061330 -:040E860085338E150D -:040E8700458100D7CA -:040E8800C0EFC436BD -:040E890046A2BE1FA0 -:040E8A001008458285 -:040E8B00F0EFC4368A -:040E8C0046A29F7F5C -:040E8D0016050B63D8 -:040E8E0040A004B3C9 -:040E8F000793BD09FF -:040E9000C03E14004C -:040E91000613B7A1EC -:040E92004581200076 -:040E9300C0EF100894 -:040E94004401BB5FFB -:040E9500100885A21A -:040E96009CDFF0EFFE -:040E970018E384AA2E -:040E98000405DE056A -:040E990014000793A7 -:040E9A00FEF416E369 -:040E9B0007936459FC -:040E9C0085937504C1 -:040E9D00466D040793 -:040E9E00C0EF100889 -:040E9F000793B4DF22 -:040EA00085937504BD -:040EA100466905C7D2 -:040EA200C0EF00C8D5 -:040EA30077EDB3DF55 -:040EA400A557879334 -:040EA500100845816B -:040EA60020F11F23F5 -:040EA700989FF0EF31 -:040EA80016E384AA1F -:040EA9004401DA0521 -:040EAA000613468164 -:040EAB00458120005D -:040EAC00C236100832 -:040EAD00B4FFC0EFDF -:040EAE00061346924F -:040EAF000593201077 -:040EB0008E1510008B -:040EB10000368793ED -:040EB20007C206422B -:040EB300B5138241B0 -:040EB40083C10016E0 -:040EB5008732050675 -:040EB60000C5F4631C -:040EB700100007130D -:040EB8008F09973EC9 -:040EB9000107159385 -:040EBA00E68181C18B -:040EBB00D03E57E1ED -:040EBC0066C1478D37 -:040EBD0003138D1D71 -:040EBE0016FD2030CD -:040EBF0000A78733CE -:040EC0008341074221 -:040EC10006B7E86325 -:040EC200FFD586933F -:040EC30082C106C220 -:040EC4000804059386 -:040EC500C236100819 -:040EC60090DFF0EFDA -:040EC70018E384AAFE -:040EC8000593D205B7 -:040EC90010080A04FF -:040ECA008FDFF0EFD7 -:040ECB0010E384AA02 -:040ECC004692D20573 -:040ECD0004420405D2 -:040ECE001FF0079377 -:040ECF00F6E3804185 -:040ED0000613F6D738 -:040ED1004581200037 -:040ED200C0EF100855 -:040ED30067D9AB9F91 -:040ED4007507879384 -:040ED5000787859373 -:040ED60002000613FD -:040ED700C0EF100850 -:040ED8000593A69F39 -:040ED90010080C00F1 -:040EDA008BDFF0EFCB -:040EDB0000E384AA02 -:040EDC00B9F1E4057F -:040EDD0007061010E4 -:040EDE00863E973283 -:040EDF0000679363B2 -:040EE00007858636C6 -:040EE100102307C211 -:040EE20083C100C701 -:040EE3004503BF857F -:040EE400C832000709 -:040EE500C43AC6360F -:040EE600F1FFC0EF69 -:040EE7004642472216 -:040EE800002346B2EB -:040EE900070500A752 -:040EEA004782BDA9D5 -:040EEB000086D71393 -:040EEC0007858C15D5 -:040EED004792C03E2A -:040EEE00C23E97BAAF -:040EEF00E0041DE31B -:040EF0000713B179BA -:040EF10083E3069001 -:040EF200B971D4E41A -:040EF300859365DDA1 -:040EF400B96996C57D -:040EF500859365DD9F -:040EF600B94995C59C -:040EF700859365DD9D -:040EF800B16997C580 -:040EF900FDC1011323 -:040EFA00D006CC262C -:040EFB00C42ACE2215 -:040EFC00C03284AECE -:040EFD004782C23630 -:040EFE004501E3992E -:040EFF004402A015F4 -:040F00002000079333 -:040F01000087F4630E -:040F020020000413B4 -:040F0300451245A2AC -:040F040001041613BB -:040F0500F0EF824146 -:040F0600C909907F06 -:040F070040A00533CE -:040F0800447250825D -:040F0900011344E2AA -:040F0A00808202419E -:040F0B000FF4F79355 -:040F0C0000849613B4 -:040F0D004792CB9D9F -:040F0E00008786B31F -:040F0F0004D791630F -:040F1000469267E5B9 -:040F11001147A503DC -:040F12000084D593EF -:040F130005C287226A -:040F1400DD0FC0EF3E -:040F150047A2F57189 -:040F1600C43E078549 -:040F17000084579368 -:040F1800478294BEBA -:040F1900C03E8F81C6 -:040F1A0067E5B77957 -:040F1B001147A503D2 -:040F1C00C63285B2A2 -:040F1D00D54FC0EFFD -:040F1E00DD55463225 -:040F1F00C503B755FA -:040F2000CA360007C6 -:040F2100C63EC832CE -:040F2200E2FFC0EF3B -:040F230046D247B2B9 -:040F2400802346429E -:040F2500078500A795 -:040F26000113B755A7 -:040F270000C8DB0122 -:040F28002411262347 -:040F290024812423D8 -:040F2A0024912223C9 -:040F2B00903FF0EF14 -:040F2C00C0EF842A64 -:040F2D0007B3ED5FBA -:040F2E001A63408082 -:040F2F0046111C0447 -:040F3000104800CC99 -:040F31001D1020EF80 -:040F3200461165DD22 -:040F3300A1C585933C -:040F340020EF104852 -:040F3500C91D195069 -:040F36000793440DCC -:040F3700C23E064070 -:040F3800EA7FC0EF9D -:040F390007134792C1 -:040F3A004D6306807D -:040F3B00071300F7A1 -:040F3C0044630630D4 -:040F3D00071330F76F -:040F3E008B63F3408E -:040F3F00470536E745 -:040F400036E78C63A1 -:040F4100859365DD52 -:040F4200A63994C573 -:040F4300048157834B -:040F440004A105936C -:040F45000513461D2D -:040F4600142302A1CD -:040F470020EF02F1A4 -:040F48005783177044 -:040F490008A30521D3 -:040F4A00102302016D -:040F4B00578302F1D5 -:040F4C001123054127 -:040F4D00550202F156 -:040F4E00DBBFC0EF56 -:040F4F00056157835E -:040F5000C22ADA2AAD -:040F510002F1102376 -:040F5200058157833B -:040F530002F1112373 -:040F5400C0EF550293 -:040F55005783DA1FC5 -:040F5600DC2A05A1EB -:040F570002F1102370 -:040F580005C15783F5 -:040F590002F111236D -:040F5A00C0EF55028D -:040F5B00DE2AD89F13 -:040F5C002401250344 -:040F5D00D7FFC0EF0B -:040F5E00C0AA45924E -:040F5F001E200793B6 -:040F6000FE65871390 -:040F61000CE7E7634F -:040F620000C8460578 -:040F6300D8BFC0EF44 -:040F64000663478653 -:040F6500440D00F542 -:040F66000660079387 -:040F67006465B7897D -:040F68000613665DA9 -:040F690045C5A24692 -:040F6A00B88405132F -:040F6B006E5010EFC5 -:040F6C0067E556E2FD -:040F6D008513665D25 -:040F6E000613B9C7E6 -:040F6F0045C5A3468B -:040F70006D1010EF01 -:040F7100E0EF450563 -:040F720057E2EB4F08 -:040F730045014701EC -:040F740057F2C23E30 -:040F75004792C43E9D -:040F760008F76163B4 -:040F7700966347A294 -:040F7800478320A7E4 -:040F7900468302A108 -:040F7A0047030281A6 -:040F7B00CBD502913F -:040F7C00879367E10F -:040F7D000613808750 -:040F7E00C03202A1DA -:040F7F000613665D92 -:040F800045C5A406B9 -:040F8100B884051318 -:040F8200689010EF74 -:040F830065DD67E5DC -:040F840085934645C6 -:040F85008513A985A2 -:040F8600C0EFB9C738 -:040F87004505FACF53 -:040F8800E0EF64E54D -:040F89008493E58FD9 -:040F8A0016B7BB04D7 -:040F8B00A783000236 -:040F8C00D7030D0674 -:040F8D0007C2000493 -:040F8E00086383C1B0 -:040F8F00D70306F787 -:040F900008630024CE -:040F910065091AF7DD -:040F920071050513CD -:040F9300AB2FC0EFD1 -:040F9400440DBFE960 -:040F95000650079368 -:040F96004792B55970 -:040F970040E786B3F6 -:040F9800200007939B -:040F990000D7F46326 -:040F9A00200006939A -:040F9B002007049394 -:040F9C0001069613A1 -:040F9D00D593824125 -:040F9E0000C80094F3 -:040F9F00C636C83A50 -:040FA000E9CFF0EFB6 -:040FA100474246B2CB -:040FA20007B3C509C3 -:040FA300440D40A019 -:040FA4003613B5B992 -:040FA50085B60017F6 -:040FA600C0EF00C8D0 -:040FA7008726C7DFF3 -:040FA80067DDBF1D25 -:040FA90083C78793E0 -:040FAA00D0EFB7B914 -:040FAB006765911FC6 -:040FAC0013270713ED -:040FAD00000757835F -:040FAE00000216B770 -:040FAF00E793650956 -:040FB00010230027E3 -:040FB100A02300F782 -:040FB20005130EF61F -:040FB300C0EF710515 -:040FB40065DDA30F45 -:040FB500B8840513E4 -:040FB6008593464594 -:040FB70020EFA4C5BE -:040FB800440D7B6009 -:040FB90064DD67E5A7 -:040FBA00B9C785131B -:040FBB00859346458F -:040FBC0020EFA584F9 -:040FBD0045057A204C -:040FBE00D82FE0EF59 -:040FBF0000D45662A2 -:040FC000450545811D -:040FC100CE1FF0EF60 -:040FC2001AE387AAFD -:040FC30067E5DC05FD -:040FC400464565DD5C -:040FC500A6858593E5 -:040FC600B887851350 -:040FC700778020EF20 -:040FC800859367E5C1 -:040FC9008513A58463 -:040FCA004645B9C718 -:040FCB00768020EF1D -:040FCC00E0EF450508 -:040FCD0057E2D48F84 -:040FCE004501448114 -:040FCF0057F2C23ED5 -:040FD00067E5C43ECF -:040FD1004792C63E3F -:040FD20002F4EF63D3 -:040FD3000793472217 -:040FD40016E3F340ED -:040FD500C0EFD8A7EA -:040FD60047B2C31F3C -:040FD700464565DD49 -:040FD800AAC585938E -:040FD900B88785133D -:040FDA00E5EFC0EF90 -:040FDB0065DD67E584 -:040FDC00859346456E -:040FDD008513A7854C -:040FDE0020EFB9C780 -:040FDF00450571A0B3 -:040FE000CFAFE0EFC0 -:040FE1004792A00192 -:040FE200409786B3FB -:040FE3001000079360 -:040FE40000D7F463DB -:040FE500100006935F -:040FE600A50367E513 -:040FE70000D01147DE -:040FE800C83685A6DC -:040FE900BFEFC0EFA7 -:040FEA0000D046C22B -:040FEB0005B387AA19 -:040FEC00450300D6E3 -:040FED00CE360006F6 -:040FEE00CA3ECC2EFD -:040FEF00C0EFC83255 -:040FF0004642AF9F27 -:040FF10047D245E2BC -:040FF20000A6002332 -:040FF30046F20605B7 -:040FF400FEC591E3C2 -:040FF500D00795E3A9 -:040FF6000014B6131A -:040FF70000C885B6F3 -:040FF800B37FC0EF14 -:040FF90010048493C9 -:040FFA00440DBFB92A -:040FFB0006700793E2 -:040FFC00440DB1FDF2 -:040FFD0006800793D0 -:040FFE008713B1DDC7 -:040FFF00468DF9B76B -:0410000008E6E063BB -:04100100070A66DD97 -:04100200AC0686931F -:0410030043189736C1 -:0410040065DD87021D -:04100500A0C585936A -:0410060067E5C23E9A -:04100700B9C78513CD -:0410080020EF46454A -:041009004505672012 -:04100A00C52FE0EF1F -:04100B00000F453756 -:04100C00240505139F -:04100D008CAFC0EFF5 -:04100E00D7634792CB -:04100F00556304071A -:04101000665D048095 -:0410110006136565F8 -:0410120045C5A886A2 -:04101300B885051384 -:04101400441010EF85 -:04101500B579147D18 -:04101600859365DD7C -:04101700BF6D9C45C8 -:04101800859365DD7A -:04101900BF4D9D45E5 -:04101A00859365DD78 -:04101B00B76D9E858A -:04101C00859365DD76 -:04101D00B74D9B052B -:04101E00859365DD74 -:04101F00BF6996C54A -:04102000859365DD72 -:04102100BF499FC55F -:04102200A79FD0EFC5 -:0410230024C1208341 -:0410240024812403FC -:0410250024412483BB -:041026000113557DE0 -:04102700808225019D -:04102800DDC1011312 -:041029002023082850 -:04102A002E2322113E -:04102B002C232081D1 -:04102C00F0EF209130 -:04102D00C02ACFCF37 -:04102E00ACFFC0EF64 -:04102F00E93145025C -:0410300065DD6465B1 -:041031008593464518 -:041032000513AEC52F -:04103300C0EFB9C48D -:041034004509CF8F0C -:04103500BA6FE0EFBF -:041036006465C22209 -:04103700BB040413DF -:04103800000217B7E4 -:041039000D07A78375 -:04103A000004570354 -:04103B0083C107C2A4 -:04103C0004F704634E -:04103D000024570331 -:04103E0002F71A6338 -:04103F0065DD479292 -:041040008593464509 -:04104100851394057A -:0410420020EFB9C71B -:04104300051358A099 -:04104400C02A068038 -:04104500A73FC0EF12 -:0410460022012083E0 -:0410470021C124039C -:0410480024834502B6 -:0410490001132181ED -:04104A00808222413D -:04104B00051365091B -:04104C00B0EF71058B -:04104D00B76DFCDFA0 -:04104E0065DD479283 -:04104F00851346457A -:041050008593B9C704 -:0410510020EFAD05DA -:04105200450954E018 -:04105300B2EFE0EF29 -:04105400FFF007376B -:0410550020070793D6 -:041056006485C002EB -:0410570047A2C43EAA -:041058000084941369 -:04105900943E462D4E -:04105A0085A28425C2 -:04105B00F0EF00684A -:04105C00C501BAEF21 -:04105D0040A0053377 -:04105E0065DDBF6924 -:04105F008A458593A6 -:04106000C0EF006875 -:04106100E10DC90FC5 -:0410620001614703DE -:041063001563468546 -:04106400470306D761 -:04106500EB09014151 -:04106600015146836B -:0410670005800713E6 -:041068004DE006133E -:0410690006E68663AE -:04106A001004849357 -:04106B0098E3670996 -:04106C000513FAE48A -:04106D00B0EF3E8022 -:04106E004581F49F25 -:04106F00D0EF453D3C -:0410700067E5E83F09 -:041071001347C70357 -:04107200879367E514 -:0410730097BAB7C7AA -:041074000007C503A9 -:0410750067E5458165 -:0410760012A7812319 -:04107700E65FD0EF71 -:0410780046824792D3 -:041079000613665D97 -:04107A0045C5ADC6F5 -:04107B00B9C7851359 -:04107C002A1010EF37 -:04107D00BF31450535 -:04107E004703FB45E4 -:04107F00F74D0141E7 -:041080000151468351 -:0410810005500713FC -:04108200FAE690E317 -:0410830005700613DB -:0410840085A6083401 -:04108500F0EF8522E1 -:041086001CE39CFFCC -:041087004782EE05A9 -:04108800C03E0785DA -:041089000113B75147 -:04108A00C906F6C1DC -:04108B00C526C7228D -:04108C000002143713 -:04108D00F39FB0EF2E -:04108E000493C62AD7 -:04108F0047C5080445 -:041090002023D0BC8D -:0410910027B70E046B -:04109200A62300028F -:04109300AA23000785 -:041094006789000761 -:041095007107851347 -:04109600EA7FB0EF4E -:04109700871366E570 -:041098000793132681 -:0410990010231D10F3 -:04109A00D0BC00F7CF -:04109B00D0EF45014C -:04109C001537DAAF7B -:04109D000513000334 -:04109E00B0EFD405D6 -:04109F002637E85FA9 -:0410A00005B700068A -:0410A1000613019C95 -:0410A2008593A80684 -:0410A3000513CC0560 -:0410A400C0EF040491 -:0410A50067E5A08FCC -:0410A6001327871372 -:0410A7000007578364 -:0410A800F793455124 -:0410A90007C2F9F78A -:0410AA00102383C1CB -:0410AB00D0BC00F7BE -:0410AC00E4FFB0EFBE -:0410AD00051345D111 -:0410AE00D0EF0380FC -:0410AF0045D1CD4F0B -:0410B0000390051391 -:0410B100CCAFD0EF01 -:0410B200455145D18E -:0410B300CC2FD0EF7F -:0410B400051345D10A -:0410B500D0EF071061 -:0410B60045D1CB8FC6 -:0410B70005E0051338 -:0410B800CAEFD0EFBC -:0410B900051345D105 -:0410BA00D0EF06D09D -:0410BB0045D1CA4F02 -:0410BC00D0EF4531FB -:0410BD000593C9CFFF -:0410BE0045053200B2 -:0410BF00C92FD0EF76 -:0410C000451945D1B8 -:0410C100C8AFD0EFF5 -:0410C2003200059360 -:0410C300D0EF45091C -:0410C40067E5C80F05 -:0410C5001327871353 -:0410C6000007578345 -:0410C7004581460118 -:0410C8000407E7939F -:0410C90000F71023F9 -:0410CA000513D0BC7E -:0410CB00C0EF04046A -:0410CC0045299D0F06 -:0410CD00DCBFB0EFE5 -:0410CE00450545E1AE -:0410CF0096BFC0EF19 -:0410D000450945E1A8 -:0410D100963FC0EF97 -:0410D200450D45E1A2 -:0410D30095BFC0EF16 -:0410D400C91FC0EF81 -:0410D500871366E532 -:0410D60047E11326B5 -:0410D7001B63C83A95 -:0410D800450158F581 -:0410D900983FC0EF8D -:0410DA000FF0079379 -:0410DB005AF50B6354 -:0410DC001A8087B738 -:0410DD0008078793E6 -:0410DE006789D6BE8A -:0410DF00A1A78793AB -:0410E00006F11823DA -:0410E100092347A1F7 -:0410E200D0EF06F154 -:0410E3004569C30F89 -:0410E400957FC0EF45 -:0410E5000F5575939B -:0410E60000A5E593E9 -:0410E700C0EF4569A8 -:0410E8004585993F62 -:0410E9000350051398 -:0410EA00989FC0EF1C -:0410EB000513656123 -:0410EC00C0EF874585 -:0410ED004501AE5FAC -:0410EE00BD3FC0EF53 -:0410EF000513459907 -:0410F000C0EF03D07A -:0410F100059396FFCE -:0410F200454504402C -:0410F300965FC0EF55 -:0410F40045494585A0 -:0410F50095DFC0EFD4 -:0410F600454D45819E -:0410F700955FC0EF52 -:0410F800C0EF10E84D -:0410F9004509991FED -:0410FA00FBAFC0EF99 -:0410FB000FF5751365 -:0410FC001A6347CD5F -:0410FD0064E552F55F -:0410FE00B0848493A3 -:0410FF000024C58381 -:04110000C0EF4515E2 -:04110100C783FE2F73 -:04110200059300242D -:04110300451103D0BF -:041104009713839D1D -:04110500C783002775 -:041106009BED00C499 -:0411070086238FD9D3 -:04110800C0EF00F440 -:041109000513FC2F9F -:04110A00B0EF3E8084 -:04110B0045F5CD5F7A -:04110C00C0EF4511DA -:04110D000593FB2F1C -:04110E0005130300C2 -:04110F00C0EF061017 -:041110000593FA6FDA -:0411110045250B2045 -:04111200F9CFC0EF62 -:041113000F800593B1 -:04111400C0EF4529BA -:041115000593F92F16 -:04111600452D0370F0 -:04111700F88FC0EF9E -:04111800453D45818B -:04111900F80FC0EF1C -:04111A0005134581F3 -:04111B00C0EF0C9085 -:04111C004581F76FA3 -:04111D000CA005130A -:04111E00F6CFC0EF59 -:04111F0005134581EE -:04112000C0EF0CB060 -:041121004581F62FDF -:041122000CC00513E5 -:04112300F58FC0EF95 -:0411240005134581E9 -:04112500C0EF0CD03B -:041126004581F4EF1C -:041127000CE00513C0 -:04112800F44FC0EFD1 -:0411290005134581E4 -:04112A00C0EF0CF016 -:04112B004581F3AF58 -:04112C000D0005139A -:04112D00F30FC0EF0D -:04112E000044C58331 -:04112F000E10051386 -:04113000F24FC0EFCB -:0411310005934601DB -:04113200051304A0FD -:04113300B0EF040411 -:041134004601FF7FF2 -:0411350005134595C4 -:04113600C0EF0404FE -:041137004605824F98 -:0411380004A0059377 -:041139000404051392 -:04113A00FDDFB0EF36 -:04113B0005134585CE -:04113C00B0EF040408 -:04113D000793FF3FD6 -:04113E0012630860D0 -:04113F00059306F519 -:04114000051307503C -:04114100C0EF0700F4 -:041142000593F60F0C -:041143000513090087 -:04114400C0EF0200F6 -:041145004581F54F9C -:04114600021005137B -:04114700F4AFC0EF52 -:0411480005134581C5 -:04114900C0EF0220D1 -:04114A00458DF40FCC -:04114B000230051356 -:04114C00F36FC0EF8E -:04114D0005134581C0 -:04114E00C0EF02806C -:04114F000593F2CF43 -:0411500005130B0078 -:04115100C0EF0710D4 -:041152000593F20F00 -:041153000513070079 -:04115400C0EF0700E1 -:0411550067E5F14F0A -:0411560080234705A6 -:0411570067E512E74F -:04115800AA47A78378 -:041159002A23676579 -:04115A00806310F7A7 -:04115B00D0EF3C078E -:04115C006365B8CF40 -:04115D00B1830793C0 -:04115E00851365E1AF -:04115F00061301E78B -:04116000859304600F -:04116100C0EF9A85BC -:0411620066E5840FAB -:041163000593676128 -:041164008513970751 -:041165000613BB06AC -:04116600C0EF036073 -:04116700458182CF6D -:04116800D0EF453D42 -:0411690064E5A9FF91 -:04116A001224C50383 -:04116B0064654581F1 -:04116C00A91FD0EFF8 -:04116D00000245B780 -:04116E003805859328 -:04116F00757941B09D -:041170007FF50513EF -:04117100C1B08E6912 -:04117200756941B0AA -:041173008E69157DEF -:0411740041B0C1B015 -:04117500879367E510 -:041176007613B187B4 -:041177006613F1F614 -:04117800C1B00606F6 -:04117900761341B0F8 -:04117A0066138FF673 -:04117B00C1B03006C9 -:04117C00450366655C -:04117D0032B3AD06D6 -:04117E0041A800A0E4 -:04117F0065339979C2 -:04118000C1A80055AD -:04118100AD14450361 -:041182001293890D2E -:0411830041A800354A -:041184006533991D19 -:04118500C1A80055A8 -:0411860072A141A869 -:04118700753312FDAD -:0411880062A100550B -:041189000055653375 -:04118A004589C1A82A -:04118B0088A34501EF -:04118C00C0EF00B7F9 -:04118D006365E63F71 -:04118E00000217B78D -:04118F00B18307130E -:041190000D07A5831F -:041191006761C43A94 -:041192006665CE3A86 -:041193001224871388 -:041194000713D23A31 -:04119500C4BAAD0625 -:04119600AD1407137A -:041197006765C6BA08 -:0411980012470713E0 -:041199006765CA3A82 -:04119A0010870713A0 -:04119B006765D43A76 -:04119C00000207B78F -:04119D00071366E5E9 -:04119E008FED10C7FA -:04119F00D63AC0B6C6 -:0411A000140792633B -:0411A100871366E169 -:0411A20044819046AE -:0411A3006465CC3A79 -:0411A400464565DD7A -:0411A500B0858593F9 -:0411A600B8840513F1 -:0411A70010EFD03E37 -:0411A80047E27F702B -:0411A90000249693F5 -:0411AA0096BE656523 -:0411AB004645428CE7 -:0411AC00B9C50513A9 -:0411AD007E1010EFB1 -:0411AE0000024637BE -:0411AF003C06268351 -:0411B000E693450578 -:0411B10020230046B1 -:0411B200D0EF3CD668 -:0411B3005782DB1F65 -:0411B4001637468123 -:0411B500250300020C -:0411B60067410D067A -:0411B70075B3177D78 -:0411B800475200E5B5 -:0411B90000030337F5 -:0411BA002603C30C39 -:0411BB0057220D06A4 -:0411BC00FFF64613E1 -:0411BD00006676331F -:0411BE00C985C3100C -:0411BF0002F587634B -:0411C000879367E5C5 -:0411C1009613BB07BF -:0411C20097B20014CC -:0411C30065DDEAAD4F -:0411C40000A79023CD -:0411C5008593464583 -:0411C6000513B10557 -:0411C70010EFB884E9 -:0411C80045057770F2 -:0411C900D57FD0EF0F -:0411CA0057A246855D -:0411CB000007A30373 -:0411CC00439C57B237 -:0411CD0067C1E39D76 -:0411CE0002F31163B4 -:0411CF0047F2E0BD46 -:0411D000036006139F -:0411D1008593D01A18 -:0411D20047869707AE -:0411D300851344ED4F -:0411D400B0EFBB07B6 -:0411D5005302E75F7B -:0411D60057324689BD -:0411D700460947D2AC -:0411D8000067202369 -:0411D900439CD83625 -:0411DA0004C6806364 -:0411DB00051365098A -:0411DC00D03E71058B -:0411DD0098BFB0EF18 -:0411DE0056C257821C -:0411DF00D783BF995A -:0411E0004689000735 -:0411E100FAF583E3B5 -:0411E200464565DD3C -:0411E300B1858593BA -:0411E400B8840513B3 -:0411E500701010EF87 -:0411E600D0EF4505FC -:0411E7004681CE1F50 -:0411E80014F9B769D6 -:0411E900BF5546891F -:0411EA0046E9048549 -:0411EB00EE96D1E3C8 -:0411EC00D0EF453DBE -:0411ED004737DF4F52 -:0411EE002783000251 -:0411EF009BED3C0731 -:0411F0003CF7202385 -:0411F10064E547B2B8 -:0411F200D513665D4E -:0411F300079300A7B7 -:0411F40007B312C06B -:0411F500071302F5E5 -:0411F600468105A089 -:0411F700B2860613A3 -:0411F800851345C551 -:0411F9006465BE84E7 -:0411FA0010EFC2BE72 -:0411FB0065DD4A6004 -:0411FC00859346454C -:0411FD000513C10510 -:0411FE00B0EFBFC4CB -:0411FF004505DCDFE7 -:04120000EDFFD0EF3F -:041201000007A53706 -:0412020012050513B9 -:041203008F3FB0EF7A -:04120400913FB0EF77 -:04120500D03E4799F7 -:04120600CC02CE0246 -:04120700C8A6D8029B -:0412080017B7CAA2A8 -:04120900A7830002B5 -:04120A0046D20D07B4 -:04120B00177D6741A3 -:04120C00C2988F7D78 -:04120D00FFF7C6938E -:04120E00000307379B -:04120F0056A28F75DF -:041210000187D61369 -:04121100C29887B246 -:04121200869366E574 -:04121300CA1912865C -:0412140076131679BE -:04121500458D0FF6FE -:0412160000C5F663B6 -:041217000006C60304 -:0412180000F6156364 -:0412190020234652F6 -:04121A008023000627 -:04121B0057B200F6D0 -:04121C00EFD5439C2B -:04121D00C39857B269 -:04121E0004136465EC -:04121F00478310747D -:0412200086630004DD -:0412210047A20E07CB -:0412220001C7C783B6 -:041223000E078163CE -:04122400676547A211 -:0412250011A74703C3 -:0412260000B7C783C3 -:04122700F7938FD9D1 -:04122800E7F10FF7E4 -:041229008A3FB0EF59 -:04122A00626347961E -:04122B0047720CF505 -:04122C0006300793EE -:04122D000AE7ED637C -:04122E0000044683EF -:04122F0047054785A3 -:0412300000F68763DA -:041231008713578246 -:041232003733FFA7A8 -:0412330047A200E0EE -:04123400C78346A185 -:0412350017FD01C7D9 -:041236000FF7F79324 -:0412370006F6E7636D -:04123800869366DD56 -:04123900078AC2C698 -:04123A00439497B68C -:04123B00879367E549 -:04123C00868213474C -:04123D00665D56F99B -:04123E0006136565C9 -:04123F0045C5B3C628 -:04124000BE8505134F -:0412410038C010EFB2 -:04124200656565DD9C -:041243008593464504 -:04124400051383C546 -:0412450010EFBFC522 -:04124600450557F013 -:04124700DC3FD0EFC9 -:0412480056F5A001B6 -:0412490056F1BFC9D2 -:04124A0056FDB7F99D -:04124B0057B2B7E9F6 -:04124C0057A2C3984A -:04124D000007A023D3 -:04124E006765B78198 -:04124F0010574703EA -:0412500000E0373350 -:0412510080230709E6 -:0412520047F200E778 -:0412530007855702B2 -:041254000FF7F79306 -:041255004799CE3EA9 -:0412560000F7076333 -:0412570000170793E2 -:041258000FF7F79302 -:04125900B0EFD03EE4 -:04125A004785FBCFFA -:04125B000793CC3EEB -:04125C00646506318E -:04125D000793D6BE5F -:04125E008713C2C46C -:04125F00D8BA019761 -:04126000871365DDAE -:04126100DABA01A74D -:041262008713461D8B -:04126300859301B7B7 -:0412640007F1C24587 -:04126500DCBA10C817 -:04126600C13EDEBEE9 -:04126700060101A3D8 -:04126800C27FB0EFA2 -:04126900069347A2FF -:04126A00C636C2C4FE -:04126B0001C7C7836D -:04126C004725468547 -:04126D008663DA3684 -:04126E00078500E709 -:04126F000FF7F793EB -:0412700047D2DA3E49 -:0412710045E9468184 -:0412720047864390D8 -:04127300BB0787939B -:041274000007D70395 -:0412750004E61A630E -:04127600E16347E900 -:04127700675D54D784 -:041278000026979322 -:04127900C50707138B -:04127A00439C97BA40 -:04127B00468587829B -:04127C006765E719A2 -:04127D00AC074683F1 -:04127E000016B6930D -:04127F008023069131 -:04128000B7A100D73B -:04128100DF65469946 -:041282000007C68318 -:04128300471DBFCD77 -:041284006765BF1DBE -:0412850010674703A4 -:0412860000E037331A -:04128700B72507215F -:04128800FF71469913 -:04128900BFE14685F6 -:04128A0089E3078964 -:04128B00068528B6F6 -:04128C004785B74596 -:04128D0046C24742CC -:04128E0000075703FB -:04128F0010074713EA -:0412900000E69023C1 -:0412910066C15722B9 -:041292008EF9431876 -:0412930057D2C291DB -:04129400000206B797 -:04129500CF118F7571 -:041296004605473290 -:041297004703468142 -:041298006563000783 -:04129900070500E65F -:04129A000FF7769341 -:04129B0000234732B3 -:04129C00646500D7AE -:04129D00DA02472901 -:04129E0013440413DE -:04129F0000E786637B -:0412A00000F4002333 -:0412A100DA3E478565 -:0412A200474247C2B6 -:0412A3000007D783E6 -:0412A40007C29BCD15 -:0412A500102383C1CE -:0412A600676500F781 -:0412A70011A74683C2 -:0412A80011A7071370 -:0412A900EA89DC3AB8 -:0412AA00470367652A -:0412AB00070A118796 -:0412AC0047428FD94D -:0412AD0000F7102313 -:0412AE00D70347C259 -:0412AF0017B7000766 -:0412B000A023000275 -:0412B10057E20EE70B -:0412B2000007C783E7 -:0412B3004501C781A9 -:0412B400DB6FE0EF1D -:0412B500470347A202 -:0412B600C7830004E6 -:0412B700846301C784 -:0412B80057D200E722 -:0412B90047E2E79988 -:0412BA0047A2CF85F3 -:0412BB0000B7C7832E -:0412BC0067E5CB8592 -:0412BD001217C783BA -:0412BE00C39DCC3EC2 -:0412BF00879367E5C5 -:0412C00097BAB7C75B -:0412C1000007C5035A -:0412C200CC02579271 -:0412C3000007C783D6 -:0412C40000A7886394 -:0412C5004581579276 -:0412C60000A78023DA -:0412C700D24FD0EF43 -:0412C80047834722EF -:0412C9006465000454 -:0412CA0001C747030E -:0412CB001364041391 -:0412CC0000F71F63A5 -:0412CD000004468350 -:0412CE0074634709F5 -:0412CF0047321CD7AF -:0412D0000347468307 -:0412D1004703472266 -:0412D2008C630527FD -:0412D30064E51AE6CE -:0412D4001354869396 -:0412D50012048AA3D2 -:0412D60000040023ED -:0412D700DE36470DAB -:0412D80018F775E3AB -:0412D900460547324D -:0412DA0003474683FD -:0412DB0014C69BE3B7 -:0412DC00FFA78613CF -:0412DD000FF676137F -:0412DE00F463448DE4 -:0412DF00106F00C6C6 -:0412E0008613104021 -:0412E1007593FFF70B -:0412E20046210FF69C -:0412E30002B661638B -:0412E4000613666126 -:0412E500962E83C6F8 -:0412E60000064703B4 -:0412E700D83A461992 -:0412E80016C785E3BD -:0412E90014F667E3AD -:0412EA008CE3460546 -:0412EB00472214C7BB -:0412EC000E23CCB64B -:0412ED0005A300F75E -:0412EE00C0EF000746 -:0412EF0046E6C26F9E -:0412F0007593478922 -:0412F10096E30D85EE -:0412F200E59314F676 -:0412F3004505001598 -:0412F400C0EFCCAECD -:0412F50045E68D4FEE -:0412F600C0EF4509F7 -:0412F70045E68CCF6D -:0412F800C0EF450DF1 -:0412F900C0EF8C4F67 -:0412FA00C0EFBD5F25 -:0412FB0067E5836FB1 -:0412FC001207C7838B -:0412FD004585C385DB -:0412FE00009595B30F -:0412FF000405E5936A -:041300000FF5F5935D -:04130100CCAE451910 -:0413020085EFC0EFC4 -:04130300451D45E659 -:04130400856FC0EF42 -:04130500448357F2D4 -:041306004581000419 -:041307000007C70311 -:04130800E6634789C8 -:041309009593009721 -:04130A0005E2006494 -:04130B00179385E1CE -:04130C0016930047ED -:04130D008FD5002751 -:04130E008DDD8FD909 -:04130F000FF5F5934E -:04131000C0EF456580 -:0413110045418EEFD5 -:0413120089EFC0EFB0 -:04131300478D574269 -:041314000F85759339 -:0413150000F7146366 -:041316000055E59306 -:04131700C0EF45419D -:0413180047898D2F45 -:0413190005B0059383 -:04131A000097F963DC -:04131B00059347915E -:04131C00F463052051 -:04131D00059300979D -:04131E004539053018 -:04131F008B4FC0EF41 -:041320003E800513F3 -:04132100C7AFB0EFB3 -:04132200C0EF455182 -:04132300574285CFD9 -:0413240045C1478DEB -:0413250000F7036367 -:041326004561458157 -:04132700894FC0EF3B -:0413280005134581E3 -:04132900C0EF0360AE -:04132A0047A288AF9F -:04132B00A22346456E -:04132C00C78300076C -:04132D00971301C74A -:04132E0067E100274C -:04132F008487879395 -:04133000438C97BA99 -:04133100851347C613 -:0413320010EFBE8773 -:0413330047D61CB0CD -:04133400464565DDE8 -:04133500BFC7851396 -:04133600C045859396 -:041337001B9010EF08 -:04133800D0EF4505A8 -:0413390057D29FDF09 -:04133A0067E5CF890B -:04133B001107C703CC -:04133C00156347A945 -:04133D00453D00F733 -:04133E008AEFD0EF73 -:04133F00C26FB0EFDA -:0413400047B2CE02E0 -:041341000237C703A5 -:04134200C78347A274 -:041343000763041721 -:0413440047A202F7C3 -:041345004601468196 -:041346000117C503C3 -:04134700C0EF45812D -:0413480047B2E4CFF5 -:041349000237C5039F -:04134A00F6CFC0EF2B -:04134B00472247B23C -:04134C000237C7831A -:04134D000007222350 -:04134E0004F700A3FD -:04134F00C58347B259 -:041350004789023790 -:0413510002F58A63B4 -:04135200C60347B2D5 -:0413530047A2024764 -:041354000427C78320 -:0413550002C78263E6 -:04135600C50347A2E2 -:04135700C191011728 -:0413580047A24589DA -:0413590000F7C68350 -:04135A00E02FC0EFD1 -:04135B00472247B22C -:04135C000247C783FA -:04135D0004F701236D -:04135E00472247B229 -:04135F000347C783F6 -:041360000527470313 -:0413610000F7056329 -:0413620009234722F2 -:0413630047A604F79E -:04136400000246B786 -:041365000007C703B3 -:04136600363347B61D -:04136700A70300E0F8 -:04136800C7833C06F5 -:041369008B050007E9 -:04136A0000E618631E -:04136B003C06A68313 -:04136C008A8D828D57 -:04136D0002F68C6395 -:04136E00000246B77C -:04136F003806869323 -:041370008B8D42B867 -:041371009B79078ECF -:04137200C2B88F511D -:041373009B1D42B8C4 -:04137400C2BC8FD98F -:04137500C78357E2F1 -:04137600CB89000718 -:04137700A02347D296 -:04137800C0EF0007BB -:041379004505D1FF56 -:04137A00A9EFE0EF08 -:04137B00C78347A23B -:04137C0088E301C73A -:04137D0044036207BC -:04137E0067E100041F -:04137F006A078793DF -:041380000002273709 -:04138100C4634314EA -:0413820017FD00064D -:041383004551FFE5EC -:04138400ED7FB0EF5A -:04138500F663479133 -:0413860075137087E4 -:0413870005130885BD -:041388003313F7859F -:0413890047A2001562 -:04138A0000B7C403E1 -:04138B0027B7C411AB -:04138C004380000298 -:04138D00880D804106 -:04138E0003700513D0 -:04138F00B0EFDE1AC3 -:04139000DA2AEA9FCC -:0413910003800513BD -:04139200E9FFB0EFD0 -:0413930084AA57D2FF -:0413940000851713A6 -:0413950005136505D2 -:041396008F69F00566 -:04139700DC3A8F5D50 -:04139800D79347227E -:041399008B850064DC -:04139A0003900513A4 -:04139B0000F704A3B0 -:04139C00E77FB0EF48 -:04139D000513DA2A30 -:04139E00B0EF03A009 -:04139F0027B7E6DFA7 -:0413A000439C000268 -:0413A10052D2468955 -:0413A200576283C942 -:0413A300F7935372F7 -:0413A4000D637FF75F -:0413A50046A26AD41E -:0413A60000B6C68344 -:0413A7000693E6893A -:0413A800F56318F0E1 -:0413A90046856AE625 -:0413AA0068D41E6382 -:0413AB00001787138D -:0413AC001907359355 -:0413AD000015C593CF -:0413AE00AD71468156 -:0413AF00BE9D47890F -:0413B000BE8D478D1A -:0413B100B6BD4791ED -:0413B200B6AD4795F8 -:0413B300B69D479903 -:0413B400B68D479D0E -:0413B500BEB947A1D5 -:0413B600BEA947A5E0 -:0413B700071367654C -:0413B800468311A7B0 -:0413B90046370007AC -:0413BA00B7930002E3 -:0413BB0000230016F5 -:0413BC00971300F78C -:0413BD00278300275B -:0413BE009BED3C0661 -:0413BF0020238FD97F -:0413C00057923CF60E -:0413C1000007C70357 -:0413C20081A367E5B7 -:0413C3009F6312E72B -:0413C400C0EF380638 -:0413C5004505BEFF1D -:0413C60096EFE0EFCF -:0413C700BE1947A95B -:0413C80000022737C1 -:0413C900F79343044F -:0413CA00D6937FF443 -:0413CB00DC3E00B450 -:0413CC000016F7937D -:0413CD004340DE3E7D -:0413CE004703676505 -:0413CF00FF7911A7EA -:0413D00057930432F9 -:0413D100061300C43B -:0413D20045813C0015 -:0413D3000002453798 -:0413D400B0EFDC3E5C -:0413D5005792EB0F31 -:0413D600C503458581 -:0413D700D0EF00074C -:0413D800665D8E2F91 -:0413D900B4C606137D -:0413DA00453745C18D -:0413DB0000EF00021D -:0413DC0067655230BF -:0413DD0046035792DA -:0413DE004537C1C707 -:0413DF00C6830002BF -:0413E00007130007E8 -:0413E100E601C1C799 -:0413E2000713675D29 -:0413E300665D8AC7F2 -:0413E4008B4606131B -:0413E500054145C1B8 -:0413E6004F9000EF35 -:0413E700C70347A24F -:0413E800CB6100B71E -:0413E9000002443783 -:0413EA000613665D23 -:0413EB0045C1B586BD -:0413EC0002040513DF -:0413ED004DD000EFF0 -:0413EE0046F147A2DB -:0413EF008703665DAD -:0413F000061300A739 -:0413F10045C18B86E1 -:0413F20002D70733E4 -:0413F300869366E592 -:0413F4000513C746D0 -:0413F50096BA03049D -:0413F6004B9000EF29 -:0413F7000613665D16 -:0413F80045C1B686AF -:0413F90004040513D0 -:0413FA004A9000EF26 -:0413FB007FF4F793F1 -:0413FC0000178693BD -:0413FD00D61357F2BA -:0413FE00CEA600B4C3 -:0413FF0000F696B3AB -:0414000005138A0541 -:0414010096BE05048A -:041402000690071336 -:041403000713E219D0 -:0414040006370700A0 -:041405008E650003ED -:0414060002A00793A6 -:041407000793E2194C -:0414080055E20200A7 -:04140900A0EEC63754 -:04140A00B00606130F -:04140B0002B656339C -:04140C0006400593FE -:04140D00000244B7DE -:04140E0002B673337C -:04140F0002B6563398 -:0414100045C1C21AF6 -:04141100665DC03222 -:04141200B786061380 -:04141300445000EF52 -:041414000613665DF8 -:0414150045C1B9060E -:041416000604851330 -:04141700435000EF4F -:04141800665D56E2D5 -:04141900BA060613F6 -:04141A00851345C130 -:04141B0000EF0704D3 -:04141C0044374230DF -:04141D00665D000206 -:04141E00BA460613B1 -:04141F00051345C1AB -:0414200000EF0804CD -:04142100665D40F0D4 -:0414220005A0071307 -:0414230006134681E5 -:0414240045C1BB06FD -:04142500090405139E -:041426003F9000EF04 -:0414270038040793EB -:04142800671343B84B -:04142900C3B800271D -:04142A003C04262335 -:04142B00C3F8477D3E -:04142C00B5ADC7B8DB -:04142D00474247C229 -:04142E000007D78359 -:04142F000107C79357 -:0414300000F710238E -:0414310047B2BDA160 -:0414320047014685A3 -:041433000007C78364 -:0414340000F6E56376 -:04143500F71307851D -:0414360047B20FF7B3 -:0414370000E7802327 -:04143800C78367E51A -:04143900E7B111A75F -:04143A0004136461D2 -:04143B00400C5F04FE -:04143C0045374641A9 -:04143D0010EF0002AA -:04143E0047B259E078 -:04143F000007C703D8 -:04144000070A481C33 -:04144100438C97BA87 -:0414420044374641A4 -:04144300051300028B -:0414440010EF02049F -:041445002783582081 -:04144600E7933C04E8 -:041447002023002737 -:0414480026233CF427 -:04144900478D3C048B -:04144A003CF4222329 -:04144B003C04242316 -:04144C0067E5B3F5A8 -:04144D0011B7C78389 -:04144E0000379713B9 -:04144F00879367E533 -:0414500097BA9607AA -:0414510007136761B5 -:04145200439CF707B9 -:04145300DCE798E357 -:041454009B1FC0EF2B -:0414550047B2B3E106 -:04145600470146857F -:041457000017C78330 -:0414580000F6E56352 -:04145900F7130785F9 -:04145A0047B20FF78F -:04145B0000E780A383 -:04145C00C78367E5F6 -:04145D00FFD511A7FF -:04145E0004136461AE -:04145F0025835F047E -:04146000464108C435 -:041461000002453709 -:0414620050C010EF77 -:04146300C70347B2C2 -:0414640027830017C3 -:04146500B7B509C44A -:04146600475947B2E9 -:041467000067C783D0 -:0414680004E69063A3 -:0414690017FDC78123 -:04146A000FF7F793EE -:04146B0003234732DE -:04146C0067E500F739 -:04146D0011A7C78379 -:04146E006461FFAD09 -:04146F005F040413FF -:041470004641484C5D -:0414710000024537F9 -:041472004CC010EF6B -:04147300505C473250 -:0414740000674503C5 -:0414750065E5978210 -:0414760085934641D3 -:04147700B735B9C507 -:04147800873E46B9AC -:0414790000F6F36323 -:04147A0047B24739F5 -:04147B0083230705BB -:04147C00B7C100E70D -:04147D00656565DD5F -:04147E0085934645C7 -:04147F000513BBC5D1 -:0414800010EFB8852C -:041481006465492035 -:04148200464565DD99 -:04148300BCC58593CC -:04148400B9C40513CF -:04148500480010EF1C -:0414860000024737E2 -:041487003C07278374 -:0414880064E54505CD -:041489000047E7939E -:04148A003CF72023E8 -:04148B00A4EFD0EF0B -:04148C00C74484933A -:04148D00000217B78B -:04148E000D07A7039C -:04148F0017FD67C11D -:0414900067E58F7D00 -:04149100BB0787937B -:04149200466D4681DC -:041493000007D583F6 -:0414940000B7066334 -:041495000789068538 -:04149600FEC69AE311 -:04149700477147A2B0 -:0414980000A787839F -:0414990002E787B32C -:04149A0097A64715B5 -:04149B0083F54BDCAE -:04149C0002F764638C -:04149D00426347114E -:04149E00013806D734 -:04149F004703973E2A -:0414A0005733FDC7FA -:0414A1008B0540D7A0 -:0414A200078AC715D9 -:0414A30097BA0138BB -:0414A400FE47A783D5 -:0414A50000D78023C9 -:0414A6008D2367E546 -:0414A70047371007AC -:0414A8002783000294 -:0414A9009BED3C0774 -:0414AA003CF72023C8 -:0414AB00D0EF450138 -:0414AC00B1ADC30F0C -:0414AD000685665DED -:0414AE00BD860613DE -:0414AF00051345C517 -:0414B00000EFB9C4CC -:0414B10045051CF0E1 -:0414B2009B2FD0EFAD -:0414B3000007A53752 -:0414B4001205051305 -:0414B500E2BFA0EF03 -:0414B60047B1B7C1C2 -:0414B700FAF68EE3D0 -:0414B80005136509AA -:0414B900A0EF71052A -:0414BA00B7A9E19F4E -:0414BB00467147A28D -:0414BC008783646559 -:0414BD00071300A76A -:0414BE000413C74408 -:0414BF008633C74465 -:0414C000973202C796 -:0414C1004703466532 -:0414C20099630167C2 -:0414C300467D08C694 -:0414C400177DC701C8 -:0414C5000FF7761394 -:0414C60086B346F1B2 -:0414C700676502D77C -:0414C8008B2396A23A -:0414C900468500C68E -:0414CA0014D705230B -:0414CB004703676507 -:0414CC001B6314B7D3 -:0414CD00477100F76C -:0414CE0002E787B3F7 -:0414CF00C70397A216 -:0414D00067E5016764 -:0414D10014E7912368 -:0414D200C78367E580 -:0414D300EFA911A7C5 -:0414D400A58367E1A4 -:0414D50046411CC7A9 -:0414D6000002453794 -:0414D700338010EF5F -:0414D800477147A26F -:0414D90046500693E0 -:0414DA0000A787835D -:0414DB000613665D31 -:0414DC0087B388C684 -:0414DD0045C502E718 -:0414DE004783943E6E -:0414DF0064650164DB -:0414E000B9C4051373 -:0414E10002D787B3F4 -:0414E2000640069327 -:0414E30002D7C6B3B3 -:0414E400101000EFF5 -:0414E50005934641E4 -:0414E600BB85B9C445 -:0414E700460146F97B -:0414E800F6E6ECE355 -:0414E900B7BD07057F -:0414EA00C78367E568 -:0414EB00971311B78B -:0414EC0067E5003779 -:0414ED009607879344 -:0414EE00676197BAE1 -:0414EF00F3070713E5 -:0414F0004485B36913 -:0414F10067E5430167 -:0414F200851365DD1C -:0414F3004645B8872B -:0414F400BE85859399 -:0414F50010EFDC1AFE -:0414F60053622BE032 -:0414F700479546A926 -:0414F80002D306B362 -:0414F900D3638736FC -:0414FA00471500D7BB -:0414FB00665D646561 -:0414FC0006130725A7 -:0414FD0045C5BF869C -:0414FE00B9C4051355 -:0414FF0000EFDC1A04 -:041500004737093030 -:04150100278300023A -:0415020045053C0758 -:041503000047E79323 -:041504003CF720236D -:04150500866FD0EF2E -:041506000002173791 -:041507000D07228327 -:0415080087A667410A -:041509005362177D95 -:04150A0000E2F4B354 -:04150B00CC91EF99F7 -:04150C00871367E5F5 -:04150D0046EDBB07E5 -:04150E0056034781B8 -:04150F008D630007E1 -:04151000078500C487 -:041511009AE3070949 -:041512006509FED792 -:041513007105051346 -:04151400A0EFDC1A4E -:04151500B7C9CADFA9 -:04151600886347257A -:04151700471100E791 -:041518000003136356 -:04151900DA63472525 -:04151A00472902E774 -:04151B00E7B30785A6 -:04151C00033302E7AC -:04151D00676502E319 -:04151E0001A3979AF4 -:04151F00C0EF12F710 -:04152000C901E3DF3B -:04152100869366DD6A -:04152200665DB0064C -:041523008B8606139A -:0415240066DDB53596 -:0415250086468693DD -:041526004769BFCD85 -:0415270000E79563E1 -:041528000013431356 -:041529004731B70D82 -:04152A00FAE791E368 -:04152B0067E5B3F5C8 -:04152C0011A7C70339 -:04152D000F6357D21F -:04152E00B48DD6079B -:04152F00F06F47A969 -:041530004715D86F14 -:041531007CF772636E -:04153200986347096A -:04153300871300E634 -:041534007713FFA783 -:0415350079630FF7D0 -:0415360056F27AE609 -:041537004485470997 -:0415380000E6802326 -:04153900F06F468980 -:04153A00448DE9CF24 -:04153B00F06F468582 -:04153C00461DE94F10 -:04153D0000C78D63F3 -:04153E000023460937 -:04153F00F06F00C485 -:041540000023EB0F8A -:04154100F06F00F453 -:04154200460DEA8FD9 -:041543004615B7FD95 -:041544000696B7ED63 -:04154500F5938DD5B8 -:04154600E5930FF525 -:04154700F06F0045FC -:041548004789EB0FD5 -:041549000FF5731314 -:04154A000087F863BB -:04154B000905751306 -:04154C00F705051387 -:04154D008EFFF06FAE -:04154E00001355131E -:04154F0000157313FD -:041550008E7FF06F2B -:041551000204F49309 -:04155200960484E394 -:041553000C87359339 -:041554000015C59326 -:0415550047A24685DE -:0415560066656465FD -:0415570000B7C48392 -:04155800879367E529 -:04155900DA3E14A7BB -:04155A00B184041341 -:04155B00104606131D -:04155C002C04926366 -:04155D0062030263C0 -:04155E00620580633F -:04155F0000060783F8 -:04156000D563458981 -:0415610000232AF544 -:041562004622000617 -:0415630044914785E3 -:0415640000F605A3E5 -:041565001793462270 -:041566006505008592 -:041567000513421016 -:041568008FE9F00512 -:04156900051365E51C -:04156A00E7B30016CD -:04156B00859300570D -:04156C006F63130591 -:04156D00051300E57D -:04156E006B63001794 -:04156F00462200C54B -:0415700097634250EB -:04157100462200C747 -:0415720000864603A6 -:041573005CD60963D6 -:0415740000058023CB -:041575004583463232 -:041576004622019672 -:0415770003764603AE -:041578000EC59763A2 -:04157900458346322E -:04157A00462201A65E -:04157B00038646039A -:04157C000CC59F6398 -:04157D00458346322A -:04157E00462201B64A -:04157F000396460386 -:041580000CC597639C -:041581004583463226 -:04158200462201C636 -:0415830003A6460372 -:041584000AC59F6392 -:041585004583463222 -:04158600462201D622 -:0415870003B646035E -:041588000AC5976396 -:04158900458346321E -:04158A0046220146AE -:04158B0003264603EA -:04158C0008C59F638C -:04158D00458346321A -:04158E00462201569A -:04158F0003364603D6 -:0415900008C5976390 -:041591004583463216 -:041592004622016686 -:0415930003464603C2 -:0415940006C59F6386 -:041595004583463212 -:041596004622017672 -:0415970003564603AE -:0415980006C597638A -:04159900458346320E -:04159A00462201865E -:04159B00036646039A -:04159C0004C59F6380 -:04159D000613666566 -:04159E004583C2C6F9 -:04159F004603027687 -:0415A00096630454F6 -:0415A100666504C5B2 -:0415A200C2C60613A4 -:0415A30002864583F4 -:0415A4000464460392 -:0415A50002C59D637B -:0415A600061366655D -:0415A7004583C2C6F0 -:0415A80046030336BD -:0415A900946305142E -:0415AA00666502C5AB -:0415AB00C2C606139B -:0415AC0003A64583CA -:0415AD000584460368 -:0415AE0000C59B6376 -:0415AF000613666554 -:0415B0004583C2C6E7 -:0415B100460303B634 -:0415B20089630594B0 -:0415B300458900C5A1 -:0415B400F363862631 -:0415B500460900B42F -:0415B6000FF6749325 -:0415B70045834632F0 -:0415B800462202566F -:0415B90004364603AB -:0415BA0002C58B6378 -:0415BB0045F146228E -:0415BC0000A606037C -:0415BD0002B605B3BA -:0415BE000613666545 -:0415BF00962EC74657 -:0415C00005934A1035 -:0415C100821520D09F -:0415C2007FF6761327 -:0415C30000B61963F2 -:0415C40086264589A9 -:0415C50000B4F36318 -:0415C60074934609CB -:0415C70046320FF6A3 -:0415C80002664583EF -:0415C900460346226D -:0415CA008B630446E5 -:0415CB00462202C5ED -:0415CC00060345F1DC -:0415CD0005B300A6BC -:0415CE00666502B696 -:0415CF00C7460613F2 -:0415D0004A10962EF9 -:0415D1001C10059352 -:0415D20076138215F5 -:0415D30019637FF623 -:0415D400458900B68F -:0415D500F363862610 -:0415D600460900B40E -:0415D7000FF6749304 -:0415D800863745A26B -:0415D90042100002BA -:0415DA0001D5C583EF -:0415DB008A3D826D56 -:0415DC0000C589635A -:0415DD008626458990 -:0415DE0000B4F363FF -:0415DF0074934609B2 -:0415E00056520FF65A -:0415E10000064603B7 -:0415E2000503CE49E6 -:0415E30042F100A42D -:0415E4000533666500 -:0415E5000313025595 -:0415E6004583C7462C -:0415E7004295012404 -:0415E80004544383E1 -:0415E900932A4601FA -:0415EA0001032503D1 -:0415EB000533897DBE -:0415EC00053302B50C -:0415ED00029302550E -:0415EE00051306409B -:0415EF004533032558 -:0415F00052830255CB -:0415F10085B300E3DB -:0415F200952E0255DB -:0415F30081410542EB -:0415F40001079593C3 -:0415F50000A41B2310 -:0415F600866381C1C6 -:0415F70026030003C4 -:0415F80082050183E4 -:0415F900CCB68A05DD -:0415FA00DE3EDC3ABB -:0415FB00DDEFB0EF81 -:0415FC0000A406033E -:0415FD0067E545F168 -:0415FE0002B60633F8 -:0415FF00C7478293C5 -:0416000001244583F9 -:0416010045039616F1 -:04160200B0EF0166DE -:0416030009A3FA2F0E -:04160400576200A485 -:0416050046E657F26C -:04160600E0918626C3 -:04160700749346058D -:04160800C0180FF601 -:041609000423C05C9A -:04160A00A03500D433 -:04160B00002307852C -:04160C00B38D00F6A4 -:04160D00000304636F -:04160E0036059063AA -:04160F000006078347 -:04161000D6635371D9 -:0416110047A2346751 -:0416120000060023AB -:0416130085A34491D6 -:0416140091E3000757 -:0416150047B2D405FF -:041616000007C703FF -:04161700C78347A29C -:041618001D6301E766 -:0416190047B210F7CD -:04161A000017C703EB -:04161B00C78347A298 -:04161C00156301F75A -:04161D0047B210F7C9 -:04161E000027C703D7 -:04161F00C78347A294 -:041620001D6302073D -:0416210047B20EF7C7 -:041622000037C703C3 -:04162300C78347A290 -:041624001563021731 -:0416250047B20EF7C3 -:041626000067C7038F -:04162700C78347A28C -:041628001D630247F5 -:0416290047A20CF7D1 -:04162A008593461549 -:04162B0047B2026759 -:04162C00008785139B -:04162D0058D000EFA2 -:04162E0047A2E1717D -:04162F008593461940 -:0416300047B202B704 -:0416310000D7851346 -:04163200579000EFDE -:0416330047B2E9458C -:041634000047C703A1 -:04163500C78347A27E -:041636001163022713 -:0416370047B20AF7B5 -:041638000057C7038D -:04163900C78347A27A -:04163A0019630237F7 -:04163B0047B208F7B3 -:04163C000077C70369 -:04163D00C78347A276 -:04163E0011630257DB -:04163F0067E508F75C -:04164000C2C7879303 -:0416410001F7C703E3 -:0416420003D4478303 -:0416430006F718632B -:04164400879367E53C -:04164500C703C2C74E -:0416460047830207CD -:041647001F6303E436 -:0416480067E504F757 -:04164900C2C78793FA -:04164A000217C703B9 -:04164B0003F44783DA -:04164C0004F7166326 -:04164D00879367E533 -:04164E00C703C2C745 -:04164F0047830227A4 -:041650001D6304040E -:0416510067E502F750 -:04165200C2C78793F1 -:0416530001E7C703E1 -:0416540003C4478301 -:0416550002F7146321 -:04165600879367E52A -:04165700C703C2C73C -:04165800478303675A -:041659001B630544C6 -:04165A0067E500F749 -:04165B00C2C78793E8 -:04165C000357C70366 -:04165D000534478386 -:04165E0000F7076327 -:04165F00E09187A6E9 -:04166000F493478533 -:0416610047B20FF786 -:0416620002A7C58393 -:04166300DC2E47A290 -:041664000487C783AD -:0416650000B78E63D9 -:04166600B0EF45415B -:0416670055E2B4CFC5 -:04166800058E891D45 -:04166900F5938DC99F -:04166A0045410FF5F2 -:04166B00B84FB0EFD5 -:04166C00C58347B239 -:04166D0047A202B7D7 -:04166E000497C78393 -:04166F0000B78663D7 -:0416700003D005138B -:04167100B6CFB0EF51 -:04167200C58347B233 -:0416730047A202C7C1 -:0416740004A7C7837D -:0416750000B78563D2 -:04167600B0EF454547 -:0416770047B2B56F52 -:04167800C5834722BD -:041679004703030719 -:04167A00C78304E737 -:04167B0017630317D7 -:04167C00472200B74A -:04167D0004F7470324 -:04167E0000F70B6303 -:04167F00DC3E4549BF -:04168000B30FB0EF05 -:04168100454D57E29A -:04168200B0EF85BE82 -:0416830047B2B26F49 -:041684000297C50301 -:04168500047447831F -:0416860002A78463D0 -:04168700F863478538 -:0416880067E500A76B -:041689001377C5030B -:04168A00351315619E -:04168B0047E100151E -:04168C0002F505332B -:04168D00879367E1F7 -:04168E00953E8747B7 -:04168F00C5AFB0EF44 -:04169000C50347B295 -:04169100478302F792 -:04169200846304D495 -:04169300B0EF00A70D -:0416940047B2E02F4A -:0416950002D7C503B0 -:0416960004B44783CE -:0416970000A78463C1 -:04169800D2AFB0EF2E -:04169900C58347B20C -:04169A00478302E799 -:04169B00DC2E04C479 -:04169C0000B78F63A1 -:04169D00B0EF4569FC -:04169E0055E2A70F5B -:04169F00FCF57513CE -:0416A0008DC9059259 -:0416A1000FF5F593B9 -:0416A200B0EF4569F7 -:0416A3004732AA6FB1 -:0416A400458347B281 -:0416A500C78303D71D -:0416A600470303C72C -:0416A700166305A41D -:0416A800470300F7FD -:0416A9000A6305B417 -:0416AA00058E00B7F2 -:0416AB00F5938DDD49 -:0416AC0005130FF51E -:0416AD00B0EF028018 -:0416AE0067E5A7AF96 -:0416AF00C2C7879394 -:0416B00003E7879332 -:0416B100461D853E0F -:0416B20005C40593D3 -:0416B300375000EFBD -:0416B400879367E5CC -:0416B500C501C6A7FE -:0416B600B0EF853ECE -:0416B70066E5A98FAC -:0416B800C2C687938C -:0416B9000377C703E9 -:0416BA000554478309 -:0416BB0000F71A63B7 -:0416BC00C2C6879388 -:0416BD000387C703D5 -:0416BE0005644783F5 -:0416BF0002F70563C6 -:0416C000879367E5C0 -:0416C100C703C2C7D2 -:0416C200C63A0237EB -:0416C300914FB0EFA4 -:0416C4000513458144 -:0416C500B0EF0CE096 -:0416C60047328CEF2C -:0416C70004634789E8 -:0416C800B0EF00F788 -:0416C90067E5E3EFFF -:0416CA001207C783B9 -:0416CB0067E5C79573 -:0416CC00C2C7879377 -:0416CD000397C58337 -:0416CE0005744783D5 -:0416CF0000B78E636F -:0416D000058615D1A5 -:0416D1000FF5F59389 -:0416D200C62E4505D6 -:0416D30091AFB0EF34 -:0416D400450945B2CD -:0416D500912FB0EFB2 -:0416D600859367E5AC -:0416D7000613C2C76D -:0416D8000513046092 -:0416D900A0EF01E499 -:0416DA0057D2A61F1E -:0416DB000007802361 -:0416DC008263478955 -:0416DD00479110F42D -:0416DE0004F4876326 -:0416DF009263478546 -:0416E00047830AF43E -:0416E100CFD100B4B1 -:0416E200AA3FA0EF8C -:0416E30017FDA859EE -:0416E40000F60023E9 -:0416E500B97544810E -:0416E60000060023D7 -:0416E700C603BFE592 -:0416E80045050005AF -:0416E900A2A608E3CA -:0416EA007613060568 -:0416EB0080230FF653 -:0416EC0011E300C541 -:0416ED004589A2A6E3 -:0416EE00F3638626F6 -:0416EF00460900B4F4 -:0416F0000FF67493EA -:0416F1004783BC016E -:0416F200C3B500B4C8 -:0416F300071367650D -:0416F40057831327DE -:0416F500640900077D -:0416F6007104051363 -:0416F7000027E7934E -:0416F80000F71023C4 -:0416F900000217379D -:0416FA000EF72023A4 -:0416FB00D12FA0EF5C -:0416FC00455945C542 -:0416FD0093CFB0EFE8 -:0416FE00710405135B -:0416FF00D02FA0EF59 -:04170000455D458975 -:0417010092CFB0EFE4 -:041702007104051356 -:04170300CF2FA0EF55 -:04170400B3BFC0EFC0 -:04170500879367E57A -:04170600C503C2C78E -:04170700B0EF023706 -:041708000513877FBF -:04170900A0EF12C07B -:04170A00E06FCD8F30 -:04170B002223BF9F37 -:04170C00B0EF000436 -:04170D004783B89FB7 -:04170E00656501C448 -:04170F0097134645A1 -:0417100067E1002766 -:0417110084878793AF -:04171200438C97BAB3 -:04171300BE85051377 -:04171400245000EF6E -:04171500656565DDC4 -:04171600859346452C -:041717000513C045B1 -:0417180000EFBFC55A -:04171900450523302F -:04171A00A77FC0EFF6 -:04171B00CB6FA0EF01 -:04171C00CE02D00227 -:04171D004783B77DCA -:04171E00D7C500B477 -:04171F00ACFFC0EF6C -:041720004715B74D65 -:0417210084F76BE3FB -:04172200468144892F -:04172300EF7FE06F05 -:04172400F7C10113F5 -:04172500C13ED6A645 -:0417260067E5DA8613 -:04172700DCB6D8A2B2 -:04172800A483DEBAFE -:04172900DC63B04786 -:04172A00079300051C -:04172B00C09C08B0A6 -:04172C0050D6557DC1 -:04172D0054B6544614 -:04172E00084101135A -:04172F00079380821A -:0417300018232080DA -:04173100C22A00F1D7 -:04173200842ECA2A0D -:04173300C199478190 -:04173400FFF58793A3 -:04173500CC3EC63EA2 -:0417360057FD18B48F -:041737008526004CB7 -:0417380000F1192380 -:041739002C59C03631 -:04173A00556357FD9F -:04173B00079300F51B -:04173C00C09C08B095 -:04173D004792DC5D96 -:04173E0000078023FD -:04173F00C5C5BF5D00 -:04174000FFC5A783B7 -:04174100C42211416C -:041742008413C60640 -:04174300D363FFC5A8 -:04174400943E0007C8 -:0417450000EFC02AC7 -:0417460067650BB018 -:041747001547278398 -:04174800863A450296 -:041749002223EB91DB -:04174A002A2300044A -:04174B004422148799 -:04174C00014140B265 -:04174D0009F0006F30 -:04174E0000F47F63C1 -:04174F000733401408 -:04175000966300D4C8 -:04175100439800E7D2 -:04175200973643DCA7 -:04175300C05CC0189E -:0417540014862A23AA -:04175500873EBFE923 -:04175600C39943DC14 -:04175700FEF47DE33C -:0417580006334314FD -:041759001F6300D733 -:04175A0040100086B5 -:04175B00C31496B26B -:04175C0000D7063379 -:04175D00FAC79DE347 -:04175E0043DC439095 -:04175F00C31496B267 -:04176000B775C35C3A -:0417610000C47563E8 -:04176200C11C47B1AE -:041763004010B74D2E -:0417640000C406B304 -:0417650000D79663B0 -:0417660043DC439489 -:04176700C01496B262 -:04176800C340C05C5E -:041769008082B7695A -:04176A00C226114141 -:04176B00003584932E -:04176C00C60698F124 -:04176D0004A1C422ED -:04176E0087AA4731CE -:04176F0004E4FC632F -:04177000EB6344B132 -:04177100853E04B4F9 -:0417720000EFC03E86 -:041773006765007036 -:04177400154726836C -:04177500061347828E -:041776008436154759 -:041777006465E43190 -:0417780015840413BD -:04177900EB01401828 -:04177A004581853EE2 -:04177B0000EFC03E7D -:04177C00478279C067 -:04177D00853EC008DD -:04177E00C03E85A63E -:04177F0078E000EF1F -:041780004782577DC8 -:0417810006E5166300 -:04178200C398473190 -:0417830000EF853EB0 -:04178400A0297C40DC -:04178500FA04D7E3A8 -:04178600C39847318C -:0417870040B2450126 -:041788004492442221 -:041789008082014118 -:04178A008F0540186F -:04178B0002074F639F -:04178C00F66345AD0E -:04178D00C01800E59B -:04178E00C004943AC5 -:04178F004058A029F5 -:0417900002869363D7 -:04179100853EC218B7 -:0417920078A000EF4C -:0417930000B4051386 -:041794000044079373 -:04179500073399611C -:0417960002E340F535 -:04179700943AFCF58F -:04179800C01C8F8959 -:04179900C2D8BF6D86 -:04179A0086A2BFF96B -:04179B00B7BD404056 -:04179C0000350413FD -:04179D0002E398715A -:04179E0005B3FC850E -:04179F00853E40A49F -:0417A0002721C03EFF -:0417A1004782577DA7 -:0417A200FAE519E368 -:0417A3001111BFB5AC -:0417A4004590C23278 -:0417A500CC06C82680 -:0417A60084AECA2221 -:0417A70008C6E46329 -:0417A800D50382AA39 -:0417A900771300C5ED -:0417AA00CB3D4805E6 -:0417AB00470D48D0CE -:0417AC00073340803F -:0417AD00498C02C79A -:0417AE0007B346092E -:0417AF00C03E40B444 -:0417B00002C74433F5 -:0417B1000016861385 -:0417B2007363963E89 -:0417B300843200C4B8 -:0417B4004005751364 -:0417B500C535C6363A -:0417B60085A285166D -:0417B700F0EFC41675 -:0417B80042A2ECBF9E -:0417B900473146B2BC -:0417BA004602CD25F1 -:0417BB00C636488C5A -:0417BC00A0EFC42AAC -:0417BD00D603ED4F13 -:0417BE00432200C4FE -:0417BF00761346B2A5 -:0417C0006613B7F6FF -:0417C100962308065D -:0417C200478200C496 -:0417C3000064A823F3 -:0417C400933EC8C0C8 -:0417C50040F40733B2 -:0417C6000064A023F8 -:0417C700C498863606 -:0417C80000C6F36301 -:0417C9004592863689 -:0417CA00C032408861 -:0417CB00EB0FA0EF91 -:0417CC0046024498F5 -:0417CD008F11450132 -:0417CE004098C498E3 -:0417CF00C090963AF6 -:0417D0008516A80DC5 -:0417D100C416862292 -:0417D20042A2257199 -:0417D300832A46B26D -:0417D400488CFD4DF3 -:0417D500C01685169F -:0417D600DA7FF0EFD7 -:0417D70047314282D2 -:0417D80000E2A02368 -:0417D90000C4D7036E -:0417DA006713557DBF -:0417DB009623040746 -:0417DC0040E200E403 -:0417DD0044C244526C -:0417DE008082017193 -:0417DF0000C5D70367 -:0417E000F781011379 -:0417E100DEA6C1229D -:0417E20001F1079377 -:0417E300C22AC3064D -:0417E4007713C02E89 -:0417E50084B20807BB -:0417E600FF87F41372 -:0417E7004998CB1D35 -:0417E8000593EB0D6D -:0417E900C6360400FC -:0417EA00E01FF0EF1D -:0417EB0046B2478239 -:0417EC00CB88C3885B -:0417ED004712E9199D -:0417EE00557D47B12D -:0417EF00409AC31C3D -:0417F00054F6440A5D -:0417F1000881011357 -:0417F2004782808228 -:0417F30004000713D4 -:0417F4000713CBD834 -:0417F5000CA302003F -:0417F600071300E4F1 -:0417F7002A2303009E -:0417F8000D230004B9 -:0417F900CA3600E408 -:0417FA000613872625 -:0417FB0046830250CF -:0417FC00C299000787 -:0417FD000AC696631F -:0417FE00409706B357 -:0417FF0002970163E9 -:0418000045124582C6 -:04180100C83A862635 -:04180200F0EFC63607 -:04180300567DE85FC7 -:041804001AC50A6394 -:0418050046B248504F -:041806009636474289 -:041807004683C850FC -:0418080081630007F1 -:0418090004931A0624 -:04180A00577D0017EF -:04180B000004202392 -:04180C00000426238B -:04180D002423C05878 -:04180E0001A300042E -:04180F002C2304047E -:04181000C583040484 -:0418110067E1000487 -:0418120085134615DF -:0418130023A5E98799 -:0418140087134014E2 -:04181500E53900149D -:041816000106F613BE -:041817000613C609E5 -:0418180001A3020026 -:04181900F61304C4FA -:04181A00C609008675 -:04181B0002B00613FE -:04181C0004C401A35C -:04181D000004C5837B -:04181E0002A006130B -:04181F0002C58F630C -:04182000872644547F -:041821004525458193 -:04182200460342A98E -:0418230003130007A4 -:041824000613001790 -:041825007763FD06E2 -:04182600C98506C5A5 -:04182700A035C454D0 -:04182800B7B1070548 -:04182900861367E1DA -:04182A008D11E987AC -:04182B001533460526 -:04182C008EC900A6BB -:04182D0084BAC014A5 -:04182E004652B769FE -:04182F0000460593D7 -:04183000CA2E42106A -:0418310002064963FF -:041832004603C45055 -:041833000693000711 -:04183400116302E05A -:04183500460306D68A -:0418360006930017FE -:041837001B6302A08D -:0418380046D202D6BC -:041839008613070902 -:04183A00429400468E -:04183B00C163CA3289 -:04183C00C05402068C -:04183D000633A0814D -:04183E00E69340C02D -:04183F00C45000266B -:04184000B7E1C01438 -:04184100025686B312 -:041842004585871A37 -:04184300BFB596B2E5 -:04184400B7C556FDD1 -:04184500222307054E -:0418460045810004D4 -:04184700452546816C -:04184800460342A968 -:04184900031300077E -:04184A00061300176A -:04184B007363FD06C0 -:04184C00F1E106C5FB -:04184D0000074583C8 -:04184E00460D64E1FE -:04184F00EA0485130F -:041850002995C63AD6 -:04185100CD0147324C -:04185200EA0484938D -:0418530006938D0566 -:0418540096B3040043 -:04185500400800A6A1 -:041856008D550705A0 -:041857004583C008FD -:0418580065610007BF -:041859000513461914 -:04185A000493EA45C4 -:04185B000C23001743 -:04185C00219100B422 -:04185D000713C13577 -:04185E00E70D000092 -:04185F004752401498 -:041860001006F693E5 -:041861000711CE910C -:041862004858CA3ADE -:04186300973E47A2C3 -:04186400BD99C8580A -:04186500025686B3EE -:041866004585871A13 -:04186700B75196B22D -:04186800B7DD0721C0 -:0418690045124602DC -:04186A00085866D9DB -:04186B00E8E6869392 -:04186C00009785A2BA -:04186D0000E7000090 -:04186E00C42A000088 -:04186F00577D47A2B8 -:04187000FCE795E319 -:04187100557D4782D8 -:0418720000C7D703D1 -:0418730004077713DC -:04187400DE0717E391 -:04187500B3E5484847 -:0418760045124602CF -:04187700085866D9CE -:04187800E8E6869385 -:04187900221585A20D -:04187A001101BFC9D0 -:04187B00CA2687AE44 -:04187C0084BA85B6EF -:04187D004B984794A9 -:04187E00CE06CC22A4 -:04187F008332842A02 -:0418800000D75363D7 -:041881002023873663 -:04188200C68300E336 -:04188300C6810437DF -:041884002023070511 -:04188500439800E3A1 -:0418860002077713CB -:041887002703C7115B -:041888000709000349 -:0418890000E3202335 -:04188A0086134398E6 -:04188B008B1901971D -:04188C004398C33585 -:04188D000437C683D3 -:04188E0002077713C3 -:04188F0000D036B39C -:041890008613E75183 -:041891008522043771 -:04189200C23EC41A74 -:041893009482C02E4D -:041894000763577D12 -:04189500479206E58B -:041896004582432222 -:04189700260343944D -:0418980043110003F5 -:0418990047C88A9919 -:04189A009763470108 -:04189B0007330066A9 -:04189C00536340C58D -:04189D0047010007F8 -:04189E004B90479490 -:04189F0000D65463B8 -:0418A00097368E9158 -:0418A1008613430166 -:0418A200106301A727 -:0418A300450106678E -:0418A4000705A80D7F -:0418A500250347D4FC -:0418A6008E89000324 -:0418A700F8D75BE330 -:0418A80085224685CA -:0418A900C63EC81A55 -:0418AA00C232C43A48 -:0418AB009482C02E35 -:0418AC00458256FD1E -:0418AD004722461276 -:0418AE00434247B2B8 -:0418AF00FCD51BE366 -:0418B00040F2557D30 -:0418B10044D2446277 -:0418B20080826105CA -:0418B30000D78633A1 -:0418B4000300051315 -:0418B50004A601A3E1 -:0418B6000457C6030A -:0418B700001687137D -:0418B8000689973EC8 -:0418B90004C701A3BC -:0418BA004685BFA9F7 -:0418BB00C61A8522A2 -:0418BC00C232C43A36 -:0418BD009482C02E23 -:0418BE0003E357FDEC -:0418BF004332FCF5BF -:0418C0004612472263 -:0418C1000305458254 -:0418C2001101B74910 -:0418C300CA26CC2243 -:0418C400C02ACE0662 -:0418C5008513842ED5 -:0418C600C58304359D -:0418C70084B601855D -:0418C8000693C2328F -:0418C900EC63078045 -:0418CA00069300B6CB -:0418CB00ED630620A3 -:0418CC008C6300B673 -:0418CD0006931C055D -:0418CE008D630580A1 -:0418CF00031312D518 -:0418D00001230424C8 -:0418D100A80504B4AE -:0418D200F9D586932B -:0418D3000FF6F69383 -:0418D40066E346552C -:0418D5006661FED674 -:0418D6000613068A65 -:0418D70096B2ED4692 -:0418D800868242942E -:0418D900031343149E -:0418DA008613042449 -:0418DB00C3100046F0 -:0418DC00012342980A -:0418DD00470504E4D3 -:0418DE004014AA7593 -:0418DF0000072303D8 -:0418E0000806F613ED -:0418E1000043059328 -:0418E2002683C20D8A -:0418E300C30C00032F -:0418E400D8636661FE -:0418E50007130006DF -:0418E60006B302D073 -:0418E70001A340D049 -:0418E800061304E4FB -:0418E9004729EAC6DB -:0418EA00F613A0B998 -:0418EB002683040646 -:0418EC00C30C000326 -:0418ED0006C2DE71E0 -:0418EE00BFD986C117 -:0418EF00431440104E -:0418F00008067293E1 -:0418F1000046831317 -:0418F2000002866307 -:0418F3000067202347 -:0418F400A801429471 -:0418F500040676135C -:0418F6000067202344 -:0418F700D683DA7545 -:0418F800666100061F -:0418F90006F00713DB -:0418FA00EAC6061321 -:0418FB000EE587630C -:0418FC0001A34729D4 -:0418FD00404C040453 -:0418FE00C863C40CEB -:0418FF0023030005BA -:041900007313000459 -:041901002023FFB3ED -:04190200E299006402 -:04190300CD99832ACD -:04190400F5B3832A8A -:04190500137D02E666 -:04190600C58395B24E -:0419070000230005B4 -:0419080085B600B3ED -:0419090002E6D6B369 -:04190A00FEE5F5E31E -:04190B001E6346A170 -:04190C00401800D7A8 -:04190D00CB118B056A -:04190E0048184054E1 -:04190F0000D7476353 -:0419100003000713B6 -:04191100FEE30FA33F -:041912000533137D09 -:04191300C80840655B -:0419140045024692B0 -:041915000810872609 -:04191600C41A85A2C8 -:04191700D8FFF0EF16 -:041918004322577D92 -:041919000CE51C635A -:04191A0040F2557DC5 -:04191B0044D244620C -:04191C00808261055F -:04191D0002A366615A -:04191E00061304B4F4 -:04191F00400CEAC6C8 -:041920000007230396 -:041921000805F29330 -:041922000003268315 -:041923008D630311BC -:041924002023020278 -:04192500F71300674D -:04192600C7010015E0 -:041927000205E5933D -:041928004741C00C67 -:04192900400CF6B9BF -:04192A00FDF5F5933F -:04192B00B791C00CA4 -:04192C00E6934014EA -:04192D00C0140206DA -:04192E000780069395 -:04192F0002A3666148 -:04193000061304D4C2 -:04193100BF65EC069C -:041932000405F29323 -:041933000067202306 -:04193400FC0283E34B -:0419350082C106C2A3 -:041936004721BF7D09 -:041937004010BF1984 -:04193800484C4314C0 -:041939000806729397 -:04193A0000468313CD -:04193B0000028763BC -:04193C0000672023FD -:04193D00C30C4298FD -:04193E002023A809B1 -:04193F0076130067B4 -:0419400042980406BF -:041941001023DA6D28 -:04194200282300B79F -:04194300832A0004EF -:041944004314B78110 -:04194500861345813F -:04194600C310004684 -:041947000006A303F0 -:04194800851A40506C -:041949002841C41A53 -:04194A00C50143226E -:04194B0040650533BB -:04194C004058C048F7 -:04194D0001A3C81812 -:04194E00BF190404B5 -:04194F004592481461 -:04195000861A4502AC -:04195100577D9482A8 -:04195200F2E500E3D7 -:041953008B094018A4 -:041954004742EB0D0E -:041955005AE34448C5 -:04195600853AF0E5F9 -:041957004592B739C5 -:041958004685450279 -:04195900C432C63A94 -:04195A0056FD948220 -:04195B00EED50EE3D4 -:04195C0046224732A6 -:04195D0044540705E2 -:04195E008E8D45C263 -:04195F00FED741E38B -:041960004701BFC9B3 -:0419610001940613D4 -:041962001151B7FD6B -:04196300C026C222B6 -:0419640064E5842A88 -:04196500C406852E01 -:041966001404A8239A -:0419670057FD2A15E9 -:0419680000F516630D -:041969001504A78337 -:04196A00C01CC39149 -:04196B00441240A240 -:04196C00013144827F -:04196D00F5938082EC -:04196E00962A0FF5B1 -:04196F0000C5146338 -:04197000808245012B -:0419710000054783A3 -:04197200FEB78DE34C -:04197300B7FD0505B2 -:04197400808280826B -:0419750085B2E581D1 -:04197600FD0FF06F02 -:04197700C80611315C -:04197800C426C62299 -:04197900F0EFEA1190 -:04197A004781F18F21 -:04197B00443240C2F0 -:04197C00853E44A2BE -:04197D008082015112 -:04197E00C032842EC1 -:04197F00203D84AAD9 -:0419800087A24602F2 -:04198100FEC574E348 -:04198200852685B27F -:04198300F0EFC2328D -:0419840087AAF9AF86 -:041985004612DD61C8 -:04198600C02A85A24C -:04198700FABF90EF24 -:04198800852685A289 -:04198900EDAFF0EFDF -:04198A00B7C9478210 -:04198B00FFC5A7836A -:04198C00FFC78513F9 -:04198D000007D56317 -:04198E00419C95AA39 -:04198F008082953E7F -:041990001463470194 -:04199100450100E626 -:0419920007B3808295 -:04199300070500E55F -:0419940000E586B331 -:041995000007C783FD -:04199600FFF6C6830F -:04199700FED783E311 -:0419980040D785337C -:04199900C60D808275 -:04199A004701167D6E -:04199B0000E507B3A9 -:04199C0000E586B329 -:04199D000007C783F5 -:04199E000006C683F6 -:04199F0000D7946376 -:0419A00000C7156304 -:0419A10040D7853373 -:0419A2000705808233 -:0419A300BFDDF3E5CC -:0419A40080824501F7 -:0419A500CA0987AA3A -:0419A6000005C7036E -:0419A7000785058526 -:0419A800FEE78FA324 -:0419A900FB65167D47 -:0419AA009363963E6F -:0419AB00808200C76F -:0419AC008FA3078579 -:0419AD00BFD5FE079D -:0419AE0087AA95AAC5 -:0419AF0000B7856395 -:0419B0000007C70362 -:0419B1008533E70192 -:0419B200808240A748 -:0419B300B7FD0785F0 -:0419B400A78363E5BD -:0419B500115115C3F4 -:0419B600C406C2227F -:0419B7008393842A68 -:0419B800E79D15C3CF -:0419B900458145011E -:0419BA00468146011B -:0419BB00029347014B -:0419BC0000730D6047 -:0419BD0057FD0000D2 -:0419BE0000F51A63B3 -:0419BF0047B12835CF -:0419C000557DC11C74 -:0419C100441240A2EA -:0419C20080820131ED -:0419C30000A3A023BA -:0419C4000003A30376 -:0419C5004601458111 -:0419C6000064053381 -:0419C700470146810D -:0419C80002934781BE -:0419C90000730D603A -:0419CA00941A00006B -:0419CB00FC8518E39C -:0419CC0000A3A023B1 -:0419CD00B7F9851AC7 -:0419CE00A50367E521 -:0419CF008082B0471B -:0419D0000000000013 -:0419D1000000000012 +:04050F00F0EF0350B6 +:040510007593B1CF5F +:0405110005130FF4CB +:04051200F0EF030003 +:040513005593B10F3C +:04051400F5930084D7 +:0405150005130FF5C6 +:04051600F0EF0310EF +:040517005593B00F39 +:04051800F593010452 +:0405190005130FF5C2 +:04051A00F0EF0320DB +:04051B004581AF0F58 +:04051C00F0EF453D7A +:04051D004581AE8FD7 +:04051E000C50051365 +:04051F00ADEFF0EF5D +:0405200064E14682CA +:040521008793471263 +:04052200C794620414 +:0405230046224785A0 +:040524006204849356 +:04052500036344091F +:04052600442900F76D +:0405270083A34685DF +:0405280082230084A6 +:0405290047BD00D4F6 +:04052A0000D6036391 +:04052B0082A34781DF +:04052C00051300F4BF +:04052D0005930E0024 +:04052E00C03A0C00C3 +:04052F00A9EFF0EF51 +:0405300047854702B2 +:040531000590051319 +:0405320014F71D633A +:04053300A4CFF0EF72 +:040534000FC57593E7 +:040535000015E59335 +:040536000590051314 +:04053700A7EFF0EF4B +:04053800F0EF45118A +:040539007593A36FA4 +:04053A0045110EB5A4 +:04053B00A6EFF0EF48 +:04053C000044C5832F +:04053D000E10051384 +:04053E00A62FF0EF05 +:04053F000E400593D2 +:040540000E20051371 +:04054100A56FF0EFC3 +:040542000054C58319 +:040543000E3005135E +:04054400F0EF89BD8E +:0405450045A1A48F99 +:040546000E4005134B +:04054700A3EFF0EF3F +:04054800453D458563 +:04054900A36FF0EFBD +:04054A00051345A1AF +:04054B00F0EF0910B4 +:04054C004581A2CF74 +:04054D000920051369 +:04054E00A22FF0EFF9 +:04054F0005134585C6 +:04055000F0EF09308F +:040551004581A18FB0 +:040552000940051344 +:04055300A0EFF0EF36 +:04055400051385A264 +:04055500F0EF09803A +:040556004593A04FDA +:0405570005920FF406 +:0405580000B5E59372 +:040559000FB5F59352 +:04055A0009900513EC +:04055B009EEFF0EF30 +:04055C00453D458153 +:04055D009E6FF0EFAE +:04055E000C100593E5 +:04055F000E00051372 +:040560009DAFF0EF6C +:04056100FC100793F0 +:0405620000F48323FB +:04056300453D458548 +:040564009CAFF0EF69 +:0405650005134585B0 +:04056600F0EF06802C +:0405670045819C0F1F +:0405680006900513E1 +:040569009B6FF0EFA5 +:04056A0005134581AF +:04056B00F0EF06B0F7 +:04056C0045819ACF5C +:04056D0006C00513AC +:04056E009A2FF0EFE1 +:04056F0007000593E9 +:0405700006D0051399 +:04057100996FF0EF9F +:04057200453D45813D +:0405730098EFF0EF1E +:040574000513458D99 +:04057500F0EF0CE0B7 +:040576004585984FD0 +:04057700F0EF453D1F +:04057800051397CF01 +:04057900E0EF3E80F1 +:04057A000513B35F53 +:04057B00F0EF03504A +:04057C00842A92AF8C +:04057D0003600513FF +:04057E00920FF0EFF9 +:04057F0080110512D0 +:0405800005138C498A +:04058100F0EF037024 +:040582001593912F0D +:040583008DC100C561 +:0405840040D24442DB +:04058500655944B2BE +:04058600E045051334 +:04058700F06F0161AF +:04058800F0EF92FFFF +:0405890075938F6F68 +:04058A00B57D0FC567 +:04058B00C026115124 +:04058C00C222C406BD +:04058D00000284B72D +:04058E0083ED409C1D +:04058F0087638BBD36 +:0405900047D10CA79C +:0405910002F507B3B5 +:04059200859365DD0B +:04059300842A40C5B1 +:040594008513465134 +:0405950095BE0044CB +:04059600FABFE0EFD9 +:0405970000F4779362 +:04059800002797138E +:040599006559409CC4 +:04059A00F79385A2AC +:04059B008FD9FC37C1 +:04059C000513C09CE7 +:04059D00F0EFE10595 +:04059E0064618D7F88 +:04059F006304079357 +:0405A00001C7C78345 +:0405A10063040413D8 +:0405A2006765C39531 +:0405A300C3E7071390 +:0405A4000007578372 +:0405A5009BF5452954 +:0405A60083C107C244 +:0405A70000F7102326 +:0405A80000021737FF +:0405A9000EF7202306 +:0405AA00A73FE0EF98 +:0405AB00000217B77C +:0405AC000D07A7830D +:0405AD000008073704 +:0405AE00EB8D8FF949 +:0405AF00000287B708 +:0405B00059634398B0 +:0405B1004398000764 +:0405B2006713450581 +:0405B300C3980017D2 +:0405B400A4BFE0EF11 +:0405B500000287B702 +:0405B60045294398F8 +:0405B700C3989B79D1 +:0405B80067134398EA +:0405B900C3980027BC +:0405BA00A33FE0EF8C +:0405BB0001C44783AD +:0405BC006765CF910F +:0405BD00C3E7071376 +:0405BE000007578358 +:0405BF000027E79397 +:0405C00000F710230D +:0405C10000021737E6 +:0405C2000EF72023ED +:0405C300441240A2FC +:0405C400013144823B +:0405C500011380821C +:0405C6002423ED41BC +:0405C70022231211C8 +:0405C8002023128159 +:0405C900C02A1291A1 +:0405CA007263473DD4 +:0405CB00655902A7C5 +:0405CC00E30505132B +:0405CD00819FF0EF2B +:0405CE00208357FD32 +:0405CF00240312816E +:0405D000248312412D +:0405D100853E120150 +:0405D20012C101133E +:0405D30057B7808214 +:0405D4008793445273 +:0405D500D03E355788 +:0405D600004157B7D2 +:0405D70044178793AB +:0405D8004782D23E46 +:0405D900B7931004C0 +:0405DA00052300F7FE +:0405DB00478202F160 +:0405DC000EE7936330 +:0405DD008793679504 +:0405DE0065E150077C +:0405DF0002F11423EE +:0405E000079346290E +:0405E100859304A05A +:0405E200051369454F +:0405E30005A302D199 +:0405E400062302F1F7 +:0405E500E0EF020140 +:0405E60067E5E6DF00 +:0405E700C407C783FB +:0405E800061365E1B0 +:0405E9000C2303607C +:0405EA0067E502F1CE +:0405EB00C1C7C7833A +:0405EC006C85859302 +:0405ED0004110513DD +:0405EE0002F10CA367 +:0405EF00C78367E572 +:0405F0008426C2D7C4 +:0405F10002F10BA365 +:0405F200C78367E56F +:0405F3000D23C247CB +:0405F40067E502F1C4 +:0405F500C137C783C0 +:0405F60002F10DA35E +:0405F700C78367E56A +:0405F8000E23C117F6 +:0405F90067E102F1C3 +:0405FA005D87C783CF +:0405FB0002F10EA358 +:0405FC00C78367E565 +:0405FD000F23C127E0 +:0405FE0067E102F1BE +:0405FF005E87C783C9 +:0406000002F10FA351 +:04060100C78367E163 +:0406020000235E97DC +:04060300E0EF04F12F +:040604004503DF5F6C +:0406050004050004E4 +:04060600EC5FE0EFD6 +:04060700FEA40FA39B +:0406080007710793DC +:04060900FEF417E301 +:04060A00A50367E5F8 +:04060B000693C20789 +:04060C0086260570C9 +:04060D00001F05B70E +:04060E00AEFFE0EF6C +:04060F001EE387AAB5 +:04061000C02AEE0509 +:04061100059365598F +:04061200051304A028 +:04061300F0EFE4051B +:040614004782EFEF3B +:040615006799B5DD4F +:04061600800787933F +:0406170002F11423B5 +:04061800047C07B7A0 +:040619000467879358 +:04061A00DC3E6461FD +:04061B00728447831B +:04061C006659EB89A7 +:04061D00E646061394 +:04061E00051345B5C6 +:04061F0030EF7284C2 +:0406200005931630F8 +:040621004635728464 +:0406220002B1051309 +:0406230036A040EFCE +:04062400061365E173 +:040625008593046055 +:040626001868738558 +:04062700D67FE0EFAB +:0406280006136461F0 +:04062900059309E04C +:04062A000513780438 +:04062B00E0EF0821D3 +:04062C0087A6D55F69 +:04062D000007C503FA +:04062E00E0EFC23EF9 +:04062F004792E23FCD +:0406300080231218F9 +:04063100078500A792 +:04063200FEE796E366 +:040633006365478232 +:04063400C2032503D5 +:04063500010787131F +:04063600010717930E +:04063700069385BEE3 +:040638008626100002 +:04063900C63EC43ABB +:04063A00A3FFE0EF4B +:04063B0007136365D9 +:04063C00CA3AC203F1 +:04063D0012E387AA93 +:04063E000793E40535 +:04063F0087137804A1 +:04064000C23A09E7CA +:040641008793472232 +:04064200C83E49E77E +:040643000713074250 +:04064400C43A10079D +:040645003DE004137D +:040646001000079306 +:04064700F4638722AF +:04064800071300870D +:0406490045921000C6 +:04064A00834107429F +:04064B001008863AD3 +:04064C00E0EFCC3AD5 +:04064D004762CD1F14 +:04064E00C50387A6B3 +:04064F00CE3A000798 +:04065000E0EFCC3ECD +:0406510047E2D9BFE4 +:0406520047721214C5 +:0406530000A7802359 +:0406540094E307859F +:0406550047D2FED7B3 +:0406560045B2462241 +:0406570010144388B0 +:040658008FDFE0EF61 +:040659001AE387AA6F +:04065A000693DC0522 +:04065B0087221000E2 +:04065C0000D47463EF +:04065D00100007136F +:04065E00F007071387 +:04065F000107141368 +:0406600046C2471235 +:0406610007138041BA +:04066200C23A100781 +:040663000713472210 +:04066400C43A10077D +:0406650011E3471244 +:040666004582F8D7FA +:0406670006136559B8 +:0406680005134C200A +:04066900C23EE6C5E2 +:04066A00DA4FF0EF84 +:04066B00B37147928E +:04066C00C026115142 +:04066D00849364E529 +:04066E00C503C2F40A +:04066F00C22200049F +:040670003B91C406F0 +:04067100E515842ADD +:040672000004C703B6 +:04067300468167E570 +:04067400C2E787232F +:04067500C78367E5EB +:04067600C781C2D79F +:04067700C68367E1EE +:0406780067E164C70B +:0406790069478793B3 +:04067A00802397B68C +:04067B00453D00E712 +:04067C0040A2331D48 +:04067D00441285227C +:04067E000131448280 +:04067F000113808261 +:0406800067E1ED81C0 +:04068100121122230D +:04068200128120239E +:0406830010912E2381 +:04068400C62EC22A92 +:040685007207842351 +:04068600C83E473DE6 +:0406870002A77263F1 +:040688000513655998 +:04068900F0EFE305A6 +:04068A00547DD26F5A +:04068B001241208375 +:04068C00240385229C +:04068D0024831201AF +:04068E00011311C182 +:04068F0080821281D2 +:0406900067654792C1 +:04069100C207250374 +:04069200069307C103 +:04069300959310002B +:0406940008700107E2 +:04069500E0EFC43E90 +:040696006765989F5D +:040697000793087449 +:04069800842AC207E7 +:04069900CA3E84B61B +:04069A000004C50390 +:04069B000485C036DC +:04069C00C6DFE0EFE6 +:04069D00FEA48FA385 +:04069E0046820A7C0A +:04069F00FE9796E349 +:0406A00065D9F455CF +:0406A100E90585934F +:0406A200E0EF086815 +:0406A3004682BC5F70 +:0406A400C909842AD2 +:0406A50065594592BC +:0406A600E9850513CA +:0406A700CB0FF0EF96 +:0406A800B7694405E5 +:0406A90002614703A0 +:0406AA004685CB11A5 +:0406AB0010D70563FC +:0406AC000513655974 +:0406AD00F0EFF6452F +:0406AE00BF8DC96FC4 +:0406AF00024145833C +:0406B00002514603AA +:0406B1000713E589BD +:0406B2000963055083 +:0406B300655900E69F +:0406B400EB850513BA +:0406B500C78FF0EF0C +:0406B600BF894409AB +:0406B7000281470372 +:0406B8000271460382 +:0406B9008F51072234 +:0406BA0004A006137F +:0406BB00F4C710E38D +:0406BC00FF8D47B2B5 +:0406BD0045396761F3 +:0406BE00694707136E +:0406BF00C60345A980 +:0406C000666300D697 +:0406C100033300C53A +:0406C200002300F71A +:0406C300078500C3E4 +:0406C40096E306852E +:0406C5004783FEB7B2 +:0406C6006765035110 +:0406C700C0F70E2347 +:0406C80066634725F9 +:0406C900676500F76A +:0406CA00C4F700234E +:0406CB004783A02998 +:0406CC007AE3034189 +:0406CD004703FEF7EA +:0406CE0067E5037168 +:0406CF0089A3656135 +:0406D0004703C0E735 +:0406D10067E5038155 +:0406D20003D10593B8 +:0406D300C0E788A351 +:0406D4000391470344 +:0406D500061367E1C0 +:0406D6008C2303600E +:0406D70047035CE792 +:0406D80067E503A12E +:0406D9006C85051314 +:0406DA00C0E78923C9 +:0406DB0003B147031D +:0406DC00842367E12B +:0406DD0047035EE78A +:0406DE0067E103C10C +:0406DF005EE784A3AB +:0406E0000331470398 +:0406E10086A367E5A0 +:0406E20067E1C2E723 +:0406E3006947C70399 +:0406E400872367E51C +:0406E5004703C2E71E +:0406E60067E5036160 +:0406E700C2E78223C1 +:0406E800A63FE0EF5A +:0406E90005936559B7 +:0406EA000513036091 +:0406EB00F0EFEF0538 +:0406EC004401B9EF1D +:0406ED004783BDA5DD +:0406EE00460302417C +:0406EF00C03E0251B6 +:0406F0000713E7897C +:0406F1000763058016 +:0406F200655900E660 +:0406F3000513458224 +:0406F400B709F0C58D +:0406F50003415683E4 +:0406F6000460071382 +:0406F700E4E698E3BA +:0406F80003615483C3 +:0406F90047C00713DC +:0406FA00E4E492E3BF +:0406FB00463547C277 +:0406FC0002710593EF +:0406FD007287851368 +:0406FE007FF030EF6A +:0406FF0097E347B284 +:040700006561E20746 +:040701000460061377 +:040702000513182C97 +:04070300E0EF73852B +:0407040047A29F5F0A +:04070500C402676162 +:04070600C63E07A242 +:0407070078070793D5 +:0407080006200413B0 +:0407090047C2C83EDD +:04070A000613472269 +:04070B008E0110004B +:04070C0000E785334A +:04070D0085B3087C2C +:04070E00C563008738 +:04070F00E0EF06C44D +:0407100047A29C5F01 +:04071100849394A297 +:040712008733F00435 +:040713000713408701 +:040714001793100720 +:0407150083C1010794 +:040716004782C43E14 +:0407170006934732CC +:040718000785100041 +:040719000FF7F7934C +:04071A0000E785B3BC +:04071B0047D2C03EC3 +:04071C0005A20870BA +:04071D0004C2438847 +:04071E00E0EF80C1C7 +:04071F00842AF64FE3 +:04072000450308780D +:04072100CC3A0007C7 +:04072200A55FE0EF00 +:040723000A7C4762A3 +:0407240000A7002307 +:0407250016E30705CB +:0407260019E3FEF7DE +:040727004401D804AD +:04072800A021F0D943 +:04072900E0EF862651 +:04072A00459295DF80 +:04072B0067E56559C0 +:04072C000613470564 +:04072D0005134C2044 +:04072E008B23F40520 +:04072F00F0EFC4E73C +:04073000BDC5A8EFAC +:04073100C02611517C +:04073200849364E563 +:04073300C503C2F444 +:0407340045810004F7 +:04073500C406C22212 +:04073600842A331DC1 +:04073700C703E121F2 +:0407380067E500046D +:04073900872346A923 +:04073A0067E1C2E7CA +:04073B0077D7C78322 +:04073C0000D78563FA +:04073D00802366E5CA +:04073E0067E5C4F6B1 +:04073F00C2D7C783D3 +:04074000C7814681A6 +:04074100C68367E51F +:0407420067E1C407A0 +:0407430069478793E8 +:04074400802397B6C1 +:04074500453D00E747 +:040746009FFFF0EF32 +:04074700852240A225 +:040748004482441291 +:040749008082013178 +:04074A00458511418F +:04074B00C02AC606F4 +:04074C00CCFFF0EFFF +:04074D004783676116 +:04074E0046827287E6 +:04074F007287071393 +:040750006759E7817D +:04075100F7C70713CC +:04075200665940B2F2 +:0407530006136561C3 +:0407540045C5F84659 +:040755006B450513D8 +:04075600306F0141BE +:040757001151486094 +:04075800C222C406EF +:0407590084AAC02688 +:04075A00C93FE0EFC4 +:04075B0000349413BF +:04075C008C49991D0E +:04075D000FF474130E +:04075E00450585A226 +:04075F00A9BFE0EF5F +:04076000450985A220 +:04076100A93FE0EFDD +:04076200450D85A21A +:04076300A8BFE0EF5C +:0407640040A2441259 +:04076500448285A69F +:0407660005136559B9 +:040767000131F8C59F +:040768009ACFF06FC5 +:04076900C226114152 +:04076A00451184AA07 +:04076B00C032C422B2 +:04076C00C606842E0B +:04076D00A9DFE0EF31 +:04076E007593460237 +:04076F000F630F8580 +:0407700007930E06D7 +:04077100EB637FF0C7 +:0407720004860E9754 +:040773000015E593F5 +:0407740004C2451165 +:04077500ABFFE0EF07 +:04077600D59380C1D6 +:04077700F5930044B2 +:0407780045050FF52F +:04077900AAFFE0EF04 +:04077A00004495930F +:04077B000F05F593DE +:04077C00E0EF45095C +:04077D006559AA1FF1 +:04077E00051385A634 +:04077F00F0EFFA8518 +:0407800007B794EF34 +:040781008793019CBD +:04078200D7B3CC0716 +:0407830007130287CF +:0407840065593E80F5 +:04078500FCC5051397 +:0407860087B34401F0 +:04078700D5B302974D +:04078800C03E02E786 +:0407890002E5F6335C +:04078A0002E5D5B3FC +:04078B00920FF0EFEA +:04078C005737478212 +:04078D000713022527 +:04078E0070630FF78E +:04078F00273702F70F +:040790000713042C1B +:040791004405D7F74D +:0407920000F7796390 +:04079300080BF43724 +:04079400FBF404135B +:0407950000F4343305 +:0407960067E104090A +:04079700A7C78793D6 +:04079800C50397A25C +:0407990007930007BB +:04079A0005330280A1 +:04079B00D79302F5F9 +:04079C00953E001472 +:04079D00029544B3CA +:04079E00F493479DEC +:04079F0086260FF4A7 +:0407A0000097F36368 +:0407A10067DD461DAD +:0407A2000024171305 +:0407A3003887879379 +:0407A400438C97BA31 +:0407A5000FF6749344 +:0407A60086266559E5 +:0407A700FF05051332 +:0407A800041A048E9D +:0407A9008A8FF0EF54 +:0407AA0075938C4572 +:0407AB0044220F8451 +:0407AC00449240B281 +:0407AD000141450DB4 +:0407AE009DBFE06F9C +:0407AF00E0EF451121 +:0407B000BF219D5F69 +:0407B100C2221151FE +:0407B2000513842A7D +:0407B300C40603F085 +:0407B400981FE0EFBB +:0407B5000F05759324 +:0407B60005138DC1D9 +:0407B700E0EF03F07C +:0407B80085A29B5F1C +:0407B90040A2441204 +:0407BA000513655965 +:0407BB000131008583 +:0407BC0085CFF06F86 +:0407BD00879367E1D6 +:0407BE0043CC6307BE +:0407BF00C2221151F0 +:0407C000019C04375D +:0407C100CC0404134D +:0407C20002B45433F6 +:0407C300C406C02682 +:0407C40000A7878380 +:0407C50084AA47714A +:0407C60002E787338C +:0407C700879367E1CC +:0407C80097BA78075D +:0407C90000E7D5036D +:0407CA0002A404334E +:0407CB000513655954 +:0407CC0085A20245BB +:0407CD00818FF0EF39 +:0407CE00879367E5C1 +:0407CF00ECA9C417B6 +:0407D0000007C70354 +:0407D1001D634789D4 +:0407D200C7B700F7AE +:0407D300879301C93E +:0407D400E36337F7AD +:0407D50044BD008798 +:0407D60037AD852690 +:0407D700A805450D1F +:0407D800378D450113 +:0407D900C70367E506 +:0407DA000793C43786 +:0407DB00F793FF870A +:0407DC00C7990F7733 +:0407DD0045014791FA +:0407DE0000F71B63A2 +:0407DF00A801450523 +:0407E00004C4B53761 +:0407E1003FF50513C8 +:0407E2000085353326 +:0407E30044120509AE +:0407E400448240A269 +:0407E500B3E101314A +:0407E6000007C783BE +:0407E70097634409C7 +:0407E800453D008704 +:0407E900FAF48BE3B0 +:0407EA00BF454501C1 +:0407EB003F1945016C +:0407EC007EE3450D56 +:0407ED004795FC949C +:0407EE004097853378 +:0407EF000FF575137A +:0407F0001151B7F9F3 +:0407F100842AC22272 +:0407F200C40645698B +:0407F300885FE0EF4C +:0407F40000641593F5 +:0407F50003F5751380 +:0407F600F5938DC921 +:0407F70045690FF54C +:0407F8008B3FE0EF64 +:0407F900441285A27F +:0407FA00655940A25B +:0407FB00034505139A +:0407FC00E06F013178 +:0407FD001141F5BFF2 +:0407FE00842AC42263 +:0407FF00C6064511D4 +:04080000C02EC2261E +:0408010084DFE0EFC1 +:04080200891D460204 +:0408030002C40433F4 +:040804004054549375 +:040805001593887D42 +:040806008DC9003464 +:04080700E0EF4511C8 +:040808004602875FBE +:040809000FF4F59360 +:04080A000693655993 +:04080B00058500144B +:04080C0005050513C6 +:04080D00F19FE0EF88 +:04080E00442240B28E +:04080F000FF4F513DA +:0408100001414492CC +:0408110001138082CD +:04081200D022FD8172 +:040813006759CE262D +:04081400832AD2065B +:04081500843282AEF9 +:04081600079384B60A +:04081700C601080707 +:04081800879367D982 +:0408190066DD07C7CA +:04081A008616655980 +:04081B00C026859AD4 +:04081C0008070713AF +:04081D00AE8686938A +:04081E000845051371 +:04081F00C21AC4161F +:04082000ECDFE0EF3A +:04082100478942A21F +:040822009463431286 +:04082300079312F233 +:0408240007A3050021 +:04082500478300F114 +:04082600041E00F1BB +:0408270000846413D2 +:04082800048007132E +:040829000027E7932A +:04082A000FF4741340 +:04082B004585888DEA +:04082C000823453D1B +:04082D00092300E1BA +:04082E00C21A006189 +:04082F0000F107A32A +:0408300008A3C43E17 +:0408310009A3008196 +:04083200CA02009165 +:04083300E0EFCC0224 +:0408340047A2E8CF20 +:040835000580051322 +:04083600E0EF85BEAC +:040837000593E80F2E +:040838000513048020 +:04083900E0EF059057 +:04083A0085A2E74F5D +:04083B0005A00513FC +:04083C00E6AFE0EF54 +:04083D00051343124A +:04083E00440105B0BC +:04083F00E0EF859AC7 +:0408400085A6E5CFD5 +:0408410005C00513D6 +:04084200E52FE0EFCF +:0408430005134581D3 +:04084400E0EF05E0FC +:040845004581E48F76 +:0408460005F00513A1 +:04084700E3EFE0EF0C +:0408480005134581CE +:04084900E0EF0600D6 +:04084A004581E34FB2 +:04084B00061005137B +:04084C00E2AFE0EF48 +:04084D0005134581C9 +:04084E00E0EF0620B1 +:04084F004581E20FEE +:040850000630051356 +:04085100E16FE0EF84 +:0408520005134581C4 +:04085300E0EF06408C +:040854004581E0CF2B +:040855000650051331 +:04085600E02FE0EFC0 +:040857000713007C07 +:04085800C5830191C2 +:0408590007850037D8 +:04085A0074138C0D7A +:04085B001AE30FF499 +:04085C006559FEF7E5 +:04085D000E4505132C +:04085E00DD5FE0EF8B +:04085F0005136559BF +:04086000E0EF470579 +:040861000593DCBF60 +:04086200F59306F410 +:0408630005130FF575 +:04086400E0EF05D0EC +:040865004581DC8F5E +:04086600E0EF453D3D +:040867005402DC0F4C +:0408680044F2509274 +:040869000513458DA1 +:04086A0001130CD09A +:04086B00E06F0281B7 +:04086C0047C1DACFD7 +:04086D001101BDF9BF +:04086E00842ACC22EA +:04086F00CE06450567 +:04087000E0EFCA26C5 +:040871004511DECF80 +:04087200D50FE0EFCF +:040873000085659304 +:040874000FF5F593F4 +:04087500E0EF45115A +:040876000593D84FBF +:040877000513030062 +:04087800E0EF061097 +:040879004581D78F4F +:04087A000CD0051386 +:04087B00D6EFE0EFE5 +:04087C00871367E196 +:04087D0047036307C3 +:04087E008793010754 +:04087F00C43E630709 +:0408800000E037B3AA +:040881004709078597 +:04088200C03AC23E78 +:04088300036347853F +:04088400C00200F4BA +:04088500451145F5DF +:04088600D42FE0EF9C +:04088700079366E18C +:040888008613FFE4F0 +:0408890037B3620619 +:04088A00971300F0D0 +:04088B004783001788 +:04088C009BF500C612 +:04088D0087138FD965 +:04088E0006236206D5 +:04088F00C63A00F66F +:04089000C7818B8908 +:04089100E0EF45054A +:0408920047B2D68F04 +:0408930045816559DD +:040894000037C483E2 +:040895000F450513F3 +:04089600E0EF8626E3 +:040897000513CF3F37 +:04089800E0EF070086 +:04089900F793CB6F97 +:04089A0075930084CE +:04089B00CB910035C8 +:04089C00E593655922 +:04089D000513020538 +:04089E00C82E11054A +:04089F00CD1FE0EF9A +:0408A000F79345C2C3 +:0408A100CB910014E3 +:0408A200E59365591C +:0408A3000513010533 +:0408A400C82E1285C3 +:0408A500CB9FE0EF16 +:0408A600F79345C2BD +:0408A700CB910024CD +:0408A800E593655916 +:0408A90005130085AE +:0408AA00C82E1385BC +:0408AB00CA1FE0EF91 +:0408AC00889145C228 +:0408AD006559C89130 +:0408AE000045E59389 +:0408AF0014C5051354 +:0408B000E0EFC82E7F +:0408B10045C2C8BFB5 +:0408B2000700051323 +:0408B300C8EFE0EFBB +:0408B400051365596A +:0408B500E0EF15C596 +:0408B6004782C77F2F +:0408B7006559CBB5FF +:0408B80017450513C8 +:0408B900C69FE0EF07 +:0408BA0045896559AE +:0408BB0018C5051344 +:0408BC00C5DFE0EFC5 +:0408BD000513655961 +:0408BE0064E11A05D2 +:0408BF00C51FE0EF82 +:0408C0005DC48493FC +:0408C1000004C583E7 +:0408C20007300513E3 +:0408C300C4EFE0EFAF +:0408C4000014C583D4 +:0408C50007400513D0 +:0408C600C42FE0EF6C +:0408C7000024C583C1 +:0408C80007500513BD +:0408C900E0EF448197 +:0408CA0067E1C34FD0 +:0408CB00C007879348 +:0408CC0000978733D7 +:0408CD000007458358 +:0408CE000764851323 +:0408CF00E0EF0485CD +:0408D00067E1C1CF4C +:0408D1008793474979 +:0408D20093E3C007E5 +:0408D300A039FEE466 +:0408D400051365594A +:0408D500E0EF1B0530 +:0408D600C002BF7F1E +:0408D70007200513DE +:0408D800BB8FE0EF03 +:0408D900759347824A +:0408DA00051301C53C +:0408DB008DDD072088 +:0408DC00BEAFE0EFDC +:0408DD00458547B254 +:0408DE0000C7C78305 +:0408DF00E3918B898D +:0408E0000513458136 +:0408E100E0EF0C0038 +:0408E20045D5BD4FEC +:0408E300E0EF4511EC +:0408E40045C1BCCF7F +:0408E50006100513E1 +:0408E600BC2FE0EF54 +:0408E7000513655937 +:0408E800E0EF1C859C +:0408E9004712BABF39 +:0408EA0011634789C6 +:0408EB00059312F768 +:0408EC000513088068 +:0408ED00E0EF062012 +:0408EE0045C1BA4FF7 +:0408EF0006300513B7 +:0408F000B9AFE0EFCD +:0408F1000840059323 +:0408F20006400513A4 +:0408F300B8EFE0EF8B +:0408F4003E8005132A +:0408F500D47FD0EFED +:0408F600E0EF4511D9 +:0408F7007593B3EF53 +:0408F800451101D5D0 +:0408F900B76FE0EF06 +:0408FA00051365611C +:0408FB00D0EF6A05CB +:0408FC004511D2DFF1 +:0408FD00B24FE0EF27 +:0408FE000155759398 +:0408FF00E0EF4511D0 +:040900000493B5CFD8 +:04090100453906402E +:04090200B10FE0EF62 +:04090300E909894134 +:0409040004C214FD18 +:040905003E80051318 +:04090600D0EF80C1ED +:04090700F4E5D01F24 +:0409080045314581AF +:04090900B36FE0EFF9 +:04090A00040005934D +:04090B00E0EF45359F +:04090C004585B2CF9C +:04090D00E0EF453999 +:04090E004581B24F1E +:04090F00E0EF453997 +:040910004581B1CF9D +:04091100E0EF453D91 +:040912004581B14F1B +:0409130006100513B2 +:04091400B0AFE0EFB1 +:040915000610049331 +:04091600E0EF852663 +:0409170067D9ABEF02 +:040918000FF576134E +:04091900851385A617 +:04091A00E0EF1D47A6 +:04091B000485AE3F62 +:04091C0006800793B7 +:04091D00FEF492E36F +:04091E00066347899C +:04091F0047A202F4F5 +:04092000849364E177 +:04092100C683738492 +:04092200C50300F712 +:04092300C6030117EF +:0409240035B30244A1 +:0409250005860080C3 +:04092600BAFFF0EF35 +:040927000244C7833C +:04092800012347223E +:04092900E0EF04F700 +:04092A004581AF0F45 +:04092B000CE00513C4 +:04092C00AAAFE0EF9F +:04092D00046347898F +:04092E00E0EF00F402 +:04092F004462F29F8D +:0409300044D240F27B +:040931006105450116 +:04093200AE6FE06F55 +:04093300051345E182 +:04093400E0EF0620CA +:0409350045C1A88F81 +:04093600063005136F +:04093700A7EFE0EF57 +:04093800B5DD45B133 +:0409390001A31141C4 +:04093A00153700A1CC +:04093B00C4220002D0 +:04093C00842E4605BA +:04093D000405051395 +:04093E0000310593EC +:04093F00E0EFC60619 +:040940008522886F15 +:04094100C17FD0EFB3 +:04094200442240B259 +:04094300808201416C +:04094400C822112193 +:0409450007136465CB +:040946005783C3E42C +:04094700CA060007D5 +:04094800F793C62635 +:0409490007C2F9F7F1 +:04094A00102383C132 +:04094B0016B700F7E4 +:04094C00C02E0002B7 +:04094D000EF6A023DF +:04094E0032000593DB +:04094F00450584AA2C +:0409500005133755FF +:04095100D0EF1900CA +:040952000713BD5F6B +:040953005783C3E41F +:0409540016B70007CB +:0409550045C1000296 +:040956000207E7931A +:0409570000F7102372 +:040958000EF6A023D4 +:0409590030EF8526D0 +:04095A0077936B40E4 +:04095B0004130FF57D +:04095C00EB89C3E47C +:04095D00464565E1C5 +:04095E009EC585931A +:04095F0030EF8526CA +:0409600047856780E0 +:0409610086B3470111 +:04096200C50300E4E5 +:0409630045D1000674 +:04096400C23AC43E91 +:0409650047123F8175 +:04096600070547A298 +:040967000FF776937D +:04096800FEF6E3E3D1 +:0409690000045783AC +:04096A00000214B7BC +:04096B00F79345D1E8 +:04096C0007C2FDF7CA +:04096D00102383C10F +:04096E00A02300F4CE +:04096F0005130EF46A +:04097000370D0C0033 +:0409710000045783A4 +:0409720045C1450234 +:040973000207E793FD +:0409740000F4102358 +:040975000EF4A023B9 +:04097600642030EFDA +:040977000FF5749371 +:040978004502E889C3 +:04097900464565E1A9 +:04097A009EC58593FE +:04097B0060A030EF59 +:04097C0047814485E6 +:04097D0045D1470217 +:04097E00973EC23EA0 +:04097F000007450325 +:04098000479235D590 +:04098100F7130785DC +:0409820065E30FF723 +:040983005783FE9701 +:0409840040D2000459 +:04098500000217371E +:040986000407E793E8 +:0409870000F4102345 +:0409880020234442A2 +:0409890044B20EF76F +:04098A008082016105 +:04098B00C026115120 +:04098C00C222C406B9 +:04098D0067E14705D2 +:04098E00086364E1B5 +:04098F00676100E5B7 +:040990005E874683B5 +:040991009A63470915 +:04099200859302E661 +:0409930046416A0768 +:0409940000024537E1 +:040995005A2030EFC5 +:0409960000024437E0 +:0409970085934641BD +:0409980005136B4494 +:0409990030EF020435 +:04099A00470D5900AC +:04099B003C042623CF +:04099C003CE42223F2 +:04099D003C042423CF +:04099E00441267E1B7 +:04099F00859340A25A +:0409A00044826B44DE +:0409A1006A07851349 +:0409A200F06F0131C0 +:0409A30067E5E87F9D +:0409A400C257C783EC +:0409A500FD810113BC +:0409A6000785D022CF +:0409A7000FF7F793BC +:0409A80007C207B2C9 +:0409A900646583C13D +:0409AA000713C83E29 +:0409AB005783C3E4C7 +:0409AC0046C2000738 +:0409AD00CE26D2067A +:0409AE0076E18FD58A +:0409AF0007C28FD517 +:0409B000102383C1CC +:0409B100C20200F787 +:0409B20000021737F1 +:0409B30064E1C40235 +:0409B400202366593D +:0409B50006130EF720 +:0409B60045C51E868F +:0409B7006B448513F5 +:0409B800301020EFEC +:0409B9003799450124 +:0409BA00177D6741FD +:0409BB000413478555 +:0409BC00CA3AC3E48C +:0409BD0000021737E6 +:0409BE000D07268378 +:0409BF008EF146521D +:0409C000EBE9C63663 +:0409C10066E1CAE140 +:0409C2006C86869326 +:0409C3000166D603F0 +:0409C400578345B25E +:0409C50013630004B4 +:0409C60076F512B6FA +:0409C7008FF516FD95 +:0409C80000F4102304 +:0409C9000EF72023E2 +:0409CA008A23450136 +:0409CB00F0EF6A04DB +:0409CC002537EFFFDD +:0409CD000513000608 +:0409CE00D0EFA805B9 +:0409CF0057839E1F8D +:0409D0004742000496 +:0409D1008FD96691C3 +:0409D20007C28FD5F4 +:0409D300102383C1A9 +:0409D400173700F4DD +:0409D50020230002D9 +:0409D60067E50EF7CC +:0409D700C387A70328 +:0409D800C3878793B7 +:0409D9000737EB01F0 +:0409DA000713019C62 +:0409DB00C398CC07EA +:0409DC009EFFD0EFBB +:0409DD00A0FFD0EFB8 +:0409DE00879367E5AF +:0409DF004398C387EF +:0409E00002E5706359 +:0409E10000022737B2 +:0409E2001693471809 +:0409E30082C10107C5 +:0409E4001693C2366E +:0409E50082D1004774 +:0409E600CC3AC4360D +:0409E700FC075CE3CA +:0409E8000007A02341 +:0409E900EF954792AD +:0409EA000613665931 +:0409EB0045C51F4699 +:0409EC006B448513C0 +:0409ED0022D020EF05 +:0409EE00F0EF4501E0 +:0409EF005703E73F84 +:0409F00077F1000497 +:0409F1008FF917FD66 +:0409F20000F41023DA +:0409F30000021737B0 +:0409F4000EF72023B7 +:0409F5000513650978 +:0409F600D0EF7105C8 +:0409F70047B2941F50 +:0409F8004792BF1152 +:0409F9009663475268 +:0409FA00665900E753 +:0409FB002046061379 +:0409FC004712BF7D62 +:0409FD00660545A2A4 +:0409FE000640079315 +:0409FF0056B3167D58 +:040A0000773302F74F +:040A01009B6302F7FA +:040A0200665900C56C +:040A030020C60613F0 +:040A0400851345C54C +:040A050020EF6B442F +:040A0600BF791CB0E8 +:040A070045C5462279 +:040A08006B448513A3 +:040A090002F6763348 +:040A0A004622C0328E +:040A0B0002F657B3E5 +:040A0C00061366590E +:040A0D0020EF21862F +:040A0E00BFBD1AB09E +:040A0F000186D68303 +:040A10009EE34632E9 +:040A110007D2F6C64C +:040A1200102383D159 +:040A1300509200F409 +:040A14002023540245 +:040A150044F20EF7A2 +:040A16000113450182 +:040A17008082028156 +:040A1800C78367E544 +:040A1900E3B5C26718 +:040A1A00C4061151AC +:040A1B00C026C2220D +:040A1C004737C90986 +:040A1D002783000229 +:040A1E00E7933C0717 +:040A1F002023002769 +:040A200064E13CF75A +:040A21007004859345 +:040A220045374641CD +:040A230030EF0002AE +:040A2400443736809D +:040A250067E1000283 +:040A260071478593FC +:040A270002040513AD +:040A280030EF464124 +:040A2900470D354000 +:040A2A003C0426233F +:040A2B003CE4222362 +:040A2C003C0424233F +:040A2D0040A244128D +:040A2E0070048513B8 +:040A2F0067E14482B5 +:040A300071478593F2 +:040A3100F06F013130 +:040A32008082C4BF3B +:040A3300470567E527 +:040A34008E23636149 +:040A35000793C2E77A +:040A360043D463033F +:040A3700F9C10113ED +:040A3800D086CEA2F4 +:040A3900438CCCA678 +:040A3A000087C70367 +:040A3B00630304133A +:040A3C0018068B63AA +:040A3D0018058963AC +:040A3E00019C04B75C +:040A3F00A0EEC7B7A7 +:040A4000CC048493CB +:040A4100B0078793E0 +:040A420002D4D4B353 +:040A430002B7D7B36C +:040A4400D7B3C71944 +:040A4500C23E02D7D4 +:040A4600070006138C +:040A47000786A03945 +:040A480002D7D7B347 +:040A49000613C23E90 +:040A4A006559069054 +:040A4B0022C50513A8 +:040A4C00E1CFE0EF27 +:040A4D003E8007934D +:040A4E0002F4D733A4 +:040A4F006559404C59 +:040A500023C50513A2 +:040A51004712C43A4A +:040A520002F4F4B303 +:040A530006400793BF +:040A540057334622AC +:040A550086A602F778 +:040A56004712C63A43 +:040A570002F777B378 +:040A5800C83E47321B +:040A5900DE8FE0EF5D +:040A5A0003B00513CD +:040A5B00EE5FD0EF8B +:040A5C000FF5771308 +:040A5D000513CA2A89 +:040A5E00CC3A03C0CB +:040A5F00ED5FD0EF88 +:040A6000468347D2B0 +:040A61007613009474 +:040A6200F79301F510 +:040A630065590FF7CB +:040A6400051385BE33 +:040A6500CA3E274519 +:040A6600DB4FE0EF93 +:040A670001C44783FC +:040A680000844603BD +:040A690000279713B8 +:040A6A00879367DD2A +:040A6B0097BA398776 +:040A6C004018439457 +:040A6D0007000793E4 +:040A6E000793E219EF +:040A6F00665906902E +:040A700006136561A3 +:040A710045C52A0647 +:040A720070050513F3 +:040A7300015020EF1F +:040A7400D733472904 +:040A750047C202E48E +:040A7600665946A2D5 +:040A770047B2C03E84 +:040A7800061365619B +:040A790045C52AC67F +:040A7A0071450513AA +:040A7B007F4020EFA9 +:040A7C00F0EF45054D +:040A7D00401CE6FF34 +:040A7E000324470303 +:040A7F00020105A3C8 +:040A80004783C43EA6 +:040A81004681008426 +:040A82004791C63E94 +:040A830047A1D63E73 +:040A840000E797B33D +:040A850003344703EC +:040A86000087E7936B +:040A87000793D83EBB +:040A880097B34000E0 +:040A8900470300E738 +:040A8A00DA3E034409 +:040A8B00000207B7A7 +:040A8C0000E797B335 +:040A8D0003544703C4 +:040A8E0007B7DC3E8C +:040A8F0097B30040D9 +:040A9000DE3E00E75F +:040A910002B1079314 +:040A92000793C0BE48 +:040A9300C2BE037468 +:040A9400038407933D +:040A95000793C4BE41 +:040A9600C6BE039441 +:040A970003A407931A +:040A98000793C8BE3A +:040A9900CABE03B41A +:040A9A00829367E1FB +:040A9B0084937807C1 +:040A9C009313780731 +:040A9D000793018634 +:040A9E00531302905C +:040A9F009E6341838E +:040AA000537D00F68C +:040AA1006785A609B6 +:040AA20077078793B8 +:040AA300C23E64915A +:040AA400D54484931E +:040AA500E80712E369 +:040AA60047F1B579E6 +:040AA70002F687B319 +:040AA80097A6458D3B +:040AA90083754BD82E +:040AAA0014B7086312 +:040AAB000C63461181 +:040AAC00460914C71C +:040AAD0006C71D63F8 +:040AAE00100006131B +:040AAF006641DA3290 +:040AB0000613DC321B +:040AB100DE324000F1 +:040AB20006134B9C40 +:040AB30083951C10FB +:040AB4007FF7F7933E +:040AB50004C79D6372 +:040AB600851665D963 +:040AB7008593461DC0 +:040AB800D2362C45C1 +:040AB900CE3AD01A47 +:040ABA0030EFC8163B +:040ABB0042C20DE046 +:040ABC005302477228 +:040ABD00E51956924F +:040ABE000444478322 +:040ABF000685EB8D30 +:040AC000BF8502F1FB +:040AC100851665D958 +:040AC2008593461DB5 +:040AC300D2362CC536 +:040AC400CE3AD01A3C +:040AC50030EFC81630 +:040AC60042C20B20FD +:040AC700530247721D +:040AC800E51156924C +:040AC9000444460398 +:040ACA000AE347856F +:040ACB0047F1FCF6FD +:040ACC0002F687B3F4 +:040ACD00D60397A60F +:040ACE0047C500A771 +:040ACF000B638A7DAE +:040AD000079300F692 +:040AD1008BFD00D6C3 +:040AD200F5634585FE +:040AD30047FD00F5E6 +:040AD40000F61863AD +:040AD500678545925A +:040AD60057B78793F4 +:040AD700FAB7E1E3A6 +:040AD800179308ACBC +:040AD90097AE0027AD +:040ADA00FE87A78369 +:040ADB000182A503EC +:040ADC000007C783C5 +:040ADD0097AE078A3F +:040ADE00FD47A783A6 +:040ADF00D3C18FE907 +:040AE000459343B245 +:040AE1008985FFF50F +:040AE200F6B39BE3E9 +:040AE30085B345F1A1 +:040AE40043A202B671 +:040AE500498C95A6FD +:040AE600F59381956E +:040AE70005F97FF599 +:040AE800F475EFE3CF +:040AE9000923458513 +:040AEA00172300B41A +:040AEB0008A3000458 +:040AEC000A230004D5 +:040AED00CC1C000419 +:040AEE00816365A11A +:040AEF00EF633CB7BE +:040AF00005930EF567 +:040AF1008663100008 +:040AF200EA6336B7C6 +:040AF30045C10AF5FA +:040AF4000CB7886350 +:040AF50008F5E363BA +:040AF6008C63459137 +:040AF700462116B7C7 +:040AF8002CC78B6319 +:040AF900851367D921 +:040AFA00CE362D4780 +:040AFB00E0EFC8164A +:040AFC0042C2B5EF4E +:040AFD00B72146F2E5 +:040AFE00400007931A +:040AFF0007B7DA3E1D +:040B0000DC3E0002D5 +:040B0100D603B72D33 +:040B0200478900A778 +:040B03001F638A7D65 +:040B0400478300F62D +:040B0500C789043464 +:040B06008AE3460533 +:040B0700B5C5F0C7B9 +:040B080007934662A7 +:040B0900F4E30520EC +:040B0A00BDD1F0C7A2 +:040B0B000182A78339 +:040B0C002007F79334 +:040B0D004783CB89C6 +:040B0E0092E3043436 +:040B0F000793ECB7A5 +:040B1000D83E2000AB +:040B11004785B5ED72 +:040B1200EEF613E305 +:040B130004344783DC +:040B14000FD7F7936D +:040B1500EA0795E373 +:040B16000713BDD92B +:040B17008A630400E9 +:040B1800071300E7D8 +:040B190080630800ED +:040B1A0007132CE7AA +:040B1B009BE3020056 +:040B1C000793F6E75E +:040B1D00162330105B +:040B1E00479100F407 +:040B1F006605AC7942 +:040B20002EC78563F4 +:040B210002F6636312 +:040B22004000061376 +:040B23002AC78963F1 +:040B240080078713AC +:040B25002C07066330 +:040B26002000071391 +:040B2700F4E794E378 +:040B280020100793FF +:040B290000F416239B +:040B2A006709AC8526 +:040B2B002CE78263CE +:040B2C0099E36711D1 +:040B2D000793F2E751 +:040B2E00BF7520204F +:040B2F000020063765 +:040B30002EC78263E7 +:040B310002F66E63F7 +:040B3200000406377E +:040B33002CC78663E2 +:040B340000F66D63F7 +:040B3500866366412C +:040B360006372AC78D +:040B370093E3000242 +:040B3800478DF0C72E +:040B390000F416238B +:040B3A000737ACB914 +:040B3B0088630008C3 +:040B3C0007372AE766 +:040B3D0097E300102A +:040B3E000793EEE744 +:040B3F00BFA52030FE +:040B40000100073772 +:040B41002AE78963B3 +:040B420002F76463EF +:040B43000040073730 +:040B440028E78D63AE +:040B450000800737EE +:040B4600ECE796E35F +:040B470020400793B0 +:040B480000F416237C +:040B49000923478DA8 +:040B4A00079300F419 +:040B4B00A4950280EB +:040B4C000200073765 +:040B4D0028E78A63A8 +:040B4E000400073761 +:040B4F00EAE794E35A +:040B500020400793A7 +:040B510000F4162373 +:040B52000923479993 +:040B530047D100F492 +:040B54001623A48937 +:040B550008A30004ED +:040B5600779300C4CD +:040B57004685005778 +:040B580000D78763D8 +:040B59001E63478947 +:040B5A00890900F70E +:040B5B004783C919EA +:040B5C008E6304643C +:040B5D0047891207AB +:040B5E0000F4092373 +:040B5F0007A347851C +:040B60000A6300F430 +:040B610005231C0646 +:040B620057FD0064D7 +:040B630000F31A631E +:040B640005136559B7 +:040B6500E0EF2F0589 +:040B660047919B6FA9 +:040B670000F405236E +:040B680000A405835D +:040B6900470367E5F2 +:040B6A008C230124B3 +:040B6B0047F1C4B7D3 +:040B6C0002F587B354 +:040B6D0097A646956C +:040B6E008A7D4B90A1 +:040B6F0002E6063361 +:040B700002D6063370 +:040B710006400693A1 +:040B7200032606133D +:040B730002D646332D +:040B740000E7D6833D +:040B750002D7073369 +:040B7600869366E517 +:040B7700963AC436B0 +:040B7800824106426E +:040B790000C41B2376 +:040B7A000177C783B5 +:040B7B00F713C23674 +:040B7C008BA101F751 +:040B7D001E07836369 +:040B7E00C68367E5DE +:040B7F004709C42737 +:040B8000736347A1B3 +:040B810047C100D791 +:040B820000234712F3 +:040B830047D200F75E +:040B840085B346F1FE +:040B8500655902D5D7 +:040B860032450513DC +:040B870002F6063339 +:040B880095A6405C92 +:040B890002F65633E7 +:040B8A00E0EFC8329E +:040B8B004792922FCC +:040B8C004583404815 +:040B8D00C703045442 +:040B8E005783000782 +:040B8F004642016475 +:040B90001793C43EB5 +:040B910083C1010516 +:040B92004781C63E93 +:040B93000783C9917A +:040B940046F100A482 +:040B950002D786B34A +:040B96004E9C96A635 +:040B97008B85838542 +:040B98000FF7F793C9 +:040B990047A2C83E69 +:040B9A00054245F9D2 +:040B9B0002B785B365 +:040B9C0057D9814163 +:040B9D0002A5C5B335 +:040B9E00C5B3059541 +:040B9F00478502F58F +:040BA000460395B2C1 +:040BA100F59305942F +:040BA20006130FF532 +:040BA3000662F806E8 +:040BA40095B286611F +:040BA50081C105C243 +:040BA60014F70563D8 +:040BA7000F6347A1F0 +:040BA800059936F77E +:040BA90085C105C23B +:040BAA0046C1478970 +:040BAB004785A28157 +:040BAC0000F4072327 +:040BAD004785B5E1E2 +:040BAE0000F4062326 +:040BAF00051447835F +:040BB00047F1E3A581 +:040BB10002F687B30E +:040BB200D60397A629 +:040BB300079300E7BD +:040BB400E76357702C +:040BB500179304C7C7 +:040BB600536301D7AD +:040BB700478D04F072 +:040BB80000F406A39C +:040BB900092347893C +:040BBA00079300F4A9 +:040BBB00F793FFE7C6 +:040BBC00CF910FD7EF +:040BBD0010634795E5 +:040BBE0047F106F7FE +:040BBF0002F686B301 +:040BC0004AF007935D +:040BC100D70396A61A +:040BC200E66300E600 +:040BC300478304E779 +:040BC400CF9D046459 +:040BC500000406A37F +:040BC600092347892F +:040BC700A82500F469 +:040BC800000406A37C +:040BC9000793B7D9FE +:040BCA0016233010AE +:040BCB00479900F452 +:040BCC000793B7EDE7 +:040BCD0016232010BB +:040BCE00479500F453 +:040BCF004789BFF99A +:040BD00000F41623F4 +:040BD1001863478DD1 +:040BD200478300F75E +:040BD300F7E90464D6 +:040BD4000723478527 +:040BD500478300F45E +:040BD60008A30584E7 +:040BD700B52500F44C +:040BD800102007934F +:040BD90000F41623EB +:040BDA000793B7FDC9 +:040BDB00BB1D2020FE +:040BDC00202007933B +:040BDD0000F41623E7 +:040BDE00B745478D43 +:040BDF002020079338 +:040BE0000793BF5D5B +:040BE1001623202097 +:040BE200479D00F437 +:040BE30000F40923EE +:040BE4000A2347B5E4 +:040BE500B7C100F4A0 +:040BE6002030079321 +:040BE7000793B3219C +:040BE800BFC9203031 +:040BE900203007931E +:040BEA004791B779FF +:040BEB0000F41623D9 +:040BEC0007800793E4 +:040BED000793BFF9B2 +:040BEE00162320406A +:040BEF00479100F436 +:040BF00000F40923E1 +:040BF100B7F147F918 +:040BF2002040079305 +:040BF30000F41623D1 +:040BF40009234795F5 +:040BF50047E100F4E0 +:040BF6004792BF6DF6 +:040BF70000E7802370 +:040BF8000589B53D79 +:040BF90085C105C2EB +:040BFA00469947854C +:040BFB0000D7863366 +:040BFC002205CE639D +:040BFD0000D5853367 +:040BFE00051397AA9A +:040BFF0055630FF03B +:040C0000059300F563 +:040C01008D910FF0D2 +:040C02000FF5F79360 +:040C0300451585BE50 +:040C0400D032CC3AE4 +:040C0500CE36CA3EDF +:040C060087BFD0EFE5 +:040C0700451946F253 +:040C0800D0EF85B6EE +:040C09000593871FA9 +:040C0A0005130800C6 +:040C0B00D0EF0260C4 +:040C0C0047D2865FE6 +:040C0D000513560273 +:040C0E0085B3031097 +:040C0F00F59300C792 +:040C1000D0EF0FF51D +:040C11004762851F92 +:040C120045B147C1E0 +:040C130000F7036380 +:040C1400051345A1DE +:040C1500D0EF0220FA +:040C1600464283DFF0 +:040C1700452245B27B +:040C1800D45FE0EFD6 +:040C190004D44503B7 +:040C1A00E8DFE0EF40 +:040C1B000474450315 +:040C1C00F8634785AD +:040C1D00479200A753 +:040C1E000007C50303 +:040C1F003513156113 +:040C200047E1001593 +:040C210002F50533A0 +:040C2200879367DD70 +:040C2300953E3C4777 +:040C2400897FD0EF05 +:040C250000A407839D +:040C2600458347714A +:040C270087B301246A +:040C280097A602E7A2 +:040C29000167C50397 +:040C2A00F4FFE0EF04 +:040C2B0000C4478337 +:040C2C0000A409A374 +:040C2D00000286B784 +:040C2E000017B713E1 +:040C2F009BF9429C4F +:040C3000C29C8FD9FA +:040C310000C4478331 +:040C32006363470DA4 +:040C3300470516F764 +:040C340016F76263EA +:040C350000E79563DC +:040C36000EA347853D +:040C3700450300F47D +:040C3800478901D413 +:040C390000A7E463C9 +:040C3A00D44FE0EFC4 +:040C3B0000C44703A7 +:040C3C001407146322 +:040C3D0000A4078385 +:040C3E0087B34771C0 +:040C3F0097A602E78B +:040C400000C7D7030F +:040C410037134F9C7A +:040C420047132BD752 +:040C43008B85001786 +:040C4400463797BADE +:040C45000613000290 +:040C460042343806F6 +:040C4700859375F923 +:040C48008B8D7FF51C +:040C490007AE8EED77 +:040C4A00C23C8FD544 +:040C4B0076E9423CC8 +:040C4C00073616FD54 +:040C4D008FD98FF5B7 +:040C4E00D0EFC23CE5 +:040C4F004703E55F13 +:040C500047890414B8 +:040C510000E44483F4 +:040C5200076346816D +:040C5300478300F7DC +:040C54008F8500F494 +:040C55000017B6933B +:040C5600453D458152 +:040C5700D0EFC236E2 +:040C58000513DFCFD2 +:040C5900D0EF059043 +:040C5A004692DB2FB4 +:040C5B0002F5759396 +:040C5C00049AE69977 +:040C5D00F5938DC5B9 +:040C5E00E5930FF516 +:040C5F000513010573 +:040C6000D0EF05903C +:040C61004058DD8F8B +:040C6200019C07B733 +:040C6300CC078793A0 +:040C640002E7D7B319 +:040C650001645703CC +:040C660000D44683ED +:040C67000493666527 +:040C680087B3C28606 +:040C6900470302E754 +:040C6A00070500C4B6 +:040C6B0002E787B362 +:040C6C0000E4470356 +:040C6D0087B307053D +:040C6E00470D02E745 +:040C6F00C2F6242382 +:040C700008E69F6390 +:040C7100C09C83851B +:040C72006559408CF4 +:040C730034C505136C +:040C7400D7DFD0EF07 +:040C75000737409469 +:040C7600071305114A +:040C77004785F407B2 +:040C780000D76B63D3 +:040C7900047877374D +:040C7A008BF70713DA +:040C7B0074634781D6 +:040C7C00478300D7D3 +:040C7D004703010424 +:040C7E0045830504A1 +:040C7F00E709041469 +:040C80000104470321 +:040C810006F704630B +:040C820000F408234F +:040C830050864476DD +:040C8400852E44E68F +:040C85000641011310 +:040C8600F9FFE06F23 +:040C87000325859329 +:040C880085C105C25B +:040C8900069347A1E6 +:040C8A00B3C90200E8 +:040C8B00BBE94581FB +:040C8C00B54D47110A +:040C8D00B555478989 +:040C8E0000D44783C4 +:040C8F0000E44683B4 +:040C9000B79317F50A +:040C91008F95001724 +:040C9200001786932E +:040C9300003737935C +:040C94000017C793EB +:040C9500F79397B684 +:040C960047010FF70C +:040C97004605BD5DF4 +:040C9800F6C694E325 +:040C9900D7B3078A3C +:040C9A00BFB102E7FD +:040C9B0081634789A1 +:040C9C00450302F515 +:040C9D00C1910114EC +:040C9E0046834589BB +:040C9F00460300F414 +:040CA000447604246E +:040CA10044E650864F +:040CA20006410113F3 +:040CA300DBBFE06F64 +:040CA40044765086BC +:040CA500011344E60D +:040CA6008082064101 +:040CA700A70367E553 +:040CA80067E1C30736 +:040CA9006C8787933A +:040CAA00464546ADC8 +:040CAB000167D58385 +:040CAC0004E59F6359 +:040CAD000FF6F693B5 +:040CAE000A63E119DB +:040CAF0011212807E0 +:040CB00064E5C6260B +:040CB100C274C50341 +:040CB2006461C8228F +:040CB3004744079318 +:040CB40000351613DE +:040CB500CA0697B222 +:040CB600C783438C21 +:040CB700475100475A +:040CB8000045A3034D +:040CB90002E7873394 +:040CBA00C2748493E9 +:040CBB004744041393 +:040CBC004339971A07 +:040CBD0002D3636398 +:040CBE0063634331F8 +:040CBF0047AD04D366 +:040CC0000CF680634B +:040CC10008668663D8 +:040CC200470147811E +:040CC3000685A0F111 +:040CC4009DE307891C +:040CC5004681F8C6A6 +:040CC6004783B74564 +:040CC700460D00478F +:040CC80016C79B634D +:040CC90045BD47508E +:040CCA004783421802 +:040CCB009C6300071F +:040CCC00C7811EB608 +:040CCD00F79317FD85 +:040CCE0000230FF7F9 +:040CCF00B7E900F78A +:040CD0000047450391 +:040CD1001575470549 +:040CD2000FF5751392 +:040CD30000A76E63A5 +:040CD400000245379E +:040CD5003C85230334 +:040CD60000F71733D9 +:040CD700FFF74713C9 +:040CD8000067773307 +:040CD9003CE52423AF +:040CDA009C6347359B +:040CDB00E39900E6B3 +:040CDC000005C783C5 +:040CDD00F79317FD75 +:040CDE0096220FF754 +:040CDF0000F60223F6 +:040CE000C703B7612E +:040CE100078500057E +:040CE200E7B39622BC +:040CE300B7FD02E770 +:040CE400157DC5199C +:040CE50000A48023C4 +:040CE600915FD0EF5B +:040CE70067E5B7B551 +:040CE800C207832399 +:040CE9000002473787 +:040CEA003C07278319 +:040CEB009BED450137 +:040CEC003CF720238E +:040CED0040D244426B +:040CEE00016144B2AA +:040CEF00CA4FF06F89 +:040CF00000474783EF +:040CF1008163468D48 +:040CF20046910AD746 +:040CF300F2D79EE3B3 +:040CF4009782471C80 +:040CF500470587AA7E +:040CF6000004C683AD +:040CF700656145D11D +:040CF80096A2068E2C +:040CF900C6834290DC +:040CFA000513004698 +:040CFB0042506A05F4 +:040CFC0002B686B303 +:040CFD00C23AC43EF5 +:040CFE00428C96B2DC +:040CFF00C036464570 +:040D00007F6020EF01 +:040D010047A24712AC +:040D020085BA4682E6 +:040D03008536863E6D +:040D0400821FD0EF8B +:040D05000004C7839C +:040D060044B765E1A8 +:040D0700078E000251 +:040D08004503943ECD +:040D0900464100441B +:040D0A006B4585931D +:040D0B000541051683 +:040D0C0020EF952619 +:040D0D0046037C40DD +:040D0E0047850044D1 +:040D0F0000C797B3CF +:040D10003CF4A623E6 +:040D1100EB09471291 +:040D120046114682BE +:040D13000046C703CC +:040D14000EC715638E +:040D1500C76D46D888 +:040D16000002473759 +:040D17003C8726836C +:040D180024238FD52C +:040D1900A8E93CF712 +:040D1A00C7814B1C26 +:040D1B009782C03AC1 +:040D1C00C783470240 +:040D1D004714000473 +:040D1E00971307859B +:040D1F000633003760 +:040D2000420C00E49D +:040D210000D5846312 +:040D220000060223A2 +:040D2300C31497223C +:040D240000F4802334 +:040D25006963B71136 +:040D2600460902F682 +:040D270004C7886312 +:040D2800433D470CF4 +:040D290000C74503B7 +:040D2A0000D74603A5 +:040D2B000005C78375 +:040D2C0000E7470392 +:040D2D000266916366 +:040D2E0000F67C63EC +:040D2F00F71317FDA2 +:040D300080230FF716 +:040D3100B58900E59B +:040D32008DE34611F6 +:040D3300BD2DE4C727 +:040D34008732F96D9C +:040D3500F863B7FDAB +:040D3600078500E746 +:040D37000FF7F613A9 +:040D380000C580234F +:040D3900FD6DB51582 +:040D3A00BFDD863A59 +:040D3B00453D470CDF +:040D3C0000C7560393 +:040D3D000005D78353 +:040D3E0000E7570370 +:040D3F0000A69E6309 +:040D400000F67763DF +:040D4100971317FDF0 +:040D420083410107E1 +:040D4300C211A01920 +:040D4400902387323F +:040D4500BBCD00E53D +:040D460000E7F4636B +:040D4700B7E5078580 +:040D48004701FA6DF8 +:040D49004683B7FD29 +:040D4A00F563004607 +:040D4B00078500D741 +:040D4C000FF7F69314 +:040D4D0000D70023A8 +:040D4E00460DBBC1D2 +:040D4F00F0C70CE3FA +:040D500040D2444207 +:040D5100450144B262 +:040D5200F06F0161DC +:040D530080828E2FDD +:040D5400F5C10113D1 +:040D5500CF22D106D2 +:040D56001437CD265B +:040D5700C0EF0002E7 +:040D5800C62AC4BF24 +:040D590008040493F3 +:040D5A00D0BC47C5FD +:040D5B000E0420233F +:040D5C00000227B7B3 +:040D5D000007A623C2 +:040D5E000007AA23BD +:040D5F008513678908 +:040D6000C0EF710768 +:040D610066E5B99FEB +:040D6200C3E687134A +:040D63001D100793C5 +:040D640000F7102361 +:040D65004501D0BCB8 +:040D6600894FE0EFE2 +:040D67000003153739 +:040D6800D405051396 +:040D6900B77FC0EFA1 +:040D6A000006263722 +:040D6B00019C05B72B +:040D6C00A8060613BC +:040D6D00CC05859399 +:040D6E000404051361 +:040D6F00F1BFC0EF21 +:040D7000871367E599 +:040D71005783C3E7FA +:040D720045510007E0 +:040D7300F9F7F79302 +:040D740083C107C26E +:040D750000F7102350 +:040D7600C0EFD0BC3E +:040D770045D1B41F8F +:040D780003800513DC +:040D7900F01FE0EF98 +:040D7A00051345D147 +:040D7B00E0EF039012 +:040D7C0045D1EF7FEF +:040D7D00E0EF45510D +:040D7E0045D1EEFF6E +:040D7F000710051341 +:040D8000EE5FE0EF53 +:040D8100051345D140 +:040D8200E0EF05E0B9 +:040D830045D1EDBFAA +:040D840006D005137D +:040D8500ED1FE0EF8F +:040D8600453145D1DD +:040D8700EC9FE0EF0E +:040D8800320005939D +:040D8900E0EF45054D +:040D8A0045D1EBFF65 +:040D8B00E0EF451937 +:040D8C000593EB7F61 +:040D8D0045093200E2 +:040D8E00EADFE0EFC9 +:040D8F00871367E57A +:040D90005783C3E7DB +:040D91004601000710 +:040D9200E79345811D +:040D9300102304071E +:040D9400D0BC00F7D8 +:040D9500040405133A +:040D9600EE3FC0EF7D +:040D9700C0EF45293B +:040D980045E1ABDFA7 +:040D9900D0EF45054D +:040D9A0045E19B0F85 +:040D9B00D0EF450947 +:040D9C0045E19A8F04 +:040D9D00D0EF450D41 +:040D9E00D0EF9A0FE9 +:040D9F0066E5B80F3E +:040DA000C3E687130C +:040DA100CA3A47E122 +:040DA20002F50E63E5 +:040DA3000513655976 +:040DA400D0EF38054F +:040DA50056F98BBFB1 +:040DA60065616659C4 +:040DA7004886061361 +:040DA800051345C525 +:040DA90010EF7005D2 +:040DAA0065D933B024 +:040DAB0046456561F3 +:040DAC0010C5859356 +:040DAD007145051374 +:040DAE0053E020EFFF +:040DAF00F0EF450517 +:040DB000A0019A2FD5 +:040DB100D0EF450139 +:040DB200079398AF5C +:040DB30019630FF0C1 +:040DB400655900F588 +:040DB5003A450513A3 +:040DB600875FD0EF94 +:040DB700BF6D56F5C1 +:040DB8001A8087B75F +:040DB900080787930D +:040DBA006789DEBEA9 +:040DBB00A1A78793D2 +:040DBC0008F1102307 +:040DBD00012347A126 +:040DBE00D0EF08F179 +:040DBF004569B94F7A +:040DC000950FD0EFCC +:040DC1000F557593C2 +:040DC20000A5E59310 +:040DC300D0EF4569BF +:040DC4004585984F7A +:040DC50003500513BF +:040DC60097AFD0EF24 +:040DC7000513655D4E +:040DC800D0EF3C45E7 +:040DC9004501A04FF1 +:040DCA0089BFE0EF0E +:040DCB00051345992E +:040DCC00D0EF03D091 +:040DCD000593960FE5 +:040DCE004545044053 +:040DCF00956FD0EF5D +:040DD00045494585C7 +:040DD10094EFD0EFDC +:040DD200454D4581C5 +:040DD300946FD0EF5A +:040DD400D0EF18E85C +:040DD500450997CF66 +:040DD600FC1FC0EF4F +:040DD7000FF575138C +:040DD800096347CD97 +:040DD900655900F563 +:040DDA003C8505133C +:040DDB00FE0FD0EF48 +:040DDC00B71D56F1F8 +:040DDD00849364E1B6 +:040DDE00C583620463 +:040DDF004515002492 +:040DE000FDBFC0EFA4 +:040DE1000024C783A0 +:040DE20003D00593A2 +:040DE300839D451196 +:040DE400002797133A +:040DE50000C4C783FC +:040DE6008FD99BED19 +:040DE70000F486236B +:040DE800FBBFC0EF9E +:040DE9003E80051330 +:040DEA00973FC0EF80 +:040DEB00451145F574 +:040DEC00FABFC0EF9B +:040DED000300059367 +:040DEE0006100513D3 +:040DEF00F9FFC0EF59 +:040DF0000B2005933C +:040DF100C0EF4525E5 +:040DF2000593F95F0D +:040DF30045290F80FF +:040DF400F8BFC0EF95 +:040DF50003700593EF +:040DF600C0EF452DD8 +:040DF7004581F81F1B +:040DF800C0EF453DC6 +:040DF9004581F79F9A +:040DFA000C90051341 +:040DFB00F6FFC0EF50 +:040DFC000513458115 +:040DFD00C0EF0CA097 +:040DFE004581F65FD6 +:040DFF000CB005131C +:040E0000F5BFC0EF8B +:040E0100051345810F +:040E0200C0EF0CC071 +:040E03004581F51F11 +:040E04000CD00513F6 +:040E0500F47FC0EFC7 +:040E0600051345810A +:040E0700C0EF0CE04C +:040E08004581F3DF4E +:040E09000CF00513D1 +:040E0A00F33FC0EF03 +:040E0B000513458105 +:040E0C00C0EF0D0026 +:040E0D00C583F29F08 +:040E0E000513004484 +:040E0F00C0EF0E1012 +:040E10004601F1DFC7 +:040E110004A00593A1 +:040E120004040513BC +:040E1300CB5FC0EF02 +:040E140045954601B9 +:040E150004040513B9 +:040E1600CE3FC0EF1C +:040E170005934605F4 +:040E1800051304A01A +:040E1900C0EF04041E +:040E1A004585C9BF82 +:040E1B0004040513B3 +:040E1C00CB1FC0EF39 +:040E1D0008600793CF +:040E1E0006F517635B +:040E1F0007500593E0 +:040E200007000513AF +:040E2100F59FC0EF8A +:040E2200090005932B +:040E230002000513B1 +:040E2400F4DFC0EF48 +:040E250005134581EB +:040E2600C0EF021007 +:040E27004581F43FCE +:040E2800022005138C +:040E2900F39FC0EF84 +:040E2A000513458DDA +:040E2B00C0EF0230E2 +:040E2C004581F2FF0B +:040E2D000280051327 +:040E2E00F25FC0EFC0 +:040E2F000B0005931C +:040E3000071005138F +:040E3100F19FC0EF7E +:040E3200070005931D +:040E3300070005139C +:040E3400F0DFC0EF3C +:040E350005136559E3 +:040E3600D0EF3EC5F6 +:040E370067E5E72F55 +:040E380086234705C1 +:040E390067E1C2E7C4 +:040E3A005B87A783A8 +:040E3B0020236765A4 +:040E3C00EB81C2F78D +:040E3D0005136559DB +:040E3E00D0EF3FC5ED +:040E3F0056FDE52F48 +:040E4000D0EFBB61D3 +:040E41006361E0EF1A +:040E420063030793AC +:040E4300851365DDD1 +:040E4400061301E7A9 +:040E4500859304602D +:040E4600C0EF4F8525 +:040E470066E1CE9FF3 +:040E48000593675D4A +:040E490085134C07BA +:040E4A0006136C8699 +:040E4B00C0EF036091 +:040E4C004581CD5FB0 +:040E4D00E0EF453D50 +:040E4E0064E58C8F3C +:040E4F00C2E4C50331 +:040E50006461458113 +:040E51008BAFE0EF94 +:040E5200000245B79E +:040E53003805859346 +:040E5400757941B0BB +:040E55007FF505130D +:040E5600C1B08E6930 +:040E5700756941B0C8 +:040E58008E69157D0D +:040E590041B0C1B033 +:040E5A00879367E132 +:040E5B0076136307A0 +:040E5C006613F1F632 +:040E5D00C1B0060614 +:040E5E00761341B016 +:040E5F0066138FF691 +:040E6000C1B03006E7 +:040E6100450366617E +:040E620032B35E86C3 +:040E630041A800A002 +:040E640065339979E0 +:040E6500C1A80055CB +:040E66005E9445034E +:040E67001293890D4C +:040E680041A8003568 +:040E69006533991D37 +:040E6A00C1A80055C6 +:040E6B0072A141A887 +:040E6C00753312FDCB +:040E6D0062A1005529 +:040E6E000055653393 +:040E6F004589C1A848 +:040E700088A345010D +:040E7100E0EF00B7F7 +:040E72006361FF0FAA +:040E7300000217B7AB +:040E740063030713FA +:040E75000D07A5833D +:040E7600675DC43AB6 +:040E77006661D03AA6 +:040E7800C2E4871336 +:040E79000713D63A4B +:040E7A00C8BA5E860E +:040E7B005E94071367 +:040E7C006765CABA22 +:040E7D00C30707138D +:040E7E006765CC3A9E +:040E7F00C14707134D +:040E80006765CE3A9A +:040E8100000207B7AD +:040E8200071366E10B +:040E83008FEDC187A7 +:040E8400D83AC0B6E2 +:040E85001407926359 +:040E8600871366DD8B +:040E87004481454617 +:040E88006461C83A9F +:040E8900464565D99C +:040E8A0041C5859346 +:040E8B006A040513DD +:040E8C0020EFD23E43 +:040E8D0047C21C40FC +:040E8E000024969313 +:040E8F0096BE656145 +:040E90004645428C05 +:040E91006B45051395 +:040E92001AE020EF53 +:040E930000024637DC +:040E94003C0626836F +:040E9500E693450596 +:040E960020230046CF +:040E9700E0EF3CD676 +:040E98005792BCFFB2 +:040E99001637468141 +:040E9A00250300022A +:040E9B0067410D0698 +:040E9C0075B3177D96 +:040E9D00476200E5C3 +:040E9E000003033713 +:040E9F002603C30C57 +:040EA00047720D0682 +:040EA100FFF64613FF +:040EA200006676333D +:040EA300C985C3102A +:040EA40002F5876369 +:040EA500879367E1E7 +:040EA60096136C87AC +:040EA70097B20014EA +:040EA80065D9EAAD71 +:040EA90000A79023EB +:040EAA0085934645A1 +:040EAB0005134245A4 +:040EAC0020EF6A04C5 +:040EAD0045051440A3 +:040EAE00B75FE0EF5B +:040EAF0047F246853B +:040EB0000007A30391 +:040EB100439C57C245 +:040EB20067C1E39D94 +:040EB30002F31163D2 +:040EB4005782E0BDC4 +:040EB50003600613BD +:040EB6008593D21A34 +:040EB70047864C0717 +:040EB800851344ED6D +:040EB900C0EF6C8793 +:040EBA005312B1DF3F +:040EBB0057424689CB +:040EBC00460947E2BA +:040EBD000067202387 +:040EBE00439CD43647 +:040EBF0004C6806382 +:040EC00005136509A8 +:040EC100D23E7105A7 +:040EC200E12FC0EF6D +:040EC30056A257924A +:040EC400D783BF9978 +:040EC5004689000753 +:040EC600FAF583E3D3 +:040EC700464565D95E +:040EC80042C5859307 +:040EC9006A0405139F +:040ECA000CE020EF29 +:040ECB00E0EF45050A +:040ECC004681AFFFAD +:040ECD0014F9B769F4 +:040ECE00BF5546893D +:040ECF0046E9048567 +:040ED000EE96D1E3E6 +:040ED100D0EF453DDC +:040ED2004737BD1FC2 +:040ED300278300026F +:040ED4009BED3C074F +:040ED5003CF72023A3 +:040ED60064E147B2DA +:040ED700D51364616A +:040ED800079300A7D5 +:040ED90007B312C089 +:040EDA00655902F55F +:040EDB0043C50513F3 +:040EDC00D0EFC2BED3 +:040EDD006659BDAFE6 +:040EDE0005A0071351 +:040EDF00061346812F +:040EE00045C5474677 +:040EE1007004851301 +:040EE200658010EF28 +:040EE300464565D942 +:040EE40076C58593B7 +:040EE500714405133C +:040EE600A6BFC0EFF4 +:040EE700E0EF4505EE +:040EE800A537CC3F1F +:040EE90005130007E6 +:040EEA00C0EF12053E +:040EEB00C0EFD70F6E +:040EEC004799DB0F38 +:040EED00D202D43E1B +:040EEE00DA02D00252 +:040EEF00CEA2CCA61D +:040EF000000217B72E +:040EF1000D07A783BF +:040EF200674146E22C +:040EF3008F7D177D5B +:040EF400C693C29847 +:040EF5000737FFF7C5 +:040EF6008F750003F1 +:040EF700D61346F2D6 +:040EF80064650187A5 +:040EF9000A23C2986E +:040EFA0066E5C2C423 +:040EFB00041387B2A3 +:040EFC008693C344D2 +:040EFD00CA19C356F5 +:040EFE0076131679D8 +:040EFF00458D0FF618 +:040F000000C5F663CF +:040F01000006C6031D +:040F020000F615637D +:040F030020234662FF +:040F04008023000640 +:040F050057C200F6D9 +:040F0600EFBD439C5C +:040F0700C39857C272 +:040F0800849364E585 +:040F0900C783C134A5 +:040F0A00C7DD00043B +:040F0B00C78347A2AF +:040F0C00C3DD01C779 +:040F0D00676547A22B +:040F0E00C26747036C +:040F0F0000B7C783DD +:040F1000F7938FD9EB +:040F1100EBC90FF722 +:040F1200D3AFC0EFAA +:040F13006563479635 +:040F1400571208F573 +:040F15000630079308 +:040F160008E7E063A5 +:040F17000004C68389 +:040F180047054785BD +:040F190000F68763F4 +:040F1A00871357A240 +:040F1B003733FFA7C2 +:040F1C0047A200E008 +:040F1D00C78346A19F +:040F1E0017FD01C7F3 +:040F1F000FF7F7933E +:040F200002F6EA6388 +:040F2100869366D974 +:040F2200078A78863C +:040F2300439497B6A6 +:040F2400879367E563 +:040F25008682C407F5 +:040F2600C39857C253 +:040F2700A02347F2CA +:040F2800BFBD000742 +:040F290047036765AE +:040F2A003733C11781 +:040F2B00070900E0D2 +:040F2C0000E7802337 +:040F2D00572257925E +:040F2E00F7930785A9 +:040F2F00D23E0FF7A8 +:040F30000763479973 +:040F3100079300F72B +:040F3200F79300171A +:040F3300D43E0FF7A2 +:040F3400C8EFC0EF53 +:040F3500D03E4785DE +:040F360007310793E5 +:040F3700DEBE64E1D5 +:040F380073848793A4 +:040F39000197871382 +:040F3A0065D9C13A7A +:040F3B0001A7871370 +:040F3C00461DC33A51 +:040F3D0001B787135E +:040F3E00780585931A +:040F3F0018C807F1D6 +:040F4000C73EC53AA9 +:040F410009A3C93EF9 +:040F4200C0EF0601F5 +:040F430047A28F9F93 +:040F44007384869399 +:040F4500C783C63662 +:040F4600468501C714 +:040F4700C83647253C +:040F480000E78663D5 +:040F4900F79307858E +:040F4A00C83E0FF797 +:040F4B00438C47E2AA +:040F4C004603C9810E +:040F4D0065590004DE +:040F4E0049850513B9 +:040F4F00A10FD0EF2F +:040F5000438C47F295 +:040F51006559C59980 +:040F5200051381C141 +:040F5300D0EF4AC5CC +:040F540047E29FEFE2 +:040F550045E94681A3 +:040F560047864398EF +:040F57006C87879389 +:040F58000007D603B5 +:040F590004E61A632D +:040F5A00E76347E919 +:040F5B00675960D79B +:040F5C000026979341 +:040F5D007AC7071335 +:040F5E00439C97BA5F +:040F5F0046858782BA +:040F60006761E719C5 +:040F61005D874683DF +:040F62000016B6932C +:040F63008023069150 +:040F6400B70D00D7EE +:040F6500DF65469965 +:040F66000007C68337 +:040F6700471DBFCD96 +:040F68006765BF01F9 +:040F6900C127470352 +:040F6A0000E0373339 +:040F6B00B70907219A +:040F6C00FF71469932 +:040F6D00BFE1468515 +:040F6E0082E307898A +:040F6F00068536B607 +:040F70004785B745B5 +:040F710046D24752CB +:040F7200000757031A +:040F73001007471309 +:040F740000E69023E0 +:040F750066C1477298 +:040F76008EF9431895 +:040F770047C2C2911A +:040F7800000206B7B6 +:040F7900CF118F7590 +:040F7A0046054732AF +:040F7B004703468161 +:040F7C0065630007A2 +:040F7D00070500E67E +:040F7E000FF7769360 +:040F7F0000234732D2 +:040F8000666500D7CB +:040F8100C802472932 +:040F8200C406061388 +:040F830000E786639A +:040F840000F6002350 +:040F8500C83E478596 +:040F8600475247D2B5 +:040F87000007D78305 +:040F880007C29BCD34 +:040F8900102383C1ED +:040F8A00676500F7A0 +:040F8B00C267468370 +:040F8C00C26707131E +:040F8D00EA89DC3AD7 +:040F8E004703676549 +:040F8F00070AC24744 +:040F900047528FD95C +:040F910000F7102332 +:040F9200D70347D268 +:040F930017B7000785 +:040F9400A023000294 +:040F950057E20EE72A +:040F96000007C78306 +:040F97004501C799B0 +:040F9800C3CFF0EFE4 +:040F9900861367E56F +:040F9A0047A2C4079F +:040F9B000006470302 +:040F9C0001C7C7833F +:040F9D0000E7846382 +:040F9E00E79947C2C6 +:040F9F00CF9D578209 +:040FA000C78347A21A +:040FA100CB9D00B72D +:040FA200C78367E5B5 +:040FA300D03EC2D7A3 +:040FA40067E1C795A5 +:040FA500694787937E +:040FA600C50397BA2E +:040FA70057B2000736 +:040FA800C783D00229 +:040FA9008B6300074F +:040FAA0057B200A793 +:040FAB0080234581D9 +:040FAC00D0EF00A7DB +:040FAD0067E5B4DF61 +:040FAE00C4078613DB +:040FAF00478347220B +:040FB000646500066E +:040FB10001C747032A +:040FB200C42404133C +:040FB30000F71F63C1 +:040FB400000446836C +:040FB500796347090C +:040FB600473224D7C3 +:040FB7000347468323 +:040FB8004703472282 +:040FB9008163052724 +:040FBA0064E524E6E0 +:040FBB00C414869341 +:040FBC00C40480A346 +:040FBD000004002309 +:040FBE00DE36470DC7 +:040FBF0024F777E3B9 +:040FC00046854732E9 +:040FC1000347470398 +:040FC20020D71EE333 +:040FC300FFA785936C +:040FC4000FF5F5939D +:040FC5007463448D80 +:040FC600106F00B7F1 +:040FC70085932B8063 +:040FC800F513FFF727 +:040FC90045A10FF53A +:040FCA0002A5E16338 +:040FCB00859365DDC8 +:040FCC0095AA37C5E6 +:040FCD000005C683D2 +:040FCE00DA36459931 +:040FCF0022B787E3DB +:040FD00020F5E9E33C +:040FD1008EE34585E1 +:040FD200635D20B784 +:040FD30039830593C6 +:040FD40097AE078A43 +:040FD5006559438C8B +:040FD6005985051321 +:040FD700C0EFC6BAE7 +:040FD80067E5FEFFCC +:040FD900C4078613B0 +:040FDA0047834722E0 +:040FDB0005A3000664 +:040FDC000E230007D9 +:040FDD00C0EF00F76A +:040FDE00635DA85F48 +:040FDF003983071338 +:040FE0004736C4BA12 +:040FE1007593478934 +:040FE20014E30D8582 +:040FE300E5931EF77D +:040FE40045050015AA +:040FE500C6AED0BA0A +:040FE60087FFC0EFD2 +:040FE700450945B6BD +:040FE800877FC0EF50 +:040FE900450D45B6B7 +:040FEA0086FFC0EFCF +:040FEB0065595706E7 +:040FEC005B45051349 +:040FED00C0EF85BA12 +:040FEE00C0EFF97FD8 +:040FEF00C0EFAD5F43 +:040FF00067E5FD8F25 +:040FF100C2C7C78329 +:040FF2004585C385E9 +:040FF300009595B31D +:040FF4000405E59378 +:040FF5000FF5F5936C +:040FF600C6AE451925 +:040FF700801FC0EFA8 +:040FF800451D45B698 +:040FF900FF8FC0EFB7 +:040FFA00448357F2E3 +:040FFB004581000428 +:040FFC000007C70320 +:040FFD00E6634789D7 +:040FFE009593009730 +:040FFF0005E20064A3 +:04100000179385E1DC +:0410010016930047FB +:041002008FD500275F +:041003008DDD8FD917 +:041004000FF5F5935C +:04100500C0EF45658E +:04100600454187DFFA +:04100700835FC0EF54 +:04100800478D575267 +:041009000F85759347 +:04100A0000F7146374 +:04100B000055E59314 +:04100C00C0EF4541AB +:04100D004789861F6A +:04100E001697F5E359 +:04100F00059347916D +:04101000F463052060 +:0410110005930097AC +:041012004539053027 +:04101300847FC0EF27 +:041014003E80051302 +:041015008C6FC0EF2D +:04101600C0EF455191 +:041017001793FF6FBD +:0410180087E10185E6 +:04101900D76384AA6B +:04101A00771302073F +:04101B007793008542 +:04101C0019E30FF5D0 +:04101D0065D910077A +:04101E00370585937A +:04101F000207F7933A +:04102000100797E33B +:0410210006136659F3 +:04102200655937C60F +:041023005CC5051390 +:04102400EBDFC0EF4F +:041025000104F79338 +:041026008891CF815D +:041027000E049EE332 +:04102800859365D96E +:04102900655937C509 +:04102A005E850513C7 +:04102B00EA1FC0EF09 +:04102C00478D575243 +:04102D00036345C153 +:04102E00458100F701 +:04102F00C0EF456168 +:041030004581FD4FAA +:041031000360051340 +:04103200FCAFC0EF60 +:0410330005136559E3 +:04103400C0EF4705BD +:0410350047A2E7BF28 +:0410360046454726BE +:041037000007A223E9 +:0410380001C7C783A2 +:0410390097BA078AD1 +:04103A0047E6438CB6 +:04103B0070078513A2 +:04103C00307010EF11 +:04103D0065D947F634 +:04103E00851346458B +:04103F0085937147DD +:0410400010EF62C586 +:0410410045052F50E2 +:04104200F58FE0EF57 +:04104300CF8947C248 +:04104400C70367E592 +:0410450047A9C1C72F +:0410460000F7156337 +:04104700D0EF453D64 +:04104800C0EFDF8F87 +:04104900D20283CF7D +:04104A00C70347B2DF +:04104B0047A202377F +:04104C000417C7833B +:04104D0002F707633C +:04104E00468147A2EE +:04104F00C50346018E +:0410500045810117BE +:04105100F03FD0EFAD +:04105200C50347B2D9 +:04105300E0EF023791 +:0410540047B2868F8A +:04105500C7834722E4 +:041056002223023718 +:0410570000A30007EB +:0410580047B204F7A0 +:041059000237C70390 +:04105A00056347895A +:04105B0047B204F79D +:04105C000247C583FF +:04105D00C78347A25C +:04105E008D63042773 +:04105F00655902B716 +:04106000638505138C +:04106100DC9FC0EF61 +:04106200C50347A2D9 +:0410630047B2011778 +:041064000237C58307 +:041065004589C19167 +:04106600C68347A254 +:0410670047B200F795 +:041068000247C60372 +:04106900EA3FD0EF9B +:04106A00472247B220 +:04106B000247C783EE +:04106C0004F7012361 +:04106D00C70347B2BC +:04106E0047A203474B +:04106F000527C78307 +:0410700000F70D6315 +:0410710005136559A5 +:04107200C0EF64C5A2 +:0410730047B2D83F69 +:04107400C7834722C5 +:041075000923034701 +:0410760047C604F76E +:04107700000246B776 +:041078000007C703A3 +:04107900363347D6ED +:04107A00A70300E0E8 +:04107B00C7833C06E5 +:04107C008B050007D9 +:04107D0000E618630E +:04107E003C06A68303 +:04107F008A8D828D47 +:0410800002D78C63A4 +:04108100000246B76C +:041082003806869313 +:041083008B8D42B857 +:041084009B79078EBF +:04108500C2B88F510D +:041086009B1D42B8B4 +:04108700C2BC8FD97F +:04108800C78357E2E1 +:04108900CB89000708 +:04108A00A02347E276 +:04108B00C0EF0007AB +:04108C004505A7FF70 +:04108D00868FF0EF6B +:04108E00C78347A22B +:04108F00E39901C719 +:0410900071F0006F8C +:041091000004440310 +:04109200879367E1F8 +:0410930027376A078A +:0410940043140002FF +:041095000006C4632A +:04109600FFE517FD5E +:04109700C0EF455110 +:041098004791DF2F6E +:041099007687F863FB +:04109A00088575133D +:04109B00F7850513BD +:04109C0000153613F2 +:04109D00C28347A221 +:04109E008A6300B7AA +:04109F0027B700026D +:0410A000A283000225 +:0410A100D2930007DF +:0410A200F2930102C2 +:0410A30005130032FF +:0410A400DE320370C5 +:0410A500C0EFDC16A6 +:0410A60084AADBAF8E +:0410A70003800513AA +:0410A800DB0FC0EFAB +:0410A900842A6785A9 +:0410AA00F007879331 +:0410AB008D7D052210 +:0410AC0067B34722BD +:0410AD00C83E0095A4 +:0410AE0000645793F0 +:0410AF0005138B8515 +:0410B00004A3039002 +:0410B100C0EF00F795 +:0410B200C4AAD8AF45 +:0410B30003A005137E +:0410B400D80FC0EFA2 +:0410B50027B7C6AAE9 +:0410B600439C000255 +:0410B700470952E2B1 +:0410B800F79383C95E +:0410B90056727FF7F5 +:0410BA008A630785B9 +:0410BB00472270E276 +:0410BC0000B747032F +:0410BD0046C2E7112F +:0410BE0018F007130C +:0410BF0070D7716312 +:0410C0009A634705E3 +:0410C100C83E6EE2D5 +:0410C200DC024742C3 +:0410C3001907369340 +:0410C4000016C693B9 +:0410C5006F80006FC9 +:0410C600B46D478935 +:0410C700B45D478D40 +:0410C800B44D47914B +:0410C900BC79479512 +:0410CA00BC6947991D +:0410CB00BC59479D28 +:0410CC00BC4947A133 +:0410CD00B47947A506 +:0410CE000713676538 +:0410CF004683C2672B +:0410D0004637000798 +:0410D100B7930002CF +:0410D20000230016E1 +:0410D300971300F778 +:0410D4002783002747 +:0410D5009BED3C064D +:0410D60020238FD96B +:0410D70057B23CF6DA +:0410D8000007C70343 +:0410D90087A367E59D +:0410DA009F63C2E767 +:0410DB00C0EF360626 +:0410DC00450593FF34 +:0410DD00F29FE0EFAF +:0410DE00B4A947A9C1 +:0410DF0000022737AD +:0410E000D69343045C +:0410E100F79300B4CD +:0410E200DC3E0016DA +:0410E30067654340BA +:0410E400C267470395 +:0410E5000432F37569 +:0410E60000C4579358 +:0410E7003C000613B0 +:0410E80045374581C2 +:0410E900DE3E0002E5 +:0410EA00A96FC0EF3B +:0410EB00458557B22E +:0410EC000007C50331 +:0410ED00E4AFD0EFAD +:0410EE000613665926 +:0410EF0045C14BC6E6 +:0410F000000245377E +:0410F10061D000EFDB +:0410F20057B2676129 +:0410F30072874603B7 +:0410F400000245377A +:0410F5000007C683A7 +:0410F60072870713E3 +:0410F7006759E6014E +:0410F800F7C707131C +:0410F900061366591B +:0410FA0045C1F846AE +:0410FB0000EF0541BC +:0410FC0047A25F3078 +:0410FD0000B7C7036E +:0410FE004437CB6147 +:0410FF00665900022C +:041100004C86061300 +:04110100051345C1CC +:0411020000EF0204F4 +:0411030047A25D7032 +:04110400665946F1F1 +:0411050000A78703B5 +:04110600F88606134E +:04110700073345C1A4 +:0411080066E102D7C3 +:04110900780686934B +:04110A0003040513C2 +:04110B0000EF96BAA1 +:04110C0066595B3095 +:04110D004D860613F2 +:04110E00051345C1BF +:04110F0000EF0404E5 +:04111000F7935A30C7 +:0411110086937FF44E +:0411120057E2001789 +:0411130000B4D6133B +:0411140096B3D6A612 +:041115008A0500F651 +:0411160005040513B4 +:04111700071396BE66 +:04111800E219069042 +:0411190007000713B1 +:04111A000003063791 +:04111B0007938E6543 +:04111C00E21902A032 +:04111D000200079332 +:04111E00C63755F289 +:04111F000613A0EE25 +:041120005633B0068C +:04112100059302B67A +:0411220044B7064088 +:041123007333000220 +:04112400563302B686 +:04112500C21A02B632 +:04112600C03245C1CD +:0411270006136659EC +:0411280000EF4E8600 +:04112900665953F0C0 +:04112A005006061352 +:04112B00851345C122 +:04112C0000EF0604C6 +:04112D0056F252F034 +:04112E0006136659E5 +:04112F0045C151065F +:041130000704851318 +:0411310051D000EFAA +:04113200000244373C +:0411330006136659E0 +:0411340045C151461A +:041135000804051392 +:04113600509000EFE6 +:0411370007136659DB +:04113800468105A047 +:041139005206061341 +:04113A00051345C193 +:04113B0000EF0904B4 +:04113C0007934F3096 +:04113D0043B8380477 +:04113E00002767130C +:04113F002623C3B8E8 +:04114000477D3C04A7 +:04114100C7B8C3F870 +:0411420047D2BD854E +:04114300D7834752B5 +:04114400C793000746 +:04114500102301076B +:04114600BDB900F738 +:04114700468547B2E0 +:04114800C783470111 +:04114900E563000753 +:04114A00078500F61F +:04114B000FF7F71390 +:04114C00802347B203 +:04114D0067E500E76B +:04114E00C267C7832A +:04114F006461E7B13F +:04115000140404136C +:041151004641400CC7 +:04115200000245371B +:041153006AA010EF8F +:04115400C70347B2D4 +:04115500481C00072B +:0411560097BA070A33 +:041157004641438C3E +:041158000002443716 +:041159000204051374 +:04115A0068E010EF4A +:04115B003C042783A6 +:04115C000027E793EE +:04115D003CF420231B +:04115E003C04262304 +:04115F002223478D73 +:0411600024233CF414 +:04116100BBCD3C04C2 +:04116200C78367E5F3 +:041163009713C277A5 +:0411640067E1003708 +:0411650047478793DE +:04116600676197BA6C +:04116700AC070713B7 +:041168009BE3439C26 +:04116900C0EFDCE710 +:04116A00B3F9F06F76 +:04116B00468547B2BC +:04116C00C7834701ED +:04116D00E56300171F +:04116E00078500F6FB +:04116F000FF7F7136C +:0411700080A347B25F +:0411710067E500E747 +:04117200C267C78306 +:041173006461FFD5DF +:041174001404041348 +:0411750008C4258302 +:041176004537464172 +:0411770010EF000273 +:0411780047B2618099 +:041179000017C70391 +:04117A0009C42783FA +:04117B0047B2B7B50B +:04117C00C783475985 +:04117D009063006714 +:04117E00C78104E63B +:04117F00F79317FDCE +:0411800047320FF7EC +:0411810000F703234D +:04118200C78367E5D3 +:04118300FFADC26793 +:04118400041364618B +:04118500484C1404BA +:041186004537464162 +:0411870010EF000263 +:0411880047325D800D +:041189004503505C6E +:04118A0097820067E1 +:04118B00464165E193 +:04118C006B45859397 +:04118D0046B9B73573 +:04118E00F363873E42 +:04118F00473900F6E6 +:04119000070547B256 +:0411910000E78323CD +:0411920065D9B7C1A3 +:041193004645656107 +:0411940052C5859328 +:041195006A050513CF +:0411960059E010EF1D +:0411970065D9646151 +:0411980085934645B0 +:04119900051353C522 +:04119A0010EF6B44A3 +:04119B00473758C0BA +:04119C0027830002A3 +:04119D0045053C07C1 +:04119E00E79364E18E +:04119F0020230047C2 +:0411A000D0EF3CF759 +:0411A1008493FABF7A +:0411A20017B77804FF +:0411A300A70300029C +:0411A40067C10D070B +:0411A5008F7D17FD26 +:0411A600879367E1E3 +:0411A70046816C878A +:0411A800D583466D38 +:0411A90006630007D2 +:0411AA00068500B7FF +:0411AB009AE3078933 +:0411AC0047A2FEC692 +:0411AD0085834715DA +:0411AE0047F100A75E +:0411AF0002F587B30B +:0411B0004BDC97A6D7 +:0411B100756383F5EA +:0411B200655902F782 +:0411B3005485051347 +:0411B40087DFC0EF22 +:0411B500832367E544 +:0411B6004737C207EE +:0411B7002783000288 +:0411B8009BED3C0768 +:0411B9003CF72023BC +:0411BA00E0EF45011C +:0411BB00B169976F10 +:0411BC00456347112F +:0411BD00093804D712 +:0411BE004703973E0E +:0411BF005733FDC7DE +:0411C0008B0540D784 +:0411C100078ACB09C5 +:0411C20097BA093897 +:0411C300FE47A783B9 +:0411C40000D78023AD +:0411C5006659B7C1EF +:0411C6000613068581 +:0411C70045C556C6FE +:0411C8006B4405135C +:0411C9002BD000EF38 +:0411CA00D0EF450518 +:0411CB00A537F03F15 +:0411CC000513000700 +:0411CD00B0EF120568 +:0411CE00BF699E5FF8 +:0411CF008BE347B1B6 +:0411D0006509F8F6BF +:0411D100710505138C +:0411D2009D3FB0EF9E +:0411D30047A2BF3D33 +:0411D400646146719B +:0411D50000A7878365 +:0411D600780407137F +:0411D7007804041381 +:0411D80002C7863391 +:0411D900466597329E +:0411DA00016747035F +:0411DB0008C6996346 +:0411DC00C701467D84 +:0411DD007613177DF1 +:0411DE0046F10FF7D0 +:0411DF0002D786B3FA +:0411E00096A2676507 +:0411E10000C68B2396 +:0411E2000B23468510 +:0411E3006765C4D7A1 +:0411E400C577470381 +:0411E50000E79B6321 +:0411E60087B3477113 +:0411E70097A202E7E2 +:0411E8000167C703D1 +:0411E900972367E5FC +:0411EA0067E5C4E70A +:0411EB00C267C7838D +:0411EC0067E1EFA91F +:0411ED00D1C7A5833E +:0411EE0045374641FA +:0411EF0010EF0002FB +:0411F00047A243804F +:0411F10006934771A9 +:0411F2008783465059 +:0411F300665900A792 +:0411F400DD060613FB +:0411F50002E787B3D3 +:0411F600943E45C519 +:0411F70001644783C5 +:0411F8000513646116 +:0411F90087B36B4409 +:0411FA00069302D77F +:0411FB00C6B3064031 +:0411FC0000EF02D727 +:0411FD0046411EF059 +:0411FE006B440593A6 +:0411FF0046F9B39565 +:04120000ECE34601D4 +:041201000705F6E601 +:0412020067E5B7BD28 +:04120300C277C78364 +:041204000037971305 +:04120500879367E183 +:0412060097BA474705 +:041207000713676101 +:04120800BBBDA807BB +:0412090043014485D4 +:04120A0065D967E15A +:04120B006A078513D6 +:04120C00859346453B +:04120D00DC1A57C5CB +:04120E003BE010EFC2 +:04120F0046A9536237 +:0412100006B3479545 +:04121100873602D347 +:0412120000D7D363CB +:0412130064614715B6 +:0412140007256659EB +:0412150058C606139E +:04121600051345C5B2 +:04121700DC1A6B442E +:04121800181000EFBB +:041219000002473751 +:04121A003C072783E3 +:04121B00E79345050B +:04121C002023004744 +:04121D00D0EF3CF7DB +:04121E001737DB7F24 +:04121F002283000224 +:0412200067410D070E +:04122100177D87A608 +:04122200F4B353626C +:04122300EF9900E25D +:0412240067E1CC9121 +:041225006C87871338 +:04122600478146EDC9 +:041227000007560363 +:0412280000C48D630E +:041229000709078525 +:04122A00FED79AE36E +:04122B000513650939 +:04122C00DC1A710552 +:04122D00867FB0EF19 +:04122E004725B7C9D0 +:04122F0000E78863E9 +:0412300013634711EC +:04123100472500034A +:0412320002E7DA6392 +:0412330007854729BB +:0412340002E7E7B333 +:0412350002E303339A +:04123600979A6765B7 +:04123700C2F707A350 +:04123800BE4FD0EFE6 +:0412390066D9C901A8 +:04123A00360686935B +:04123B0006136659D7 +:04123C00B535F88646 +:04123D00869366D955 +:04123E00BFCDDA86C0 +:04123F009563476903 +:04124000431300E76D +:04124100B70D0013D2 +:0412420091E34731BC +:04124300B3D9FAE73A +:04124400C70367E590 +:0412450047C2C26773 +:04124600CA0706636A +:0412470047A9BCB146 +:04124800CB4FF06F29 +:04124900F9E34595EB +:04124A0045890AF5D3 +:04124B0000B718636D +:04124C00FFA787135E +:04124D000FF777130D +:04124E000AE6F0E3D9 +:04124F00470956F203 +:04125000802344852E +:04125100F06F00E654 +:04125200448DDD8F5B +:04125300F06F4705EC +:04125400459DDD0FC8 +:0412550000B78D63EE +:0412560000234589A3 +:04125700F06F00B480 +:041258000023DECFC2 +:04125900F06F00F43E +:04125A00458DDE4F91 +:04125B004595B7FD01 +:04125C001513B7EDC2 +:04125D008DC90057E0 +:04125E000FF5F59300 +:04125F000045E593CE +:04126000E12FF06F1B +:04126100859365D933 +:04126200F06F36856E +:041263006659EF2FAA +:0412640037860613B0 +:04126500EF6FF06FC8 +:04126600859365D92E +:04126700F06F378568 +:041268000593F08F6B +:04126900453905B04E +:04126A00EEBFB0EF34 +:04126B003E800513A9 +:04126C00F6AFB0EF3A +:04126D00B0EF455148 +:04126E008909E9BF42 +:04126F006559C901F3 +:04127000608505137D +:04127100D88FC0EF63 +:04127200EE8FF06F9C +:0412730005136559A1 +:04127400BFCD618504 +:04127500761347891C +:04127600F8630FF515 +:041277007513008764 +:04127800051309054C +:04127900F06FF70516 +:04127A00551388BFC1 +:04127B0076130016D0 +:04127C00F06F0015FA +:04127D007413883F1F +:04127E0007E302047C +:04127F00474290044E +:041280000C8736930E +:04128100C6934705C4 +:04128200DC3A00163C +:041283006461472239 +:0412840063040413E8 +:0412850000B74483E7 +:04128600071367657E +:04128700DE3AC5671F +:04128800071367657C +:041289009963C1079D +:04128A0000632E04CB +:04128B008E636A06FE +:04128C000683680667 +:04128D00458D000784 +:04128E008D9565597C +:04128F006685051358 +:04129000D096D2BE64 +:04129100D08FC0EF4B +:04129200871367E572 +:041293000683C10706 +:041294004609000700 +:041295005796528690 +:041296002AD65C6395 +:04129700002346A248 +:0412980047050007FF +:0412990000E685A343 +:04129A0047364491FE +:04129B0005136505CD +:04129C001693F005B0 +:04129D004726008759 +:04129E0045428EE94E +:04129F0047228ED97B +:0412A000031363656C +:0412A1004310C3C370 +:0412A2000593435815 +:0412A300EE630016E0 +:0412A400059300A509 +:0412A500EA630015E3 +:0412A600186300C504 +:0412A70045A200D785 +:0412A800C583556243 +:0412A90085630085D4 +:0412AA0045C262A532 +:0412AB00C0166559AB +:0412AC0069050513B8 +:0412AD00C0EFC4B614 +:0412AE0067E5C96FB8 +:0412AF00831346A6B9 +:0412B0000023C3C78D +:0412B10047B200033D +:0412B2000197C703D6 +:0412B300C78347A204 +:0412B4001763037742 +:0412B50047B20EF737 +:0412B60001A7C703C2 +:0412B700C78347A200 +:0412B8001F63038726 +:0412B90047B20CF735 +:0412BA0001B7C703AE +:0412BB00C78347A2FC +:0412BC00176303971A +:0412BD0047B20CF731 +:0412BE0001C7C7039A +:0412BF00C78347A2F8 +:0412C0001F6303A7FE +:0412C10047B20AF72F +:0412C20001D7C70386 +:0412C300C78347A2F4 +:0412C400176303B7F2 +:0412C50047B20AF72B +:0412C6000147C70312 +:0412C700C78347A2F0 +:0412C8001F63032776 +:0412C90047B208F729 +:0412CA000157C703FE +:0412CB00C78347A2EC +:0412CC00176303376A +:0412CD0047B208F725 +:0412CE000167C703EA +:0412CF00C78347A2E8 +:0412D0001F6303474E +:0412D10047B206F723 +:0412D2000177C703D6 +:0412D300C78347A2E4 +:0412D4001763035742 +:0412D50047B206F71F +:0412D6000187C703C2 +:0412D700C78347A2E0 +:0412D8001F63036726 +:0412D90067E104F7CE +:0412DA0073878793FC +:0412DB000277C703CC +:0412DC0004544783EC +:0412DD0004F7166399 +:0412DE00879367E1AA +:0412DF00C703738747 +:0412E00047830287B7 +:0412E1001D63046421 +:0412E20067E102F7C7 +:0412E30073878793F3 +:0412E4000337C70302 +:0412E5000514478322 +:0412E60002F7146394 +:0412E700879367E1A1 +:0412E800C70373873E +:0412E900478303A78D +:0412EA001B630584F9 +:0412EB0067E100F7C0 +:0412EC0073878793EA +:0412ED0003B7C70379 +:0412EE000594478399 +:0412EF0000F7096398 +:0412F00087A647097D +:0412F10000E4F363BF +:0412F200F4934789A1 +:0412F30047B20FF7F8 +:0412F4000257C703D3 +:0412F500C78347A2C2 +:0412F6000B6304374B +:0412F70047A202F711 +:0412F8008783477130 +:0412F900873300A790 +:0412FA0067E102E7BF +:0412FB007807879356 +:0412FC004B9C97BAB6 +:0412FD0020D00713E3 +:0412FE00F79383954A +:0412FF0099637FF779 +:04130000470900E7B2 +:04130100F36387A665 +:04130200478900E433 +:041303000FF7F49359 +:04130400C70347B222 +:0413050047830267B1 +:041306000A6304442E +:04130700078302F75F +:04130800477100A485 +:0413090002E787333D +:04130A00879367E17D +:04130B0097BA78070E +:04130C0007134B9CDC +:04130D0083951C1098 +:04130E007FF7F793DB +:04130F0000E79963F7 +:0413100087A647095C +:0413110000E4F3639E +:04131200F493478980 +:0413130087B70FF792 +:04131400439C0002F4 +:0413150001D44703B5 +:041316008BBD83ED1B +:0413170000F709636F +:0413180087A6470954 +:0413190000E4F36396 +:04131A00F493478978 +:04131B0057F20FF77F +:04131C000007C7837C +:04131D000703C7D922 +:04131E00457100A471 +:04131F00073367E148 +:04132000859302A708 +:041321004603780700 +:04132200430301245C +:04132300972E0454A9 +:0413240045954B0898 +:041325000533897D86 +:04132600053302C5C4 +:04132700059302B573 +:041328000513064063 +:041329004533032520 +:04132A00558302B530 +:04132B00063300E79E +:04132C00959302B6DD +:04132D0081C1010673 +:04132E0005429532AD +:04132F001B238141BA +:04133000460100A4CE +:04133100000305634D +:0413320082054F10D1 +:04133300C4B68A05AD +:041334008D4FD0EF1A +:0413350000A4070306 +:0413360067E14671B4 +:0413370002C70733AF +:041338007807879318 +:0413390001244583C3 +:04133A00C50397BA96 +:04133B00D0EF016787 +:04133C0009A3B08FC2 +:04133D0046A600A41C +:04133E00E09187A60D +:04133F00F493478557 +:0413400047C20FF79A +:04134100C01CC054B8 +:04134200042357E247 +:04134300A0B100F461 +:0413440000230685F7 +:04134500BB9100D781 +:041346009863C219CD +:0413470005833A06DA +:0413480065590007DC +:0413490067C505135C +:04134A00D4B605957B +:04134B00D096D2BEA8 +:04134C00A1CFC0EF7E +:04134D00871367E5B6 +:04134E000603C107CA +:04134F0055F100074D +:0413500057965286D4 +:041351005D6356A6DC +:04135200002336B688 +:041353004722000726 +:0413540005A3449118 +:041355009AE3000710 +:0413560047B2D006C4 +:041357000007C703C1 +:04135800C78347A25E +:041359001D6301E728 +:04135A0047B210F78F +:04135B000017C703AD +:04135C00C78347A25A +:04135D00156301F71C +:04135E0047B210F78B +:04135F000027C70399 +:04136000C78347A256 +:041361001D630207FF +:0413620047B20EF789 +:041363000037C70385 +:04136400C78347A252 +:0413650015630217F3 +:0413660047B20EF785 +:041367000067C70351 +:04136800C78347A24E +:041369001D630247B7 +:04136A0047A20CF793 +:04136B00859346150B +:04136C0047B202671B +:04136D00008785135D +:04136E005EB000EF7E +:04136F0047A2E1713F +:041370008593461902 +:0413710047B202B7C6 +:0413720000D7851308 +:041373005D7000EFBA +:0413740047B2E9454E +:041375000047C70363 +:04137600C78347A240 +:0413770011630227D5 +:0413780047B20AF777 +:041379000057C7034F +:04137A00C78347A23C +:04137B0019630237B9 +:04137C0047B208F775 +:04137D000077C7032B +:04137E00C78347A238 +:04137F00116302579D +:0413800067E108F722 +:041381007387879354 +:0413820001F7C703A5 +:0413830003D44783C5 +:0413840006F71863ED +:04138500879367E102 +:04138600C70373879F +:04138700478302078F +:041388001F6303E4F8 +:0413890067E104F71D +:04138A00738787934B +:04138B000217C7037B +:04138C0003F447839C +:04138D0004F71663E8 +:04138E00879367E1F9 +:04138F00C703738796 +:041390004783022766 +:041391001D630404D0 +:0413920067E102F716 +:041393007387879342 +:0413940001E7C703A3 +:0413950003C44783C3 +:0413960002F71463E3 +:04139700879367E1F0 +:04139800C70373878D +:04139900478303671C +:04139A001B63054488 +:04139B0067E100F70F +:04139C007387879339 +:04139D000357C70328 +:04139E000534478348 +:04139F0000F70763E9 +:0413A000E09187A6AB +:0413A100F4934785F5 +:0413A20047B20FF748 +:0413A300C783472293 +:0413A400470302A752 +:0413A500C83E0487B3 +:0413A60002F70663E1 +:0413A700B0EF45411D +:0413A80047C29B3F5E +:0413A9009593891D72 +:0413AA008DC90037B2 +:0413AB000FF5F593B2 +:0413AC00B0EF454118 +:0413AD0047C29E1F76 +:0413AE000513655965 +:0413AF0085BE6F0583 +:0413B00088CFC0EF33 +:0413B100C58347B2F7 +:0413B20047A202B795 +:0413B3000497C78351 +:0413B40000B7866395 +:0413B50003D0051349 +:0413B6009BBFB0EF3A +:0413B700C58347B2F1 +:0413B800478302C79E +:0413B900856304A4A0 +:0413BA00454500B7EE +:0413BB009A7FB0EF76 +:0413BC00470347B2EA +:0413BD00C58304E4FC +:0413BE00C7830307D7 +:0413BF001663031797 +:0413C000470300B728 +:0413C1000B6304F4C2 +:0413C200454900F7A2 +:0413C300B0EFC83E81 +:0413C40047C2985F25 +:0413C50085BE454D4F +:0413C60097BFB0EF2E +:0413C700C50347B261 +:0413C80047830297BE +:0413C90084630474C1 +:0413CA00478502A7AA +:0413CB0000A7F8631C +:0413CC00C50367E509 +:0413CD001561C437AB +:0413CE0000153513BE +:0413CF00053347E1BA +:0413D00067DD02F5DE +:0413D1003C4787937B +:0413D200B0EF953EA5 +:0413D30047B29DDFA1 +:0413D40002F7C50354 +:0413D50004D4478372 +:0413D60000A7846385 +:0413D700F99FC0EFCB +:0413D800C50347B250 +:0413D900478302D76D +:0413DA00846304B470 +:0413DB00D0EF00A7A8 +:0413DC0067E1854FF1 +:0413DD0073878793F8 +:0413DE0002E7C783D8 +:0413DF0004C44703F8 +:0413E0000763C63E9B +:0413E100456902F761 +:0413E2008C9FB0EF3D +:0413E300751347B285 +:0413E4009593FCF5EC +:0413E5008DC9004767 +:0413E6000FF5F59377 +:0413E700B0EF4569B5 +:0413E80047B28F5F1A +:0413E900051365592A +:0413EA0085BE7085C7 +:0413EB00FA1FB0EF46 +:0413EC00079367619B +:0413ED0007137387E8 +:0413EE004583738739 +:0413EF00C78303D7D6 +:0413F000470303C7E5 +:0413F100166305A4D6 +:0413F200470300F7B6 +:0413F3000A6305B4D0 +:0413F400058E00B7AB +:0413F500F5938DDD02 +:0413F60005130FF5D7 +:0413F700B0EF0280D1 +:0413F80067E18B5FBF +:0413F90073878793DC +:0413FA0003E78793EB +:0413FB00461D853EC8 +:0413FC0005C405938C +:0413FD003AF000EFD3 +:0413FE00879367E189 +:0413FF00C501776746 +:04140000B0EF853E86 +:0414010066E18CDF35 +:0414020073868793D3 +:041403000377C703A1 +:0414040005544783C1 +:0414050000F71A636F +:0414060073868793CF +:041407000387C7038D +:0414080005644783AD +:0414090002F705637E +:04140A00879367E17C +:04140B00C703738719 +:04140C00C63A0237A3 +:04140D00F62FB0EF17 +:04140E0005134581FC +:04140F00B0EF0CE04E +:041410004732F1CF9F +:0414110004634789A0 +:04141200C0EF00F730 +:0414130067E5B98F41 +:04141400C2C7C78301 +:0414150067E1C7952F +:0414160073878793BE +:041417000397C583EF +:04141800057447838D +:0414190000B78E6327 +:04141A00058615D15D +:04141B000FF5F59341 +:04141C00C62E45058E +:04141D00F68FB0EFA7 +:04141E00450945B285 +:04141F00F60FB0EF25 +:04142000859367E168 +:0414210006137387B4 +:04142200051304604A +:04142300B0EF01E441 +:0414240057F2D74F55 +:041425000007802319 +:04142600816347890E +:04142700479112F4E3 +:0414280004F48C63D9 +:041429009C634785F4 +:04142A0047830AF4F6 +:04142B00CBC500B479 +:04142C0005136559E6 +:04142D00B0EF74C5E3 +:04142E00B0EFE97FB3 +:04142F00A045ED5F88 +:041430000023167D02 +:04143100448100C72B +:041432000023B17969 +:04143300BFE500070A +:0414340000034783E7 +:0414350088E34705FC +:0414360007859EE7A1 +:041437000FF7F79321 +:0414380000F300239A +:041439009EE791E3B6 +:04143A0087A6470931 +:04143B0000E4F36373 +:04143C00F493478955 +:04143D00BAC10FF72A +:04143E0000B447832C +:04143F006559C7BD67 +:0414400072450513D9 +:04144100E49FB0EF85 +:0414420007136765C0 +:041443005783C3E721 +:041444006409000730 +:041445007104051316 +:041446000027E79301 +:0414470000F7102377 +:041448000002173750 +:041449000EF7202357 +:04144A00FF3FA0EFD1 +:04144B00455945C5F5 +:04144C00F62FB0EFD8 +:04144D00710405130E +:04144E00FE3FA0EFCE +:04144F00455D458929 +:04145000F52FB0EFD5 +:04145100710405130A +:04145200FD3FA0EFCB +:04145300F80FD0EFCF +:04145400879367E132 +:04145500C5037387D1 +:04145600D0EF02379A +:04145700051385CF25 +:04145800A0EF12C02F +:04145900E06FFB9FA6 +:04145A006559A5BF6C +:04145B0073050513FD +:04145C00DDDFB0EF31 +:04145D000004222342 +:04145E00917FB0EFDB +:04145F0001C44783FA +:041460004645656137 +:0414610000279713B6 +:04146200879367DD28 +:0414630097BA398774 +:041464000513438C9D +:0414650000EF70051F +:0414660065D926100E +:041467004645656130 +:0414680062C5859341 +:0414690071450513B1 +:04146A0024F000EF7B +:04146B00D0EF450574 +:04146C00A0EFEB2FD3 +:04146D00D402FADFCC +:04146E00B755D2029A +:04146F0000B44783FB +:041470006559DFD902 +:0414710073C5051327 +:04147200D85FB0EFA0 +:04147300F00FD0EFB7 +:041474004715B779E8 +:04147500F6F76463BF +:04147600470144895D +:04147700D43FE06F0F +:04147800F7C10113A4 +:04147900C13ED6A6F4 +:04147A0067E1DA86C6 +:04147B00DCB6D8A261 +:04147C00A483DEBAAD +:04147D00DC6361C704 +:04147E0007930005CB +:04147F00C09C08B055 +:0414800050D6557D70 +:0414810054B65446C3 +:041482000841011309 +:0414830007938082C9 +:041484001823208089 +:04148500C22A00F186 +:04148600842ECA2ABC +:04148700C19947813F +:04148800FFF5879352 +:04148900CC3EC63E51 +:04148A0057FD18B43E +:04148B008526004C66 +:04148C0000F119232F +:04148D002465C036DC +:04148E00556357FD4E +:04148F00079300F5CA +:04149000C09C08B044 +:041491004792DC5D45 +:0414920000078023AC +:0414930087AABF5D08 +:041494000007C70383 +:04149500FF6D07855B +:0414960040A78533B3 +:041497008082157DBD +:04149800A783C5C59C +:041499001141FFC539 +:04149A00C606C4229C +:04149B00FFC58413F2 +:04149C000007D3630F +:04149D00C02A943E8F +:04149E000BB000EFA0 +:04149F0027836765D3 +:0414A0004502C60734 +:0414A100EB91863A0B +:0414A20000042223FD +:0414A300C6872023B5 +:0414A40040B24422EC +:0414A500006F014192 +:0414A6007F6309F067 +:0414A700401400F4F9 +:0414A80000D4073332 +:0414A90000E796635F +:0414AA0043DC439844 +:0414AB00C018973698 +:0414AC002023C05CDD +:0414AD00BFE9C68647 +:0414AE0043DC873E56 +:0414AF007DE3C3997D +:0414B0004314FEF4EF +:0414B10000D7063327 +:0414B20000861F632E +:0414B30096B240109D +:0414B4000633C31424 +:0414B5009DE300D7DC +:0414B6004390FAC79E +:0414B70096B243DCCA +:0414B800C35CC3143A +:0414B9007563B7752B +:0414BA0047B100C472 +:0414BB00B74DC11C4C +:0414BC0006B3401023 +:0414BD00966300C46E +:0414BE00439400D77C +:0414BF0096B243DCC2 +:0414C000C05CC01438 +:0414C100B769C34004 +:0414C20011418082D2 +:0414C3008493C22626 +:0414C40098F1003566 +:0414C500C422C60671 +:0414C600473104A105 +:0414C700FC6387AA91 +:0414C80044B104E443 +:0414C90004B4EB6319 +:0414CA00C03E853E5D +:0414CB00007000EFBE +:0414CC0026836765A7 +:0414CD004782C60785 +:0414CE00C607061334 +:0414CF00E43184364A +:0414D0000413646538 +:0414D1004018C644B5 +:0414D200853EEB0167 +:0414D300C03E458151 +:0414D40079C000EFEC +:0414D500C008478282 +:0414D60085A6853E24 +:0414D70000EFC03E24 +:0414D800577D78E0E4 +:0414D90016634782CD +:0414DA00473106E5AB +:0414DB00853EC398EF +:0414DC007C4000EF61 +:0414DD00D7E3A02988 +:0414DE004731FA0494 +:0414DF004501C39868 +:0414E000442240B2B0 +:0414E10001414492EF +:0414E20040188082AC +:0414E3004F638F05BF +:0414E40045AD020709 +:0414E50000E5F663C5 +:0414E600943AC0185C +:0414E700A029C00474 +:0414E8009363405872 +:0414E900C21802869D +:0414EA0000EF853E4C +:0414EB00051378A0CD +:0414EC00079300B4AE +:0414ED0099610044BD +:0414EE0040F507338B +:0414EF00FCF502E323 +:0414F0008F89943A12 +:0414F100BF6DC01CEF +:0414F200BFF9C2D8A4 +:0414F300404086A24D +:0414F4000413B7BD69 +:0414F50098710035B5 +:0414F600FC8502E38C +:0414F70040A405B355 +:0414F800C03E853E2F +:0414F900577D2721D3 +:0414FA0019E3478229 +:0414FB00BFB5FAE59A +:0414FC00C2321111D6 +:0414FD00C826459028 +:0414FE00CA22CC062C +:0414FF00E46384AE70 +:0415000082AA08C6ED +:0415010000C5D50349 +:04150200480577130E +:0415030048D0CB3DC4 +:041504004080470DCF +:0415050002C70733DF +:041506004609498CBD +:0415070040B407B332 +:041508004433C03E6A +:04150900861302C77C +:04150A00963E0016F3 +:04150B0000C4736342 +:04150C00751384329D +:04150D00C636400599 +:04150E008516C53544 +:04150F00C41685A2D7 +:04151000ECBFF0EF4D +:0415110046B242A2FA +:04151200CD2547316B +:04151300488C4602B8 +:04151400C42AC636E9 +:041515009AEFB0EFAA +:0415160000C4D60334 +:0415170046B2432273 +:04151800B7F6761399 +:041519000806661347 +:04151A0000C4962350 +:04151B00A823478238 +:04151C00C8C00064DF +:04151D000733933EBF +:04151E00A02340F4D2 +:04151F0086360064A8 +:04152000F363C49815 +:04152100863600C644 +:041522004088459226 +:04152300B0EFC03233 +:04152400449898AFA0 +:041525004501460234 +:04152600C4988F11C5 +:04152700963A409818 +:04152800A80DC090BA +:04152900862285167B +:04152A002571C4164D +:04152B0046B242A2E0 +:04152C00FD4D832AC4 +:04152D008516488C4B +:04152E00F0EFC01604 +:04152F004282DA7F9B +:04153000A02347317C +:04153100D70300E2FA +:04153200557D00C41F +:04153300040767132F +:0415340000E4962316 +:04153500445240E2FA +:04153600017144C239 +:04153700D7038082D4 +:04153800011300C5D6 +:04153900C122F78153 +:04153A000793DEA68F +:04153B00C30601F1F1 +:04153C00C02EC22AD1 +:04153D000807771311 +:04153E00F41384B26C +:04153F00CB1DFF873A +:04154000EB0D4998CE +:04154100040005930A +:04154200F0EFC636CA +:041543004782E01FDC +:04154400C38846B260 +:04154500E919CB884D +:0415460047B1471250 +:04154700C31C557DEF +:04154800440A409A77 +:04154900011354F640 +:04154A008082088112 +:04154B0007134782B9 +:04154C00CBD80400F4 +:04154D00020007137E +:04154E0000E40CA306 +:04154F00030007137B +:0415500000042A2346 +:0415510000E40D2382 +:041552008726CA36E8 +:041553000250061329 +:0415540000074683C3 +:041555009663C2993E +:0415560006B30AC608 +:041557000163409755 +:04155800458202972F +:04155900862645128B +:04155A00C636C83A8F +:04155B00E85FF0EF66 +:04155C000A63567D4B +:04155D0048501AC513 +:04155E00474246B208 +:04155F00C8509636A4 +:0415600000074683B7 +:041561001A06816382 +:0415620000170493D7 +:041563002023577D6D +:041564002623000436 +:04156500C058000466 +:041566000004242336 +:04156700040401A3D4 +:0415680004042C2328 +:041569000004C58332 +:04156A00461567E1DA +:04156B009E878513BF +:04156C00401423A55F +:04156D0000148713CC +:04156E00F613E53952 +:04156F00C6090106A2 +:04157000020006135C +:0415710004C401A30A +:041572000086F613E6 +:041573000613C6098C +:0415740001A302B01D +:04157500C58304C462 +:041576000613000454 +:041577008F6302A0DC +:04157800445402C510 +:0415790045818726FB +:04157A0042A9452518 +:04157B00000746031C +:04157C00001703133E +:04157D00FD0606134E +:04157E0006C57763C4 +:04157F00C454C98502 +:041580000705A03586 +:0415810067E1B7B1B6 +:041582009E878613A7 +:0415830046058D117B +:0415840000A6153375 +:04158500C0148EC937 +:04158600B76984BA03 +:041587000593465230 +:0415880042100046C7 +:041589004963CA2EBA +:04158A00C450020641 +:04158B00000746030C +:04158C0002E00693E0 +:04158D0006D611630A +:04158E0000174603F9 +:04158F0002A006931D +:0415900002D61B6301 +:04159100070946D22E +:041592000046861376 +:04159300CA32429482 +:041594000206C16327 +:04159500A081C0541D +:0415960040C0063318 +:041597000026E693B1 +:04159800C014C45067 +:0415990086B3B7E17D +:04159A00871A025654 +:04159B0096B245853A +:04159C0056FDBFB584 +:04159D000705B7C5C2 +:04159E000004222300 +:04159F0046814581BB +:0415A00042A94525F2 +:0415A10000074603F6 +:0415A2000017031318 +:0415A300FD06061328 +:0415A40006C57363A2 +:0415A5004583F1E1A8 +:0415A60064E10007F5 +:0415A7008513460D55 +:0415A800C63A9F049C +:0415A9004732299507 +:0415AA008493CD0158 +:0415AB008D059F0407 +:0415AC00040006939E +:0415AD0000A696B34B +:0415AE0007054008E5 +:0415AF00C0088D558E +:0415B0000007458368 +:0415B1004619656111 +:0415B2009F45051339 +:0415B3000017049386 +:0415B40000B40C2350 +:0415B500C13521918A +:0415B6000000071317 +:0415B7004014E70DE8 +:0415B800F69347520D +:0415B900CE911006B9 +:0415BA00CA3A071111 +:0415BB0047A24858A3 +:0415BC00C858973E36 +:0415BD0086B3BD999B +:0415BE00871A025630 +:0415BF0096B2458516 +:0415C0000721B751F7 +:0415C1004602B7DD4A +:0415C20066D5451293 +:0415C30086930858AB +:0415C40085A23F06B7 +:0415C500000000978B +:0415C600000000E73A +:0415C70047A2C42A49 +:0415C80095E3577DD3 +:0415C9004782FCE772 +:0415CA00D703557D71 +:0415CB00771300C7CB +:0415CC0017E3040716 +:0415CD004848DE07A5 +:0415CE004602B3E539 +:0415CF0066D5451286 +:0415D000869308589E +:0415D10085A23F06AA +:0415D200BFC9221556 +:0415D30087AE1101CD +:0415D40085B6CA26E8 +:0415D500479484BAF9 +:0415D600CC224B9840 +:0415D700842ACE068E +:0415D80053638332A4 +:0415D900873600D77A +:0415DA0000E32023E7 +:0415DB000437C68388 +:0415DC000705C681B8 +:0415DD0000E32023E4 +:0415DE0077134398A4 +:0415DF00C711020727 +:0415E00000032703DA +:0415E10020230709B3 +:0415E200439800E347 +:0415E30001978613D3 +:0415E400C3358B1967 +:0415E500C6834398DE +:0415E600771304373C +:0415E70036B302070E +:0415E800E75100D0F7 +:0415E900043786132A +:0415EA00C41A852278 +:0415EB00C02EC23E0E +:0415EC00577D948211 +:0415ED0006E50763A5 +:0415EE0043224792BB +:0415EF00439445825A +:0415F00000032603CB +:0415F1008A9943117F +:0415F200470147C89E +:0415F3000066976394 +:0415F40040C50733B4 +:0415F5000007536335 +:0415F60047944701CE +:0415F70054634B905E +:0415F8008E9100D6FA +:0415F90043019736DD +:0415FA0001A78613AC +:0415FB00066710630C +:0415FC00A80D4501F0 +:0415FD0047D40705C3 +:0415FE0000032503BE +:0415FF005BE38E8993 +:041600004685F8D74C +:04160100C81A85225C +:04160200C43AC63EE2 +:04160300C02EC23201 +:0416040056FD948279 +:0416050046124582C2 +:0416060047B247227E +:041607001BE343425C +:04160800557DFCD53B +:04160900446240F205 +:04160A00610544D260 +:04160B008633808220 +:04160C00051300D7EB +:04160D0001A3030032 +:04160E00C60304A665 +:04160F0087130457E2 +:04161000973E0016EB +:0416110001A30689A2 +:04161200BFA904C7A1 +:041613008522468561 +:04161400C43AC61AF4 +:04161500C02EC232EF +:0416160057FD948266 +:04161700FCF503E3F8 +:0416180047224332F0 +:0416190045824612AE +:04161A00B7490305C4 +:04161B00CC221101CB +:04161C00CE06CA2606 +:04161D00842EC02A2D +:04161E0004358513F7 +:04161F000185C583F9 +:04162000C23284B698 +:0416210007800693A5 +:0416220000B6EC63BF +:041623000620069304 +:0416240000B6ED63BC +:041625001C058C63B1 +:0416260005800693A2 +:0416270012D58D63E8 +:041628000424031380 +:0416290004B40123E1 +:04162A008693A805F6 +:04162B00F693F9D564 +:04162C0046550FF61A +:04162D00FED666E39C +:04162E00068A666161 +:04162F00A2460613B6 +:04163000429496B298 +:041631004314868256 +:041632000424031376 +:0416330000468613D4 +:041634004298C31005 +:0416350004E40123A5 +:04163600AA75470545 +:041637002303401435 +:04163800F61300079E +:041639000593080607 +:04163A00C20D00439A +:04163B0000032683FF +:04163C006661C30C14 +:04163D000006D86368 +:04163E0002D00713BC +:04163F0040D006B3DE +:0416400004E401A31A +:041641009FC6061327 +:04164200A0B94729DB +:041643000406F61390 +:0416440000032683F6 +:04164500DE71C30C83 +:0416460086C106C291 +:041647004010BFD9B7 +:041648007293431442 +:0416490083130806F9 +:04164A00866300466D +:04164B002023000256 +:04164C00429400675D +:04164D007613A80167 +:04164E00202304064B +:04164F00DA750067E1 +:041650000006D68337 +:0416510007136661B4 +:04165200061306F085 +:0416530087639FC644 +:0416540047290EE52F +:04165500040401A3E5 +:04165600C40C404C34 +:041657000005C8635F +:041658000004230364 +:04165900FFB3731355 +:04165A0000642023E5 +:04165B00832AE29963 +:04165C00832ACD9977 +:04165D0002E6F5B3F9 +:04165E0095B2137DB1 +:04165F000005C5833A +:0416600000B30023B0 +:04166100D6B385B6C1 +:04166200F5E302E6C4 +:0416630046A1FEE5B9 +:0416640000D71E632A +:041665008B05401899 +:041666004054CB1110 +:041667004763481875 +:04166800071300D78D +:041669000FA30300C8 +:04166A00137DFEE30B +:04166B00406505339E +:04166C004692C808D2 +:04166D008726450285 +:04166E0085A2081039 +:04166F00F0EFC41ABA +:04167000577DD8FFCB +:041671001C63432291 +:04167200557D0CE5B1 +:04167300446240F29B +:04167400610544D2F6 +:0416750066618082A8 +:0416760004B402A313 +:041677009FC60613F1 +:041678002303400CFC +:04167900F2930007E1 +:04167A0026830805B6 +:04167B000311000354 +:04167C0002028D6376 +:04167D0000672023BF +:04167E000015F71349 +:04167F00E593C70127 +:04168000C00C020593 +:04168100F6B947412E +:04168200F593400C90 +:04168300C00CFDF5A5 +:041684004014B791C6 +:041685000206E693E0 +:041686000693C014F3 +:041687006661078011 +:0416880004D402A3E1 +:04168900A10606139D +:04168A00F293BF65B3 +:04168B00202304050F +:04168C0083E300678D +:04168D0006C2FC0293 +:04168E00BF7D82C1D9 +:04168F00BF19472117 +:0416900043144010AF +:041691007293484CBC +:0416920083130806B0 +:041693008763004623 +:04169400202300020D +:041695004298006710 +:04169600A809C30CD0 +:0416970000672023A5 +:0416980004067613BB +:04169900DA6D42982C +:04169A0000B7102362 +:04169B0000042823FC +:04169C00B781832A65 +:04169D00458143142C +:04169E000046861369 +:04169F00A303C310CE +:0416A00040500006B0 +:0416A100C41A851AC8 +:0416A2004322284176 +:0416A3000533C50145 +:0416A400C048406595 +:0416A500C8184058C9 +:0416A600040401A394 +:0416A7004814BF190B +:0416A8004502459220 +:0416A9009482861A87 +:0416AA0000E3577D85 +:0416AB004018F2E50C +:0416AC00EB0D8B09AE +:0416AD004448474224 +:0416AE00F0E55AE326 +:0416AF00B739853A88 +:0416B0004502459218 +:0416B100C63A46856A +:0416B2009482C43228 +:0416B3000EE356FDEF +:0416B4004732EED5F6 +:0416B50007054622BD +:0416B60045C2445491 +:0416B70041E38E8DF0 +:0416B800BFC9FED7D1 +:0416B90006134701CC +:0416BA00B7FD0194E3 +:0416BB00C2221151E5 +:0416BC00842AC02696 +:0416BD00852E64E52D +:0416BE00AE23C4068D +:0416BF002275C404C8 +:0416C000166357FD59 +:0416C100A78300F506 +:0416C200C391C5C447 +:0416C30040A2C01C65 +:0416C4004482441206 +:0416C50080820131ED +:0416C6000FF5F59394 +:0416C7001463962AE8 +:0416C800450100C513 +:0416C9004783808251 +:0416CA008DE30005A7 +:0416CB000505FEB75C +:0416CC008082B7FD64 +:0416CD00E5818082B1 +:0416CE00F06F85B282 +:0416CF001131FD0FC9 +:0416D000C622C80660 +:0416D100EA11C42630 +:0416D200F18FF0EFB5 +:0416D30040C2478149 +:0416D40044A24432B6 +:0416D5000151853EFC +:0416D600842E80825C +:0416D70084AAC032EF +:0416D8004602203D69 +:0416D90074E387A28D +:0416DA0085B2FEC512 +:0416DB00C23285266C +:0416DC00F9AFF0EF83 +:0416DD00DD6187AA9A +:0416DE0085A2461289 +:0416DF00A0EFC02A8E +:0416E00085A2A85FD8 +:0416E100F0EF85267B +:0416E2004782EDAF9F +:0416E300A783B7C959 +:0416E4008513FFC5A6 +:0416E500D563FFC703 +:0416E60095AA0007BA +:0416E700953E419C4F +:0416E80047018082B4 +:0416E90000E61463A0 +:0416EA0080824501B4 +:0416EB0000E507B35C +:0416EC0086B30705B5 +:0416ED00C78300E5CA +:0416EE00C6830007A8 +:0416EF0083E3FFF69C +:0416F0008533FED769 +:0416F100808240D7DC +:0416F200167DC60D8E +:0416F30007B34701F1 +:0416F40086B300E5D4 +:0416F500C78300E5C2 +:0416F600C6830007A0 +:0416F70094630006F2 +:0416F800156300D79F +:0416F900853300C76E +:0416FA00808240D7D3 +:0416FB00F3E5070507 +:0416FC004501BFDD08 +:0416FD0087AA8082B6 +:0416FE00C703CA094B +:0416FF000585000558 +:041700008FA3078527 +:04170100167DFEE76C +:04170200963EFB65AF +:0417030000C7936325 +:041704000785808253 +:04170500FE078FA3A9 +:0417060095AABFD50C +:04170700856387AAC5 +:04170800C70300B75C +:04170900E7010007ED +:04170A0040A785333C +:04170B00078580824C +:04170C000113B7FD11 +:04170D00D6A2F8C1A7 +:04170E00D886D4A6FF +:04170F00843284AAF2 +:0417100000065C6310 +:0417110008B0079382 +:04171200557DC11C24 +:04171300543650C632 +:04171400011354A6C3 +:041715008082074186 +:041716002080079395 +:0417170000F11623A4 +:04171800C82EC02EE9 +:041719004781863648 +:04171A00C01986BAB2 +:04171B00FFF407933D +:04171C00CA3EC43EBF +:04171D0057FD858A65 +:04171E0017238526E2 +:04171F00F0EF00F1F6 +:0417200057FD861FCC +:0417210000F5556317 +:0417220008B0079371 +:04172300DC5DC09C2D +:041724008023478255 +:04172500BF5D00079D +:04172600873667E1BA +:04172700862E86B2D2 +:04172800A50385AAE6 +:04172900F06F61C735 +:04172A0063E5F8DF9C +:04172B00C683A78347 +:04172C00C222115173 +:04172D00842AC40640 +:04172E00C683839358 +:04172F004501E79DEC +:0417300046014581A8 +:0417310047014681A5 +:041732000D600293B1 +:04173300000000733F +:041734001A6357FDE0 +:04173500283500F55E +:04173600C11C47B1DA +:0417370040A2557DFA +:041738000131441225 +:04173900A0238082E7 +:04173A00A30300A362 +:04173B0045810003E1 +:04173C00053346012A +:04173D00468100647D +:04173E004781470197 +:04173F000D600293A4 +:041740000000007332 +:0417410018E3941AFB +:04174200A023FC855F +:04174300851A00A360 +:0417440067E1B7F9A9 +:0417450061C7A503D0 +:04174600000080829D +:04174700000000009E +:04174800000000009D +:04174900000000009C +:04174A00000000009B +:04174B000001049005 +:04174C0000010496FE +:04174D000001049CF7 +:04174E00000104A2F0 +:04174F00000104444D +:04175000000104642C +:04175100000104642B +:04175200000104642A +:041753000001046429 +:041754000001046428 +:041755000001046427 +:041756000001046822 +:041757000001046E1B +:041758006C206F4E44 +:04175900006B6E694A +:04175A006D20642575 +:04175B000000005634 +:04175C00252E75259C +:04175D002075322E93 +:04175E00000073759F +:04175F0025257525A2 +:041760000000000085 +:041761006C2075255E +:0417620073656E69D4 +:041763000000000082 +:041764007020752557 +:041765006C657869CE +:04176600000000730C +:0417670000007525E4 +:041768006420642570 +:04176900000000423A +:04176A00656E6F44F5 +:04176B00000000007A +:04176C006C696146FD +:04176D002820646567 +:04176E0000296425C5 +:04176F0000010C4A1F +:0417700000010C6404 +:0417710000010C6EF9 +:0417720000010CA0C6 +:0417730000010C7CE9 +:041774006420642564 +:0417750000006765A4 +:04177600322E252EBC +:0417770000000075F9 +:041778006F625F68D5 +:0417790072656472BF +:04177A007525203A77 +:04177B005F68202C57 +:04177C005F74706FB7 +:04177D0072617473AE +:04177E0066666F74B8 +:04177F0025203A7374 +:0417800000000A75E6 +:041781003A53544340 +:04178200756C25203D +:041783000000000A58 +:041784006F636552D8 +:041785006769666EBC +:041786006E697275A1 +:041787004C5020673B +:041788006F74204C0E +:041789006E6F6320FC +:04178A002067696605 +:04178B00000A7525B6 +:04178C0061766E69AB +:04178D002064696CFF +:04178E0072746E659E +:04178F0000000A79D3 +:0417900074696E49C1 +:04179100666E6F63AE +:0417920064206769FF +:0417930020617461FC +:04179400746972778B +:04179500206E6574E9 +:04179600207525286D +:04179700657479629A +:04179800000A2973A7 +:041799006573753CC3 +:04179A0000003E64A9 +:04179B00666F7250B3 +:04179C0020656C69EF +:04179D00642075252A +:04179E0020617461F1 +:04179F007469727780 +:0417A000206E6574DE +:0417A1002075252862 +:0417A200657479628F +:0417A300000A29739C +:0417A4004452535503 +:0417A500004154416A +:0417A60075206F4EED +:0417A7006472657390 +:0417A80020617461E7 +:0417A9006E756F6684 +:0417AA006E6F2064DA +:0417AB00746E6520D3 +:0417AC002520797209 +:0417AD0000000A75B9 +:0417AE0074696E49A3 +:0417AF00666E6F6390 +:0417B00076206769CF +:0417B1006973726581 +:0417B20025206E6F11 +:0417B30075252E75F5 +:0417B400656F6420D9 +:0417B5006F6E2073C0 +:0417B600616D2074CD +:0417B70020686374CF +:0417B8007272756371 +:0417B90020746E65C5 +:0417BA000A656E6FDF +:0417BB00000000002A +:0417BC006420435210 +:0417BD0020617461D2 +:0417BE00646165728B +:0417BF007525282044 +:0417C00074796220B6 +:0417C1000A29736519 +:0417C2000000000023 +:0417C300666F72508B +:0417C40020656C69C7 +:0417C5007372657660 +:0417C600206E6F69B9 +:0417C700252E752531 +:0417C8006F642075B5 +:0417C9006E207365B6 +:0417CA006D20746FAB +:0417CB00686374617A +:0417CC0072756320AF +:0417CD00746E65725F +:0417CE00656E6F20B5 +:0417CF000000000A0C +:0417D000666F72507E +:0417D10020656C69BA +:0417D20064207525F5 +:0417D30020617461BC +:0417D4006461657275 +:0417D500752528202E +:0417D60074796220A0 +:0417D7000A29736503 +:0417D800000000000D +:0417D9006E6B6E5570 +:0417DA00206E776F97 +:0417DB00726573754B +:0417DC00617461646F +:0417DD00746E6520A1 +:0417DE00000A797212 +:0417DF00706D653C88 +:0417E000003E7974DA +:0417E100203A752510 +:0417E200000073256B +:0417E30020534854F3 +:0417E4002046504CFF +:0417E500756C617648 +:0417E60065732065A2 +:0417E7006F74207487 +:0417E8002578302010 +:0417E90000000A787A +:0417EA0069726F4869 +:0417EB00746E6F7A2F +:0417EC0073206C6199 +:0417ED006C706D614E +:0417EE00746172654B +:0417EF006573206599 +:0417F0006F7420747E +:0417F1000A75252030 +:0417F20000000000F3 +:0417F300697473455D +:0417F4006574616D4A +:0417F50043502064D9 +:0417F600485F4B4CB1 +:0417F7003A4C4C50CC +:0417F800756C2520C7 +:0417F900332E252E38 +:0417FA004D20756C9D +:0417FB00000A7A481E +:0417FC00204F4356E1 +:0417FD00676E617240 +:0417FE0025203A6503 +:0417FF0050430A73D6 +:0418000025203A4322 +:0418010000000A7564 +:0418020020505654C8 +:041803002046504CDF +:04180400756C617628 +:041805006573206582 +:041806006F74207467 +:0418070025783020F0 +:0418080000000A785A +:041809004B4C4350B1 +:04180A003A6E695F6A +:04180B00756C2520B3 +:04180C00000A7A480C +:04180D00636E79533A +:04180E0046504C20D4 +:04180F006C61762072 +:041810007320657567 +:041811007420746566 +:041812007830206F9B +:04181300000A78252A +:041814007361685044 +:041815006573206572 +:041816007463656C26 +:041817003A6E6F694D +:041818002F752520E3 +:0418190028207525E9 +:04181A0041475046AC +:04181B0025202C292F +:04181C0032332F75BF +:04181D0056542820D5 +:04181E00000A295043 +:04181F000073655994 +:0418200000006F4E07 +:04182100494D4448A1 +:04182200535F585464 +:041823005641746551 +:04182400666E49495A +:041825006172466F37 +:04182600203A656D92 +:041827003D4349569E +:04182800202C6425E7 +:041829006F6C6F432E +:04182A00646F4D7228 +:04182B0064253D658E +:04182C007341202CB8 +:04182D00746365700B +:04182E007461522D62 +:04182F00253D6F697B +:0418300049202C73AC +:0418310030375554A3 +:0418320073253D39A4 +:041833005449202CC8 +:0418340073253D4398 +:041835006970202C8A +:04183600726C6578F3 +:04183700253D706576 +:0418380000000A752D +:04183900417465533E +:04183A006E49495654 +:04183B0029286F6683 +:04183C000000203A4E +:04183D004974655332 +:04183E007475706EDF +:04183F0065646F4D20 +:0418400032302528F5 +:0418410030252C58CA +:041842000A295832E5 +:0418430000000000A1 +:041844004B4C435076 +:04184500766944205C +:041846006465646908 +:041847002079622082 +:041848006F6D20326E +:04184900000A6564C8 +:04184A005249434379 +:04184B0020363536D8 +:04184C0065646F6DF3 +:04184D000000000A8D +:04184E00636E7953F9 +:04184F00626D452061 +:041850006564646502 +:041851006F6D206433 +:04185200000A6564BF +:0418530075706E49F5 +:041854004444207474 +:041855006F6D205241 +:04185600000A6564BB +:0418570075706E49F1 +:041858006F6D20741C +:041859006920656439 +:04185A00475220735E +:04185B000A343242D7 +:04185C000000000088 +:04185D007074754FDF +:04185E006D20747510 +:04185F002065646F2D +:04186000592073692F +:041861003434565570 +:0418620000000A3444 +:041863002043534388 +:041864004752203D8A +:04186500555932425D +:04186600782520566B +:04186700000000205D +:041868003655544954 +:0418690030203130CA +:04186A003535322DB1 +:04186B000000002059 +:04186C007074754FD0 +:04186D006D20747501 +:04186E002065646F1E +:04186F005220736927 +:041870003432424785 +:041871000000000A69 +:0418720075746553D1 +:041873004546417035 +:04187400000A292815 +:041875005B676552F6 +:04187600583230258F +:04187700203D205D93 +:04187800583230258D +:041879000000000A61 +:04187A0074204B4F3C +:04187B006E69206F03 +:04187C00000074698B +:04187D00736C6146E1 +:04187E0072742065FB +:04187F0065676769C9 +:0418800000000072F2 +:04188100656D6954D4 +:041882000074756F0A +:04188300252E752574 +:041884006D75322E1E +:0418850000000073EC +:04188600252E752571 +:041887006D75322E1B +:0418880075252F7320 +:04188900322E252EA8 +:04188A0000736D7505 +:04188B006E694C0A2C +:04188C00203A736526 +:04188D002520752578 +:04188E0000000A63E9 +:04188F00636F6C43D4 +:041890007020736BE6 +:041891006C207265F0 +:041892003A656E69DC +:041893002075252077 +:041894005348203A5B +:041895002E75252067 +:0418960075332E2553 +:041897007A486B2000 +:041898005356202063 +:041899002E75252063 +:04189A0075322E2550 +:04189B000A7A48205D +:04189C000000000048 +:04189D0069777348AC +:04189E003A687464CC +:04189F00207525206B +:0418A00077735620E4 +:0418A100687464699A +:0418A2007525203A4E +:0418A300614D202053 +:0418A400766F726386 +:0418A5006F6973698B +:0418A60025203A6E51 +:0418A70000000A75BE +:0418A800252073255F +:0418A90063252D7511 +:0418AA00000000003A +:0418AB00252E75254C +:0418AC006B75322EF8 +:0418AD0025207A4830 +:0418AE002E252E7540 +:0418AF007A487532CC +:0418B0000000000034 +:0418B1007830323722 +:0418B200003030349E +:0418B300783034361F +:0418B400003030349C +:0418B5004E524157F7 +:0418B6003A474E4916 +:0418B700766E6920C0 +:0418B80064696C6192 +:0418B90072617420C4 +:0418BA005F7465678B +:0418BB00000A6D6C46 +:0418BC006F72724590 +:0418BD006E203A72ED +:0418BE007573206FAF +:0418BF006261746985 +:0418C0006D20656CC6 +:0418C1002065646FCB +:0418C2006E756F666A +:0418C30064202C640D +:0418C400756166657F +:0418C5006E69746C68 +:0418C6006F742067B4 +:0418C7003034322067 +:0418C80000000A70A2 +:0418C90065646F4D96 +:0418CA002073252042 +:0418CB00656C657370 +:0418CC006465746378 +:0418CD0068202D2042 +:0418CE00636E797359 +:0418CF0064697720B1 +:0418D000203A6874DE +:0418D1007870752591 +:0418D2000000000A08 +:0418D3004B4C4350E7 +:0418D40074756F5F59 +:0418D5006C25203A24 +:0418D6000A7A4875CD +:0418D700000000000D +:0418D8006C69614690 +:0418D9000000646542 +:0418DA006E7973436D +:0418DB0000000063A6 +:0418DC006E79734866 +:0418DD0000000063A4 +:0418DE0000736F70B4 +:0418DF000067656ECB +:0418E0006F7272456C +:0418E10063203A72D4 +:0418E200646C756F4E +:0418E300746F6E2090 +:0418E40061657220A8 +:0418E50072662064A3 +:0418E60054206D6FAE +:0418E70033375348F8 +:0418E800000A33358A +:0418E9006F72724563 +:0418EA0063203A72CB +:0418EB00646C756F45 +:0418EC00746F6E2087 +:0418ED00616572209F +:0418EE00726620649A +:0418EF0054206D6FA5 +:0418F00030375056E7 +:0418F100000A323087 +:0418F2006F7272455A +:0418F30063203A72C2 +:0418F400646C756F3C +:0418F500746F6E207E +:0418F6006165722096 +:0418F7007266206491 +:0418F80049206D6FA7 +:0418F90031363654FA +:0418FA0000000A33AD +:0418FB00314D4350D8 +:0418FC002032363828 +:0418FD006E756F662F +:0418FE0000000A6478 +:0418FF006F7272454D +:0419000063203A72B4 +:04190100646C756F2E +:04190200746F6E2070 +:041903006E69662083 +:041904006C66206489 +:041905000A68736198 +:0419060000000000DD +:041907007365725042 +:041908000000007368 +:04190900666E6F4354 +:04190A00006D726991 +:04190B006D73694D42 +:04190C006863746137 +:04190D006572202CB3 +:04190E000079727476 +:04190F00202323234B +:0419100020594944CD +:0419110045444956AA +:041912004944204FD5 +:0419130049544947A3 +:041914002052455ABE +:041915004353202FE9 +:041916004F434E41AC +:041917005245564E91 +:0419180020524554C0 +:0419190054494E4996 +:04191A00204B4F20EF +:04191B000A23232355 +:04191C000000000ABD +:04191D004353534F8E +:04191E0077662020A8 +:04191F007525202EDC +:04192000322E252E10 +:0419210000006175EC +:0419220074696E492D +:041923007272652057 +:041924002020726F9E +:041925000000642535 +:04192600444F435295 +:0419270030203A45ED +:04192800342E2578BC +:04192900202C786C8A +:04192A00000A642526 +:04192B00444F4342A0 +:04192C0030203A45E8 +:04192D00322E2578B9 +:04192E00000A786CC7 +:04192F00666F72501D +:041930003A656C693F +:0419310000000000B2 +:0419320065646F4D2C +:041933006572702049 +:041934003A74657329 +:0419350000000000AE +:04193600646F6D4924 +:0419370046282065B9 +:0419380029414750AA +:041939000000003A70 +:04193A002D756C2576 +:04193B006325632598 +:04193C00756C252081 +:04193D00322E252EF3 +:04193E007A48756C02 +:04193F0000000000A4 +:04194000746E63431B +:0419410066202F20CD +:04194200656D6172FC +:041943000000003A66 +:0419440000756C2599 +:041945006D72694610 +:0419460065726177EE +:041947000000003A62 +:04194800252E7525AE +:041949006175322E64 +:04194A000000000099 +:04194B00656E694C10 +:04194C00746C756DD5 +:04194D00646F6D2036 +:04194E0000003A65F6 +:04194F0073657270DA +:041950002D312073A2 +:04195100000000355D +:041952004E52415759 +:041953003A474E4978 +:04195400726F43204B +:0419550074707572C3 +:041956006D20646537 +:041957002065646F34 +:041958002064692876 +:041959000A296425CE +:04195A000000000089 +:04195B002078752556 +:04195C0075736E75BC +:04195D00726F7070C5 +:04195E000064657448 +:04195F00666F7250ED +:0419600020656C6929 +:0419610064616F6CE2 +:041962000000003A47 +:0419630073657270C6 +:041964007525207352 +:041965000075252DB7 +:0419660020232323F4 +:041967005449575335 +:041968004D20484383 +:041969002045444F82 +:04196A0025204F5491 +:04196B00232320739F +:04196C0000000A234A +:04196D002053485467 +:04196E0072756F73AC +:04196F007320656319 +:041970007420746506 +:041971007525206F49 +:041972000000000A67 +:041973006420732554 +:0419740063657465CE +:041975002C64657405 +:041976002073252095 +:04197700616C6F70C0 +:0419780079746972A3 +:041979000000000A60 +:04197A006E797356B9 +:04197B00656420631C +:04197C0074636574B7 +:04197D00202C646551 +:04197E00702073253D +:04197F0072616C6FB6 +:041980000A79746903 +:041981000000000062 +:0419820020474F5358 +:0419830065746564BE +:0419840064657463BF +:041985000000000A54 +:0419860020474F5354 +:0419870020746F6EEB +:0419880065746564B9 +:0419890064657463BA +:04198A000000000A4F +:04198B0020202020D8 +:04198C0053204F4E47 +:04198D0000434E596C +:04198E007474657395 +:04198F0020676E69F6 +:041990002043544953 +:0419910025206F742A +:0419920000000A64E3 +:041993006E616843D6 +:04199400676E6967AA +:041995003356412064 +:041996004247522052 +:04199700756F7320D5 +:041998000A65637207 +:04199900000000004A +:04199A00636E7953AC +:04199B002070752023 +:04199C0025206E692B +:04199D002E2E2E6458 +:04199E000000000A3B +:04199F00636E7953A7 +:0419A000776F6420D9 +:0419A1006E69206EDD +:0419A2002E6425206A +:0419A300000A2E2EDA +:0419A4006C746F747C +:0419A50073656E698F +:0419A6006C25203A52 +:0419A700632820751C +:0419A800202972750B +:0419A9006C25202F5A +:0419AA00702820750C +:0419AB0029766572C2 +:0419AC006C63202C1C +:0419AD00746E636B86 +:0419AE006C25203A4A +:0419AF006328207514 +:0419B0002029727503 +:0419B1006C25202F52 +:0419B2007028207504 +:0419B30029766572BA +:0419B4006F74202EFE +:0419B5006E696C7477 +:0419B600745F736582 +:0419B700203A7076EC +:0419B800202C752545 +:0419B9003A4D5356FA +:0419BA000A75252065 +:0419BB000000000028 +:0419BC0020474F531E +:0419BD006C6F68746F +:0419BE0065732064C9 +:0419BF006F742074AD +:0419C0002578302036 +:0419C10000000A78A0 +:0419C20020504C4322 +:0419C3002046504C1E +:0419C400756C617667 +:0419C50065732065C1 +:0419C6006F742074A6 +:0419C700257830202F +:0419C80000000A7899 +:0419C900636E79537D +:0419CA000A7075200A +:0419CB000000000018 +:0419CC00636E79537A +:0419CD00736F6C20A8 +:0419CE0000000A7497 +:0419CF0065646F4D8F +:0419D00061686320C7 +:0419D1000A65676ECE :0419D2000000000011 -:0419D3000000000010 -:0419D4004320445315 -:0419D5002044524117 -:0419D6004C4C4957D5 -:0419D7000045422065 -:0419D8005245564FCF -:0419D90054495257C4 -:0419DA00214E455401 -:0419DB004500212181 -:0419DC00726F70783E -:0419DD0031203F7402 -:0419DE00202C593D23 -:0419DF00004E3D3247 -:0419E0007365725069 -:0419E100203120731E -:0419E2003220726FCE -:0419E3000000000000 -:0419E4004D9000EB37 -:0419E5004E495753BD -:0419E60000312E346A -:0419E7000080040276 -:0419E80000080002F1 -:0419E9000020F88062 -:0419EA0000FF003FBB -:0419EB00F42900805B -:0419EC004F04C6CF0F -:0419ED0050435353BD -:0419EE0049464F52C5 -:0419EF004146534CCE -:0419F0002036315418 -:0419F10000002020B2 -:0419F2004353534FB9 -:0419F300464F5250B9 -:0419F400204E4942F6 -:0419F500B5048E00A7 -:0419F600516F516F6D -:0419F700891700004C -:0419F8000002516F29 -:0419F90000100200D8 -:0419FA000001045490 -:0419FB000001045A89 -:0419FC000001046082 -:0419FD00000104667B -:0419FE0000010408D8 -:0419FF0000010428B7 -:041A000000010428B5 -:041A010000010428B4 -:041A020000010428B3 -:041A030000010428B2 -:041A040000010428B1 -:041A05000001042CAC -:041A060000010432A5 -:041A07006C206F4E92 -:041A0800006B6E6998 -:041A09006D206425C3 -:041A0A000000005682 -:041A0B00252E7525EA -:041A0C002075322EE1 -:041A0D0000007375ED -:041A0E0025257525F0 -:041A0F0000000000D3 -:041A10006C207525AC -:041A110073656E6922 -:041A120000000000D0 -:041A130070207525A5 -:041A14006C6578691C -:041A1500000000735A -:041A16000000752532 -:041A170064206425BE -:041A18000000004288 -:041A1900656E6F4443 -:041A1A0000000000C8 -:041A1B006C6961464B -:041A1C0028206465B5 -:041A1D000029642513 -:041A1E0000011A9E0B -:041A1F0000011AB8F0 -:041A200000011AC2E5 -:041A210000011AF4B2 -:041A220000011AD0D5 -:041A230064206425B2 -:041A240000006765F2 -:041A2500322E252E0A -:041A26000000007547 -:041A27006573753C32 -:041A280000003E6418 -:041A2900445253557B -:041A2A0000415441E2 -:041A2B00706D653C39 -:041A2C00003E79748B -:041A2D00203A7525C1 -:041A2E00000073251C -:041A2F0074204B4F85 -:041A30006E69206F4C -:041A310000007469D4 -:041A3200736C61462A -:041A33007274206544 -:041A34006567676912 -:041A3500000000723B -:041A3600656D69541D -:041A37000074756F53 -:041A3800252E7525BD -:041A39006D75322E67 -:041A3A000000007335 -:041A3B00252E7525BA -:041A3C006D75322E64 -:041A3D0075252F7369 -:041A3E00322E252EF1 -:041A3F0000736D754E -:041A400025207325C5 -:041A410063252D7577 -:041A420000000000A0 -:041A4300252E7525B2 -:041A44006B75322E5E -:041A450025207A4896 -:041A46002E252E75A6 -:041A47007A48753232 -:041A4800000000009A -:041A49007830323788 -:041A4A000030303404 -:041A4B007830343685 -:041A4C000030303402 -:041A4D00FFFFFFFF99 -:041A4E00FFFFFFFF98 -:041A4F000000FFFF95 -:041A5000636E61431D -:041A5100656C6C65EF -:041A5200000000642C -:041A5300462F445383 -:041A54006873616CE6 -:041A55007272652024 -:041A56000000726FAB -:041A570061766E49FD -:041A58002064696C31 -:041A590061726170E5 -:041A5A00002E736D7A -:041A5B0053206F4E57 -:041A5C00616320445E -:041A5D00642064722B -:041A5E00002E74657D -:041A5F0063637553F5 -:041A60000073736537 -:041A61004620445384 -:041A6200616D726FD1 -:041A6300000000740B -:041A640041463D3189 -:041A65002C36315496 -:041A6600523D32209B -:041A670000005741E3 -:041A68006F707845DE -:041A69006E697472BC -:041A6A002E2E2E6787 -:041A6B000000000077 -:041A6C0073616C46F0 -:041A6D006576206812 -:041A6E002066697213 -:041A6F006C696166D7 -:041A70000000000072 -:041A710061766E49E3 -:041A72002064696C17 -:041A73002072646811 -:041A74000043524396 -:041A750061766E49DF -:041A76002064696C13 -:041A770061746164D1 -:041A78004352432072 -:041A79000000000069 -:041A7A0061647055DE -:041A7B00632065740B -:041A7C0065636E61CF -:041A7D0064656C6CC4 -:041A7E000000000064 -:041A7F0061766E49D5 -:041A80002064696C09 -:041A810067616D69C3 -:041A820000000065FB -:041A830061766E49D1 -:041A84002064696C05 -:041A850064616568CB -:041A86000000726585 -:041A87004353534F23 -:041A8800000000005A -:041A8900696C6156CD -:041A8A0069746164B6 -:041A8B006420676EFE -:041A8C000061746120 -:041A8D006220752539 -:041A8E00736574798F -:041A8F000000000053 -:041A9000252E752565 -:041A91002575322E57 -:041A92000073257345 -:041A930061647055C5 -:041A9400676E69749C -:041A95000057462090 -:041A960061656C70AA -:041A970077206573DC -:041A98002E746961DE -:041A990000002E2EED -:041A9A0069726556B2 -:041A9B006E69796691 -:041A9C006C662067ED -:041A9D000068736109 -:041A9E0061656C70A2 -:041A9F0072206573D9 -:041AA0006174736595 -:041AA100000074725B -:041AA20072746552A3 -:041AA300676E697988 -:041AA40064707520D5 -:041AA5000065746103 -:041AA60061647055B2 -:041AA700203F657403 -:041AA8002C593D3147 -:041AA9004E3D32205C -:041AAA000000000038 -:041AAB006D726946A9 -:041AAC006572617787 -:041AAD0064707520CC -:041AAE006465746196 -:041AAF000000000033 -:041AB00000014012DF -:041AB1000001405898 -:041AB200000140608F -:041AB3000001406886 -:041AB40064616F4CAE -:041AB5002E676E69C1 -:041AB60000002E2ED0 -:041AB700732064250F -:041AB80073746F6C68 -:041AB900616F6C20CD -:041ABA0000646564FB -:041ABB006F706D4992 -:041ABC00203F7472E1 -:041ABD002C593D3132 -:041ABE004E3D322047 -:041ABF000000000023 -:041AC0006C696146A6 -:041AC1000000646558 -:041AC2007365725086 -:041AC30000000073AC -:041AC400666E6F4398 -:041AC500006D7269D5 -:041AC6006D73694D86 -:041AC700686374617B -:041AC8006572202CF7 -:041AC90000797274BA -:041ACA004353534FE0 -:041ACB0077662020FA -:041ACC007525202E2E -:041ACD00322E252E62 -:041ACE00000061753E -:041ACF0074696E497F -:041AD00072726520A9 -:041AD1002020726FF0 -:041AD2000000642587 -:041AD300666F725078 -:041AD4003A656C699A -:041AD500000000000D -:041AD60065646F4D87 -:041AD70065727020A4 -:041AD8003A74657384 -:041AD9000000000009 -:041ADA00646F6D497F -:041ADB004628206514 -:041ADC002941475005 -:041ADD000000003ACB -:041ADE002D756C25D1 -:041ADF0063256325F3 -:041AE000756C2520DC -:041AE100322E252E4E -:041AE2007A48756C5D -:041AE30000000000FF -:041AE400746E634376 -:041AE50066202F2028 -:041AE600656D617257 -:041AE7000000003AC1 -:041AE80000756C25F4 -:041AE9006D7269466B -:041AEA006572617749 -:041AEB000000003ABD -:041AEC00252E752509 -:041AED006175322EBF -:041AEE0000000000F4 -:041AEF00656E694C6B -:041AF000746C756D30 -:041AF100646F6D2091 -:041AF20000003A6551 -:041AF3007365727035 -:041AF4002D312073FD -:041AF50000000035B8 -:041AF60020787525BA -:041AF70075736E7520 -:041AF800726F707029 -:041AF90000646574AC -:041AFA00666F725051 -:041AFB0020656C698D -:041AFC0064616F6C46 -:041AFD000000003AAB -:041AFE00736572702A -:041AFF0075252073B6 -:041B00000075252D1A -:041B01002020202060 -:041B020053204F4ECF -:041B030000434E59F4 -:041B04003431303216 -:041B05003230322D1B -:041B06006D202032FC -:041B07007371726123 -:041B080000000000D9 -:041B09000F031F01A6 -:041B0A0000030303CE -:041B0B000001493A52 -:041B0C00000149EE9D -:041B0D00000149EE9C -:041B0E0000014A0484 -:041B0F0000014A0483 -:041B100000014A0E78 -:041B110000014A1273 -:041B120000014A2064 -:041B130000014A2063 -:041B140000014A3250 -:041B150000014EC4B9 -:041B160000014ECCB0 -:041B170000014EBCBF -:041B180000014EC8B2 -:041B190000014ED0A9 -:041B1A0000014EC0B8 -:041B1B0000014F1C5A -:041B1C0000014ED4A2 -:041B1D0000014ED89D -:041B1E0000014EDC98 -:041B1F0000014F1C56 -:041B200000014F1C55 -:041B210000014F1C54 -:041B220000014F1C53 -:041B230000014F1C52 -:041B2400000154AEBA -:041B250000014F204C -:041B2600000150B4B6 -:041B2700000150C6A3 -:041B28000001515611 -:041B290000015198CE -:041B2A0000015198CD -:041B2B00000151F470 -:041B2C00000152EC76 -:041B2D00000152EC75 -:041B2E00000153C29D -:041B2F002D6275535B -:041B3000656E696C09 -:041B310073203120CC -:041B320000007274C9 -:041B33002D62755357 -:041B3400656E696C05 -:041B350073203220C7 -:041B360000007274C5 -:041B37002D62755353 -:041B3800656E696C01 -:041B390073203320C2 -:041B3A0000007274C1 -:041B3B002D6275534F -:041B3C00656E696CFD -:041B3D0073203420BD -:041B3E0000007274BD -:041B3F002D6275534B -:041B4000656E696CF9 -:041B410073203520B8 -:041B420000007274B9 -:041B43002D62755347 -:041B4400756C6F63EA -:041B450031206E6D70 -:041B46007274732022 -:041B4700000000009A -:041B48002D62755342 -:041B4900756C6F63E5 -:041B4A0032206E6D6A -:041B4B00727473201D -:041B4C000000000095 -:041B4D002D6275533D -:041B4E00756C6F63E0 -:041B4F0033206E6D64 -:041B50007274732018 -:041B51000000000090 -:041B52002D62755338 -:041B5300756C6F63DB -:041B540034206E6D5E -:041B55007274732013 -:041B5600000000008B -:041B57002D62755333 -:041B5800756C6F63D6 -:041B590035206E6D58 -:041B5A00727473200E -:041B5B000000000086 -:041B5C002D6275532E -:041B5D00756C6F63D1 -:041B5E0036206E6D52 -:041B5F007274732009 -:041B60000000000081 -:041B610073202E4877 -:041B62006C706D61D5 -:041B630074617265D2 -:041B64000000006518 -:041B650073202E4873 -:041B66007461722E06 -:041B6700726620651D -:041B680000006361B5 -:041B690073202E486F -:041B6A006C636E79C1 -:041B6B0000006E65A3 -:041B6C0062202E487D -:041B6D00706B6361D5 -:041B6E006863726FC7 -:041B6F000000000072 -:041B700061202E487A -:041B710076697463BA -:041B7200000000650A -:041B730073202E5657 -:041B74006C636E79B7 -:041B750000006E6599 -:041B760062202E5665 -:041B7700706B6361CB -:041B78006863726FBD -:041B79000000000068 -:041B7A0061202E5662 -:041B7B0076697463B0 -:041B7C000000006500 -:041B7D00706D6153D3 -:041B7E00676E696CB9 -:041B7F006168702009 -:041B80000000657389 -:041B810000007332BB -:041B820000007335B7 -:041B8300007330318A -:041B84000066664F42 -:041B85006C6C7546C9 -:041B8600000000005B -:041B8700706D6953C1 -:041B88000000656C88 -:041B890000007333B2 -:041B8A000073303381 -:041B8B0072727543BA -:041B8C0020746E65EE -:041B8D0075706E6998 -:041B8E0000000074DF -:041B8F00206C6C4119 -:041B900075706E6995 -:041B91000000737469 -:041B92002066664F14 -:041B9300207366282D -:041B94003639203D81 -:041B9500297A486BF6 -:041B9600000000004B -:041B97002020783260 -:041B98002073662828 -:041B99003834203D7F -:041B9A00297A486BF1 -:041B9B000000000046 -:041B9C00003156417D -:041B9D00003256417B -:041B9E0063616C42D1 -:041B9F000000006BD7 -:041BA00065756C42B9 +:0419D3006E6163538B +:0419D400766E6F6359 +:0419D500657472655E +:0419D6006F632072A9 +:0419D7006769666E68 +:0419D80061686320BF +:0419D9000A65676EC6 +:0419DA000000000009 +:0419DB0044202A2A50 +:0419DC0047554245E4 +:0419DD004955422006 +:0419DE002A20444C2B +:0419DF000000000004 +:0419E0000F031F01D1 +:0419E10000030303F9 +:0419E20000013CA420 +:0419E30000013D7E44 +:0419E40000013D7E43 +:0419E50000013D942C +:0419E60000013D942B +:0419E70000013D9E20 +:0419E80000013DA21B +:0419E90000013DB00C +:0419EA0000013DB00B +:0419EB0000013DC2F8 +:0419EC000001432093 +:0419ED00000143288A +:0419EE000001431899 +:0419EF00000143248C +:0419F0000001432C83 +:0419F1000001431C92 +:0419F2000001437835 +:0419F300000143307C +:0419F4000001433477 +:0419F5000001433872 +:0419F6000001437831 +:0419F7000001437830 +:0419F800000143782F +:0419F900000143782E +:0419FA00000143782D +:0419FB00000149108E +:0419FC000001437C27 +:0419FD000001450A96 +:0419FE000001451C83 +:0419FF00000145ACF2 +:041A0000000145EEAE +:041A0100000145EEAD +:041A02000001464A4F +:041A03000001474E49 +:041A04000001474E48 +:041A05000001482470 +:041A06002D62755385 +:041A0700656E696C33 +:041A080073203120F6 +:041A090000007274F3 +:041A0A002D62755381 +:041A0B00656E696C2F +:041A0C0073203220F1 +:041A0D0000007274EF +:041A0E002D6275537D +:041A0F00656E696C2B +:041A100073203320EC +:041A110000007274EB +:041A12002D62755379 +:041A1300656E696C27 +:041A140073203420E7 +:041A150000007274E7 +:041A16002D62755375 +:041A1700656E696C23 +:041A180073203520E2 +:041A190000007274E3 +:041A1A002D62755371 +:041A1B00756C6F6314 +:041A1C0031206E6D9A +:041A1D00727473204C +:041A1E0000000000C4 +:041A1F002D6275536C +:041A2000756C6F630F +:041A210032206E6D94 +:041A22007274732047 +:041A230000000000BF +:041A24002D62755367 +:041A2500756C6F630A +:041A260033206E6D8E +:041A27007274732042 +:041A280000000000BA +:041A29002D62755362 +:041A2A00756C6F6305 +:041A2B0034206E6D88 +:041A2C00727473203D +:041A2D0000000000B5 +:041A2E002D6275535D +:041A2F00756C6F6300 +:041A300035206E6D82 +:041A31007274732038 +:041A320000000000B0 +:041A33002D62755358 +:041A3400756C6F63FB +:041A350036206E6D7C +:041A36007274732033 +:041A370000000000AB +:041A380073202E48A1 +:041A39006C706D61FF +:041A3A0074617265FC +:041A3B000000006542 +:041A3C0073202E489D +:041A3D007461722E30 +:041A3E007266206547 +:041A3F0000006361DF +:041A400073202E4899 +:041A41006C636E79EB +:041A420000006E65CD +:041A430062202E48A7 +:041A4400706B6361FF +:041A45006863726FF1 +:041A4600000000009C +:041A470061202E48A4 +:041A480076697463E4 +:041A49000000006534 +:041A4A0073202E5681 +:041A4B006C636E79E1 +:041A4C0000006E65C3 +:041A4D0062202E568F +:041A4E00706B6361F5 +:041A4F006863726FE7 +:041A50000000000092 +:041A510061202E568C +:041A520076697463DA +:041A5300000000652A +:041A5400706D6153FD +:041A5500676E696CE3 +:041A56006168702033 +:041A570000006573B3 +:041A580000007332E5 +:041A590000007335E1 +:041A5A0000733031B4 +:041A5B000066664F6C +:041A5C006C6C7546F3 +:041A5D000000000085 +:041A5E00706D6953EB +:041A5F000000656CB2 +:041A600000007333DC +:041A610000733033AB +:041A620072727543E4 +:041A630020746E6518 +:041A640075706E69C2 +:041A65000000007409 +:041A6600206C6C4143 +:041A670075706E69BF +:041A68000000737493 +:041A69002066664F3E +:041A6A002073662857 +:041A6B003639203DAB +:041A6C00297A486B20 +:041A6D000000000075 +:041A6E00202078328A +:041A6F002073662852 +:041A70003834203DA9 +:041A7100297A486B1B +:041A72000000000070 +:041A730000315641A7 +:041A740000325641A5 +:041A750063616C42FB +:041A76000000006B01 +:041A770065756C42E3 +:041A7800000000006A +:041A790065657247E6 +:041A7A000000006EFA +:041A7B006E617943DC +:041A7C000000000066 +:041A7D00006465524A +:041A7E006567614DEA +:041A7F000061746E20 +:041A80006C6C6559CC +:041A81000000776F7B +:041A820074696857C4 +:041A830000000065FA +:041A840069726F48CC +:041A8500746E6F7A92 +:041A860000006C618F +:041A870074726556BA +:041A88006C616369C1 +:041A89000000000059 +:041A8A0069726F48C6 +:041A8B002B202E7A64 +:041A8C007265562009 +:041A8D0000002E74B3 +:041A8E0074737543B5 +:041A8F0000006D6F77 +:041A900000706F541F +:041A910074746F42B8 +:041A920000006D6F74 +:041A9300746C754DAD +:041A9400696C7069A0 +:041A950069746163AC +:041A960000006E6F6F +:041A970074627553AD +:041A980074636172A0 +:041A9900006E6F6903 +:041A9A006F747541AF +:041A9B000000000047 +:041A9C0000006E4F89 +:041A9D00494D444823 +:041A9E004752282063 +:041A9F0000002942D8 +:041AA000494D444820 +:041AA100435928205D +:041AA20034724362F5 +:041AA30000293434AE +:041AA400004956445B +:041AA50075657350A0 +:041AA60034206F6415 +:041AA7004420333A6A +:041AA80000005241A7 +:041AA90020313A317D +:041AAA000052415055 +:041AAB00303239316B +:041AAC003830317825 +:041AAD000000003005 +:041AAE00303036316D +:041AAF003032317828 +:041AB0000000003002 +:041AB1003032393165 +:041AB2003032317825 +:041AB30000000030FF +:041AB400656E6547AF +:041AB50020636972CF +:041AB600393A363152 +:041AB700000000002B +:041AB800656E6547AB +:041AB90020636972CB +:041ABA0000333A3487 +:041ABB007832313517 +:041ABC002030343270 +:041ABD006974706F69 +:041ABE0000002E6D89 +:041ABF00783438330C +:041AC000203034326C +:041AC1006974706F65 +:041AC20000002E6D85 +:041AC3007830323312 +:041AC4002030343268 +:041AC5006974706F61 +:041AC60000002E6D81 +:041AC7007836353206 +:041AC8002030343264 +:041AC9006974706F5D +:041ACA0000002E6D7D +:041ACB007373615080 +:041ACC007572687453 +:041ACD000000000015 +:041ACE00656E694C8C +:041ACF002820783221 +:041AD00029626F62B6 +:041AD1000000000011 +:041AD200656E694C88 +:041AD3000000783265 +:041AD400656E694C86 +:041AD500282078331A +:041AD6006563616C77 +:041AD700000029647E +:041AD800656E694C82 +:041AD9002820783415 +:041ADA0029626F62AC +:041ADB000000000007 +:041ADC00656E694C7E +:041ADD003220783209 +:041ADE0033783034F5 +:041ADF00000030369D +:041AE000656E694C7A +:041AE1003220783304 +:041AE20033783034F1 +:041AE3000000303699 +:041AE400656E694C76 +:041AE50047207833EB +:041AE60072656E6552 +:041AE700000063692F +:041AE800656E694C72 +:041AE900000078334E +:041AEA00656E694C70 +:041AEB00000078344B +:041AEC00656E694C6E +:041AED000000783548 +:041AEE004D382E340D +:041AEF0028207A48E9 +:041AF00056544448BC +:041AF1002943502F06 +:041AF20000000000F0 +:041AF3004D352E300F +:041AF40028207A48E4 +:041AF50056544453AC +:041AF60000000029C3 +:041AF7004D372E3108 +:041AF80028207A48E0 +:041AF90056544445B6 +:041AFA0000000029BF +:041AFB004D352E3205 +:041AFC0028207A48DC +:041AFD002978616D76 +:041AFE0000000000E4 +:041AFF00484D3031ED +:041B00006D28207AB2 +:041B010000296465EE +:041B0200484D3333E4 +:041B03006D28207AAF +:041B040000296E69DD +:041B050020414756DE +:041B060078303436C9 +:041B07004030303406 +:041B08000000303772 +:041B090020414756DA +:041B0A0078303237C6 +:041B0B004030303402 +:041B0C00000030376E +:041B0D0020565444C6 +:041B0E0070303834C7 +:041B0F0000000000D2 +:041B100041534556A2 +:041B11003034362016 +:041B120030383478BB +:041B13000030364028 +:041B140020505350BA +:041B150078303834B8 +:041B16000032373230 +:041B17002E63655282 +:041B18003130362012 +:041B190000000000C8 +:041B1A002E6365527F +:041B1B003930372006 +:041B1C0000000000C5 +:041B1D00484D3539C1 +:041B1E004828207AB9 +:041B1F0020565444B4 +:041B20000029494906 +:041B2100484D3533C3 +:041B22004828207AB5 +:041B230020565444B0 +:041B2400000029494B +:041B2500484D3631C0 +:041B26004528207AB4 +:041B270029565444A3 +:041B280000000000B9 +:041B29007A484D3970 +:041B2A0044532820D8 +:041B2B0000295654E3 +:041B2C00616F4C3C5D +:041B2D00727020644E +:041B2E006C69666F09 +:041B2F00003E2065EF +:041B30007661533C4B +:041B31007270206549 +:041B32006C69666F05 +:041B3300003E2065EB +:041B34007365523C47 +:041B35007320746540 +:041B360069747465F5 +:041B37003E73676E24 +:041B380000000000A9 +:041B39006B6E694C1A +:041B3A006F72702036 +:041B3B00693E2D666C +:041B3C007475706EDE +:041B3D0000000000A4 +:041B3E006B6E694C15 +:041B3F00706E69203B +:041B40003E2D74754D +:041B4100666F7270E9 +:041B4200000000009F +:041B430074696E490A +:041B4400206C616947 +:041B450075706E69E0 +:041B46000000007427 +:041B47006F74754101 +:041B480065746564F7 +:041B49006920746338 +:041B4A007475706ED0 +:041B4B000000000096 +:041B4C006F747541FC +:041B4D0031564120AC +:041B4E00472F5920A4 +:041B4F00000000731F +:041B50006F747541F8 +:041B510032564120A7 +:041B5200472F5920A0 +:041B5300000000731B +:041B54006F747541F4 +:041B550033564120A2 +:041B5600472F59209C +:041B57000000007317 +:041B58002044434C96 +:041B590074204C4266 +:041B5A006F656D69DD +:041B5B00000074759D +:041B5C000044534F9F +:041B5D002044534F7E +:041B5E0074617473C7 +:041B5F006420737516 +:041B60002E70736907 +:041B61000000000080 +:041B62006E776F44E7 +:041B63006D61732D10 +:041B64006E696C70CA +:041B65000000006715 +:041B660070617753E0 +:041B670066656C2023 +:041B680069722F74FB +:041B69000074686735 +:041B6A002D65725023 +:041B6B00204344418E +:041B6C006E696167D6 +:041B6D000000000074 +:041B6E006C6C7546E0 +:041B6F002058542086 +:041B700075746573B0 +:041B71000000007000 +:041B72002033564185 +:041B730065746E69BE +:041B740063616C72CB +:041B750078696665C0 +:041B7600000000006B +:041B77002033564180 +:041B780020657375FC +:041B79002E746C61F9 +:041B7A00424752206C +:041B7B000000000066 +:041B7C0061666544F5 +:041B7D0020746C75EF +:041B7E00494D444841 +:041B7F004349562060 +:041B80000000000061 +:041B8100616E6150E0 +:041B8200696E6F73A6 +:041B83006168206312 +:041B840000006B638F +:041B850069726F48CA +:041B8600746E6F7A90 +:041B87006D206C6100 +:041B8800006B73611A +:041B890074726556B7 +:041B8A006C616369BE +:041B8B0073616D20F5 +:041B8C000000006BEA +:041B8D006B73614DC8 +:041B8E006C6F6320F5 +:041B8F000000726F71 +:041B90006B73614DC5 +:041B910069726220F3 +:041B92006E7468679E +:041B93000073736503 +:041B940065766552BB +:041B950020657372E2 +:041B96000046504C69 +:041B97005949443C28 +:041B980074616C20E8 +:041B99006574202E21 +:041B9A00003E747322 +:041B9B006E616353C1 +:041B9C00656E696C9D +:041B9D0000000073D1 +:041B9E00202E6C5336 +:041B9F006572747384 +:041BA0006874676E90 :041BA1000000000040 -:041BA20065657247BC -:041BA3000000006ED0 -:041BA4006E617943B2 -:041BA500000000003C -:041BA6000064655220 -:041BA7006567614DC0 -:041BA8000061746EF6 -:041BA9006C6C6559A2 -:041BAA000000776F51 -:041BAB00746968579A -:041BAC0000000065D0 -:041BAD0069726F48A2 -:041BAE00746E6F7A68 -:041BAF0000006C6165 -:041BB0007472655690 -:041BB1006C61636997 -:041BB200000000002F -:041BB30069726F489C -:041BB4002B202E7A3A -:041BB50072655620DF -:041BB60000002E7489 -:041BB700747375438B -:041BB80000006D6F4D -:041BB90000706F54F5 -:041BBA0074746F428E -:041BBB0000006D6F4A -:041BBC00746C754D83 -:041BBD00696C706976 -:041BBE006974616382 -:041BBF0000006E6F45 -:041BC0007462755383 -:041BC1007463617276 -:041BC200006E6F69D9 -:041BC3006F74754185 -:041BC400000000001D -:041BC50000006E4F5F -:041BC600494D4448F9 -:041BC7004752282039 -:041BC80000002942AE -:041BC900494D4448F6 -:041BCA004359282033 -:041BCB0034724362CB -:041BCC000029343484 -:041BCD000049564431 -:041BCE007565735076 -:041BCF0034206F64EB -:041BD0004420333A40 -:041BD100000052417D -:041BD20020313A3153 -:041BD300005241502B -:041BD4003032393141 -:041BD50038303178FB -:041BD60000000030DB -:041BD7003030363143 -:041BD80030323178FE -:041BD90000000030D8 -:041BDA00303239313B -:041BDB0030323178FB -:041BDC0000000030D5 -:041BDD00656E654785 -:041BDE0020636972A5 -:041BDF00393A363128 -:041BE0000000000001 -:041BE100656E654781 -:041BE20020636972A1 -:041BE30000333A345D -:041BE40078323135ED -:041BE5002030343246 -:041BE6006974706F3F -:041BE70000002E6D5F -:041BE80078343833E2 -:041BE9002030343242 -:041BEA006974706F3B -:041BEB0000002E6D5B -:041BEC0078303233E8 -:041BED00203034323E -:041BEE006974706F37 -:041BEF0000002E6D57 -:041BF00078363532DC -:041BF100203034323A -:041BF2006974706F33 -:041BF30000002E6D53 -:041BF4007373615056 -:041BF5007572687429 -:041BF60000000000EB -:041BF700656E694C62 -:041BF80028207832F7 -:041BF90029626F628C -:041BFA0000000000E7 -:041BFB00656E694C5E -:041BFC00000078323B -:041BFD00656E694C5C -:041BFE0028207833F0 -:041BFF006563616C4D -:041C00000000296453 -:041C0100656E694C57 -:041C020028207834EA -:041C030029626F6281 -:041C040000000000DC -:041C0500656E694C53 -:041C060032207832DE -:041C070033783034CA -:041C08000000303672 -:041C0900656E694C4F -:041C0A0032207833D9 -:041C0B0033783034C6 -:041C0C00000030366E -:041C0D00656E694C4B -:041C0E0047207833C0 -:041C0F0072656E6527 -:041C10000000636904 -:041C1100656E694C47 -:041C12000000783323 -:041C1300656E694C45 -:041C14000000783420 -:041C1500656E694C43 -:041C1600000078351D -:041C17004D382E34E2 -:041C180028207A48BE -:041C19005654444891 -:041C1A002943502FDB -:041C1B0000000000C5 -:041C1C004D352E30E4 -:041C1D0028207A48B9 -:041C1E005654445381 -:041C1F000000002998 -:041C20004D372E31DD -:041C210028207A48B5 -:041C2200565444458B -:041C23000000002994 -:041C24004D352E32DA -:041C250028207A48B1 -:041C26002978616D4B -:041C270000000000B9 -:041C2800484D3031C2 -:041C29006D28207A88 -:041C2A0000296465C4 -:041C2B00484D3333BA -:041C2C006D28207A85 -:041C2D0000296E69B3 -:041C2E0020414756B4 -:041C2F00783034369F -:041C300040303034DC -:041C31000000303748 -:041C320020414756B0 -:041C3300783032379C -:041C340040303034D8 -:041C35000000303744 -:041C3600205654449C -:041C3700703038349D -:041C380000000000A8 -:041C39004153455678 -:041C3A0030343620EC -:041C3B003038347891 -:041C3C0000303640FE -:041C3D002050535090 -:041C3E00783038348E -:041C3F000032373206 -:041C40002E63655258 -:041C410031303620E8 -:041C4200000000009E -:041C43002E63655255 -:041C440039303720DC -:041C4500000000009B -:041C4600484D353997 -:041C47004828207A8F -:041C4800205654448A -:041C490000294949DC -:041C4A00484D353399 -:041C4B004828207A8B -:041C4C002056544486 -:041C4D000000294921 -:041C4E00484D363196 -:041C4F004528207A8A -:041C50002956544479 -:041C5100000000008F -:041C52007A484D3946 -:041C530044532820AE -:041C540000295654B9 -:041C5500616F4C3C33 -:041C56007270206424 -:041C57006C69666FDF -:041C5800003E2065C5 -:041C59007661533C21 -:041C5A00727020651F -:041C5B006C69666FDB -:041C5C00003E2065C1 -:041C5D007365523C1D -:041C5E007320746516 -:041C5F0069747465CB -:041C60003E73676EFA +:041BA200202E6C5332 +:041BA3007262796889 +:041BA40073206469DD +:041BA500002E727428 +:041BA600202E6C532E +:041BA7006874656D8C +:041BA8000000646F66 +:041BA900202E6C532B +:041BAA0065746C6191 +:041BAB0074616E7281 +:041BAC0000676E69F7 +:041BAD00202E6C5327 +:041BAE0067696C6196 +:041BAF006E656D6E84 +:041BB00000000074BD +:041BB100202E6C5323 +:041BB20020746C61CE +:041BB30065746E697E +:041BB4006C61767278 +:041BB500000000002C +:041BB600202E6C531E +:041BB7006570797468 +:041BB8000000000029 +:041BB9004320203C69 +:041BBA006F7473755C +:041BBB006C53206DDA +:041BBC003E20202E79 +:041BBD000000000024 +:041BBE00703034321D +:041BBF003838322F51 +:041BC00072702070AF +:041BC1000000636F4E +:041BC2007034383310 +:041BC3003030342F5B +:041BC40072702070AB +:041BC5000000636F4A +:041BC6006930383416 +:041BC7003637352F49 +:041BC80072702069AE +:041BC9000000636F46 +:041BCA00703038340B +:041BCB003637352F45 +:041BCC0072702070A3 +:041BCD000000636F42 +:041BCE00693036390B +:041BCF003830312F4A +:041BD00070206930E8 +:041BD10000636F72CC +:041BD200656E694C87 +:041BD3006D207832D7 +:041BD4000065646FD5 +:041BD500656E694C84 +:041BD6006D207833D3 +:041BD7000065646FD2 +:041BD800656E694C81 +:041BD9006D207834CF +:041BDA000065646FCF +:041BDB00656E694C7E +:041BDC006D207835CB +:041BDD000065646FCC +:041BDE00656E694C7B +:041BDF0066207835CF +:041BE000616D726F52 +:041BE100000000748C +:041BE20078363532EA +:041BE3002030343248 +:041BE4006570736154 +:041BE5000000746325 +:041BE6006D205854C2 +:041BE7000065646FC2 +:041BE800494D4448D7 +:041BE90043544920F8 +:041BEA0000000000F7 +:041BEB006C616E417A +:041BEC007320676F8C +:041BED0020636E798A +:041BEE000046504C11 +:041BEF006C616E4176 +:041BF0005320676FA8 +:041BF1004C204354ED +:041BF2000000465059 +:041BF3006C616E4172 +:041BF4007320676F84 +:041BF50020636E7982 +:041BF60000687456B9 +:041BF7006E79734848 +:041BF8006F74206383 +:041BF9006172656C44 +:041BFA000065636EB1 +:041BFB006E79735636 +:041BFC006874206386 +:041BFD006873657232 +:041BFE0000646C6FA4 +:041BFF004C502D48D1 +:041C00007250204CB2 +:041C01006F432D659B +:041C02000074736196 +:041C03004C502D48CC +:041C04006F50204CB1 +:041C0500432D747384 +:041C06007473616F23 +:041C070000000000D9 +:041C080070303834CC +:041C0900206E6920C0 +:041C0A00706D617325 +:041C0B000072656C92 +:041C0C0070303034D0 +:041C0D00206E6920BC +:041C0E00706D617321 +:041C0F000072656C8E +:041C10006F6C6C4148 +:041C1100565420778E +:041C120050482050C6 +:041C130078324C4C8B +:041C140000000000CC +:041C15006F6C6C4143 +:041C1600707520774E +:041C1700706D617318 +:041C18007832656C4D +:041C190000000000C7 +:041C1A007664413C6F +:041C1B006974202E9A +:041C1C00676E696D19 +:041C1D003E20202025 +:041C1E0000000000C2 +:041C1F006564695639 +:041C2000504C206F95 +:041C21000000004679 +:041C22005062505963 +:041C23006E69207254 +:041C24006C6F43207E +:041C25000061705397 +:041C260072502F5277 +:041C270066666F205E +:041C2800007465736C +:041C290020592F47C8 +:041C2A007366666F08 +:041C2B0000007465DC +:041C2C0062502F4291 +:041C2D0066666F2058 +:041C2E000074657366 +:041C2F0072502F526E +:041C3000696167205F +:041C31000000006E41 +:041C320020592F47BF +:041C33006E6961670E +:041C340000000000AC +:041C350062502F4288 +:041C36006961672059 +:041C37000000006E3B +:041C38002D65725054 +:041C390020434441BF +:041C3A006E69614727 +:041C3B0000000000A5 +:041C3C006D616C4327 +:041C3D004C412F7077 +:041C3E00666F20436A +:041C3F0074657366EF +:041C400000000000A0 +:041C410020434C41AF +:041C42006966205659 +:041C43007265746CE6 +:041C4400000000009C +:041C450020434C41AB +:041C46006966204863 +:041C47007265746CE2 +:041C48000000000098 +:041C4900656469560F +:041C4A006E69206F30 +:041C4B006F72702024 +:041C4C003E202063B3 +:041C4D000000000093 +:041C4E00706D615301 +:041C4F00676E696CE7 +:041C500074706F201D +:041C51003E20202EE3 +:041C5200000000008E +:041C5300636E7953F0 +:041C540074706F2019 +:041C55002020202EFD +:041C56003E202020EC +:041C57000000000089 +:041C58007074754FE0 +:041C59006F2074750F +:041C5A00202E747054 +:041C5B003E202020E7 +:041C5C000000000084 +:041C5D006E616353FE +:041C5E00656E696CDA +:041C5F0074706F200E +:041C60003E20202ED4 :041C6100000000007F -:041C62006B6E694CF0 -:041C63006F7270200C -:041C6400693E2D6642 -:041C65007475706EB4 +:041C620074736F50D8 +:041C63006F72702DFF +:041C640020202E63AB +:041C65003E202020DD :041C6600000000007A -:041C67006B6E694CEB -:041C6800706E692011 -:041C69003E2D747523 -:041C6A00666F7270BF +:041C6700706D6F43EA +:041C680062697461D8 +:041C690074696C69C5 +:041C6A003E2020797F :041C6B000000000075 -:041C6C0074696E49E0 -:041C6D00206C61691D -:041C6E0075706E69B6 -:041C6F0000000074FD -:041C70006F747541D7 -:041C710065746564CD -:041C7200692074630E -:041C73007475706EA6 -:041C7400000000006C -:041C75006F747541D2 -:041C76003156412082 -:041C7700472F59207A -:041C780000000073F5 -:041C79006F747541CE -:041C7A00325641207D -:041C7B00472F592076 -:041C7C0000000073F1 -:041C7D006F747541CA -:041C7E003356412078 -:041C7F00472F592072 -:041C800000000073ED -:041C81002044434C6C -:041C820074204C423C -:041C83006F656D69B3 -:041C84000000747573 -:041C85000044534F75 -:041C86002044534F54 -:041C8700746174739D -:041C880064207375EC -:041C89002E707369DD -:041C8A000000000056 -:041C8B00706D493CF3 -:041C8C002074726FDF -:041C8D007474657393 -:041C8E003E20202EA6 -:041C8F000000000051 -:041C90007078453CE7 -:041C91002074726FDA -:041C9200747465738E -:041C93003E20202EA1 -:041C9400000000004C -:041C95002E77463C24 -:041C960064707520E1 -:041C970020657461EF -:041C98003E202020AA +:041C6C0069647541F1 +:041C6D00706F206F05 +:041C6E006E6F6974B8 +:041C6F003E20207380 +:041C70000000000070 +:041C710074746553CF +:041C720073676E69BD +:041C730074706F20FA +:041C74003E202020CE +:041C7500000000006B +:041C760072746C55C3 +:041C77006F6C20610D +:041C780000000077F1 +:041C790000776F4C35 +:041C7A006964654DE7 +:041C7B0000006D7583 +:041C7C0068676948E4 +:041C7D000000000063 +:041C7E0074736554C2 +:041C7F0074617020FC +:041C80006E726574A7 +:041C8100000000005F +:041C82005F31564137 +:041C8300534247522F +:041C8400000000005C +:041C85005F31564134 +:041C8600427347520C +:041C87000000000059 +:041C88005F31564131 +:041C890050625059FC +:041C8A0000000072E4 +:041C8B005F3256412D +:041C8C0050625059F9 +:041C8D0000000072E1 +:041C8E005F3256412A +:041C8F004273475203 +:041C90000000000050 +:041C91005F33564126 +:041C9200484247522B +:041C930000000056F7 +:041C94005F33564123 +:041C9500534247521D +:041C9600000000004A +:041C97005F33564120 +:041C980042734752FA :041C99000000000047 -:041C9A006E776F44AE -:041C9B006D61732DD7 -:041C9C006E696C7091 -:041C9D0000000067DC -:041C9E0070617753A7 -:041C9F0066656C20EA -:041CA00069722F74C2 -:041CA10000746867FC -:041CA2002D657250EA -:041CA3002043444155 -:041CA4006E6961679D -:041CA500000000003B -:041CA6006C6C7546A7 -:041CA700205854204D -:041CA8007574657377 -:041CA90000000070C7 -:041CAA00203356414C -:041CAB0065746E6985 -:041CAC0063616C7292 -:041CAD007869666587 -:041CAE000000000032 -:041CAF002033564147 -:041CB00020657375C3 -:041CB1002E746C61C0 -:041CB2004247522033 -:041CB300000000002D -:041CB40061666544BC -:041CB50020746C75B6 -:041CB600494D444808 -:041CB7004349562027 +:041C9A005F3356411D +:041C9B0050625059EA +:041C9C0000000072D2 +:041C9D007473614CAF +:041C9E0065737520D5 +:041C9F0000000064DD +:041CA0002D706F54E0 +:041CA1007466656C94 +:041CA200000000003E +:041CA300746E6543B3 +:041CA4000000726565 +:041CA50074746F42A2 +:041CA600722D6D6FBF +:041CA700746867698D +:041CA8000000000038 +:041CA9000000003106 +:041CAA000000003204 +:041CAB000000003302 +:041CAC000000003400 +:041CAD0000000036FD +:041CAE0000000037FB +:041CAF0000000038F9 +:041CB00000000039F7 +:041CB100554E454DFA +:041CB200000000002E +:041CB30000004B4F93 +:041CB4004B4341421B +:041CB500000000002B +:041CB6000000505585 +:041CB7004E574F44F1 :041CB8000000000028 -:041CB900616E6150A7 -:041CBA00696E6F736D -:041CBB0061682063D9 -:041CBC0000006B6356 -:041CBD0069726F4891 -:041CBE00746E6F7A57 -:041CBF006D206C61C7 -:041CC000006B7361E1 -:041CC100747265567E -:041CC2006C61636985 -:041CC30073616D20BC -:041CC4000000006BB1 -:041CC5006B73614D8F -:041CC6006C6F6320BC -:041CC7000000726F38 -:041CC8006B73614D8C -:041CC90069726220BA -:041CCA006E74686765 -:041CCB0000737365CA -:041CCC006576655282 -:041CCD0020657372A9 -:041CCE000046504C30 -:041CCF005949443CEF -:041CD00074616C20AF -:041CD1006574202EE8 -:041CD200003E7473E9 -:041CD3006E61635388 -:041CD400656E696C64 -:041CD5000000007398 -:041CD600202E6C53FD -:041CD700657274734B -:041CD8006874676E57 -:041CD9000000000007 -:041CDA00202E6C53F9 -:041CDB007262796850 -:041CDC0073206469A4 -:041CDD00002E7274EF -:041CDE00202E6C53F5 -:041CDF006874656D53 -:041CE0000000646F2D -:041CE100202E6C53F2 -:041CE20065746C6158 -:041CE30074616E7248 -:041CE40000676E69BE -:041CE500202E6C53EE -:041CE60067696C615D -:041CE7006E656D6E4B -:041CE8000000007484 -:041CE900202E6C53EA -:041CEA0020746C6195 -:041CEB0065746E6945 -:041CEC006C6176723F -:041CED0000000000F3 -:041CEE00202E6C53E5 -:041CEF00657079742F -:041CF00000000000F0 -:041CF1004320203C30 -:041CF2006F74737523 -:041CF3006C53206DA1 -:041CF4003E20202E40 -:041CF50000000000EB -:041CF60070303432E4 -:041CF7003838322F18 -:041CF8007270207076 -:041CF9000000636F15 -:041CFA0070343833D7 -:041CFB003030342F22 -:041CFC007270207072 -:041CFD000000636F11 -:041CFE0069303834DD -:041CFF003637352F10 -:041D00007270206974 -:041D01000000636F0C -:041D020070303834D1 -:041D03003637352F0B -:041D04007270207069 -:041D05000000636F08 -:041D060069303639D1 -:041D07003830312F10 -:041D080070206930AE -:041D090000636F7292 -:041D0A00656E694C4D -:041D0B006D2078329D -:041D0C000065646F9B -:041D0D00656E694C4A -:041D0E006D20783399 -:041D0F000065646F98 -:041D1000656E694C47 -:041D11006D20783495 -:041D12000065646F95 -:041D1300656E694C44 -:041D14006D20783591 -:041D15000065646F92 -:041D1600656E694C41 -:041D17006620783595 -:041D1800616D726F18 -:041D19000000007452 -:041D1A0078363532B0 -:041D1B00203034320E -:041D1C00657073611A -:041D1D0000007463EB -:041D1E006D20585488 -:041D1F000065646F88 -:041D2000494D44489D -:041D210043544920BE -:041D220000000000BD -:041D23006C616E4140 -:041D24007320676F52 -:041D250020636E7950 -:041D26000046504CD7 -:041D27006C616E413C -:041D28005320676F6E -:041D29004C204354B3 -:041D2A00000046501F -:041D2B006C616E4138 -:041D2C007320676F4A -:041D2D0020636E7948 -:041D2E00006874567F -:041D2F006E7973480E -:041D30006F74206349 -:041D31006172656C0A -:041D32000065636E77 -:041D33006E797356FC -:041D3400687420634C -:041D350068736572F8 -:041D360000646C6F6A -:041D37004C502D4897 -:041D38007250204C79 -:041D39006F432D6562 -:041D3A00007473615D -:041D3B004C502D4893 -:041D3C006F50204C78 -:041D3D00432D74734B -:041D3E007473616FEA -:041D3F0000000000A0 -:041D40007030383493 -:041D4100206E692087 -:041D4200706D6173EC -:041D43000072656C59 -:041D44007030303497 -:041D4500206E692083 -:041D4600706D6173E8 -:041D47000072656C55 -:041D48006F6C6C410F -:041D49005654207755 -:041D4A00504820508D -:041D4B0078324C4C52 -:041D4C000000000093 -:041D4D006F6C6C410A -:041D4E007075207715 -:041D4F00706D6173DF -:041D50007832656C14 -:041D5100000000008E -:041D52007664413C36 -:041D53006974202E61 -:041D5400676E696DE0 -:041D55003E202020EC -:041D56000000000089 -:041D57006564695600 -:041D5800504C206F5C -:041D59000000004640 -:041D5A00506250592A -:041D5B006E6920721B -:041D5C006C6F432045 -:041D5D00006170535E -:041D5E0072502F523E -:041D5F0066666F2025 -:041D60000074657333 -:041D610020592F478F -:041D62007366666FCF -:041D630000007465A3 -:041D640062502F4258 -:041D650066666F201F -:041D6600007465732D -:041D670072502F5235 -:041D68006961672026 -:041D69000000006E08 -:041D6A0020592F4786 -:041D6B006E696167D5 -:041D6C000000000073 -:041D6D0062502F424F -:041D6E006961672020 -:041D6F000000006E02 -:041D70002D6572501B -:041D71002043444186 -:041D72006E696147EE -:041D7300000000006C -:041D74006D616C43EE -:041D75004C412F703E -:041D7600666F204331 -:041D770074657366B6 -:041D78000000000067 -:041D790020434C4176 -:041D7A006966205620 -:041D7B007265746CAD -:041D7C000000000063 -:041D7D0020434C4172 -:041D7E00696620482A -:041D7F007265746CA9 -:041D8000000000005F -:041D810065646956D6 -:041D82006E69206FF7 -:041D83006F727020EB -:041D84003E2020637A -:041D8500000000005A -:041D8600706D6153C8 -:041D8700676E696CAE -:041D880074706F20E4 -:041D89003E20202EAA -:041D8A000000000055 -:041D8B00636E7953B7 -:041D8C0074706F20E0 -:041D8D002020202EC4 -:041D8E003E202020B3 -:041D8F000000000050 -:041D90007074754FA7 -:041D91006F207475D6 -:041D9200202E74701B -:041D93003E202020AE -:041D9400000000004B -:041D95006E616353C5 -:041D9600656E696CA1 -:041D970074706F20D5 -:041D98003E20202E9B -:041D99000000000046 -:041D9A0074736F509F -:041D9B006F72702DC6 -:041D9C0020202E6372 -:041D9D003E202020A4 -:041D9E000000000041 -:041D9F00706D6F43B1 -:041DA000626974619F -:041DA10074696C698C -:041DA2003E20207946 -:041DA300000000003C -:041DA40069647541B8 -:041DA500706F206FCC -:041DA6006E6F69747F -:041DA7003E20207347 -:041DA8000000000037 -:041DA9007474655396 -:041DAA0073676E6984 -:041DAB0074706F20C1 -:041DAC003E20202095 -:041DAD000000000032 -:041DAE007473655491 -:041DAF0074617020CB -:041DB0006E72657476 -:041DB100000000002E -:041DB2005F31564106 -:041DB30053424752FE -:041DB400000000002B -:041DB5005F31564103 -:041DB60042734752DB -:041DB7000000000028 -:041DB8005F31564100 -:041DB90050625059CB -:041DBA0000000072B3 -:041DBB005F325641FC -:041DBC0050625059C8 -:041DBD0000000072B0 -:041DBE005F325641F9 -:041DBF0042734752D2 -:041DC000000000001F -:041DC1005F335641F5 -:041DC20048424752FA -:041DC30000000056C6 -:041DC4005F335641F2 -:041DC50053424752EC -:041DC6000000000019 -:041DC7005F335641EF -:041DC80042734752C9 -:041DC9000000000016 -:041DCA005F335641EC -:041DCB0050625059B9 -:041DCC0000000072A1 -:041DCD007473614C7E -:041DCE0065737520A4 -:041DCF0000000064AC -:041DD0002D706F54AF -:041DD1007466656C63 -:041DD200000000000D -:041DD300746E654382 -:041DD4000000726534 -:041DD50074746F4271 -:041DD600722D6D6F8E -:041DD700746867695C -:041DD8000000000007 -:041DD90000000031D5 -:041DDA0000000032D3 -:041DDB0000000033D1 -:041DDC0000000034CF -:041DDD0000000036CC -:041DDE0000000037CA -:041DDF0000000038C8 -:041DE00000000039C6 -:041DE100554E454DC9 -:041DE20000000000FD -:041DE30000004B4F62 -:041DE4004B434142EA -:041DE50000000000FA -:041DE6000000505554 -:041DE7004E574F44C0 -:041DE80000000000F7 -:041DE9005446454CCB -:041DEA0000000000F5 -:041DEB0048474952CA -:041DEC00000000549F -:041DED004F464E49C6 -:041DEE0000000000F1 -:041DEF005F44434CBE -:041DF0004B434142DE -:041DF1004847494CCA -:041DF2000000005499 -:041DF3004E414353C7 -:041DF400454E494CC3 -:041DF500444F4D5FAB -:041DF60000000045A4 -:041DF7004E414353C3 -:041DF800454E494CBF -:041DF9005059545F8A -:041DFA0000000045A0 -:041DFB004E414353BF -:041DFC00454E494CBB -:041DFD00544E495F98 -:041DFE000000002BB6 -:041DFF004E414353BB -:041E0000454E494CB6 -:041E0100544E495F93 -:041E02000000002DAF -:041E0300454E494CB3 -:041E0400544C554D98 -:041E0500444F4D5F9A -:041E06000000004593 -:041E070053414850AB -:041E080000002B4566 -:041E090053414850A9 -:041E0A0000002D4562 -:041E0B00464F52509C -:041E0C005F454C4999 -:041E0D004B544F489B -:041E0E000000594532 -:041E0F0003030200C7 -:041E100002000102C9 -:041E110000000003CA -:041E1200000176B89D -:041E1300000176C88C -:041E1400000176D47F -:041E1500000176E072 -:041E1600000176EC65 -:041E1700000176F858 -:041E1800000177044A -:041E1900000177103D -:041E1A000001771C30 -:041E1B000001772823 -:041E1C000001773416 -:041E1D00000171004F -:041E1E0000002000A0 -:041E1F0020002CE58E -:041E2000E926F4FDBE -:041E210038BC2000A9 -:041E220000000000BC -:041E23000001710C3D -:041E2400000020009A -:041E25002000323E29 -:041E2600F113FA04B6 -:041E27003B612000FB -:041E280000000000B6 -:041E2900000191190A -:041E2A0000000002B2 -:041E2B00000111069B -:041E2C0000017740FA -:041E2D000001774CED -:041E2E0000017754E4 -:041E2F000D806000C2 -:041E3000004020103E -:041E3100080402207F -:041E3200000040224A -:041E330000000000AB -:041E34000DC060007D -:041E350000783C11E4 -:041E3600070180E040 -:041E37000000180E81 -:041E380000000000A6 -:041E39000D806000B8 -:041E3A000030180458 -:041E3B000201406000 -:041E3C000000140688 -:041E3D0000000000A1 -:041E3E0000019123EB -:041E3F000000000E91 -:041E4000000123205A -:041E410000017764C1 -:041E420000017768BC -:041E43000001776CB7 -:041E440000017770B2 -:041E450000016BD459 -:041E460000017774AC -:041E470000017778A7 -:041E48000001777CA2 -:041E4900000177809D -:041E4A0000016F64C0 -:041E4B000001778497 -:041E4C000001778C8E -:041E4D000001779089 -:041E4E000001779880 -:041E4F000001779C7B -:041E5000000177A472 -:041E5100000177AC69 -:041E5200000177B460 -:041E5300000177BC57 -:041E5400000177CC46 -:041E5500000177DC35 -:041E5600000177EC24 -:041E5700000177FC13 -:041E58000001780C01 -:041E59000001781CF0 -:041E5A0000017824E7 -:041E5B000001782CDE -:041E5C003EA93E2934 -:041E5D003EE93E69B3 -:041E5E003E993E1952 -:041E5F003ED93E59D1 -:041E60003EC93E3900 -:041E61003E1D3E4D97 -:041E62003E2D3EEDE6 -:041E63003EAD3ECD85 -:041E64003E653E6D2C -:041E65001C483E01D6 -:041E66001C501C18D8 -:041E67001CC81CD0A7 -:041E68005ED85E588A -:041E690000003EB97E -:041E6A000000000074 -:041E6B000000000172 -:041E6C000000000072 -:041E6D000000000071 -:041E6E000000000070 -:041E6F00000001006E -:041E7000010101006B -:041E7100000001006C -:041E7200000000006C -:041E7300010000006A -:041E7400060B000059 -:041E75000000004425 -:041E76000000000167 -:041E77000100000066 -:041E780080000C00DA -:041E79008080090359 -:041E7A001A1A1A8096 -:041E7B0000000A0851 -:041E7C00303036319B -:041E7D003034327853 -:041E7E00C800000098 -:041E7F0007FE00F06A -:041E80000FCA20C0A5 -:041E81002210039692 -:041E8200004000021A -:041E83003038323190 -:041E8400303432784C -:041E8500A0000000B9 -:041E8600061800F04A -:041E87000FAA20C0BE -:041E880022100348D9 -:041E8900000204024D -:041E8A00783036393D -:041E8B0000303432BD -:041E8C0078000000DA -:041E8D00049200F0CB -:041E8E000F8020C0E1 -:041E8F0022100336E4 -:041E90000000080244 -:041E9100783231353D -:041E920000303432B6 -:041E9300400000000B -:041E940002AA00F0AE -:041E95000E4D20C00E -:041E960022100332E1 -:041E970000841010A3 -:041E9800783438332F -:041E990000303432AF -:041E9A003000000014 -:041E9B00020000F051 -:041E9C000E3B20C019 -:041E9D0022100325E7 -:041E9E0001082020F7 -:041E9F007830323332 -:041EA00000303432A8 -:041EA1002800000015 -:041EA20001AA00F0A1 -:041EA3000E3120C01C -:041EA4002210031FE6 -:041EA50002104040A7 -:041EA6007836353223 -:041EA70000303432A1 -:041EA8002000000016 -:041EA900015500F0EF -:041EAA000E2720C01F -:041EAB0022100319E5 -:041EAC00042080800E -:041EAD00703034322B -:041EAE000000000030 -:041EAF005A080000CD -:041EB000035A00F0E1 -:041EB1000F3920C005 -:041EB2002210033EB9 -:041EB3000000000E1D -:041EB4003030363163 -:041EB500303432781B -:041EB600C800004C14 -:041EB70007FE00F032 -:041EB80029CA27000C -:041EB900221003965A -:041EBA0000400002E2 -:041EBB003038323158 -:041EBC003838327808 -:041EBD00A000000081 -:041EBE0006180120E1 -:041EBF000FAA27003F -:041EC00022100348A1 -:041EC1000002040215 -:041EC2007830363905 -:041EC3000038383279 -:041EC40078000000A2 -:041EC5000492012062 -:041EC6000F80270062 -:041EC70022100336AC -:041EC800000008020C -:041EC9007832313505 -:041ECA004C30343232 -:041ECB004000004291 -:041ECC0002AA00F076 -:041ECD00294D270074 -:041ECE0022100332A9 -:041ECF00008410106B -:041ED00078343833F7 -:041ED1004C3034322B -:041ED200300000429A -:041ED300020000F019 -:041ED400293B27007F -:041ED50022100325AF -:041ED60001082020BF -:041ED70078303233FA -:041ED8004C30343224 -:041ED900280000429B -:041EDA0001AA00F069 -:041EDB002931270082 -:041EDC002210031FAE -:041EDD00021040406F -:041EDE0078363532EB -:041EDF004C3034321D -:041EE000200000429C -:041EE100015500F0B7 -:041EE2002927270085 -:041EE30022100319AD -:041EE40004208080D6 -:041EE50070383832E7 -:041EE60000000000F8 -:041EE7005A17000086 -:041EE8000360012072 -:041EE9001345270076 -:041EEA002210033F80 -:041EEB000000000EE5 -:041EEC0078303834DE -:041EED000030363358 -:041EEE003C000000B4 -:041EEF00025801682C -:041EF0000A3F2EE097 -:041EF1004410032670 -:041EF2000000000EDE -:041EF30078303432DD -:041EF4000030363351 -:041EF50020000000C9 -:041EF600012C016852 -:041EF7000A182EE0B7 -:041EF800441003127D -:041EF90000010100E3 -:041EFA0070343833D5 -:041EFB0000000000E3 -:041EFC003E000000A4 -:041EFD0002800180DE -:041EFE001D3233005E -:041EFF004410033E4A -:041F00000000000ECF -:041F01003030363115 -:041F020030303478CF -:041F0300C800000012 -:041F040007D0019071 -:041F050022783820E6 -:041F0600501002F085 -:041F070000000400D2 -:041F080078303237C4 -:041F09000030303440 -:041F0A005A00000079 -:041F0B0003840190BA -:041F0C002240382017 -:041F0D00501002600E -:041F0E000000000CC3 -:041F0F0078303436BC -:041F10000030303439 -:041F1100500000007C -:041F12000320019017 -:041F13002230382020 -:041F14005010026007 -:041F15000000000CBC -:041F160078303436B5 -:041F17000034383327 -:041F18005000000075 -:041F19000320018020 -:041F1A003F303D8097 -:041F1B005010026000 -:041F1C000000000EB3 -:041F1D0069303834BB -:041F1E0000000000BF -:041F1F005A0600005E -:041F2000035A00F070 -:041F21000F3941A093 -:041F22006210033E08 -:041F23000002040FA5 -:041F240070303834AD -:041F250000000000B8 -:041F26005A0200005B -:041F2700035A01E078 -:041F28001E3C41A07A -:041F29008410063EDC -:041F2A000000000CA7 -:041F2B00783038349E -:041F2C000032373216 -:041F2D003C03000071 -:041F2E00035A011041 -:041F2F0086B141A096 -:041F30008410063ED5 -:041F310000000204A6 -:041F32007830343699 -:041F3300003038340E -:041F34005001000058 -:041F3500032001E0A4 -:041F3600213041A075 -:041F370090100260A4 -:041F38000000000C99 -:041F39007830343692 -:041F3A00003231350B -:041F3B005000000052 -:041F3C00032002007C -:041F3D001C3047000D -:041F3E00901002609D -:041F3F000000000C92 -:041F40006936373592 -:041F4100000000009C -:041F42005A1500002C -:041F43000360012016 -:041F440013454E20D3 -:041F45006210033FE4 -:041F46000002040F82 -:041F47007036373584 -:041F48000000000095 -:041F49005A11000029 -:041F4A0003600240EE -:041F4B0027444E20B9 -:041F4C0084100540B8 -:041F4D000000000C84 -:041F4E00783030387F -:041F4F0000303036F8 -:041F50006400000029 -:041F5100042002580E -:041F520017584E804E -:041F530010100480E6 -:041F54000000000485 -:041F5500703032377F -:041F56000030355FC3 -:041F5700A0130000D3 -:041F580007BC02D0F0 -:041F590014DC5DC077 -:041F5A00181005282E -:041F5B00000000047E -:041F5C007030323778 -:041F5D000030365FBB -:041F5E00A0040000DB -:041F5F00067202D034 -:041F600014DC5DC070 -:041F61001810052827 -:041F62000000000477 -:041F630034323031B3 -:041F6400383637785C -:041F650080000000F8 -:041F6600054003002F -:041F67001DA064C095 -:041F680010100688C7 -:041F69000000000470 -:041F6A0030383231A8 -:041F6B003230317867 -:041F6C00A00000349D -:041F6D0006980400CE -:041F6E0026F885408C -:041F6F0010100370DB -:041F70000000000469 -:041F7100783034365A -:041F72006930363963 -:041F7300500000001A -:041F7400032001E065 -:041F75002130834054 -:041F7600A410026051 -:041F77000000000D59 -:041F7800303830319C -:041F790030355F6937 -:041F7A00F01400005F -:041F7B000A50021CEA -:041F7C000F948CA092 -:041F7D00B810052C67 -:041F7E000000000D52 -:041F7F003038303195 -:041F800030365F692F -:041F8100F005000067 -:041F82000898021C9D -:041F830010948CA08A -:041F8400B810052C60 -:041F85000000000D4B -:041F8600303830318E -:041F870030355F7022 -:041F8800F01F000046 -:041F89000A500438BE -:041F8A0024948CA06F -:041F8B001810052CF9 -:041F8C00000000044D -:041F8D003038303187 -:041F8E0030365F701A -:041F8F00F01000004E -:041F90000898043871 -:041F910024948CA068 -:041F92001810052CF2 -:041F93000000000446 -:041F94003030363182 -:041F9500303231783D -:041F9600C80000304F -:041F9700087004B01A -:041F98002EFF9C403C -:041F9900101003F130 -:041F9A00000000043F -:041F9B000001914C64 -:041F9C000000002819 -:041F9D00000111200E -:041F9E007665642FD1 -:041F9F006370652FD7 -:041FA0006F635F719B -:041FA1006F72746E79 -:041FA20072656C6C8C -:041FA3005F305F321A -:041FA4005F6C766197 -:041FA500006D656DF9 -:041FA6002B302D238C -:041FA7000000002016 -:041FA800004C6C6815 -:041FA90045676665BD -:041FAA0000004746A6 -:041FAB00333231306C -:041FAC00373635345B -:041FAD00424139383C -:041FAE00464544431D +:041CB9005446454CFC +:041CBA000000000026 +:041CBB0048474952FB +:041CBC0000000054D0 +:041CBD004F464E49F7 +:041CBE000000000022 +:041CBF005F44434CEF +:041CC0004B4341420F +:041CC1004847494CFB +:041CC20000000054CA +:041CC3004E414353F8 +:041CC400454E494CF4 +:041CC500444F4D5FDC +:041CC60000000045D5 +:041CC7004E414353F4 +:041CC800454E494CF0 +:041CC9005059545FBB +:041CCA0000000045D1 +:041CCB004E414353F0 +:041CCC00454E494CEC +:041CCD00544E495FC9 +:041CCE000000002BE7 +:041CCF004E414353EC +:041CD000454E494CE8 +:041CD100544E495FC5 +:041CD2000000002DE1 +:041CD300454E494CE5 +:041CD400544C554DCA +:041CD500444F4D5FCC +:041CD60000000045C5 +:041CD70053414850DD +:041CD80000002B4598 +:041CD90053414850DB +:041CDA0000002D4594 +:041CDB00464F5250CE +:041CDC005F454C49CB +:041CDD004B544F48CD +:041CDE000000594564 +:041CDF0003030200F9 +:041CE00002000102FB +:041CE10000000003FC +:041CE200000171D8B4 +:041CE300000171E4A7 +:041CE400000171E8A2 +:041CE500000171F099 +:041CE600000171F890 +:041CE700000172087E +:041CE8000001721471 +:041CE9000001722064 +:041CEA000001722C57 +:041CEB00000172384A +:041CEC00000172443D +:041CED000001725030 +:041CEE000001725C23 +:041CEF000001726816 +:041CF0000001727409 +:041CF10000016C5C26 +:041CF20000002000CE +:041CF30020002CE5BC +:041CF400E926F4FDEC +:041CF50038BC2000D7 +:041CF60000000000EA +:041CF70000016C6814 +:041CF80000002000C8 +:041CF9002000323E57 +:041CFA00F113FA04E4 +:041CFB003B61200029 +:041CFC0000000000E4 +:041CFD0000018C2531 +:041CFE0000000002E0 +:041CFF0000010A34A2 +:041D000000017280EC +:041D01000001728CDF +:041D020000017294D6 +:041D03000D806000EF +:041D0400004020106B +:041D050008040220AC +:041D06000000402277 +:041D070000000000D8 +:041D08000DC06000AA +:041D090000783C1111 +:041D0A00070180E06D +:041D0B000000180EAE +:041D0C0000000000D3 +:041D0D000D806000E5 +:041D0E000030180485 +:041D0F00020140602D +:041D100000001406B5 +:041D110000000000CE +:041D120000018C2F11 +:041D13000000000EBE +:041D140000011D2885 +:041D1500000172A4B3 +:041D1600000172A8AE +:041D1700000172ACA9 +:041D1800000172B0A4 +:041D1900000165441C +:041D1A00000172B49E +:041D1B00000172B899 +:041D1C00000172BC94 +:041D1D00000172C08F +:041D1E0000016AC096 +:041D1F00000172C489 +:041D2000000172CC80 +:041D2100000172D07B +:041D2200000172D872 +:041D2300000172DC6D +:041D2400000172E464 +:041D2500000172EC5B +:041D2600000172F452 +:041D2700000172FC49 +:041D28000001730C37 +:041D29000001731C26 +:041D2A000001732C15 +:041D2B000001733C04 +:041D2C000001734CF3 +:041D2D000001735CE2 +:041D2E0000017364D9 +:041D2F000001736CD0 +:041D30003EA93E2961 +:041D31003EE93E69E0 +:041D32003E993E197F +:041D33003ED93E59FE +:041D34003EC93E392D +:041D35003E1D3E4DC4 +:041D36003E2D3EED13 +:041D37003EAD3ECDB2 +:041D38003E653E6D59 +:041D39001C483E0103 +:041D3A001C501C1805 +:041D3B001CC81CD0D4 +:041D3C005ED85E58B7 +:041D3D0000003EB9AB +:041D3E0000000000A1 +:041D3F00000000019F +:041D4000000000009F +:041D4100000000009E +:041D4200000000009D +:041D4300000001009B +:041D44000101010098 +:041D45000000010099 +:041D46000000000099 +:041D47000100000097 +:041D4800060B000086 +:041D49000000004452 +:041D4A000000000194 +:041D4B000100000093 +:041D4C0080000C0007 +:041D4D008080090386 +:041D4E001A1A1A80C3 +:041D4F0000000A087E +:041D500030303631C8 +:041D51003034327880 +:041D5200C8000000C5 +:041D530007FE00F097 +:041D54000FCA20C0D2 +:041D550022100396BF +:041D56000040000247 +:041D570030383231BD +:041D58003034327879 +:041D5900A0000000E6 +:041D5A00061800F077 +:041D5B000FAA20C0EB +:041D5C002210034806 +:041D5D00000204027A +:041D5E00783036396A +:041D5F0000303432EA +:041D60007800000007 +:041D6100049200F0F8 +:041D62000F8020C00E +:041D63002210033611 +:041D64000000080271 +:041D6500783231356A +:041D660000303432E3 +:041D67004000000038 +:041D680002AA00F0DB +:041D69000E4D20C03B +:041D6A00221003320E +:041D6B0000841010D0 +:041D6C00783438335C +:041D6D0000303432DC +:041D6E003000000041 +:041D6F00020000F07E +:041D70000E3B20C046 +:041D71002210032514 +:041D72000108202024 +:041D7300783032335F +:041D740000303432D5 +:041D75002800000042 +:041D760001AA00F0CE +:041D77000E3120C049 +:041D78002210031F13 +:041D790002104040D4 +:041D7A007836353250 +:041D7B0000303432CE +:041D7C002000000043 +:041D7D00015500F01C +:041D7E000E2720C04C +:041D7F002210031912 +:041D8000042080803B +:041D81007030343258 +:041D8200000000005D +:041D83005A080000FA +:041D8400035A00F00E +:041D85000F3920C032 +:041D86002210033EE6 +:041D87000000000E4A +:041D88003030363190 +:041D89003034327848 +:041D8A00C800004C41 +:041D8B0007FE00F05F +:041D8C0029CA270039 +:041D8D002210039687 +:041D8E00004000020F +:041D8F003038323185 +:041D90003838327835 +:041D9100A0000000AE +:041D9200061801200E +:041D93000FAA27006C +:041D940022100348CE +:041D95000002040242 +:041D96007830363932 +:041D970000383832A6 +:041D980078000000CF +:041D9900049201208F +:041D9A000F8027008F +:041D9B0022100336D9 +:041D9C000000080239 +:041D9D007832313532 +:041D9E004C3034325F +:041D9F0040000042BE +:041DA00002AA00F0A3 +:041DA100294D2700A1 +:041DA20022100332D6 +:041DA3000084101098 +:041DA4007834383324 +:041DA5004C30343258 +:041DA60030000042C7 +:041DA700020000F046 +:041DA800293B2700AC +:041DA90022100325DC +:041DAA0001082020EC +:041DAB007830323327 +:041DAC004C30343251 +:041DAD0028000042C8 +:041DAE0001AA00F096 +:041DAF0029312700AF +:041DB0002210031FDB +:041DB100021040409C +:041DB2007836353218 +:041DB3004C3034324A +:041DB40020000042C9 +:041DB500015500F0E4 +:041DB60029272700B2 +:041DB70022100319DA +:041DB8000420808003 +:041DB9007038383214 +:041DBA000000000025 +:041DBB005A170000B3 +:041DBC00036001209F +:041DBD0013452700A3 +:041DBE002210033FAD +:041DBF000000000E12 +:041DC000783038340B +:041DC1000030363385 +:041DC2003C000000E1 +:041DC3000258016859 +:041DC4000A3F2EE0C4 +:041DC500441003269D +:041DC6000000000E0B +:041DC700783034320A +:041DC800003036337E +:041DC90020000000F6 +:041DCA00012C01687F +:041DCB000A182EE0E4 +:041DCC0044100312AA +:041DCD000001010010 +:041DCE007034383302 +:041DCF000000000010 +:041DD0003E000000D1 +:041DD100028001800B +:041DD2001D3233008B +:041DD3004410033E77 +:041DD4000000000EFD +:041DD5003030363143 +:041DD60030303478FD +:041DD700C800000040 +:041DD80007D001909F +:041DD9002278382014 +:041DDA00501002F0B3 +:041DDB000000040000 +:041DDC0078303237F2 +:041DDD00003030346E +:041DDE005A000000A7 +:041DDF0003840190E8 +:041DE0002240382045 +:041DE100501002603C +:041DE2000000000CF1 +:041DE30078303436EA +:041DE4000030303467 +:041DE50050000000AA +:041DE6000320019045 +:041DE700223038204E +:041DE8005010026035 +:041DE9000000000CEA +:041DEA0078303436E3 +:041DEB000034383355 +:041DEC0050000000A3 +:041DED00032001804E +:041DEE003F303D80C5 +:041DEF00501002602E +:041DF0000000000EE1 +:041DF10069303834E9 +:041DF20000000000ED +:041DF3005A0600008C +:041DF400035A00F09E +:041DF5000F3941A0C1 +:041DF6006210033E36 +:041DF7000002040FD3 +:041DF80070303834DB +:041DF90000000000E6 +:041DFA005A02000089 +:041DFB00035A01E0A6 +:041DFC001E3C41A0A8 +:041DFD008410063E0A +:041DFE000000000CD5 +:041DFF0078303834CC +:041E00000032373243 +:041E01003C0300009E +:041E0200035A01106E +:041E030086B141A0C3 +:041E04008410063E02 +:041E050000000204D3 +:041E060078303436C6 +:041E0700003038343B +:041E08005001000085 +:041E0900032001E0D1 +:041E0A00213041A0A2 +:041E0B0090100260D1 +:041E0C000000000CC6 +:041E0D0078303436BF +:041E0E000032313538 +:041E0F00500000007F +:041E100003200200A9 +:041E11001C3047003A +:041E120090100260CA +:041E13000000000CBF +:041E140069363735BF +:041E150000000000C9 +:041E16005A15000059 +:041E17000360012043 +:041E180013454E2000 +:041E19006210033F11 +:041E1A000002040FAF +:041E1B0070363735B1 +:041E1C0000000000C2 +:041E1D005A11000056 +:041E1E00036002401B +:041E1F0027444E20E6 +:041E200084100540E5 +:041E21000000000CB1 +:041E220078303038AC +:041E23000030303625 +:041E24006400000056 +:041E2500042002583B +:041E260017584E807B +:041E27001010048013 +:041E280000000004B2 +:041E290070303237AC +:041E2A000030355FF0 +:041E2B00A013000000 +:041E2C0007BC02D01D +:041E2D0014DC5DC0A4 +:041E2E00181005285B +:041E2F0000000004AB +:041E300070303237A5 +:041E31000030365FE8 +:041E3200A004000008 +:041E3300067202D061 +:041E340014DC5DC09D +:041E35001810052854 +:041E360000000004A4 +:041E370034323031E0 +:041E38003836377889 +:041E39008000000025 +:041E3A00054003005C +:041E3B001DA064C0C2 +:041E3C0010100688F4 +:041E3D00000000049D +:041E3E0030383231D5 +:041E3F003230317894 +:041E4000A0000034CA +:041E410006980400FB +:041E420026F88540B9 +:041E43001010037008 +:041E44000000000496 +:041E45007830343687 +:041E46006930363990 +:041E47005000000047 +:041E4800032001E092 +:041E49002130834081 +:041E4A00A41002607E +:041E4B000000000D86 +:041E4C0030383031C9 +:041E4D0030355F6964 +:041E4E00F01400008C +:041E4F000A50021C17 +:041E50000F948CA0BF +:041E5100B810052C94 +:041E52000000000D7F +:041E530030383031C2 +:041E540030365F695C +:041E5500F005000094 +:041E56000898021CCA +:041E570010948CA0B7 +:041E5800B810052C8D +:041E59000000000D78 +:041E5A0030383031BB +:041E5B0030355F704F +:041E5C00F01F000073 +:041E5D000A500438EB +:041E5E0024948CA09C +:041E5F001810052C26 +:041E6000000000047A +:041E610030383031B4 +:041E620030365F7047 +:041E6300F01000007B +:041E6400089804389E +:041E650024948CA095 +:041E66001810052C1F +:041E67000000000473 +:041E680030303631AF +:041E6900303231786A +:041E6A00C80000307C +:041E6B00087004B047 +:041E6C002EFF9C4069 +:041E6D00101003F15D +:041E6E00000000046C +:041E6F0000018C588A +:041E70000000002846 +:041E710000010A4E14 +:041E72007665642FFE +:041E73006370652F04 +:041E74006F635F71C8 +:041E75006F72746EA6 +:041E760072656C6CB9 +:041E77005F305F3247 +:041E78005F6C7661C4 +:041E7900006D656D26 +:041E7A002B302D23B9 +:041E7B000000002043 +:041E7C00004C6C6842 +:041E7D0045676665EA +:041E7E0000004746D3 +:041E7F003332313099 +:041E80003736353488 +:041E81004241393869 +:041E8200464544434A +:041E8300000000005B +:041E84003332313094 +:041E85003736353483 +:041E86006261393824 +:041E870066656463C5 +:041E88000000000056 +:041E8900000158C636 +:041E8A00000158DC1F +:041E8B00000158A05A +:041E8C00000158A059 +:041E8D00000158A058 +:041E8E00000158A057 +:041E8F00000158DC1A +:041E9000000158A055 +:041E9100000158A054 +:041E9200000158A053 +:041E9300000158A052 +:041E940000015A40AF +:041E95000001591ED1 +:041E960000015A12DB +:041E9700000158A04E +:041E9800000158A04D +:041E990000015A7476 +:041E9A00000158A04B +:041E9B000001591ECB +:041E9C00000158A049 +:041E9D00000158A048 +:041E9E0000015A1ACB +:041E9F00C896554B41 +:041EA0000000000935 +:041EA10000017C7C44 +:041EA2000000000339 +:041EA30000017D308D +:041EA4000000000535 +:041EA50000017D6C4F +:041EA6000000000B2D +:041EA70000017DD0E9 +:041EA800000000092D +:041EA90000017EAC0A +:041EAA000000000D27 +:041EAB0000017F6053 +:041EAC00000000062C +:041EAD00000180644C +:041EAE00000000052B +:041EAF00000180DCD2 +:041EB0000000000925 +:041EB100000181406B +:041EB2000000000D1F +:041EB300000181F4B5 +:041EB4000000000723 +:041EB500000182F8AE +:041EB6000000000C1C +:041EB700000183841F +:041EB8000000000026 +:041EB9000000000025 +:041EBA00000179C8E2 +:041EBB000000000023 +:041EBC000000000022 +:041EBD0000010326F7 +:041EBE00000103DE3E +:041EBF00000101E637 +:041EC0000001020417 +:041EC1000001025CBE +:041EC200008000009C +:041EC30000200000FB +:041EC400000000001A +:041EC5000000000019 +:041EC6000000000018 +:041EC7000000000017 +:041EC8000000000016 +:041EC9000000000015 +:041ECA000000000014 +:041ECB000000000013 +:041ECC000000000012 +:041ECD000000000011 +:041ECE000000000010 +:041ECF00000000000F +:041ED000000000000E +:041ED100000000000D +:041ED200000000000C +:041ED300000000000B +:041ED400000000000A +:041ED5000000000009 +:041ED6000000000008 +:041ED7000000000007 +:041ED8000000000006 +:041ED9000000000005 +:041EDA000000000004 +:041EDB000000000003 +:041EDC000000000002 +:041EDD000000000001 +:041EDE000000000000 +:041EDF0000000000FF +:041EE00000000000FE +:041EE10000000000FD +:041EE20000000000FC +:041EE30000000000FB +:041EE40000000000FA +:041EE500000101B047 +:041EE6000080000078 +:041EE70000A0000057 +:041EE80000020100F3 +:041EE90000200000D5 +:041EEA0000000000F4 +:041EEB0000000020D3 +:041EEC0000010000F1 +:041EED0000000100F0 +:041EEE0000000000F0 +:041EEF000001696C19 +:041EF00000016988FC +:041EF10000016998EB +:041EF2000001696C16 +:041EF300000169CCB5 +:041EF400000169D0B0 +:041EF500000171F87F +:041EF600000172086D +:041EF7000001721460 +:041EF8000001722053 +:041EF9000001722C46 +:041EFA000001723839 +:041EFB00000172442C +:041EFC00000172501F +:041EFD000001725C12 +:041EFE000001726805 +:041EFF0000017274F8 +:041F0000020E0409C0 +:041F01003D0E00C8C9 +:041F02003F6E0383A8 +:041F03003ED03DACE3 +:041F04000000038353 +:041F050000016AE08D +:041F060000016AEC80 +:041F070000016AFC6F +:041F080000016B0C5D +:041F090000016B1C4C +:041F0A0000016AD098 +:041F0B0000016AE087 +:041F0C0000016AEC7A +:041F0D0000016AFC69 +:041F0E0000016B0C57 +:041F0F0000016B1C46 +:041F100000016AACB6 +:041F110000016AB8A9 +:041F120000016AC49C +:041F13000001696CF4 +:041F140000016980DF +:041F150000016968F6 +:041F160000016984D9 +:041F1700000169D488 +:041F1800000169DC7F +:041F1900000169E476 +:041F1A00000169EC6D +:041F1B00000169F464 +:041F1C00000169F85F +:041F1D0000016A0055 +:041F1E0000016A084C +:041F1F00000168E075 +:041F200000000002BB +:041F210000018C48E7 +:041F22000AF0012C94 +:041F230000010D7438 +:041F2400000168F060 +:041F250000000002B6 +:041F260000018C4AE0 +:041F270000130000A3 +:041F280000010D7433 +:041F2900000169004A +:041F2A0000000002B1 +:041F2B0000018C4CD9 +:041F2C0000FF000AA8 +:041F2D0000010D742E +:041F2E000001690C39 +:041F2F0000000002AC +:041F300000018C46DA +:041F310000FF0001AC +:041F320000010D7429 +:041F33000001691C24 +:041F340000000002A7 +:041F350000018C44D7 +:041F3600078000C858 +:041F370000010D7424 +:041F38000001692813 +:041F390000000002A2 +:041F3A0000018C54C2 +:041F3B00000700019A +:041F3C0000010D741F +:041F3D000001693402 +:041F3E00000000029D +:041F3F0000018C52BF +:041F400000EC0001B0 +:041F410000010D741A +:041F420000016944ED +:041F43000000000298 +:041F440000018C50BC +:041F450004B000A044 +:041F460000010D7415 +:041F470000016950DC +:041F48000000000293 +:041F490000018C4EB9 +:041F4A00001F000074 +:041F4B0000010D7410 +:041F4C0000016D889B +:041F4D000000000090 +:041F4E000001876F98 +:041F4F00000100018C +:041F5000000185D037 +:041F510000016D9886 +:041F5200000000008B +:041F53000001877092 +:041F54000001000187 +:041F5500000185E022 +:041F560000016DA871 +:041F57000000000185 +:041F5800000187718C +:041F5900001800006C +:041F5A0000010BE493 +:041F5B0000016DB85C +:041F5C000000000081 +:041F5D000001876A8E +:041F5E00000100017D +:041F5F00000185E018 +:041F600000016DC847 +:041F6100000000007C +:041F62000001876B88 +:041F63000001000178 +:041F6400000185E013 +:041F650000016DDC2E +:041F66000000000077 +:041F67000001876C82 +:041F68000002000172 +:041F690000017BC830 +:041F6A0000016DF015 +:041F6B000000000171 +:041F6C000001877277 +:041F6D00001F000051 +:041F6E0000010BB6AD +:041F6F0000016E04FB +:041F7000000000006D +:041F71000001876D77 +:041F72000001000169 +:041F7300000185E004 +:041F740000016818E8 +:041F75000000000167 +:041F7600000187409F +:041F77000010000056 +:041F780000010B6AEF +:041F790000016828D3 +:041F7A000000000162 +:041F7B000001874199 +:041F7C000010000051 +:041F7D0000010B6AEA +:041F7E0000016838BE +:041F7F00000000015D +:041F80000001874293 +:041F8100001000004C +:041F820000010B6AE5 +:041F830000016848A9 +:041F84000000000158 +:041F8500000187438D +:041F86000010000047 +:041F870000010B6AE0 +:041F88000001685894 +:041F89000000000153 +:041F8A000001874487 +:041F8B000010000042 +:041F8C0000010B6ADB +:041F8D00000168687F +:041F8E00000000014E +:041F8F000001874581 +:041F9000001000003D +:041F910000010B6AD6 +:041F92000001687C66 +:041F93000000000149 +:041F9400000187467B +:041F95000010000038 +:041F960000010B6AD1 +:041F9700000168904D +:041F98000000000144 +:041F99000001874775 +:041F9A000010000033 +:041F9B0000010B6ACC +:041F9C00000168A434 +:041F9D00000000013F +:041F9E00000187486F +:041F9F00001000002E +:041FA00000010B6AC7 +:041FA100000168B81B +:041FA200000000013A +:041FA3000001874969 +:041FA4000010000029 +:041FA50000010B6AC2 +:041FA600000168CC02 +:041FA7000000000135 +:041FA8000001874A63 +:041FA9000010000024 +:041FAA0000010B6ABD +:041FAB00000171249C +:041FAC00000000032E +:041FAD0000017AD8DD +:041FAE00000000002F :041FAF00000000002E -:041FB0003332313067 -:041FB1003736353456 -:041FB20062613938F7 -:041FB3006665646398 +:041FB0000001713883 +:041FB1000000000329 +:041FB20000017AB8F8 +:041FB300000000002A :041FB4000000000029 -:041FB5000001636460 -:041FB6000001637A49 -:041FB7000001633E84 -:041FB8000001633E83 -:041FB9000001633E82 -:041FBA000001633E81 -:041FBB000001637A44 -:041FBC000001633E7F -:041FBD000001633E7E -:041FBE000001633E7D -:041FBF000001633E7C -:041FC000000164DEDA -:041FC100000163BCFC -:041FC200000164B006 -:041FC3000001633E78 -:041FC4000001633E77 -:041FC50000016512A0 -:041FC6000001633E75 -:041FC700000163BCF6 -:041FC8000001633E73 -:041FC9000001633E72 -:041FCA00000164B8F6 -:041FCB00C896554B14 -:041FCC000000000908 -:041FCD000001812C62 -:041FCE00000000030C -:041FCF00000181E0AC -:041FD0000000000508 -:041FD1000001821C6D -:041FD2000000000B00 -:041FD3000001828007 -:041FD4000000000900 -:041FD5000001835C28 -:041FD6000000000DFA -:041FD7000001841071 -:041FD80000000006FF -:041FD900000185146A -:041FDA0000000005FE -:041FDB000001858CF0 -:041FDC0000000009F8 -:041FDD00000185F08A -:041FDE0000000010EF -:041FDF00000186A4D3 -:041FE00000000007F6 -:041FE100000187E490 -:041FE2000000000CEF -:041FE3000001887001 -:041FE40000000000F9 -:041FE50000000000F8 -:041FE60000017E7800 -:041FE70000000000F6 +:041FB5000001714C6A +:041FB6000000000324 +:041FB70000017AD0DB +:041FB8000000000025 +:041FB9000000000024 +:041FBA000001716051 +:041FBB00000000031F +:041FBC0000017AA8FE +:041FBD000000000020 +:041FBE00000000001F +:041FBF000001717438 +:041FC000000000031A +:041FC10000017AC0E1 +:041FC200000000001B +:041FC300000000001A +:041FC400000171881F +:041FC5000000000315 +:041FC60000017AB0EC +:041FC7000000000016 +:041FC8000000000015 +:041FC9000001719C06 +:041FCA000000000310 +:041FCB0000017A9007 +:041FCC000000000011 +:041FCD000000000010 +:041FCE00000171B0ED +:041FCF00000000030B +:041FD00000017A880A +:041FD100000000000C +:041FD200000000000B +:041FD300000171C4D4 +:041FD4000000000306 +:041FD50000017AC8C5 +:041FD6000000000007 +:041FD7000000000006 +:041FD80000016EF89E +:041FD9000000000004 +:041FDA00000187512A +:041FDB0000040001FD +:041FDC00000184A8D4 +:041FDD0000016F0888 +:041FDE0000000000FF +:041FDF000001875224 +:041FE00000040001F8 +:041FE100000184BCBB +:041FE20000016F1873 +:041FE30000000000FA +:041FE400000187531E +:041FE50000030001F4 +:041FE600000184D0A2 +:041FE70000016F285E :041FE80000000000F5 -:041FE900000102EA07 -:041FEA00000103A24D -:041FEB00000101AA46 -:041FEC00000101C827 -:041FED0000010220CD -:041FEE00008000006F -:041FEF0000200000CE -:041FF00000000000ED -:041FF10000000000EC +:041FE9000001875418 +:041FEA0000010001F1 +:041FEB00000185F478 +:041FEC0000016F3849 +:041FED0000000000F0 +:041FEE000001875512 +:041FEF0000010001EC +:041FF000000185EC7B +:041FF10000016F4834 :041FF20000000000EB -:041FF30000000000EA -:041FF40000000000E9 -:041FF50000000000E8 -:041FF60000000000E7 +:041FF3000001874C16 +:041FF40000040001E4 +:041FF50000017C1457 +:041FF60000016F5423 :041FF70000000000E6 -:041FF80000000000E5 -:041FF90000000000E4 -:041FFA0000000000E3 -:041FFB0000000000E2 +:041FF8000001874D10 +:041FF90000050001DE +:041FFA0000017C283E +:041FFB0000016F6012 :041FFC0000000000E1 -:041FFD0000000000E0 -:041FFE0000000000DF -:041FFF0000000000DE -:0420000000000000DC +:041FFD000001874E0A +:041FFE0000040001DA +:041FFF0000017C144D +:0420000000016F6C00 :0420010000000000DB -:0420020000000000DA -:0420030000000000D9 -:0420040000000000D8 -:0420050000000000D7 +:042002000001874F03 +:0420030000040001D4 +:0420040000017C1447 +:0420050000016F78EF :0420060000000000D6 -:0420070000000000D5 -:0420080000000000D4 -:0420090000000000D3 -:04200A0000000000D2 +:0420070000018750FD +:0420080000020001D1 +:0420090000017C4016 +:04200A0000016F88DA :04200B0000000000D1 -:04200C0000000000D0 -:04200D0000000000CF -:04200E0000000000CE -:04200F0000000000CD +:04200C0000018756F2 +:04200D0000010001CD +:04200E00000185C880 +:04200F0000016F98C5 :0420100000000000CC -:042011000001017455 -:04201200008000004A -:0420130000A0000029 -:0420140000020100C5 -:0420150000200000A7 -:0420160000000000C6 -:0420170000000020A5 -:0420180000010000C3 -:0420190000000100C2 -:04201A0000000000C2 -:04201B0000016E1042 -:04201C0000016E2C25 -:04201D0000016E3C14 -:04201E0000016E103F -:04201F0000016E70DE -:0420200000016E74D9 -:04202100000176B88C -:04202200000176C87B -:04202300000176D46E -:04202400000176E061 -:04202500000176EC54 -:04202600000176F847 -:042027000001770439 -:04202800000177102C -:042029000001771C1F -:04202A000001772812 -:04202B000001773405 -:04202C00020E040993 -:04202D003D0E00C89C -:04202E003F6E03837B -:04202F003ED03DACB6 -:042030000000038326 -:0420310000016F84B7 -:0420320000016F90AA -:0420330000016FA099 -:0420340000016FB088 -:0420350000016FC077 -:0420360000016F74C2 -:0420370000016F84B1 -:0420380000016F90A4 -:0420390000016FA093 -:04203A0000016FB082 -:04203B0000016FC071 -:04203C0000016F50E0 -:04203D0000016F5CD3 -:04203E0000016F68C6 -:04203F0000016E101E -:0420400000016E2409 -:0420410000016E0C20 -:0420420000016E2803 -:0420430000016E78B2 -:0420440000016E80A9 -:0420450000016E88A0 -:0420460000016E9097 -:0420470000016E988E -:0420480000016E9C89 -:0420490000016EA480 -:04204A0000016EAC77 -:04204B0000016D849F -:04204C00000000028E -:04204D000001913CC1 -:04204E000AF0012C67 -:04204F0000011BC8A9 -:0420500000016D948A -:042051000000000289 -:042052000001913EBA -:042053000013000076 -:0420540000011BC8A4 -:0420550000016DA475 -:042056000000000284 -:0420570000019140B3 -:0420580000FF000A7B -:0420590000011BC89F -:04205A0000016DB064 -:04205B00000000027F -:04205C000001913AB4 -:04205D0000FF00017F -:04205E0000011BC89A -:04205F0000016DC04F -:04206000000000027A -:0420610000019138B1 -:04206200078000C82B -:0420630000011BC895 -:0420640000016DCC3E -:042065000000000275 -:04206600000191489C -:04206700000700016D -:0420680000011BC890 -:0420690000016DD82D -:04206A000000000270 -:04206B000001914699 -:04206C0000EC000183 -:04206D0000011BC88B -:04206E0000016DE818 -:04206F00000000026B -:042070000001914496 -:0420710004B000A017 -:0420720000011BC886 -:0420730000016DF407 -:042074000000000266 -:042075000001914293 -:04207600001F000047 -:0420770000011BC881 -:042078000001726889 -:042079000000000063 -:04207A0000018C6372 -:04207B00000100015F -:04207C0000018AB81D -:04207D000001727874 -:04207E00000000005E -:04207F0000018C646C -:04208000000100015A -:0420810000018AC808 -:04208200000172885F -:042083000000000158 -:0420840000018C6566 -:04208500001800003F -:0420860000011A3803 -:04208700000172984A -:042088000000000054 -:0420890000018C5E68 -:04208A000001000150 -:04208B0000018AC8FE -:04208C00000172A835 -:04208D00000000004F -:04208E0000018C5F62 -:04208F00000100014B -:0420900000018AC8F9 -:04209100000172BC1C +:042011000001875BE8 +:0420120000020001C7 +:04201300000185281B +:0420140000016FA0B8 +:0420150000000000C7 +:042016000001875CE2 +:0420170000010001C3 +:04201800000185E05E +:0420190000016E1440 +:04201A0000000001C1 +:04201B0000018757E2 +:04201C0000FF0000C1 +:04201D0000010BA211 +:04201E0000016E242B +:04201F0000000001BC +:0420200000018758DC +:04202100003F00007C +:0420220000010BA20C +:0420230000016E3416 +:0420240000000000B8 +:042025000001875AD5 +:0420260000070000AF +:0420270000017C5CDC +:0420280000016E4005 +:0420290000000001B2 +:04202A0000018759D1 +:04202B00000F0000A2 +:04202C0000010BB6EE +:04202D0000016E50F0 +:04202E0000000001AD +:04202F000001876EB7 +:04203000001F00008D +:0420310000010BB6E9 +:0420320000016E5CDF +:0420330000000004A5 +:042034000001268EF3 +:04203500000173F43F +:0420360000000000A6 +:042037000001702014 +:0420380000000000A4 +:042039000001875DBE +:04203A00000300019E +:04203B00000184E03C +:04203C0000017030FF +:04203D00000000009F +:04203E000001875EB8 +:04203F00000100019B +:042040000001860411 +:0420410000017040EA +:04204200000000009A +:042043000001875FB2 +:042044000001000196 +:04204500000185E031 +:0420460000017054D1 +:042047000000000095 +:0420480000018760AC +:042049000001000191 +:04204A00000185E02C +:04204B0000017068B8 +:04204C00000000038D +:04204D0000017A8094 +:04204E00000179BC58 +:04204F000001066620 +:0420500000016E6CB1 +:04205100000000008B +:0420520000018738CA +:042053000002000186 +:04205400000184F013 +:0420550000016E78A0 +:042056000000000185 +:042057000001873EBF +:04205800000F000075 +:0420590000010B4631 +:04205A0000016E888B +:04205B000000000180 +:04205C000001873ABE +:04205D00001C000063 +:04205E0000010B8CE6 +:04205F0000016E9876 +:04206000000000007C +:042061000001873BB8 +:042062000001000178 +:0420630000018614DE +:0420640000016EA465 +:042065000000000077 +:042066000001873CB2 +:042067000001000173 +:04206800000185E00E +:0420690000016EB450 +:04206A000000000072 +:04206B000001873FAA +:04206C00000100016E +:04206D000001860CDC +:04206E0000016EC43B +:04206F00000000006D +:042070000001873DA7 +:042071000001000169 +:04207200000185E004 +:0420730000016ED822 +:042074000000000068 +:0420750000018739A6 +:042076000003000162 +:04207700000184FCE4 +:0420780000016EE411 +:042079000000000360 +:04207A0000017A984F +:04207B000000000061 +:04207C000000000060 +:04207D0000016CB042 +:04207E00000000045A +:04207F0000011CC47C +:04208000000174489F +:04208100000000005B +:0420820000016CC02D +:042083000000000455 +:04208400000119B08E +:04208500000174489A +:042086000000000056 +:0420870000016CD018 +:042088000000000450 +:0420890000010F1033 +:04208A000000000052 +:04208B000000000051 +:04208C0000016CE4FF +:04208D00000000014E +:04208E000001877D49 +:04208F00000A010141 +:0420900000010A68D9 +:0420910000016CF8E6 :04209200000000004A -:0420930000018C605C -:042094000002000145 -:04209500000180784E -:04209600000172D003 -:042097000000000144 -:0420980000018C6651 -:04209900001F000024 -:04209A0000011A0A1D -:04209B00000172E4EA +:0420930000018C2D8F +:042094000001000146 +:04209500000185E0E1 +:0420960000016D0CCC +:042097000000000045 +:0420980000018C1C9B +:04209900000A000138 +:04209A0000017BD4F2 +:04209B0000016D1CB7 :04209C000000000040 -:04209D0000018C6151 -:04209E00000100013C -:04209F0000018AC8EA -:0420A00000016CBC13 -:0420A100000000013A -:0420A20000018C3479 -:0420A3000010000029 -:0420A400000119BE60 -:0420A50000016CCCFE -:0420A6000000000135 -:0420A70000018C3573 -:0420A8000010000024 -:0420A900000119BE5B -:0420AA0000016CDCE9 -:0420AB000000000130 -:0420AC0000018C366D -:0420AD00001000001F -:0420AE00000119BE56 -:0420AF0000016CECD4 -:0420B000000000012B -:0420B10000018C3767 -:0420B200001000001A -:0420B300000119BE51 -:0420B40000016CFCBF -:0420B5000000000126 -:0420B60000018C3861 -:0420B7000010000015 -:0420B800000119BE4C -:0420B90000016D0CA9 -:0420BA000000000121 -:0420BB0000018C395B -:0420BC000010000010 -:0420BD00000119BE47 -:0420BE0000016D2090 -:0420BF00000000011C -:0420C00000018C3A55 -:0420C100001000000B -:0420C200000119BE42 -:0420C30000016D3477 -:0420C4000000000117 -:0420C50000018C3B4F -:0420C6000010000006 -:0420C700000119BE3D -:0420C80000016D485E +:04209D0000018C139F +:04209E00000200013B +:04209F0000017BBC05 +:0420A00000016D309E +:0420A100000000003B +:0420A20000018C119C +:0420A3000001000137 +:0420A400000185FCB6 +:0420A50000016D4089 +:0420A6000000000036 +:0420A700000185D8D7 +:0420A8000001000132 +:0420A900000185FCB1 +:0420AA0000016D5074 +:0420AB000000000031 +:0420AC0000018C1291 +:0420AD00000100012D +:0420AE00000185FCAC +:0420AF0000016D605F +:0420B000000000002C +:0420B10000018C247A +:0420B2000003000126 +:0420B30000017C4C60 +:0420B40000016D704A +:0420B5000000000027 +:0420B600000185E8B8 +:0420B7000002000122 +:0420B8000001848C13 +:0420B90000016D7441 +:0420BA000000000022 +:0420BB00000185E9B2 +:0420BC00000300011C +:0420BD000001849802 +:0420BE0000016FAC02 +:0420BF00000000001D +:0420C000000187652F +:0420C1000003000117 +:0420C200000185187C +:0420C30000016FBCED +:0420C4000000000018 +:0420C5000001876629 +:0420C6000002000113 +:0420C7000001850C83 +:0420C80000016FCCD8 :0420C9000000000112 -:0420CA0000018C3C49 -:0420CB000010000001 -:0420CC00000119BE38 -:0420CD0000016D5C45 +:0420CA000001876228 +:0420CB00001F0000F2 +:0420CC0000010AE223 +:0420CD0000016FDCC3 :0420CE00000000010D -:0420CF0000018C3D43 -:0420D00000100000FC -:0420D100000119BE33 -:0420D20000016D702C +:0420CF000001876322 +:0420D00000FF00000D +:0420D10000010B04FB +:0420D20000016FECAE :0420D3000000000108 -:0420D40000018C3E3D -:0420D50000100000F7 -:0420D600000119BE2E -:0420D700000176048A -:0420D8000000000301 -:0420D90000017F88FB -:0420DA000000000002 -:0420DB000000000001 -:0420DC000001761871 -:0420DD0000000003FC -:0420DE0000017F6816 -:0420DF0000000000FD -:0420E00000000000FC -:0420E1000001762C58 -:0420E20000000003F7 -:0420E30000017F80F9 -:0420E40000000000F8 -:0420E50000000000F7 -:0420E600000176403F -:0420E70000000003F2 -:0420E80000017F581C -:0420E90000000000F3 -:0420EA0000000000F2 -:0420EB000001765426 -:0420EC0000000003ED -:0420ED0000017F70FF -:0420EE0000000000EE -:0420EF0000000000ED -:0420F000000176680D -:0420F10000000003E8 -:0420F20000017F600A -:0420F30000000000E9 -:0420F40000000000E8 -:0420F5000001767CF4 -:0420F60000000003E3 -:0420F70000017F4025 -:0420F80000000000E4 -:0420F90000000000E3 -:0420FA0000017690DB -:0420FB0000000003DE -:0420FC0000017F3828 -:0420FD0000000000DF -:0420FE0000000000DE -:0420FF00000176A4C2 -:0421000000000003D8 -:0421010000017F78E2 -:0421020000000000D9 -:0421030000000000D8 -:04210400000173D88B -:0421050000000000D6 -:0421060000018C4503 -:0421070000040001CF -:0421080000018994B5 -:04210900000173E876 -:04210A0000000000D1 -:04210B0000018C46FD -:04210C0000040001CA -:04210D00000189A89C -:04210E00000173F861 -:04210F0000000000CC -:0421100000018C47F7 -:0421110000030001C6 -:04211200000189BC83 -:04211300000174084B -:0421140000000000C7 -:0421150000018C48F1 -:0421160000010001C3 -:0421170000018ADC5D -:042118000001741836 -:0421190000000000C2 -:04211A0000018C49EB -:04211B0000010001BE -:04211C0000018AD460 -:04211D000001742821 +:0420D400000187641C +:0420D50000C80A0035 +:0420D60000010B04F6 +:0420D70000016FFC99 +:0420D8000000000103 +:0420D9000001876813 +:0420DA0000050000FD +:0420DB0000010B8E67 +:0420DC000001700C83 +:0420DD0000000001FE +:0420DE00000187690D +:0420DF0000050000F8 +:0420E00000010B8E62 +:0420E1000001707C0E +:0420E20000000000FA +:0420E300000187670A +:0420E40000050001F2 +:0420E500000185343D +:0420E60000017088FD +:0420E70000000000F5 +:0420E800000187610B +:0420E90000020001F0 +:0420EA000001854C20 +:0420EB0000017098E8 +:0420EC0000000001EF +:0420ED0000018776F1 +:0420EE0000FF0000EF +:0420EF0000010BB62B +:0420F000000170A4D7 +:0420F10000000001EA +:0420F20000018777EB +:0420F30000FF0000EA +:0420F40000010BB626 +:0420F500000170B0C6 +:0420F60000000001E5 +:0420F70000018778E5 +:0420F80000FF0000E5 +:0420F90000010BB621 +:0420FA00000170BCB5 +:0420FB0000000001E0 +:0420FC0000018779DF +:0420FD0000FF0000E0 +:0420FE0000010BB61C +:0420FF00000170C8A4 +:0421000000000001DA +:042101000001877AD8 +:0421020000FF0000DA +:0421030000010BB616 +:04210400000170D492 +:0421050000000001D5 +:042106000001877BD2 +:0421070000FF0000D5 +:0421080000010BB611 +:04210900000170E081 +:04210A0000000001D0 +:04210B000001877CCC +:04210C00000F0000C0 +:04210D0000010BB60C +:04210E00000170F06C +:04210F0000000001CB +:0421100000018773D0 +:0421110000E41C00CA +:0421120000010BCAF3 +:042113000001710452 +:0421140000000001C6 +:0421150000018775C9 +:04211600000A0000BB +:0421170000010BFEBA +:04211800000171143D +:0421190000000001C1 +:04211A0000018774C5 +:04211B0000070000B9 +:04211C0000010C169C +:04211D0000017AA0A3 :04211E0000000000BD -:04211F0000018C40EF -:0421200000040001B6 -:04212100000180C475 -:042122000001743410 -:0421230000000000B8 -:0421240000018C41E9 -:0421250000050001B0 -:04212600000180D85C -:0421270000017440FF -:0421280000000000B3 -:0421290000018C42E3 -:04212A0000040001AC -:04212B00000180C46B -:04212C000001744CEE -:04212D0000000000AE -:04212E0000018C43DD -:04212F0000040001A7 -:04213000000180C466 -:0421310000017458DD -:0421320000000000A9 -:0421330000018C44D7 -:0421340000020001A4 -:04213500000180F035 -:0421360000017468C8 -:0421370000000000A4 -:0421380000018C4ACC -:0421390000010001A0 -:04213A0000018AB066 -:04213B0000017478B3 -:04213C00000000009F -:04213D0000018C4FC2 -:04213E00000200019A -:04213F0000018A14FD -:0421400000017480A6 -:04214100000000009A -:0421420000018C50BC -:042143000001000196 -:0421440000018AC844 -:04214500000172F42F -:042146000000000194 -:0421470000018C4BBC -:0421480000FF000094 -:04214900000119F682 -:04214A000001730419 -:04214B00000000018F -:04214C0000018C4CB6 -:04214D00003F00004F -:04214E00000119F67D -:04214F000001731404 -:04215000000000008B -:0421510000018C4EAF -:042152000007000082 -:042153000001810CFA -:0421540000017320F3 -:042155000000000185 -:0421560000018C4DAB -:04215700000F000075 -:0421580000011A0A5E -:0421590000017330DE -:04215A000000000180 -:04215B0000018C6291 -:04215C00001F000060 -:04215D0000011A0A59 -:04215E000001733CCD -:04215F000000000478 -:04216000000125262F -:04216100000178A45D +:04211F0000000000BC +:0421200000000000BB +:0421210000000000BA +:0421220000000000B9 +:042123000001696CE2 +:0421240000016970DD +:0421250000016978D4 +:0421260000016960EB +:0421270000016964E6 +:0421280000016968E1 +:042129000001696CDC +:04212A0000016B2C19 +:04212B0000016B48FC +:04212C0000016BA0A3 +:04212D0000016BA89A +:04212E0000016BB091 +:04212F0000016B2C14 +:0421300000016B48F7 +:0421310000016B70CE +:0421320000016B80BD +:0421330000016B90AC +:0421340000016B2C0F +:0421350000016B3802 +:0421360000016B50E9 +:0421370000016B60D8 +:0421380000016A68D0 +:0421390000016C3401 +:04213A0000016C40F4 +:04213B0000016C50E3 +:04213C000001696CC9 +:04213D0000016A68CB +:04213E0000016A70C2 +:04213F0000016A1021 +:0421400000016A1C14 +:0421410000016A2807 +:0421420000016A38F6 +:0421430000016BB874 +:0421440000016BCC5F +:0421450000016BDC4E +:0421460000016BEC3D +:0421470000016BFC2C +:0421480000016C081E +:042149000001696CBC +:04214A0000016A74B2 +:04214B0000016A80A5 +:04214C0000016A9094 +:04214D0000016A68BB +:04214E000001696CB7 +:04214F0000016C74AB +:0421500000016C849A +:0421510000016C9489 +:0421520000016CA478 +:0421530000016C5CBF +:0421540000016C68B2 +:0421550000016A68B3 +:042156000000000085 +:042157000000000084 +:042158000000000083 +:042159000000000082 +:04215A000000000081 +:04215B000000000080 +:04215C00000000007F +:04215D00000000007E +:04215E00000000007D +:04215F00000000007C +:04216000000000007B +:04216100000000007A :042162000000000079 -:042163000001750002 +:042163000000000078 :042164000000000077 -:0421650000018C5198 -:042166000003000171 -:04216700000189CC1E -:0421680000017510ED +:042165000000000076 +:042166000000000075 +:042167000000000074 +:042168000000000073 :042169000000000072 -:04216A0000018C5292 -:04216B00000100016E -:04216C0000018AECF8 -:04216D0000017520D8 -:04216E00000000006D -:04216F0000018C538C -:042170000001000169 -:0421710000018AC817 -:0421720000017534BF -:042173000000000068 -:0421740000018C5486 -:042175000001000164 -:0421760000018AC812 -:0421770000017548A6 -:042178000000000360 -:0421790000017F30B2 -:04217A0000017E6C76 -:04217B0000010A4015 -:04217C000001734C9F -:04217D00000000005E -:04217E0000018C2CA4 -:04217F000002000159 -:04218000000189DCF5 -:04218100000173588E -:042182000000000158 -:0421830000018C3299 -:04218400000F000048 -:042185000001199AA2 -:042186000001736879 -:042187000000000153 -:0421880000018C2E98 -:04218900001C000036 -:04218A00000119E057 -:04218B000001737864 -:04218C00000000004F -:04218D0000018C2F92 -:04218E00000100014B -:04218F0000018AFCC5 -:042190000001738453 -:04219100000000004A -:0421920000018C308C -:042193000001000146 -:0421940000018AC8F4 -:04219500000173943E -:042196000000000045 -:0421970000018C3384 -:042198000001000141 -:0421990000018AF4C3 -:04219A00000173A429 -:04219B000000000040 -:04219C0000018C3181 -:04219D00000100013C -:04219E0000018AC8EA -:04219F00000173B810 -:0421A000000000003B -:0421A10000018C2D80 -:0421A2000003000135 -:0421A300000189E8C6 -:0421A400000173C4FF -:0421A5000000000333 -:0421A60000017F486D -:0421A7000000000034 -:0421A8000000000033 -:0421A900000171546C -:0421AA00000000042D -:0421AB00000122BA53 -:0421AC00000178F8BE -:0421AD00000000002E -:0421AE000001716457 -:0421AF000000000428 -:0421B00000011FF219 -:0421B100000178F8B9 -:0421B2000000000029 -:0421B3000001717442 -:0421B4000000000423 -:0421B500000118FA13 -:0421B6000000000025 -:0421B7000000000024 -:0421B8000001718829 -:0421B9000000000121 -:0421BA0000018C7123 -:0421BB00000A010114 -:0421BC000001113AD3 -:0421BD000001719C10 -:0421BE00000000001D -:0421BF000001912169 -:0421C0000001000119 -:0421C10000018AC8C7 -:0421C200000171B0F7 -:0421C3000000000018 -:0421C4000001911075 -:0421C500000A00010B -:0421C6000001808410 -:0421C700000171C0E2 -:0421C8000000000013 -:0421C9000001910779 -:0421CA00000200010E -:0421CB000001806C23 -:0421CC00000171D4C9 -:0421CD00000000000E -:0421CE000001910576 -:0421CF00000100010A -:0421D00000018AE49C -:0421D100000171E4B4 -:0421D2000000000009 -:0421D30000018AC0BD -:0421D4000001000105 -:0421D50000018AE497 -:0421D600000171F49F -:0421D7000000000004 -:0421D800000191066B -:0421D9000001000100 -:0421DA0000018AE492 -:0421DB000001720489 -:0421DC0000000000FF -:0421DD000001911854 -:0421DE0000030001F9 -:0421DF00000180FC7F -:0421E0000001721474 -:0421E10000000000FA -:0421E20000018AD09E -:0421E30000020001F5 -:0421E40000018978F5 -:0421E500000172186B -:0421E60000000000F5 -:0421E70000018AD198 -:0421E80000030001EF -:0421E90000018984E4 -:0421EA000001722C52 -:0421EB0000000004EC -:0421EC00000140A00E -:0421ED0000000000EE -:0421EE0000000000ED -:0421EF000001724039 -:0421F00000000004E7 -:0421F1000001382091 -:0421F20000000000E9 -:0421F30000000000E8 -:0421F4000001725420 -:0421F50000000004E2 -:0421F60000013C9A0E -:0421F70000000000E4 -:0421F80000000000E3 -:0421F9000001748CE1 -:0421FA0000000000E1 -:0421FB0000018C59FA -:0421FC0000030001DB -:0421FD0000018A044F -:0421FE000001749CCC -:0421FF0000000000DC -:0422000000018C5AF3 -:0422010000020001D6 -:04220200000189F856 -:04220300000174ACB6 -:0422040000000001D5 -:0422050000018C56F2 -:04220600001F0000B5 -:042207000001193683 -:04220800000174BCA1 -:0422090000000001D0 -:04220A0000018C57EC -:04220B0000FF0000D0 -:04220C00000119585C -:04220D00000174CC8C -:04220E0000000001CB -:04220F0000018C58E6 -:0422100000C80A00F8 -:042211000001195857 -:04221200000174DC77 -:0422130000000001C6 -:0422140000018C5CDD -:0422150000050000C0 -:04221600000119E2C8 -:04221700000174EC62 -:0422180000000001C1 -:0422190000018C5DD7 -:04221A0000050000BB -:04221B00000119E2C3 -:04221C000001755CEC -:04221D0000000000BD -:04221E0000018C5BD4 -:04221F0000050001B5 -:0422200000018A200F -:0422210000017568DB -:0422220000000000B8 -:0422230000018C55D5 -:0422240000020001B3 -:0422250000018A38F2 -:0422260000017578C6 -:0422270000000001B2 -:0422280000018C6ABB -:0422290000FF0000B2 -:04222A0000011A0A8B -:04222B0000017584B5 -:04222C0000000001AD -:04222D0000018C6BB5 -:04222E0000FF0000AD -:04222F0000011A0A86 -:0422300000017590A4 -:0422310000000001A8 -:0422320000018C6CAF -:0422330000FF0000A8 -:0422340000011A0A81 -:042235000001759C93 -:0422360000000001A3 -:0422370000018C6DA9 -:0422380000FF0000A3 -:0422390000011A0A7C -:04223A00000175A882 -:04223B00000000019E -:04223C0000018C6EA3 -:04223D0000FF00009E -:04223E0000011A0A77 -:04223F00000175B471 -:042240000000000199 -:0422410000018C6F9D -:0422420000FF000099 -:0422430000011A0A72 -:04224400000175C060 -:042245000000000194 -:0422460000018C7097 -:04224700000F000084 -:0422480000011A0A6D -:04224900000175D04B -:04224A00000000018F -:04224B0000018C679B -:04224C0000E41C008E -:04224D0000011A1E54 -:04224E00000175E432 -:04224F00000000018A -:0422500000018C6994 -:04225100000A00007F -:0422520000011A521B -:04225300000175F41D -:042254000000000185 -:0422550000018C6890 -:04225600000700007D -:0422570000011A6AFE -:0422580000017F50B2 -:042259000000000081 -:04225A000000000080 -:04225B00000000007F -:04225C00000000007E -:04225D00000000007D -:04225E0000016E10FD -:04225F0000016E14F8 -:0422600000016E1CEF -:0422610000016E0406 -:0422620000016E0801 -:0422630000016E0CFC -:0422640000016E10F7 -:0422650000016FD035 -:0422660000016FEC18 -:0422670000017044BE -:042268000001704CB5 -:0422690000017054AC -:04226A0000016FD030 -:04226B0000016FEC13 -:04226C0000017014E9 -:04226D0000017024D8 -:04226E0000017034C7 -:04226F0000016FD02B -:0422700000016FDC1E -:0422710000016FF405 -:0422720000017004F3 -:0422730000016F0CEB -:04227400000170D81D -:04227500000170E410 -:04227600000170F4FF -:0422770000016E10E4 -:0422780000016F0CE6 -:0422790000016F14DD -:04227A0000016EB43D -:04227B0000016EC030 -:04227C0000016ECC23 -:04227D0000016EDC12 -:04227E000001705C8F -:04227F00000170707A -:042280000001708069 -:042281000001709058 -:04228200000170A047 -:04228300000170AC3A -:0422840000016E10D7 -:0422850000016F18CD -:0422860000016F24C0 -:0422870000016F34AF -:0422880000016F0CD6 -:0422890000016E10D2 -:04228A0000017118C6 -:04228B0000017128B5 -:04228C0000017138A4 -:04228D000001714893 -:04228E0000017100DA -:04228F000001710CCD -:0422900000016F0CCE -:042291000000000049 -:042292000000000048 -:042293000000000047 -:042294000000000046 -:042295000000000045 -:042296000000000044 -:042297000000000043 -:042298000000000042 -:042299000000000041 -:04229A000000000040 -:04229B00000000003F -:04229C00000000003E -:04229D00000000003D -:04229E00000000003C -:04229F00000000003B -:0422A000000000003A -:0422A1000000000039 -:0422A2000000000038 -:0422A3000000000037 -:0422A4000000000036 -:0422A5000000000035 -:0422A6000000000034 -:0422A7000000000033 -:0422A8000000000032 -:0422A90000018AA402 -:0422AA0000018AA401 -:0422AB00000167388F -:0422AC0000016F3886 -:0422AD0000016F4875 -:0422AE0000016E4875 -:0422AF0000016E5C60 -:0422B0000000000129 -:0422B1000010801089 -:0422B20000016E10A9 -:0422B30000016F14A3 -:0422B4000000010124 -:0422B50000016FD0E5 -:0422B60000016FDCD8 -:0422B70000016FD0E3 -:0422B80000016FECC6 -:0422B900000176D8D2 -:0422BA00000176E4C5 -:0422BB00000170B8F6 -:0422BC00000170C8E5 -:0422BD0000016EE4CA -:0422BE0000016EE8C5 -:0422BF0000016EF0BC -:0422C00000016F00AA -:0422C10000018A444A +:04216A000000000071 +:04216B000000000070 +:04216C00000000006F +:04216D00000000006E +:04216E00000185B82F +:04216F00000185B82E +:042170000002002049 +:0421710000015D12FA +:0421720000016A946A +:0421730000016AA459 +:04217400000169A459 +:04217500000169B844 +:042176000000000164 +:0421770000108010C4 +:042178000001696C8D +:0421790000016A7087 +:04217A00000001015F +:04217B0000016B2CC8 +:04217C0000016B38BB +:04217D0000016B2CC6 +:04217E0000016B48A9 +:04217F0000017218D1 +:0421800000017224C4 +:0421810000016C14D9 +:0421820000016C24C8 +:0421830000016A40AD +:0421840000016A44A8 +:0421850000016A4C9F +:0421860000016A5C8E +:042187000001855876 :00000001FF diff --git a/sys.qsys b/sys.qsys index f4f384f..8dbac89 100644 --- a/sys.qsys +++ b/sys.qsys @@ -387,7 +387,7 @@ internal="sc_config_0.sc_if" type="conduit" dir="end" /> - + @@ -396,7 +396,7 @@ @@ -406,7 +406,7 @@ - COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 + COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_JZ_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 @@ -445,7 +445,7 @@ @@ -460,7 +460,7 @@ $${FILENAME}_onchip_memory2_0 @@ -469,7 +469,7 @@ - COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 + COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_JZ_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 @@ -490,7 +490,7 @@ - + @@ -504,7 +504,7 @@ - + @@ -532,7 +532,7 @@ - + @@ -546,7 +546,7 @@ @@ -555,7 +555,7 @@ @@ -564,7 +564,7 @@ @@ -573,7 +573,7 @@ @@ -582,7 +582,7 @@ @@ -591,7 +591,7 @@ @@ -600,7 +600,7 @@ @@ -609,7 +609,7 @@ @@ -618,7 +618,7 @@ @@ -627,7 +627,7 @@ @@ -636,7 +636,7 @@ @@ -645,7 +645,7 @@ @@ -654,7 +654,7 @@ @@ -663,7 +663,7 @@ @@ -672,7 +672,7 @@ @@ -681,166 +681,166 @@ - - - - - + + + + + diff --git a/sys.sopcinfo b/sys.sopcinfo index 595b6c8..da17af9 100644 --- a/sys.sopcinfo +++ b/sys.sopcinfo @@ -1,11 +1,11 @@ - - + + java.lang.Integer - 1627740568 + 1672145913 false true false @@ -95,7 +95,7 @@ true true - + @@ -148,7 +148,7 @@ the requested settings for a module instance. --> true true - + @@ -213,7 +213,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -262,7 +262,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -415,7 +415,7 @@ parameters are a RESULT of the module parameters. --> epcq_controller2_0.clock_sink - + @@ -480,7 +480,7 @@ parameters are a RESULT of the module parameters. --> @@ -603,7 +603,7 @@ the requested settings for a module instance. --> java.lang.String - COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 + COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_JZ_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 false true false @@ -686,7 +686,7 @@ the requested settings for a module instance. --> true true - + @@ -1063,7 +1063,7 @@ parameters are a RESULT of the module parameters. --> readdatavalid - + @@ -1452,7 +1452,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -1529,7 +1529,7 @@ parameters are a RESULT of the module parameters. --> irq - + @@ -1590,7 +1590,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1655,7 +1655,7 @@ the requested settings for a module instance. --> true true - + @@ -1700,7 +1700,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -1745,7 +1745,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2154,7 +2154,7 @@ the requested settings for a module instance. --> true true - + @@ -2199,7 +2199,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2244,7 +2244,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2301,7 +2301,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -2672,7 +2672,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -2781,7 +2781,7 @@ the requested settings for a module instance. --> true true - + @@ -2826,7 +2826,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -2871,7 +2871,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -2928,7 +2928,7 @@ parameters are a RESULT of the module parameters. --> export - + @@ -3299,7 +3299,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -3380,7 +3380,7 @@ parameters are a RESULT of the module parameters. --> @@ -3563,7 +3563,7 @@ the requested settings for a module instance. --> true true - + @@ -3624,7 +3624,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -3669,7 +3669,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -4046,7 +4046,7 @@ parameters are a RESULT of the module parameters. --> waitrequest - + @@ -4127,7 +4127,7 @@ parameters are a RESULT of the module parameters. --> @@ -4229,7 +4229,7 @@ the requested settings for a module instance. --> true true - + @@ -4274,7 +4274,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -4319,7 +4319,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -4654,7 +4654,7 @@ parameters are a RESULT of the module parameters. --> 40960 - + @@ -4719,7 +4719,7 @@ parameters are a RESULT of the module parameters. --> @@ -5039,7 +5039,7 @@ the requested settings for a module instance. --> java.lang.String - COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 + COMPILER_SUPPORT 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 ANY_QFP 0 ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 HARDCOPY 0 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_HSPICE_WRITER_SUPPORT 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_18_BIT_MULTS 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 IFP_USE_LEGACY_IO_CHECKER 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_BARE_DIE 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_JW_NEW_BINNING_PLAN 0 IS_JZ_NEW_BINNING_PLAN 0 IS_LOW_POWER_PART 0 IS_SMI_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_REVE_SILICON 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M144K_MEMORY 0 M10K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_MIGRATABLE 0 NOT_LISTED 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_POF 0 NO_PIN_OUT 0 NO_RPE_SUPPORT 0 NO_TDC_SUPPORT 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORT_HIGH_SPEED_HPS 0 SUPPORTS_1P0V_IOSTD 0 SUPPORTS_CRC 1 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_NEW_BINNING_PLAN 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QMAP_IN_DEVELOPMENT 0 QFIT_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_OCT_AUTO_CALIBRATION 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 false true false @@ -5110,7 +5110,7 @@ the requested settings for a module instance. --> true true - + @@ -5487,7 +5487,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -5864,7 +5864,7 @@ parameters are a RESULT of the module parameters. --> byteenable - + @@ -5909,7 +5909,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -5984,7 +5984,7 @@ the requested settings for a module instance. --> true true - + @@ -6029,7 +6029,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6074,7 +6074,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -6457,7 +6457,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -6527,7 +6527,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -6784,7 +6784,7 @@ the requested settings for a module instance. --> true true - + @@ -6845,7 +6845,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -6890,7 +6890,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -7255,7 +7255,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -7301,7 +7301,7 @@ parameters are a RESULT of the module parameters. --> - + @@ -7558,7 +7558,7 @@ the requested settings for a module instance. --> true true - + @@ -7619,7 +7619,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -7664,7 +7664,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -8011,7 +8011,7 @@ parameters are a RESULT of the module parameters. --> readdata - + @@ -8080,7 +8080,7 @@ the requested settings for a module instance. --> true true - + @@ -8125,7 +8125,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8170,7 +8170,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -8553,7 +8553,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -8730,7 +8730,7 @@ the requested settings for a module instance. --> true true - + @@ -8775,7 +8775,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -8838,7 +8838,7 @@ parameters are a RESULT of the module parameters. --> boot_addr_i - + @@ -8883,7 +8883,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -8987,7 +8987,7 @@ parameters are a RESULT of the module parameters. --> 1 - + @@ -9288,7 +9288,7 @@ parameters are a RESULT of the module parameters. --> 40960 - + @@ -9715,7 +9715,7 @@ parameters are a RESULT of the module parameters. --> 40960 - + @@ -10112,7 +10112,7 @@ the requested settings for a module instance. --> true true - + @@ -10157,7 +10157,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -10202,7 +10202,7 @@ parameters are a RESULT of the module parameters. --> reset - + @@ -10585,7 +10585,7 @@ parameters are a RESULT of the module parameters. --> waitrequest_n - + @@ -10682,7 +10682,7 @@ parameters are a RESULT of the module parameters. --> @@ -10908,7 +10908,7 @@ the requested settings for a module instance. --> true true - + @@ -10969,7 +10969,7 @@ parameters are a RESULT of the module parameters. --> clk - + @@ -11014,7 +11014,7 @@ parameters are a RESULT of the module parameters. --> reset_n - + @@ -11383,7 +11383,7 @@ parameters are a RESULT of the module parameters. --> write_n - + @@ -11464,7 +11464,7 @@ parameters are a RESULT of the module parameters. --> @@ -11515,7 +11515,7 @@ parameters are a RESULT of the module parameters. --> @@ -11566,7 +11566,7 @@ parameters are a RESULT of the module parameters. --> @@ -11617,7 +11617,7 @@ parameters are a RESULT of the module parameters. --> @@ -11668,7 +11668,7 @@ parameters are a RESULT of the module parameters. --> @@ -11719,7 +11719,7 @@ parameters are a RESULT of the module parameters. --> @@ -11770,7 +11770,7 @@ parameters are a RESULT of the module parameters. --> @@ -11821,7 +11821,7 @@ parameters are a RESULT of the module parameters. --> @@ -11872,7 +11872,7 @@ parameters are a RESULT of the module parameters. --> @@ -11923,7 +11923,7 @@ parameters are a RESULT of the module parameters. --> @@ -11974,7 +11974,7 @@ parameters are a RESULT of the module parameters. --> @@ -12025,7 +12025,7 @@ parameters are a RESULT of the module parameters. --> @@ -12076,7 +12076,7 @@ parameters are a RESULT of the module parameters. --> @@ -12127,7 +12127,7 @@ parameters are a RESULT of the module parameters. --> @@ -12178,7 +12178,7 @@ parameters are a RESULT of the module parameters. --> @@ -12229,7 +12229,7 @@ parameters are a RESULT of the module parameters. --> @@ -12280,7 +12280,7 @@ parameters are a RESULT of the module parameters. --> @@ -12307,7 +12307,7 @@ parameters are a RESULT of the module parameters. --> @@ -12334,7 +12334,7 @@ parameters are a RESULT of the module parameters. --> @@ -12361,7 +12361,7 @@ parameters are a RESULT of the module parameters. --> @@ -12388,7 +12388,7 @@ parameters are a RESULT of the module parameters. --> @@ -12415,7 +12415,7 @@ parameters are a RESULT of the module parameters. --> @@ -12442,7 +12442,7 @@ parameters are a RESULT of the module parameters. --> @@ -12469,7 +12469,7 @@ parameters are a RESULT of the module parameters. --> @@ -12496,7 +12496,7 @@ parameters are a RESULT of the module parameters. --> @@ -12523,7 +12523,7 @@ parameters are a RESULT of the module parameters. --> @@ -12550,7 +12550,7 @@ parameters are a RESULT of the module parameters. --> @@ -12577,7 +12577,7 @@ parameters are a RESULT of the module parameters. --> @@ -12604,7 +12604,7 @@ parameters are a RESULT of the module parameters. --> @@ -12631,7 +12631,7 @@ parameters are a RESULT of the module parameters. --> @@ -12658,7 +12658,7 @@ parameters are a RESULT of the module parameters. --> @@ -12693,7 +12693,7 @@ parameters are a RESULT of the module parameters. --> @@ -12728,7 +12728,7 @@ parameters are a RESULT of the module parameters. --> @@ -12763,7 +12763,7 @@ parameters are a RESULT of the module parameters. --> @@ -12798,7 +12798,7 @@ parameters are a RESULT of the module parameters. --> @@ -12833,7 +12833,7 @@ parameters are a RESULT of the module parameters. --> @@ -12860,7 +12860,7 @@ parameters are a RESULT of the module parameters. --> @@ -12887,7 +12887,7 @@ parameters are a RESULT of the module parameters. --> @@ -12914,7 +12914,7 @@ parameters are a RESULT of the module parameters. --> @@ -12941,7 +12941,7 @@ parameters are a RESULT of the module parameters. --> @@ -12968,7 +12968,7 @@ parameters are a RESULT of the module parameters. --> @@ -12995,7 +12995,7 @@ parameters are a RESULT of the module parameters. --> @@ -13022,7 +13022,7 @@ parameters are a RESULT of the module parameters. --> @@ -13049,7 +13049,7 @@ parameters are a RESULT of the module parameters. --> @@ -13076,7 +13076,7 @@ parameters are a RESULT of the module parameters. --> @@ -13103,7 +13103,7 @@ parameters are a RESULT of the module parameters. --> @@ -13130,7 +13130,7 @@ parameters are a RESULT of the module parameters. --> @@ -13157,7 +13157,7 @@ parameters are a RESULT of the module parameters. --> @@ -13184,7 +13184,7 @@ parameters are a RESULT of the module parameters. --> @@ -13214,7 +13214,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source - 20.1 + 21.1 1 @@ -13222,7 +13222,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 20.1 + 21.1 1 @@ -13230,7 +13230,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 20.1 + 21.1 1 @@ -13238,7 +13238,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output - 20.1 + 21.1 1 @@ -13246,7 +13246,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 20.1 + 21.1 1 @@ -13254,7 +13254,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Serial Flash Controller II Intel FPGA IP - 20.1 + 21.1 15 @@ -13262,7 +13262,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave - 20.1 + 21.1 5 @@ -13270,7 +13270,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender - 20.1 + 21.1 14 @@ -13278,7 +13278,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input - 20.1 + 21.1 14 @@ -13286,7 +13286,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input - 20.1 + 21.1 1 @@ -13310,7 +13310,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit - 20.1 + 21.1 1 @@ -13318,7 +13318,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART Intel FPGA IP - 20.1 + 21.1 1 @@ -13326,7 +13326,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG to Avalon Master Bridge (customized) - 20.1 + 1.0 3 @@ -13334,7 +13334,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master - 20.1 + 21.1 1 @@ -13342,7 +13342,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output - 20.1 + 21.1 1 @@ -13350,7 +13350,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) Intel FPGA IP - 20.1 + 21.1 1 @@ -13366,7 +13366,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule PIO (Parallel I/O) Intel FPGA IP - 20.1 + 21.1 1 @@ -13390,7 +13390,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver - 20.1 + 21.1 1 @@ -13406,7 +13406,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer Intel FPGA IP - 20.1 + 21.1 16 @@ -13414,7 +13414,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection - 20.1 + 21.1 14 @@ -13422,7 +13422,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection - 20.1 + 21.1 5 @@ -13430,7 +13430,7 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection - 20.1 + 21.1 14 @@ -13438,8 +13438,8 @@ parameters are a RESULT of the module parameters. --> com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection - 20.1 + 21.1 - 20.1 720 + 21.1 842