set_global_assignment -name IP_TOOL_NAME "RAM: 2-PORT" set_global_assignment -name IP_TOOL_VERSION "20.1" set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}" set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "char_array.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "char_array_inst.v"] set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "char_array_bb.v"]