java.lang.Integer 1494281170 false true false true GENERATION_ID java.lang.String false true false true UNIQUE_ID java.lang.String CYCLONEIVE false true false true DEVICE_FAMILY java.lang.String EP4CE15E22C8 false true false true DEVICE java.lang.String 8 false true false true DEVICE_SPEEDGRADE java.lang.Long -1 false true false true CLOCK_RATE clk java.lang.Integer -1 false true false true CLOCK_DOMAIN clk java.lang.Integer -1 false true false true RESET_DOMAIN clk java.lang.String Cyclone IV E false true false true DEVICE_FAMILY boolean false false true true true long 27000000 false true true true boolean true false true true true long 0 false true false true CLOCK_RATE clk_in com.altera.sopcmodel.reset.Reset$Edges NONE false true true true java.lang.String UNKNOWN false true true true boolean false false true true true qsys.ui.export_name clk boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false in_clk Input 1 clk qsys.ui.export_name reset java.lang.String false true true true com.altera.sopcmodel.reset.Reset$Edges NONE false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n java.lang.String clk_in false true true true long 27000000 false true true true boolean true false true true true boolean true false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock true clk_out Output 1 clk false nios2_qsys_0 clk nios2_qsys_0.clk false jtag_uart_0 clk jtag_uart_0.clk false pio_0 clk pio_0.clk false pio_1 clk pio_1.clk false pio_2 clk pio_2.clk false pio_3 clk pio_3.clk false pio_4 clk pio_4.clk false timer_0 clk timer_0.clk false pio_5 clk pio_5.clk false onchip_memory2_0 clk1 onchip_memory2_0.clk1 false i2c_opencores_0 clock i2c_opencores_0.clock false i2c_opencores_1 clock i2c_opencores_1.clock false epcq_controller_0 clock_sink epcq_controller_0.clock_sink java.lang.String false true true true java.lang.String clk_in_reset false true true true [Ljava.lang.String; clk_in_reset false true true true com.altera.sopcmodel.reset.Reset$Edges NONE false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset true reset_n_out Output 1 reset_n embeddedsw.CMacro.FLASH_TYPE EPCS64 embeddedsw.CMacro.IS_EPCS 1 embeddedsw.CMacro.NUMBER_OF_SECTORS 128 embeddedsw.CMacro.PAGE_SIZE 256 embeddedsw.CMacro.SECTOR_SIZE 65536 embeddedsw.CMacro.SUBSECTOR_SIZE 4096 embeddedsw.dts.compatible altr,epcq-1.0 embeddedsw.dts.group epcq embeddedsw.dts.name epcq embeddedsw.dts.vendor altr embeddedsw.memoryInfo.GENERATE_DAT_SYM 0 embeddedsw.memoryInfo.GENERATE_FLASH 0 embeddedsw.memoryInfo.GENERATE_HEX 1 embeddedsw.memoryInfo.HEX_INSTALL_DIR QPF_DIR embeddedsw.memoryInfo.IS_FLASH 1 embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 embeddedsw.memoryInfo.USE_BYTE_ADDRESSING_FOR_HEX 1 java.lang.String CYCLONEIVE false true false true DEVICE_FAMILY int 1 true true false true int 1 true true false true int 21 true true false true int 24 true true false true int 0 true true false true java.lang.String ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false true DEVICE_FEATURES int 0 false true false true long 27000000 false true false true CLOCK_RATE clock_sink java.lang.String EPCS64 false true true true java.lang.String STANDARD false false true true int 1 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clock_sink false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 32 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clock_sink false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 1 false true true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false false true true int 0 false true false true int 0 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false avl_csr_read Input 1 read avl_csr_waitrequest Output 1 waitrequest avl_csr_write Input 1 write avl_csr_addr Input 3 address avl_csr_wrdata Input 32 writedata avl_csr_rddata Output 32 readdata avl_csr_rddata_valid Output 1 readdatavalid embeddedsw.configuration.isFlash 1 embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 1 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 8388608 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clock_sink false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean true false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean true false true false true boolean true false true false true boolean true false true false true boolean true false true true true int 1 false true true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false false true true int 0 false true false true int 0 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false avl_mem_write Input 1 write avl_mem_burstcount Input 7 burstcount avl_mem_waitrequest Output 1 waitrequest avl_mem_read Input 1 read avl_mem_addr Input 21 address avl_mem_wrdata Input 32 writedata avl_mem_rddata Output 32 readdata avl_mem_rddata_valid Output 1 readdatavalid avl_mem_byteenable Input 4 byteenable com.altera.entityinterfaces.IConnectionPoint epcq_controller_0.avl_csr false true true true java.lang.String clock_sink false true false true java.lang.String reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false wb_clk_i Input 1 clk java.lang.String clock false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false wb_rst_i Input 1 reset java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false scl_pad_io Bidir 1 export sda_pad_io Bidir 1 export spi_miso_pad_i Input 1 export embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clock false true true true java.lang.String clock_reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false wb_adr_i Input 3 address wb_dat_i Input 8 writedata wb_dat_o Output 8 readdata wb_we_i Input 1 write wb_stb_i Input 1 chipselect wb_ack_o Output 1 waitrequest_n com.altera.entityinterfaces.IConnectionPoint i2c_opencores_0.avalon_slave_0 false true true true java.lang.String clock false true false true java.lang.String clock_reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false wb_inta_o Output 1 irq int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false wb_clk_i Input 1 clk java.lang.String clock false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false wb_rst_i Input 1 reset java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false scl_pad_io Bidir 1 export sda_pad_io Bidir 1 export spi_miso_pad_i Input 1 export embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clock false true true true java.lang.String clock_reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false wb_adr_i Input 3 address wb_dat_i Input 8 writedata wb_dat_o Output 8 readdata wb_we_i Input 1 write wb_stb_i Input 1 chipselect wb_ack_o Output 1 waitrequest_n com.altera.entityinterfaces.IConnectionPoint i2c_opencores_1.avalon_slave_0 false true true true java.lang.String clock false true false true java.lang.String clock_reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false wb_inta_o Output 1 irq embeddedsw.CMacro.READ_DEPTH 64 embeddedsw.CMacro.READ_THRESHOLD 8 embeddedsw.CMacro.WRITE_DEPTH 64 embeddedsw.CMacro.WRITE_THRESHOLD 8 embeddedsw.dts.compatible altr,juart-1.0 embeddedsw.dts.group serial embeddedsw.dts.name juart embeddedsw.dts.vendor altr boolean false false true false true int 0 false true false true int 64 false true true true int 8 false true true true java.lang.String false false false true java.lang.String NO_INTERACTIVE_WINDOWS false true false true boolean true false true true true boolean true false true true true boolean false false true false true int 64 false true true true int 8 false true true true long 27000000 false true false true CLOCK_RATE clk java.lang.String 2.0 false true false true AVALON_SPEC boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false rst_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 2 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean true false true false true int 0 false true true true int 1 false true false true int 1 false false true true boolean false false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false av_chipselect Input 1 chipselect av_address Input 1 address av_read_n Input 1 read_n av_readdata Output 32 readdata av_write_n Input 1 write_n av_writedata Input 32 writedata av_waitrequest Output 1 waitrequest com.altera.entityinterfaces.IConnectionPoint jtag_uart_0.avalon_jtag_slave false true true true java.lang.String clk false true false true java.lang.String reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false av_irq Output 1 irq int 32 false true true true java.math.BigInteger 4294967295 false true true true java.math.BigInteger 79764919 false true true true int 1 false true true true int 1 false true true true java.math.BigInteger 4294967295 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.String true true false true int 3 true true false true int 0 false false true true com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType VARIABLE true true true true int 0 true true false true boolean false false true false true int 0 false true false true int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction false clk Input 1 clk clk_en Input 1 clk_en dataa Input 32 dataa done Output 1 done n Input 3 n reset Input 1 reset result Output 32 result start Input 1 start debug.hostConnection type jtag id 70:34|110:135 embeddedsw.CMacro.BIG_ENDIAN 0 embeddedsw.CMacro.BREAK_ADDR 0x00820820 embeddedsw.CMacro.CPU_ARCH_NIOS2_R1 embeddedsw.CMacro.CPU_FREQ 27000000u embeddedsw.CMacro.CPU_ID_SIZE 1 embeddedsw.CMacro.CPU_ID_VALUE 0x00000000 embeddedsw.CMacro.CPU_IMPLEMENTATION "tiny" embeddedsw.CMacro.DATA_ADDR_WIDTH 24 embeddedsw.CMacro.DCACHE_LINE_SIZE 0 embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2 0 embeddedsw.CMacro.DCACHE_SIZE 0 embeddedsw.CMacro.EXCEPTION_ADDR 0x00810020 embeddedsw.CMacro.FLASH_ACCELERATOR_LINES 0 embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE 0 embeddedsw.CMacro.FLUSHDA_SUPPORTED embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT 0 embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT 0 embeddedsw.CMacro.HARDWARE_MULX_PRESENT 0 embeddedsw.CMacro.HAS_DEBUG_CORE 1 embeddedsw.CMacro.HAS_DEBUG_STUB embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION embeddedsw.CMacro.HAS_JMPI_INSTRUCTION embeddedsw.CMacro.ICACHE_LINE_SIZE 0 embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2 0 embeddedsw.CMacro.ICACHE_SIZE 0 embeddedsw.CMacro.INST_ADDR_WIDTH 24 embeddedsw.CMacro.OCI_VERSION 1 embeddedsw.CMacro.RESET_ADDR 0x00810000 embeddedsw.configuration.DataCacheVictimBufImpl ram embeddedsw.configuration.HDLSimCachesCleared 1 embeddedsw.configuration.breakOffset 32 embeddedsw.configuration.breakSlave nios2_qsys_0.debug_mem_slave embeddedsw.configuration.cpuArchitecture Nios II embeddedsw.configuration.exceptionOffset 32 embeddedsw.configuration.exceptionSlave onchip_memory2_0.s1 embeddedsw.configuration.resetOffset 0 embeddedsw.configuration.resetSlave onchip_memory2_0.s1 embeddedsw.dts.compatible altr,nios2-1.1 embeddedsw.dts.group cpu embeddedsw.dts.name nios2 embeddedsw.dts.params.altr,exception-addr 0x00810020 embeddedsw.dts.params.altr,implementation "tiny" embeddedsw.dts.params.altr,reset-addr 0x00810000 embeddedsw.dts.params.clock-frequency 27000000u embeddedsw.dts.params.dcache-line-size 0 embeddedsw.dts.params.dcache-size 0 embeddedsw.dts.params.icache-line-size 0 embeddedsw.dts.params.icache-size 0 embeddedsw.dts.vendor altr boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true boolean false false false true true boolean false false true false true boolean false false true false true boolean true false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true int 1 false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true int 0 false false true true int 0 false false true true boolean true false false true true boolean true false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true boolean true false true false true boolean false false true false true boolean false false true false true boolean false false false true true boolean false false false true true boolean false false false true true boolean true false false true true boolean false false true true true boolean true false true true true boolean false false true false true boolean false false true false true int 0 false false true true int 8 false false true true int 8 false false true true int 0 false false true true int 0 false true true true int 32 false true true true int 0 false true true true int 32 false true false true java.lang.String false true false true java.lang.String false true false true java.lang.String onchip_memory2_0.s1 false true true true java.lang.String None false false true true java.lang.String onchip_memory2_0.s1 false true true true java.lang.String nios2_qsys_0.jtag_debug_module false true false true java.lang.String Internal false false true true java.lang.String Dynamic false false true true int 8 false false true true int 1 false true false true boolean false true true false true java.lang.String medium_le_shift true true false true java.lang.String no_mul true true false true int 0 false false true true int 2 false false true true int 0 false false true true int 1 false false true true java.lang.String no_div false false true true int 12 false false true true int 12 false false true true int 4 false false true true int 6 false false true true int 7 false false true true int 16 false false true true int 8 false false true true java.lang.String Tiny false true true true int 0 false false true true int 2 false false true true int 0 false false true true java.lang.String Automatic false true false true java.lang.String Automatic false true false true int 0 false false true true java.lang.String None false false true true java.lang.String false false false true true java.lang.String ram false false true true int 0 false false true true java.lang.String Automatic false true false true java.lang.String Automatic false true false true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true true true boolean true false true false true boolean true false true false true boolean true false true false true boolean false false true false true boolean false false true false true boolean false false true false true java.lang.String Automatic false true false true java.lang.String Automatic false true false true boolean false false true false true java.lang.String Automatic false true false true java.lang.String Automatic false true false true boolean false false true false true boolean false false true false true boolean true false true true true boolean true false true false true boolean false false false true true boolean false false true false true int 0 false true false true java.lang.String _128 false false true true int 0 false false true true int 0 false false true true java.lang.String none false false true true java.lang.String onchip_trace false false true true boolean false false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 0 false true false true long 0 false true false true int 8454144 true true true true int 8454176 true true true true int 8521760 true true false true int 0 true true true true java.lang.String false true true false true int 0 true true false true java.lang.String nios2_qsys_0.debug_mem_slave true true false true int 32 true true false true boolean false true true false true boolean false true true false true java.lang.String "synthesis translate_on" true true false true java.lang.String "synthesis translate_off" true true false true boolean false true true false true boolean false true true false true boolean false true true false true boolean false true true false true int 24 false true false true ADDRESS_WIDTH instruction_master int 1 false true false true ADDRESS_WIDTH flash_instruction_master int 24 false true false true ADDRESS_WIDTH data_master int 1 false true false true ADDRESS_WIDTH tightly_coupled_data_master_0 int 1 false true false true ADDRESS_WIDTH tightly_coupled_data_master_1 int 1 false true false true ADDRESS_WIDTH tightly_coupled_data_master_2 int 1 false true false true ADDRESS_WIDTH tightly_coupled_data_master_3 int 1 false true false true ADDRESS_WIDTH tightly_coupled_instruction_master_0 int 1 false true false true ADDRESS_WIDTH tightly_coupled_instruction_master_1 int 1 false true false true ADDRESS_WIDTH tightly_coupled_instruction_master_2 int 1 false true false true ADDRESS_WIDTH tightly_coupled_instruction_master_3 int 1 false true false true ADDRESS_WIDTH data_master_high_performance int 1 false true false true ADDRESS_WIDTH instruction_master_high_performance java.lang.String ]]> false true false true ADDRESS_MAP instruction_master java.lang.String false true false true ADDRESS_MAP flash_instruction_master java.lang.String ]]> false true false true ADDRESS_MAP data_master java.lang.String false true false true ADDRESS_MAP tightly_coupled_data_master_0 java.lang.String false true false true ADDRESS_MAP tightly_coupled_data_master_1 java.lang.String false true false true ADDRESS_MAP tightly_coupled_data_master_2 java.lang.String false true false true ADDRESS_MAP tightly_coupled_data_master_3 java.lang.String false true false true ADDRESS_MAP tightly_coupled_instruction_master_0 java.lang.String false true false true ADDRESS_MAP tightly_coupled_instruction_master_1 java.lang.String false true false true ADDRESS_MAP tightly_coupled_instruction_master_2 java.lang.String false true false true ADDRESS_MAP tightly_coupled_instruction_master_3 java.lang.String false true false true ADDRESS_MAP data_master_high_performance java.lang.String false true false true ADDRESS_MAP instruction_master_high_performance long 27000000 false true false true CLOCK_RATE clk java.lang.String CYCLONEIVE false true false true DEVICE_FAMILY long 31 false true false true INTERRUPTS_USED irq java.lang.String ]]> false true false true CUSTOM_INSTRUCTION_SLAVES custom_instruction_master java.lang.String ]]> false true false true CUSTOM_INSTRUCTION_SLAVES custom_instruction_master_a java.lang.String ]]> false true false true CUSTOM_INSTRUCTION_SLAVES custom_instruction_master_b java.lang.String ]]> false true false true CUSTOM_INSTRUCTION_SLAVES custom_instruction_master_c java.lang.String ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false true DEVICE_FEATURES java.lang.String EP4CE15E22C8 false true false true DEVICE java.lang.String 8 false true false true DEVICE_SPEEDGRADE java.lang.Integer 1 false true false true CLOCK_DOMAIN clk java.lang.Integer 1 false true false true RESET_DOMAIN clk java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n reset_req Input 1 reset_req debug.providesServices master com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true boolean true false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 32 false true false true int 0 false true true true int 0 false true true true int 0 false true true true int 1 false true true true boolean true false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true d_address Output 24 address d_byteenable Output 4 byteenable d_read Output 1 read d_readdata Input 32 readdata d_waitrequest Input 1 waitrequest d_write Output 1 write d_writedata Output 32 writedata debug_mem_slave_debugaccess_to_roms Output 1 debugaccess false jtag_uart_0 avalon_jtag_slave jtag_uart_0.avalon_jtag_slave 8524000 8 false i2c_opencores_0 avalon_slave_0 i2c_opencores_0.avalon_slave_0 8523872 32 false i2c_opencores_1 avalon_slave_0 i2c_opencores_1.avalon_slave_0 8523840 32 false epcq_controller_0 avl_csr epcq_controller_0.avl_csr 8523808 32 false epcq_controller_0 avl_mem epcq_controller_0.avl_mem 0 8388608 false nios2_qsys_0 debug_mem_slave nios2_qsys_0.debug_mem_slave 8521728 2048 false onchip_memory2_0 s1 onchip_memory2_0.s1 8454144 40960 false pio_0 s1 pio_0.s1 8523984 16 false pio_1 s1 pio_1.s1 8523968 16 false pio_2 s1 pio_2.s1 8523952 16 false pio_3 s1 pio_3.s1 8523936 16 false pio_4 s1 pio_4.s1 8523920 16 false timer_0 s1 timer_0.s1 8523776 32 false pio_5 s1 pio_5.s1 8523904 16 com.altera.entityinterfaces.IConnectionPoint false true false true int 1 false true false true com.altera.sopcmodel.avalon.EAddrBurstUnits SYMBOLS false true true true boolean true false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true boolean false false true false true boolean false false true true true boolean false false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true true true int 32 false true false true int 0 false true true true int 0 false true true true int 0 false true true true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon true i_address Output 24 address i_read Output 1 read i_readdata Input 32 readdata i_waitrequest Input 1 waitrequest false nios2_qsys_0 debug_mem_slave nios2_qsys_0.debug_mem_slave 8521728 2048 false onchip_memory2_0 s1 onchip_memory2_0.s1 8454144 40960 com.altera.entityinterfaces.IConnectionPoint nios2_qsys_0.data_master false true true true java.lang.String clk false true false true java.lang.String reset false true false true java.lang.String false true false true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme INDIVIDUAL_REQUESTS false true true true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt true irq Input 32 irq false i2c_opencores_0 interrupt_sender i2c_opencores_0.interrupt_sender 3 false epcq_controller_0 interrupt_sender epcq_controller_0.interrupt_sender 2 false i2c_opencores_1 interrupt_sender i2c_opencores_1.interrupt_sender 4 false jtag_uart_0 irq jtag_uart_0.irq 1 false timer_0 irq timer_0.irq 0 java.lang.String clk false true true true java.lang.String false true true true [Ljava.lang.String; none false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset true debug_reset_request Output 1 reset embeddedsw.configuration.hideDevice 1 qsys.ui.connect instruction_master,data_master com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 2048 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger 0 false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false false true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false false true true boolean true false true false true boolean false false true false true int 0 false false true true com.altera.sopcmodel.avalon.TimingUnits Cycles false false true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false false true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false debug_mem_slave_address Input 9 address debug_mem_slave_byteenable Input 4 byteenable debug_mem_slave_debugaccess Input 1 debugaccess debug_mem_slave_read Input 1 read debug_mem_slave_readdata Output 32 readdata debug_mem_slave_waitrequest Output 1 waitrequest debug_mem_slave_write Input 1 write debug_mem_slave_writedata Input 32 writedata java.lang.String true true false true int 8 false true false true int 0 false false true true boolean false false true false true int 8 false true false true int 0 true true false true boolean true false true false true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction true E_ci_multi_done Input 1 done E_ci_multi_clk_en Output 1 clk_en E_ci_multi_start Output 1 start E_ci_result Input 32 result D_ci_a Output 5 a D_ci_b Output 5 b D_ci_c Output 5 c D_ci_n Output 8 n D_ci_readra Output 1 readra D_ci_readrb Output 1 readrb D_ci_writerc Output 1 writerc E_ci_dataa Output 32 dataa E_ci_datab Output 32 datab E_ci_multi_clock Output 1 clk E_ci_multi_reset Output 1 reset E_ci_multi_reset_req Output 1 reset_req W_ci_estatus Output 1 estatus W_ci_ipending Output 32 ipending false nios2_hw_crc32_0 nios_custom_instruction_slave nios2_hw_crc32_0.nios_custom_instruction_slave 0 nios2_hw_crc32_0 false nios_custom_instr_endianconverter_0 s1 nios_custom_instr_endianconverter_0.s1 8 nios_custom_instr_endianconverter_0 false nios_custom_instr_bitswap_0 s1 nios_custom_instr_bitswap_0.s1 9 nios_custom_instr_bitswap_0 java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.String true true false true int 0 true true false true int 1 false false true true com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType COMBINATORIAL true true true true int 0 true true false true boolean false false true false true int 0 false true false true int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction false dataa Input 32 dataa datab Input 32 datab result Output 32 result java.lang.String CYCLONEIVE false true false true DEVICE_FAMILY java.lang.String Cyclone IV E false true false true DEVICE_FAMILY boolean false false true true true java.lang.String true true false true int 0 true true false true int 1 false false true true com.altera.sopcmodel.custominstruction.CustomInstruction$ClockCycleType COMBINATORIAL true true true true int 0 true true false true boolean false false true false true int 0 false true false true int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios_custom_instruction false dataa Input 32 dataa datab Input 32 datab result Output 32 result embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR 0 embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE 0 embeddedsw.CMacro.CONTENTS_INFO "" embeddedsw.CMacro.DUAL_PORT 0 embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE AUTO embeddedsw.CMacro.INIT_CONTENTS_FILE sys_onchip_memory2_0 embeddedsw.CMacro.INIT_MEM_CONTENT 1 embeddedsw.CMacro.INSTANCE_ID NONE embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED 0 embeddedsw.CMacro.RAM_BLOCK_TYPE AUTO embeddedsw.CMacro.READ_DURING_WRITE_MODE DONT_CARE embeddedsw.CMacro.SINGLE_CLOCK_OP 0 embeddedsw.CMacro.SIZE_MULTIPLE 1 embeddedsw.CMacro.SIZE_VALUE 40960 embeddedsw.CMacro.WRITABLE 1 embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR SIM_DIR embeddedsw.memoryInfo.GENERATE_DAT_SYM 1 embeddedsw.memoryInfo.GENERATE_HEX 1 embeddedsw.memoryInfo.HAS_BYTE_LANE 0 embeddedsw.memoryInfo.HEX_INSTALL_DIR QPF_DIR embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH 32 embeddedsw.memoryInfo.MEM_INIT_FILENAME sys_onchip_memory2_0 postgeneration.simulation.init_file.param_name INIT_FILE postgeneration.simulation.init_file.type MEM_INIT boolean false false true true true java.lang.String AUTO false true true true int 32 false true true true int 32 false true false true boolean false false true true true boolean false false false true true boolean false true true false true boolean true false true true true java.lang.String onchip_mem.hex false false true true boolean false false true true true java.lang.String NONE false false true true long 40960 false true true true java.lang.String DONT_CARE false false true true boolean false false true false true int 0 false true false true boolean false false false true true boolean false true true false true int 1 false true true true int 1 false false true true boolean false false true true true boolean false false false false true boolean false false false true true boolean true false true true true boolean false false true true true boolean true false true true true java.lang.String sys_onchip_memory2_0 false true false true UNIQUE_ID java.lang.String CYCLONEIVE false true false true DEVICE_FAMILY java.lang.String ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 1 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 0 FPP_COMPLETELY_PLACES_AND_ROUTES_PERIPHERY 0 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 0 HARDCOPY 0 HAS_18_BIT_MULTS 0 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 0 HAS_ADVANCED_IO_INVERTED_CORNER 0 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 0 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 0 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 0 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 1 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 1 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 0 HAS_ERROR_DETECTION_SUPPORT 0 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 0 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 0 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_IDB_SUPPORT 1 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 0 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_PLACEMENT_USING_GEOMETRY_RULE 0 HAS_IO_PLACEMENT_USING_PHYSIC_RULE 0 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NADDER_STYLE_CLOCKING 0 HAS_NADDER_STYLE_FF 0 HAS_NADDER_STYLE_LCELL_COMB 0 HAS_NEW_CDB_NAME_FOR_M20K_SCLR 0 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PDN_MODEL_STATUS 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 0 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_BINNING_LIMITS_DATA 0 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 0 HAS_PVA_SUPPORT 1 HAS_QUARTUS_HIERARCHICAL_DESIGN_SUPPORT 0 HAS_RAPID_RECOMPILE_SUPPORT 0 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTHESIS_ON_ATOMS 0 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 0 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 1 HAS_VCCPD_POWER_RAIL 0 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO2_WRITER_SUPPORT 0 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 0 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_BARE_DIE 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_FOR_INTERNAL_TESTING_ONLY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 IS_SMI_PART 0 LOAD_BLK_TYPE_DATA_FROM_ATOM_WYS_INFO 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 0 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_ONLY 0 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 REQUIRE_QUARTUS_HIERARCHICAL_DESIGN 0 REQUIRE_SPECIAL_HANDLING_FOR_LOCAL_LABLINE 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RESTRICT_PARTIAL_RECONFIG 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 0 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 0 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_LICENSE_FREE_PARTIAL_RECONFIG 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 0 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 SUPPORTS_VID 0 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 0 TRANSCEIVER_6G_BLOCK 0 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_PART_INFO_FOR_DISPLAYING_CORE_VOLTAGE_VALUE 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_SECOND_GENERATION_PART_INFO 0 USES_SECOND_GENERATION_POWER_ANALYZER 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 0 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1 false true false true DEVICE_FEATURES int 14 true true false true int 14 true true false true int 32 true true false true int 32 true true false true java.lang.String Automatic true true false true boolean false true true false true java.lang.String sys_onchip_memory2_0.hex true true false true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true clock false clk Input 1 clk embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 1 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment DYNAMIC false true false true int 0 false true false true java.math.BigInteger 40960 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk1 false true true true java.lang.String reset1 false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 40960 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean true false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 1 false true true true int 0 false true false true int 0 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 14 address clken Input 1 clken chipselect Input 1 chipselect write Input 1 write readdata Output 32 readdata writedata Input 32 writedata byteenable Input 4 byteenable java.lang.String clk1 false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset Input 1 reset reset_req Input 1 reset_req embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 8 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 27000000 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 8 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false true true true boolean false false false true true java.lang.String Output false true true true java.lang.String RISING false false true true boolean false false false true true java.lang.String LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 8 false true true true long 27000000 false true false true CLOCK_RATE clk boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address write_n Input 1 write_n writedata Input 32 writedata chipselect Input 1 chipselect readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 8 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 32 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 27000000 embeddedsw.CMacro.HAS_IN 1 embeddedsw.CMacro.HAS_OUT 0 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 32 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false false true true boolean false false true true true java.lang.String Input false true true true java.lang.String RISING false false true true boolean false false true true true java.lang.String LEVEL false false true true long 0 false false true true boolean false false true true true long 0 false false true true int 32 false true true true long 27000000 false true false true CLOCK_RATE clk boolean false true true false true boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false in_port Input 32 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 32 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 27000000 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 32 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false true true true boolean false false false true true java.lang.String Output false true true true java.lang.String RISING false false true true boolean false false false true true java.lang.String LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 32 false true true true long 27000000 false true false true CLOCK_RATE clk boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address write_n Input 1 write_n writedata Input 32 writedata chipselect Input 1 chipselect readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 32 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 32 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 27000000 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 32 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false true true true boolean false false false true true java.lang.String Output false true true true java.lang.String RISING false false true true boolean false false false true true java.lang.String LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 32 false true true true long 27000000 false true false true CLOCK_RATE clk boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address write_n Input 1 write_n writedata Input 32 writedata chipselect Input 1 chipselect readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 32 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 32 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 27000000 embeddedsw.CMacro.HAS_IN 1 embeddedsw.CMacro.HAS_OUT 0 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 32 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false false true true boolean false false true true true java.lang.String Input false true true true java.lang.String RISING false false true true boolean false false true true true java.lang.String LEVEL false false true true long 0 false false true true boolean false false true true true long 0 false false true true int 32 false true true true long 27000000 false true false true CLOCK_RATE clk boolean false true true false true boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false in_port Input 32 export embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER 0 embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER 0 embeddedsw.CMacro.CAPTURE 0 embeddedsw.CMacro.DATA_WIDTH 32 embeddedsw.CMacro.DO_TEST_BENCH_WIRING 0 embeddedsw.CMacro.DRIVEN_SIM_VALUE 0 embeddedsw.CMacro.EDGE_TYPE NONE embeddedsw.CMacro.FREQ 27000000 embeddedsw.CMacro.HAS_IN 0 embeddedsw.CMacro.HAS_OUT 1 embeddedsw.CMacro.HAS_TRI 0 embeddedsw.CMacro.IRQ_TYPE NONE embeddedsw.CMacro.RESET_VALUE 0 embeddedsw.dts.compatible altr,pio-1.0 embeddedsw.dts.group gpio embeddedsw.dts.name pio embeddedsw.dts.params.altr,gpio-bank-width 32 embeddedsw.dts.params.resetvalue 0 embeddedsw.dts.vendor altr boolean false false false true true boolean false false true true true boolean false false false true true java.lang.String Output false true true true java.lang.String RISING false false true true boolean false false false true true java.lang.String LEVEL false false true true long 0 false true true true boolean false false false true true long 0 false false true true int 32 false true true true long 27000000 false true false true CLOCK_RATE clk boolean false true true false true boolean true true true false true boolean false true true false true boolean false true true false true boolean false true true false true java.lang.String NONE true true false true java.lang.String NONE true true false true boolean false true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 4 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 2 address write_n Input 1 write_n writedata Input 32 writedata chipselect Input 1 chipselect readdata Output 32 readdata java.lang.String false true true true java.lang.String false true true true java.lang.String UNKNOWN false true true true boolean false false true true true conduit false out_port Output 32 export embeddedsw.CMacro.ALWAYS_RUN 0 embeddedsw.CMacro.COUNTER_SIZE 32 embeddedsw.CMacro.FIXED_PERIOD 0 embeddedsw.CMacro.FREQ 27000000 embeddedsw.CMacro.LOAD_VALUE 26 embeddedsw.CMacro.MULT 0.000001 embeddedsw.CMacro.PERIOD 1 embeddedsw.CMacro.PERIOD_UNITS us embeddedsw.CMacro.RESET_OUTPUT 0 embeddedsw.CMacro.SNAPSHOT 1 embeddedsw.CMacro.TICKS_PER_SEC 1000000 embeddedsw.CMacro.TIMEOUT_PULSE_OUTPUT 0 embeddedsw.dts.compatible altr,timer-1.0 embeddedsw.dts.group timer embeddedsw.dts.name timer embeddedsw.dts.params.clock-frequency 27000000 embeddedsw.dts.vendor altr boolean false false true true true int 32 false true true true boolean false false true true true java.lang.String 1 false true true true java.lang.String USEC false true true true boolean false false true true true boolean true false true true true boolean false false true true true long 27000000 false true false true CLOCK_RATE clk int 2 false true false true java.lang.String FULL_FEATURED true true false true java.lang.String us true true false true double 1.0E-6 true true false true java.lang.String 26 true true false true double 1.0E-6 true true false true double 1000000.0 true true false true int 3 true true false true java.lang.String UNKNOWN false true true true boolean false false true true true boolean false false true false true java.lang.String false true false true java.lang.String UNKNOWN false true true true boolean false false true true true java.lang.Boolean true true true false true java.lang.Long 27000000 true true false true clock false clk Input 1 clk java.lang.String clk false true true true com.altera.sopcmodel.reset.Reset$Edges DEASSERT false true true true java.lang.String UNKNOWN false true true true boolean false false true true true reset false reset_n Input 1 reset_n embeddedsw.configuration.isFlash 0 embeddedsw.configuration.isMemoryDevice 0 embeddedsw.configuration.isNonVolatileStorage 0 embeddedsw.configuration.isPrintableDevice 0 embeddedsw.configuration.isTimerDevice 1 com.altera.sopcmodel.avalon.AvalonConnectionPoint$AddressAlignment NATIVE false true false true int 0 false true false true java.math.BigInteger 8 true true false true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.lang.String clk false true true true java.lang.String reset false true true true int 8 false true true true java.math.BigInteger false true false true com.altera.entityinterfaces.IConnectionPoint false true false true boolean false false true true true com.altera.sopcmodel.avalon.EAddrBurstUnits WORDS false true true true boolean false false true false true java.math.BigInteger 0 false true true true int 0 false true true true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true false true boolean false false true true true int 0 false false true true int 0 false false true true int 1 false true false true boolean false false true false true int 0 false true true true int 1 false true false true int 1 false true true true boolean false false true false true boolean false false true false true int 0 false true true true com.altera.sopcmodel.avalon.TimingUnits Cycles false true true true boolean false false true false true boolean false false true false true int 0 false true false true int 0 false true false true int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true avalon false address Input 3 address writedata Input 16 writedata readdata Output 16 readdata chipselect Input 1 chipselect write_n Input 1 write_n com.altera.entityinterfaces.IConnectionPoint timer_0.s1 false true true true java.lang.String clk false true false true java.lang.String reset false true false true java.lang.Integer false true true true com.altera.entityinterfaces.IConnectionPoint false true true true com.altera.sopcmodel.interrupt.InterruptConnectionPoint$EIrqScheme NONE false true false true java.lang.String UNKNOWN false true true true boolean false false true true true interrupt false irq Output 1 irq int 1 false true true true java.math.BigInteger 0x008210e0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master jtag_uart_0 avalon_jtag_slave int 1 false true true true java.math.BigInteger 0x00821060 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master i2c_opencores_0 avalon_slave_0 int 1 false true true true java.math.BigInteger 0x00821040 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master i2c_opencores_1 avalon_slave_0 int 1 false true true true java.math.BigInteger 0x00821020 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master epcq_controller_0 avl_csr int 1 false true true true java.math.BigInteger 0x0000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master epcq_controller_0 avl_mem int 1 false true true true java.math.BigInteger 0x00820800 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master nios2_qsys_0 debug_mem_slave int 1 false true true true java.math.BigInteger 0x00810000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master onchip_memory2_0 s1 int 1 false true true true java.math.BigInteger 0x008210d0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master pio_0 s1 int 1 false true true true java.math.BigInteger 0x008210c0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master pio_1 s1 int 1 false true true true java.math.BigInteger 0x008210b0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master pio_2 s1 int 1 false true true true java.math.BigInteger 0x008210a0 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master pio_3 s1 int 1 false true true true java.math.BigInteger 0x00821090 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master pio_4 s1 int 1 false true true true java.math.BigInteger 0x00821000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master timer_0 s1 int 1 false true true true java.math.BigInteger 0x00821080 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 data_master pio_5 s1 int 1 false true true true java.math.BigInteger 0x00820800 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 instruction_master nios2_qsys_0 debug_mem_slave int 1 false true true true java.math.BigInteger 0x00810000 false true true true boolean false false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 instruction_master onchip_memory2_0 s1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk nios2_qsys_0 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk jtag_uart_0 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk pio_0 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk pio_1 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk pio_2 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk pio_3 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk pio_4 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk timer_0 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk pio_5 clk java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk onchip_memory2_0 clk1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk i2c_opencores_0 clock java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk i2c_opencores_1 clock java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk epcq_controller_0 clock_sink int 3 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 irq i2c_opencores_0 interrupt_sender int 2 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 irq epcq_controller_0 interrupt_sender int 4 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 irq i2c_opencores_1 interrupt_sender int 1 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 irq jtag_uart_0 irq int 0 false true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 irq timer_0 irq java.lang.String nios2_hw_crc32_0 false true true true java.lang.String true true true true int 1 false true false true long 0 false true true true int -1 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 custom_instruction_master nios2_hw_crc32_0 nios_custom_instruction_slave java.lang.String nios_custom_instr_endianconverter_0 false true true true java.lang.String true true true true int 1 false true false true long 8 false true true true int -1 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 custom_instruction_master nios_custom_instr_endianconverter_0 s1 java.lang.String nios_custom_instr_bitswap_0 false true true true java.lang.String true true true true int 1 false true false true long 9 false true true true int -1 true true true true java.lang.String UNKNOWN false true true true boolean false false true true true nios2_qsys_0 custom_instruction_master nios_custom_instr_bitswap_0 s1 java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset i2c_opencores_0 clock_reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset i2c_opencores_1 clock_reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset nios2_qsys_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset jtag_uart_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset pio_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset pio_1 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset pio_2 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset pio_3 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset pio_4 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset epcq_controller_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset timer_0 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset pio_5 reset java.lang.String UNKNOWN false true true true boolean false false true true true clk_27 clk_reset onchip_memory2_0 reset1 1 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Clock Source 16.1 1 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input 16.1 1 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input 16.1 1 clock_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Output 16.1 1 reset_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output 16.1 1 altera_epcq_controller_mod com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Altera Serial Flash Controller 16.1 13 clock_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Clock Input 16.1 13 reset_sink com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Input 16.1 14 avalon_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Slave 16.1 5 interrupt_sender com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Sender 16.1 2 i2c_opencores com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule I2C Master (opencores.org) 16.1 8 conduit_end com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Conduit 16.1 1 altera_avalon_jtag_uart com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule JTAG UART 16.1 1 nios2_hw_crc32 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule nios2_hw_crc32 16.1 3 nios_custom_instruction_slave com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Slave 16.1 1 altera_nios2_gen2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Nios II Processor 16.1 2 avalon_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Avalon Memory Mapped Master 16.1 1 interrupt_receiver com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Interrupt Receiver 16.1 1 reset_source com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Reset Output 16.1 1 nios_custom_instruction_master com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IMutableConnectionPoint Custom Instruction Master 16.1 1 altera_nios_custom_instr_bitswap com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Bitswap 16.1 1 altera_nios_custom_instr_endianconverter com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Endian Converter 16.1 1 altera_avalon_onchip_memory2 com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule On-Chip Memory (RAM or ROM) 16.1 6 altera_avalon_pio com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule PIO (Parallel I/O) 16.1 1 altera_avalon_timer com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IModule Interval Timer 16.1 16 avalon com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Avalon Memory Mapped Connection 16.1 13 clock com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Clock Connection 16.1 5 interrupt com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Interrupt Connection 16.1 3 nios_custom_instruction com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Nios II Custom Instruction Connection 16.1 13 reset com.altera.entityinterfaces.IElementClass com.altera.entityinterfaces.IConnection Reset Connection 16.1 16.1 196