# (C) 2001-2015 Altera Corporation. All rights reserved. # Your use of Altera Corporation's design tools, logic functions and other # software and tools, and its AMPP partner logic functions, and any output # files any of the foregoing (including device programming or simulation # files), and any associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License Subscription # Agreement, Altera MegaCore Function License Agreement, or other applicable # license agreement, including, without limitation, that your use is for the # sole purpose of programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the applicable # agreement for further details. # TCL File Generated by Component Editor 8.0 # Mon Dec 22 17:22:07 EST 2008 # DO NOT MODIFY set aup_version 15.1 # +----------------------------------- # | # | Altera_UP_SD_Card_Avalon_Interface "Altera_UP_SD_Card_Avalon_Interface" v1.0 # | null 2008.12.22.17:22:07 # | A module that allows communication with an SD Card # | # | ./hdl/Altera_UP_SD_Card_Avalon_Interface.vhd syn # | ./hdl/Altera_UP_SD_Card_48_bit_Command_Generator.vhd syn # | ./hdl/Altera_UP_SD_Card_Buffer.vhd syn # | ./hdl/Altera_UP_SD_Card_Clock.vhd syn # | ./hdl/Altera_UP_SD_Card_Control_FSM.vhd syn # | ./hdl/Altera_UP_SD_Card_Interface.vhd syn # | ./hdl/Altera_UP_SD_Card_Memory_Block.qip syn # | ./hdl/Altera_UP_SD_Card_Response_Receiver.vhd syn # | ./hdl/Altera_UP_SD_CRC16_Generator.vhd syn # | ./hdl/Altera_UP_SD_CRC7_Generator.vhd syn # | ./hdl/Altera_UP_SD_Signal_Trigger.vhd syn # | # +----------------------------------- # +----------------------------------- # | module Altera_UP_SD_Card_Avalon_Interface # | set_module_property DESCRIPTION "A module that allows communication with an SD Card" set_module_property NAME Altera_UP_SD_Card_Avalon_Interface_mod set_module_property VERSION $aup_version set_module_property GROUP "Memory" set_module_property DISPLAY_NAME "SD Card Interface" set_module_property DATASHEET_URL "[pwd]/doc/SD_Card_Interface_for_SoPC_Builder.pdf" set_module_property LIBRARIES {ieee.std_logic_1164.all ieee.std_logic_arith.all ieee.std_logic_unsigned.all std.standard.all} set_module_property TOP_LEVEL_HDL_FILE "hdl/Altera_UP_SD_Card_Avalon_Interface.vhd" set_module_property TOP_LEVEL_HDL_MODULE Altera_UP_SD_Card_Avalon_Interface set_module_property INSTANTIATE_IN_SYSTEM_MODULE true set_module_property EDITABLE false #set_module_property ANALYZE_HDL false set_module_property SIMULATION_MODEL_IN_VERILOG false set_module_property SIMULATION_MODEL_IN_VHDL false set_module_property SIMULATION_MODEL_HAS_TULIPS false set_module_property SIMULATION_MODEL_IS_OBFUSCATED false # | # +----------------------------------- # +----------------------------------- # | files # | add_file hdl/Altera_UP_SD_Card_Avalon_Interface.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_Card_48_bit_Command_Generator.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_Card_Buffer.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_Card_Clock.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_Card_Control_FSM.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_Card_Interface.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_Card_Response_Receiver.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_CRC16_Generator.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_CRC7_Generator.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_Signal_Trigger.vhd {SYNTHESIS} add_file hdl/Altera_UP_SD_Card_Memory_Block.vhd {SYNTHESIS} # | # +----------------------------------- # +----------------------------------- # | parameters # | # | # +----------------------------------- # +----------------------------------- # | connection point avalon_sdcard_slave # | add_interface avalon_sdcard_slave avalon end set_interface_property avalon_sdcard_slave holdTime 0 set_interface_property avalon_sdcard_slave linewrapBursts false set_interface_property avalon_sdcard_slave minimumUninterruptedRunLength 1 set_interface_property avalon_sdcard_slave bridgesToMaster "" set_interface_property avalon_sdcard_slave isMemoryDevice false set_interface_property avalon_sdcard_slave burstOnBurstBoundariesOnly false set_interface_property avalon_sdcard_slave addressSpan 1024 set_interface_property avalon_sdcard_slave timingUnits Cycles set_interface_property avalon_sdcard_slave setupTime 0 set_interface_property avalon_sdcard_slave writeWaitTime 0 set_interface_property avalon_sdcard_slave isNonVolatileStorage false set_interface_property avalon_sdcard_slave addressAlignment DYNAMIC set_interface_property avalon_sdcard_slave maximumPendingReadTransactions 0 set_interface_property avalon_sdcard_slave readWaitTime 1 set_interface_property avalon_sdcard_slave readLatency 0 set_interface_property avalon_sdcard_slave printableDevice false set_interface_property avalon_sdcard_slave associatedClock clk set_interface_property avalon_sdcard_slave associatedReset reset add_interface_port avalon_sdcard_slave i_avalon_chip_select chipselect Input 1 add_interface_port avalon_sdcard_slave i_avalon_address address Input 8 add_interface_port avalon_sdcard_slave i_avalon_read read Input 1 add_interface_port avalon_sdcard_slave i_avalon_write write Input 1 add_interface_port avalon_sdcard_slave i_avalon_byteenable byteenable Input 4 add_interface_port avalon_sdcard_slave i_avalon_writedata writedata Input 32 add_interface_port avalon_sdcard_slave o_avalon_readdata readdata Output 32 add_interface_port avalon_sdcard_slave o_avalon_waitrequest waitrequest Output 1 # | # +----------------------------------- # +----------------------------------- # | connection point clk # | add_interface clk clock end set_interface_property clk enabled true add_interface_port clk i_clock clk Input 1 # | # +----------------------------------- # +----------------------------------- # | connection point reset # | add_interface reset reset end set_interface_property reset associatedClock clk set_interface_property reset enabled true set_interface_property reset synchronousEdges DEASSERT add_interface_port reset i_reset_n reset_n Input 1 # | # +----------------------------------- # +----------------------------------- # | connection point conduit_end # | add_interface conduit_end conduit end add_interface_port conduit_end b_SD_cmd export Bidir 1 add_interface_port conduit_end b_SD_dat export Bidir 1 add_interface_port conduit_end b_SD_dat3 export Bidir 1 add_interface_port conduit_end o_SD_clock export Output 1 # | # +----------------------------------- ## Add documentation links for user guide and/or release notes add_documentation_link "User Guide" file:///ip/altera/university_program/memory/altera_up_sd_card_avalon_interface/doc/SD_Card_Interface_for_SoPC_Builder.pdf add_documentation_link "Release Notes" https://documentation.altera.com/#/link/hco1421698042087/hco1421698013408