1
0
mirror of https://github.com/marqs85/ossc.git synced 2024-06-02 08:41:28 +00:00
ossc/rtl/timescale.v
2016-02-23 01:03:50 +02:00

3 lines
23 B
Verilog