#Start recording tcl command: 8/6/2019 19:55:29 #Project Location: C:/Dev/Apple1Display; Project name: Apple1Display prj_project open "C:/Dev/Apple1Display/Apple1Display.ldf" prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_strgy set "Area" prj_run Export -impl impl1 -task Bitgen -forceAll prj_strgy set_value -strategy Strategy1 lse_opt_goal=Balanced prj_strgy set "Strategy1" prj_run Export -impl impl1 -task Bitgen -forceAll launch_synplify_prj impl1 prj_src add "C:/Dev/Apple1Display/ttl/ne555.vhd" prj_run Export -impl impl1 -task Bitgen launch_synplify_prj impl1 prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen -forceAll prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen prj_run Export -impl impl1 -task Bitgen #Stop recording: 8/7/2019 23:06:35