Apple1Display/impl1/impl1.edi

9049 lines
390 KiB
Plaintext

(edif FleaFPGA_Uno_E1
(edifVersion 2 0 0)
(edifLevel 0)
(keywordMap (keywordLevel 0))
(status
(written
(timeStamp 2019 8 8 18 40 16)
(author "Synopsys, Inc.")
(program "Synplify Pro" (version "M-2017.03L-SP1-1, mapper maplat, Build 1796R"))
)
)
(library LUCENT
(edifLevel 0)
(technology (numberDefinition ))
(cell ADD_model (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port B (direction INPUT))
(port CI (direction INPUT))
(port CO (direction OUTPUT))
(port S (direction OUTPUT))
)
)
)
(cell ROM16X1A (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port AD0 (direction INPUT))
(port AD1 (direction INPUT))
(port AD2 (direction INPUT))
(port AD3 (direction INPUT))
(port DO0 (direction OUTPUT))
)
)
)
(cell CCU2D (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A0 (direction INPUT))
(port B0 (direction INPUT))
(port C0 (direction INPUT))
(port D0 (direction INPUT))
(port A1 (direction INPUT))
(port B1 (direction INPUT))
(port C1 (direction INPUT))
(port D1 (direction INPUT))
(port CIN (direction INPUT))
(port COUT (direction OUTPUT))
(port S0 (direction OUTPUT))
(port S1 (direction OUTPUT))
)
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0000"))
(property INIT0 (string "0000"))
)
)
(cell DP8KC (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port DOA0 (direction OUTPUT))
(port DOA1 (direction OUTPUT))
(port DOA2 (direction OUTPUT))
(port DOA3 (direction OUTPUT))
(port DOA4 (direction OUTPUT))
(port DOA5 (direction OUTPUT))
(port DOA6 (direction OUTPUT))
(port DOA7 (direction OUTPUT))
(port DOA8 (direction OUTPUT))
(port DOB0 (direction OUTPUT))
(port DOB1 (direction OUTPUT))
(port DOB2 (direction OUTPUT))
(port DOB3 (direction OUTPUT))
(port DOB4 (direction OUTPUT))
(port DOB5 (direction OUTPUT))
(port DOB6 (direction OUTPUT))
(port DOB7 (direction OUTPUT))
(port DOB8 (direction OUTPUT))
(port DIA0 (direction INPUT))
(port DIA1 (direction INPUT))
(port DIA2 (direction INPUT))
(port DIA3 (direction INPUT))
(port DIA4 (direction INPUT))
(port DIA5 (direction INPUT))
(port DIA6 (direction INPUT))
(port DIA7 (direction INPUT))
(port DIA8 (direction INPUT))
(port DIB0 (direction INPUT))
(port DIB1 (direction INPUT))
(port DIB2 (direction INPUT))
(port DIB3 (direction INPUT))
(port DIB4 (direction INPUT))
(port DIB5 (direction INPUT))
(port DIB6 (direction INPUT))
(port DIB7 (direction INPUT))
(port DIB8 (direction INPUT))
(port ADA0 (direction INPUT))
(port ADA1 (direction INPUT))
(port ADA2 (direction INPUT))
(port ADA3 (direction INPUT))
(port ADA4 (direction INPUT))
(port ADA5 (direction INPUT))
(port ADA6 (direction INPUT))
(port ADA7 (direction INPUT))
(port ADA8 (direction INPUT))
(port ADA9 (direction INPUT))
(port ADA10 (direction INPUT))
(port ADA11 (direction INPUT))
(port ADA12 (direction INPUT))
(port ADB0 (direction INPUT))
(port ADB1 (direction INPUT))
(port ADB2 (direction INPUT))
(port ADB3 (direction INPUT))
(port ADB4 (direction INPUT))
(port ADB5 (direction INPUT))
(port ADB6 (direction INPUT))
(port ADB7 (direction INPUT))
(port ADB8 (direction INPUT))
(port ADB9 (direction INPUT))
(port ADB10 (direction INPUT))
(port ADB11 (direction INPUT))
(port ADB12 (direction INPUT))
(port WEA (direction INPUT))
(port WEB (direction INPUT))
(port RSTA (direction INPUT))
(port RSTB (direction INPUT))
(port CSA0 (direction INPUT))
(port CSA1 (direction INPUT))
(port CSA2 (direction INPUT))
(port CSB0 (direction INPUT))
(port CSB1 (direction INPUT))
(port CSB2 (direction INPUT))
(port CLKA (direction INPUT))
(port CLKB (direction INPUT))
(port CEA (direction INPUT))
(port CEB (direction INPUT))
(port OCEA (direction INPUT))
(port OCEB (direction INPUT))
)
(property INITVAL_1F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_19 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_18 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_17 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_16 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_15 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_14 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_13 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_12 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_11 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_10 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_09 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_08 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_07 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_06 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_05 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_04 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_03 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_02 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_01 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_00 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property GSR (string "DISABLED"))
(property WRITEMODE_B (string "NORMAL"))
(property WRITEMODE_A (string "NORMAL"))
(property RESETMODE (string "SYNC"))
(property REGMODE_B (string "NOREG"))
(property REGMODE_A (string "NOREG"))
(property DATA_WIDTH_B (integer 9))
(property DATA_WIDTH_A (integer 9))
)
)
(cell CU2 (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port CI (direction INPUT))
(port PC0 (direction INPUT))
(port PC1 (direction INPUT))
(port CO (direction OUTPUT))
(port NC0 (direction OUTPUT))
(port NC1 (direction OUTPUT))
)
)
)
(cell OB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port I (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell IB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port I (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell FD1S3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1S3AX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3JX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port PD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3DX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell IFS1P3DX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3AX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FADD2B (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A0 (direction INPUT))
(port A1 (direction INPUT))
(port B0 (direction INPUT))
(port B1 (direction INPUT))
(port CI (direction INPUT))
(port COUT (direction OUTPUT))
(port S0 (direction OUTPUT))
(port S1 (direction OUTPUT))
)
)
)
(cell OR2 (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port B (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell ORCALUT4 (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port B (direction INPUT))
(port C (direction INPUT))
(port D (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell PFUMX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port ALUT (direction INPUT))
(port BLUT (direction INPUT))
(port C0 (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell GSR (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port GSR (direction INPUT))
)
)
)
(cell INV (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell VHI (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port Z (direction OUTPUT))
)
)
)
(cell VLO (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port Z (direction OUTPUT))
)
)
)
)
(library work
(edifLevel 0)
(technology (numberDefinition ))
(cell ShiftReg40 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename input "input(5:0)") 6) (direction INPUT))
(port (array (rename screen_char "screen_char(5:0)") 6) (direction OUTPUT))
(port line_clock (direction INPUT))
)
(contents
(instance INV_3 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance INV_2 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance OR2_t0 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT)))
)
(instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance sram_1_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 9))
(property DATA_WIDTH_B (integer 9))
(property REGMODE_A (string "NOREG"))
(property REGMODE_B (string "NOREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b111"))
(property WRITEMODE_A (string "READBEFOREWRITE"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "ASYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INITVAL_00 (string "0x0401F03C1D0381B034190301702C1502813024110200F01C0D0180B014090100700C050080300401"))
(property INITVAL_01 (string "0x0000000000000000000000000000000000000000000000000000000000000002704C250482304421"))
(property INITVAL_02 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_03 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_04 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_05 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_06 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_07 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_08 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_09 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_0F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_10 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_11 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_12 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_13 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_14 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_15 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_16 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_17 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_18 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_19 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string "lut_2519.mem"))
(property MEM_LPC_FILE (string "ShiftReg40.lpc"))
)
(instance FF_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance sreg_0_ctr_1_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net shreg_addr_w0 (joined
(portRef Q (instanceRef FF_5))
(portRef PC0 (instanceRef sreg_0_ctr_1_0))
(portRef ADA3 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_3))
))
(net shreg_addr_w0_inv (joined
(portRef Z (instanceRef INV_3))
(portRef AD3 (instanceRef LUT4_1))
))
(net shreg_addr_w3 (joined
(portRef Q (instanceRef FF_2))
(portRef PC1 (instanceRef sreg_0_ctr_1_1))
(portRef ADA6 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_2))
))
(net shreg_addr_w3_inv (joined
(portRef Z (instanceRef INV_2))
(portRef AD0 (instanceRef LUT4_1))
))
(net shreg_addr_w4 (joined
(portRef Q (instanceRef FF_1))
(portRef PC0 (instanceRef sreg_0_ctr_1_2))
(portRef ADA7 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_1))
))
(net shreg_addr_w4_inv (joined
(portRef Z (instanceRef INV_1))
(portRef AD2 (instanceRef LUT4_0))
))
(net shreg_addr_w2 (joined
(portRef Q (instanceRef FF_3))
(portRef PC0 (instanceRef sreg_0_ctr_1_1))
(portRef ADA5 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_1))
))
(net shreg_addr_w1 (joined
(portRef Q (instanceRef FF_4))
(portRef PC1 (instanceRef sreg_0_ctr_1_0))
(portRef ADA4 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_1))
))
(net func_and_inet (joined
(portRef DO0 (instanceRef LUT4_1))
(portRef AD3 (instanceRef LUT4_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B1 (instanceRef sreg_0_ctr_1_cia))
(portRef A1 (instanceRef sreg_0_ctr_1_cia))
(portRef SP (instanceRef FF_0))
(portRef SP (instanceRef FF_1))
(portRef SP (instanceRef FF_2))
(portRef SP (instanceRef FF_3))
(portRef SP (instanceRef FF_4))
(portRef SP (instanceRef FF_5))
(portRef OCEB (instanceRef sram_1_0_0_0))
(portRef OCEA (instanceRef sram_1_0_0_0))
(portRef CEB (instanceRef sram_1_0_0_0))
(portRef CEA (instanceRef sram_1_0_0_0))
(portRef ADA0 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_0))
))
(net shreg_addr_w5 (joined
(portRef Q (instanceRef FF_0))
(portRef PC1 (instanceRef sreg_0_ctr_1_2))
(portRef ADA8 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_0))
))
(net dec0_r102 (joined
(portRef DO0 (instanceRef LUT4_0))
(portRef B (instanceRef OR2_t0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CI (instanceRef sreg_0_ctr_1_cia))
(portRef B0 (instanceRef sreg_0_ctr_1_cia))
(portRef A0 (instanceRef sreg_0_ctr_1_cia))
(portRef CLKB (instanceRef sram_1_0_0_0))
(portRef CSB2 (instanceRef sram_1_0_0_0))
(portRef CSB1 (instanceRef sram_1_0_0_0))
(portRef CSB0 (instanceRef sram_1_0_0_0))
(portRef CSA2 (instanceRef sram_1_0_0_0))
(portRef CSA1 (instanceRef sram_1_0_0_0))
(portRef CSA0 (instanceRef sram_1_0_0_0))
(portRef RSTB (instanceRef sram_1_0_0_0))
(portRef RSTA (instanceRef sram_1_0_0_0))
(portRef WEB (instanceRef sram_1_0_0_0))
(portRef ADB12 (instanceRef sram_1_0_0_0))
(portRef ADB11 (instanceRef sram_1_0_0_0))
(portRef ADB10 (instanceRef sram_1_0_0_0))
(portRef ADB9 (instanceRef sram_1_0_0_0))
(portRef ADB8 (instanceRef sram_1_0_0_0))
(portRef ADB7 (instanceRef sram_1_0_0_0))
(portRef ADB6 (instanceRef sram_1_0_0_0))
(portRef ADB5 (instanceRef sram_1_0_0_0))
(portRef ADB4 (instanceRef sram_1_0_0_0))
(portRef ADB3 (instanceRef sram_1_0_0_0))
(portRef ADB2 (instanceRef sram_1_0_0_0))
(portRef ADB1 (instanceRef sram_1_0_0_0))
(portRef ADB0 (instanceRef sram_1_0_0_0))
(portRef ADA12 (instanceRef sram_1_0_0_0))
(portRef ADA11 (instanceRef sram_1_0_0_0))
(portRef ADA10 (instanceRef sram_1_0_0_0))
(portRef ADA9 (instanceRef sram_1_0_0_0))
(portRef ADA2 (instanceRef sram_1_0_0_0))
(portRef ADA1 (instanceRef sram_1_0_0_0))
(portRef DIB8 (instanceRef sram_1_0_0_0))
(portRef DIB7 (instanceRef sram_1_0_0_0))
(portRef DIB6 (instanceRef sram_1_0_0_0))
(portRef DIB5 (instanceRef sram_1_0_0_0))
(portRef DIB4 (instanceRef sram_1_0_0_0))
(portRef DIB3 (instanceRef sram_1_0_0_0))
(portRef DIB2 (instanceRef sram_1_0_0_0))
(portRef DIB1 (instanceRef sram_1_0_0_0))
(portRef DIB0 (instanceRef sram_1_0_0_0))
(portRef DIA8 (instanceRef sram_1_0_0_0))
(portRef DIA7 (instanceRef sram_1_0_0_0))
(portRef DIA6 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_0))
(portRef A (instanceRef OR2_t0))
))
(net srrst_ctr (joined
(portRef Z (instanceRef OR2_t0))
(portRef CD (instanceRef FF_0))
(portRef CD (instanceRef FF_1))
(portRef CD (instanceRef FF_2))
(portRef CD (instanceRef FF_3))
(portRef CD (instanceRef FF_4))
(portRef CD (instanceRef FF_5))
))
(net Reset_inv (joined
(portRef Z (instanceRef INV_0))
(portRef WEA (instanceRef sram_1_0_0_0))
))
(net (rename screen_char_0 "screen_char[0]") (joined
(portRef DOA0 (instanceRef sram_1_0_0_0))
(portRef (member screen_char 5))
))
(net (rename screen_char_1 "screen_char[1]") (joined
(portRef DOA1 (instanceRef sram_1_0_0_0))
(portRef (member screen_char 4))
))
(net (rename screen_char_2 "screen_char[2]") (joined
(portRef DOA2 (instanceRef sram_1_0_0_0))
(portRef (member screen_char 3))
))
(net (rename screen_char_3 "screen_char[3]") (joined
(portRef DOA3 (instanceRef sram_1_0_0_0))
(portRef (member screen_char 2))
))
(net (rename screen_char_4 "screen_char[4]") (joined
(portRef DOA4 (instanceRef sram_1_0_0_0))
(portRef (member screen_char 1))
))
(net (rename screen_char_5 "screen_char[5]") (joined
(portRef DOA5 (instanceRef sram_1_0_0_0))
(portRef (member screen_char 0))
))
(net sram_1_0_0_0_DOA6 (joined
(portRef DOA6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOA7 (joined
(portRef DOA7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOA8 (joined
(portRef DOA8 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB0 (joined
(portRef DOB0 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB1 (joined
(portRef DOB1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB2 (joined
(portRef DOB2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB3 (joined
(portRef DOB3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB4 (joined
(portRef DOB4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB5 (joined
(portRef DOB5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB6 (joined
(portRef DOB6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB7 (joined
(portRef DOB7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOB8 (joined
(portRef DOB8 (instanceRef sram_1_0_0_0))
))
(net (rename input_0 "input[0]") (joined
(portRef (member input 5))
(portRef DIA0 (instanceRef sram_1_0_0_0))
))
(net (rename input_1 "input[1]") (joined
(portRef (member input 4))
(portRef DIA1 (instanceRef sram_1_0_0_0))
))
(net (rename input_2 "input[2]") (joined
(portRef (member input 3))
(portRef DIA2 (instanceRef sram_1_0_0_0))
))
(net (rename input_3 "input[3]") (joined
(portRef (member input 2))
(portRef DIA3 (instanceRef sram_1_0_0_0))
))
(net (rename input_4 "input[4]") (joined
(portRef (member input 1))
(portRef DIA4 (instanceRef sram_1_0_0_0))
))
(net (rename input_5 "input[5]") (joined
(portRef (member input 0))
(portRef DIA5 (instanceRef sram_1_0_0_0))
))
(net line_clock (joined
(portRef line_clock)
(portRef CK (instanceRef FF_0))
(portRef CK (instanceRef FF_1))
(portRef CK (instanceRef FF_2))
(portRef CK (instanceRef FF_3))
(portRef CK (instanceRef FF_4))
(portRef CK (instanceRef FF_5))
(portRef CLKA (instanceRef sram_1_0_0_0))
))
(net ishreg_addr_w0 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_5))
))
(net ishreg_addr_w1 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_4))
))
(net ishreg_addr_w2 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_3))
))
(net ishreg_addr_w3 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_2))
))
(net ishreg_addr_w4 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_1))
))
(net ishreg_addr_w5 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_0))
))
(net sreg_0_ctr_1_ci (joined
(portRef COUT (instanceRef sreg_0_ctr_1_cia))
(portRef CI (instanceRef sreg_0_ctr_1_0))
))
(net sreg_0_ctr_1_cia_S0 (joined
(portRef S0 (instanceRef sreg_0_ctr_1_cia))
))
(net sreg_0_ctr_1_cia_S1 (joined
(portRef S1 (instanceRef sreg_0_ctr_1_cia))
))
(net co0 (joined
(portRef CO (instanceRef sreg_0_ctr_1_0))
(portRef CI (instanceRef sreg_0_ctr_1_1))
))
(net co1 (joined
(portRef CO (instanceRef sreg_0_ctr_1_1))
(portRef CI (instanceRef sreg_0_ctr_1_2))
))
(net co2 (joined
(portRef CO (instanceRef sreg_0_ctr_1_2))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "ShiftReg40"))
)
)
(cell dm7400_0 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port sync_count_0 (direction INPUT))
(port horz_count_upper_0 (direction INPUT))
(port horz_count_upper_2 (direction INPUT))
(port y3_i (direction OUTPUT))
)
(contents
(instance y3_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B !A))"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net (rename horz_count_upper_0 "horz_count_upper[0]") (joined
(portRef horz_count_upper_0)
(portRef A (instanceRef y3_i))
))
(net (rename horz_count_upper_2 "horz_count_upper[2]") (joined
(portRef horz_count_upper_2)
(portRef B (instanceRef y3_i))
))
(net (rename sync_count_0 "sync_count[3]") (joined
(portRef sync_count_0)
(portRef C (instanceRef y3_i))
))
(net y3_i (joined
(portRef Z (instanceRef y3_i))
(portRef y3_i)
))
)
(property orig_inst_of (string "dm7400"))
)
)
(cell dm7410_0 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port horz_count_upper_0 (direction INPUT))
(port (array (rename count "count(2:0)") 3) (direction INPUT))
(port y2 (direction OUTPUT))
)
(contents
(instance y2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net (rename count_0 "count[0]") (joined
(portRef (member count 2))
(portRef A (instanceRef y2))
))
(net (rename count_1 "count[1]") (joined
(portRef (member count 1))
(portRef B (instanceRef y2))
))
(net (rename count_2 "count[2]") (joined
(portRef (member count 0))
(portRef C (instanceRef y2))
))
(net (rename horz_count_upper_0 "horz_count_upper[3]") (joined
(portRef horz_count_upper_0)
(portRef D (instanceRef y2))
))
(net y2 (joined
(portRef Z (instanceRef y2))
(portRef y2)
))
)
(property orig_inst_of (string "dm7410"))
)
)
(cell dm7432 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename rd "rd(6:5)") 2) (direction INPUT))
(port states_0 (direction INPUT))
(port Y4_a0_x (direction OUTPUT))
(port wc2_i (direction INPUT))
(port cleared_last (direction INPUT))
(port User_PB1_c (direction INPUT))
(port write_i_i (direction OUTPUT))
(port char_ready (direction INPUT))
(port clr (direction OUTPUT))
(port vbl_i (direction INPUT))
(port y2 (direction INPUT))
)
(contents
(instance Y1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(B+A))"))
)
(instance Y4_a0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A))+D (B !A))"))
)
(instance Y1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !A+C (B+!A))"))
)
(instance Y4_a0_x (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C !A)"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net y2 (joined
(portRef y2)
(portRef A (instanceRef Y1))
))
(net Y1_0 (joined
(portRef Z (instanceRef Y1_0))
(portRef B (instanceRef Y1))
))
(net vbl_i (joined
(portRef vbl_i)
(portRef C (instanceRef Y1))
))
(net clr (joined
(portRef Z (instanceRef Y1))
(portRef clr)
))
(net (rename states_0 "states[3]") (joined
(portRef states_0)
(portRef A (instanceRef Y4_a0_x))
(portRef A (instanceRef Y4_a0))
))
(net char_ready (joined
(portRef char_ready)
(portRef B (instanceRef Y4_a0))
))
(net (rename rd_5 "rd[5]") (joined
(portRef (member rd 1))
(portRef B (instanceRef Y4_a0_x))
(portRef C (instanceRef Y4_a0))
))
(net (rename rd_6 "rd[6]") (joined
(portRef (member rd 0))
(portRef C (instanceRef Y4_a0_x))
(portRef D (instanceRef Y4_a0))
))
(net write_i_i (joined
(portRef Z (instanceRef Y4_a0))
(portRef write_i_i)
))
(net User_PB1_c (joined
(portRef User_PB1_c)
(portRef A (instanceRef Y1_0))
))
(net cleared_last (joined
(portRef cleared_last)
(portRef B (instanceRef Y1_0))
))
(net wc2_i (joined
(portRef wc2_i)
(portRef C (instanceRef Y1_0))
))
(net Y4_a0_x (joined
(portRef Z (instanceRef Y4_a0_x))
(portRef Y4_a0_x)
))
)
(property orig_inst_of (string "dm7432"))
)
)
(cell dm7408 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename rd "rd(6:0)") 7) (direction INPUT))
(port (array (rename states "states(3:2)") 2) (direction INPUT))
(port mem_curs_in_0 (direction OUTPUT))
(port char_ready (direction INPUT))
(port cleared_last (direction INPUT))
(port User_PB1_c (direction INPUT))
(port wc1_i_fast (direction OUTPUT))
(port un1_N_5_mux (direction INPUT))
(port g0 (direction INPUT))
(port un1_y2_c_0_a0 (direction INPUT))
(port wc2_i (direction INPUT))
(port Y2_m1_e_a0_1 (direction OUTPUT))
(port wc1_i (direction OUTPUT))
(port write_i_i (direction INPUT))
(port screen_clear_inhibit (direction INPUT))
(port Y2_m1_e_0_N_2L1_RNID0SD1 (direction OUTPUT))
(port un8_y1 (direction OUTPUT))
)
(contents
(instance Y2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !A+C (B !A)))"))
)
(instance Y2_m1_e_a0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance Y3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance Y2_m1_e_0_N_2L1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)))"))
)
(instance g0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A))"))
)
(instance Y2_0_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !A+C (B !A)))"))
)
(instance g0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C A+C (!B A))"))
)
(instance Y2_m1_e_0_N_2L1_RNID0SD1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (C (!B+!A)))"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net un8_y1 (joined
(portRef Z (instanceRef g0_0))
(portRef A (instanceRef Y2_0_fast))
(portRef A (instanceRef Y2_0))
(portRef un8_y1)
))
(net Y2_m1_e_0_N_2L1_RNID0SD1 (joined
(portRef Z (instanceRef Y2_m1_e_0_N_2L1_RNID0SD1))
(portRef B (instanceRef Y2_0_fast))
(portRef B (instanceRef Y2_0))
(portRef Y2_m1_e_0_N_2L1_RNID0SD1)
))
(net screen_clear_inhibit (joined
(portRef screen_clear_inhibit)
(portRef C (instanceRef Y2_0_fast))
(portRef C (instanceRef Y2_0))
))
(net write_i_i (joined
(portRef write_i_i)
(portRef D (instanceRef Y2_0_fast))
(portRef D (instanceRef Y2_0))
))
(net wc1_i (joined
(portRef Z (instanceRef Y2_0))
(portRef wc1_i)
))
(net (rename rd_0 "rd[0]") (joined
(portRef (member rd 6))
(portRef A (instanceRef Y2_m1_e_a0_1))
))
(net (rename rd_1 "rd[1]") (joined
(portRef (member rd 5))
(portRef B (instanceRef Y2_m1_e_a0_1))
))
(net (rename rd_3 "rd[3]") (joined
(portRef (member rd 3))
(portRef C (instanceRef Y2_m1_e_a0_1))
))
(net (rename rd_4 "rd[4]") (joined
(portRef (member rd 2))
(portRef D (instanceRef Y2_m1_e_a0_1))
))
(net Y2_m1_e_a0_1 (joined
(portRef Z (instanceRef Y2_m1_e_a0_1))
(portRef B (instanceRef Y2_m1_e_0_N_2L1_RNID0SD1))
(portRef Y2_m1_e_a0_1)
))
(net (rename states_2 "states[2]") (joined
(portRef (member states 1))
(portRef A (instanceRef Y3))
))
(net wc2_i (joined
(portRef wc2_i)
(portRef C (instanceRef g0_1))
(portRef B (instanceRef Y3))
))
(net (rename mem_curs_in_0 "mem_curs_in[0]") (joined
(portRef Z (instanceRef Y3))
(portRef mem_curs_in_0)
))
(net (rename states_3 "states[3]") (joined
(portRef (member states 0))
(portRef A (instanceRef Y2_m1_e_0_N_2L1))
))
(net (rename rd_2 "rd[2]") (joined
(portRef (member rd 4))
(portRef B (instanceRef Y2_m1_e_0_N_2L1))
))
(net (rename rd_5 "rd[5]") (joined
(portRef (member rd 1))
(portRef C (instanceRef Y2_m1_e_0_N_2L1))
))
(net (rename rd_6 "rd[6]") (joined
(portRef (member rd 0))
(portRef D (instanceRef Y2_m1_e_0_N_2L1))
))
(net Y2_m1_e_0_N_2L1 (joined
(portRef Z (instanceRef Y2_m1_e_0_N_2L1))
(portRef A (instanceRef Y2_m1_e_0_N_2L1_RNID0SD1))
))
(net un1_y2_c_0_a0 (joined
(portRef un1_y2_c_0_a0)
(portRef A (instanceRef g0_0))
))
(net g0 (joined
(portRef g0)
(portRef B (instanceRef g0_0))
))
(net un1_N_5_mux (joined
(portRef un1_N_5_mux)
(portRef C (instanceRef g0_0))
))
(net wc1_i_fast (joined
(portRef Z (instanceRef Y2_0_fast))
(portRef wc1_i_fast)
))
(net User_PB1_c (joined
(portRef User_PB1_c)
(portRef A (instanceRef g0_1))
))
(net cleared_last (joined
(portRef cleared_last)
(portRef B (instanceRef g0_1))
))
(net g0_1 (joined
(portRef Z (instanceRef g0_1))
(portRef C (instanceRef Y2_m1_e_0_N_2L1_RNID0SD1))
))
(net char_ready (joined
(portRef char_ready)
(portRef D (instanceRef Y2_m1_e_0_N_2L1_RNID0SD1))
))
)
(property orig_inst_of (string "dm7408"))
)
)
(cell dm7450 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename rd "rd(6:0)") 7) (direction INPUT))
(port states_0 (direction INPUT))
(port states_fast_0 (direction INPUT))
(port states_fast_2 (direction INPUT))
(port states_fast_4 (direction INPUT))
(port clear_char_fast (direction OUTPUT))
(port g0 (direction OUTPUT))
(port un1_y2_c_0_a0 (direction OUTPUT))
(port line_clear_inhibit (direction INPUT))
(port Y2_m1_e_a0_1 (direction INPUT))
(port char_ready (direction INPUT))
(port un1_N_5_mux (direction OUTPUT))
(port clear_char (direction OUTPUT))
(port wc2_i (direction INPUT))
(port cleared_last (direction INPUT))
(port y2 (direction OUTPUT))
)
(contents
(instance un1_y2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C A+C (B+A))"))
)
(instance un1_m2_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+!B)+D (!C !A+C (!B !A)))"))
)
(instance y2_m2_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)))"))
)
(instance un1_m1_e_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance y2_m2_e_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance y2_m2_e_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance un1_y2_c_0_a0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance g0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance un1_y2_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C (B+A))"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net y2 (joined
(portRef Z (instanceRef y2_m2_e))
(portRef B (instanceRef un1_y2_fast))
(portRef A (instanceRef un1_y2))
(portRef y2)
))
(net cleared_last (joined
(portRef cleared_last)
(portRef B (instanceRef un1_y2))
))
(net wc2_i (joined
(portRef wc2_i)
(portRef C (instanceRef un1_y2_fast))
(portRef C (instanceRef un1_y2))
))
(net clear_char (joined
(portRef Z (instanceRef un1_y2))
(portRef clear_char)
))
(net (rename states_fast_0 "states_fast[1]") (joined
(portRef states_fast_0)
(portRef A (instanceRef un1_m2_0_a2))
))
(net (rename states_fast_2 "states_fast[3]") (joined
(portRef states_fast_2)
(portRef A (instanceRef un1_y2_fast))
(portRef A (instanceRef g0))
(portRef B (instanceRef un1_m2_0_a2))
))
(net (rename states_fast_4 "states_fast[5]") (joined
(portRef states_fast_4)
(portRef B (instanceRef g0))
(portRef C (instanceRef un1_m2_0_a2))
))
(net un1_m1_e_0 (joined
(portRef Z (instanceRef un1_m1_e_0))
(portRef D (instanceRef un1_m2_0_a2))
))
(net un1_N_5_mux (joined
(portRef Z (instanceRef un1_m2_0_a2))
(portRef un1_N_5_mux)
))
(net y2_m2_e_0 (joined
(portRef Z (instanceRef y2_m2_e_0))
(portRef A (instanceRef y2_m2_e))
))
(net y2_m2_e_1 (joined
(portRef Z (instanceRef y2_m2_e_1))
(portRef B (instanceRef y2_m2_e))
))
(net (rename states_0 "states[3]") (joined
(portRef states_0)
(portRef A (instanceRef un1_m1_e_0))
(portRef C (instanceRef y2_m2_e))
))
(net char_ready (joined
(portRef char_ready)
(portRef D (instanceRef y2_m2_e))
))
(net (rename rd_5 "rd[5]") (joined
(portRef (member rd 1))
(portRef C (instanceRef y2_m2_e_1))
(portRef B (instanceRef un1_m1_e_0))
))
(net (rename rd_6 "rd[6]") (joined
(portRef (member rd 0))
(portRef D (instanceRef y2_m2_e_1))
(portRef C (instanceRef un1_m1_e_0))
))
(net (rename rd_0 "rd[0]") (joined
(portRef (member rd 6))
(portRef A (instanceRef y2_m2_e_0))
))
(net (rename rd_2 "rd[2]") (joined
(portRef (member rd 4))
(portRef C (instanceRef un1_y2_c_0_a0))
(portRef B (instanceRef y2_m2_e_0))
))
(net (rename rd_3 "rd[3]") (joined
(portRef (member rd 3))
(portRef C (instanceRef y2_m2_e_0))
))
(net (rename rd_1 "rd[1]") (joined
(portRef (member rd 5))
(portRef A (instanceRef y2_m2_e_1))
))
(net (rename rd_4 "rd[4]") (joined
(portRef (member rd 2))
(portRef B (instanceRef y2_m2_e_1))
))
(net Y2_m1_e_a0_1 (joined
(portRef Y2_m1_e_a0_1)
(portRef A (instanceRef un1_y2_c_0_a0))
))
(net line_clear_inhibit (joined
(portRef line_clear_inhibit)
(portRef C (instanceRef g0))
(portRef B (instanceRef un1_y2_c_0_a0))
))
(net un1_y2_c_0_a0 (joined
(portRef Z (instanceRef un1_y2_c_0_a0))
(portRef un1_y2_c_0_a0)
))
(net g0 (joined
(portRef Z (instanceRef g0))
(portRef g0)
))
(net clear_char_fast (joined
(portRef Z (instanceRef un1_y2_fast))
(portRef clear_char_fast)
))
)
(property orig_inst_of (string "dm7450"))
)
)
(cell dm7410_1 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port states_0 (direction INPUT))
(port y2_i (direction OUTPUT))
(port char_ready (direction INPUT))
)
(contents
(instance y2_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net (rename states_0 "states[3]") (joined
(portRef states_0)
(portRef A (instanceRef y2_i))
))
(net char_ready (joined
(portRef char_ready)
(portRef B (instanceRef y2_i))
))
(net y2_i (joined
(portRef Z (instanceRef y2_i))
(portRef y2_i)
))
)
(property orig_inst_of (string "dm7410"))
)
)
(cell dm7427 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port horz_count_lower_0 (direction INPUT))
(port mem0 (direction OUTPUT))
(port vbl_i (direction INPUT))
(port line_clock (direction INPUT))
(port y2 (direction INPUT))
(port y1 (direction OUTPUT))
)
(contents
(instance Y1_keep_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance y1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+(!B+!A))+D (C+!A))"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net (rename y1_inferred_clock "y1") (joined
(portRef A (instanceRef Y1_keep_RNO))
(portRef y1)
(portRef Z (instanceRef y1))
))
(net y2 (joined
(portRef y2)
(portRef A (instanceRef y1))
))
(net (rename horz_count_lower_0 "horz_count_lower[3]") (joined
(portRef horz_count_lower_0)
(portRef B (instanceRef y1))
))
(net line_clock (joined
(portRef line_clock)
(portRef C (instanceRef y1))
))
(net vbl_i (joined
(portRef vbl_i)
(portRef D (instanceRef y1))
))
(net (rename Y1_keep "mem0") (joined
(portRef mem0)
(portRef Z (instanceRef Y1_keep_RNO))
))
)
(property orig_inst_of (string "dm7427"))
)
)
(cell ne555 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port cursor_flash (direction OUTPUT))
(port vbl_i (direction INPUT))
)
(contents
(instance (rename flash_counter_5__CN "flash_counter_5_.CN") (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance (rename flash_counter_0 "flash_counter[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename flash_counter_1 "flash_counter[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename flash_counter_2 "flash_counter[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename flash_counter_3 "flash_counter[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename flash_counter_4 "flash_counter[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename flash_counter_5 "flash_counter[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename flash_counter_3_0 "flash_counter_3[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !A+C (!B+!A))"))
)
(instance un3_flash_counter_1_axbxc4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (!C (B A)+C (!B+!A)))"))
)
(instance un3_flash_counter_1_axbxc3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A))+D (!C+(!B+!A)))"))
)
(instance un3_flash_counter_1_axbxc2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+!A))"))
)
(instance flash_counter_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance un3_flash_counter_1_axbxc1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B A+B !A)"))
)
(instance un3_flash_counter_1_ac0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename flash_counter_3_5 "flash_counter_3[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)+C (!B A+B !A))+D (!C+(B+!A)))"))
)
(instance flash_counter_3_N_3L3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C+(B A)))"))
)
(instance flash_counter_3_N_3L3_0_N_2L1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B+!A))"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net vbl_i (joined
(portRef vbl_i)
(portRef A (instanceRef flash_counter_5__CN))
))
(net CN (joined
(portRef Z (instanceRef flash_counter_5__CN))
(portRef CK (instanceRef flash_counter_5))
(portRef CK (instanceRef flash_counter_4))
(portRef CK (instanceRef flash_counter_3))
(portRef CK (instanceRef flash_counter_2))
(portRef CK (instanceRef flash_counter_1))
(portRef CK (instanceRef flash_counter_0))
))
(net (rename flash_counter_3_0 "flash_counter_3[0]") (joined
(portRef Z (instanceRef flash_counter_3_0))
(portRef D (instanceRef flash_counter_0))
))
(net (rename flash_counter_0 "flash_counter[0]") (joined
(portRef Q (instanceRef flash_counter_0))
(portRef A (instanceRef flash_counter_3_N_3L3_0))
(portRef A (instanceRef un3_flash_counter_1_ac0_1))
(portRef A (instanceRef un3_flash_counter_1_axbxc1))
(portRef A (instanceRef un3_flash_counter_1_axbxc2))
(portRef A (instanceRef un3_flash_counter_1_axbxc3))
(portRef A (instanceRef flash_counter_3_0))
))
(net un3_flash_counter_1_axbxc1 (joined
(portRef Z (instanceRef un3_flash_counter_1_axbxc1))
(portRef D (instanceRef flash_counter_1))
))
(net (rename flash_counter_1 "flash_counter[1]") (joined
(portRef Q (instanceRef flash_counter_1))
(portRef B (instanceRef flash_counter_3_N_3L3_0))
(portRef B (instanceRef un3_flash_counter_1_ac0_1))
(portRef B (instanceRef un3_flash_counter_1_axbxc1))
(portRef A (instanceRef flash_counter_1_3))
(portRef B (instanceRef un3_flash_counter_1_axbxc2))
(portRef B (instanceRef un3_flash_counter_1_axbxc3))
))
(net un3_flash_counter_1_axbxc2 (joined
(portRef Z (instanceRef un3_flash_counter_1_axbxc2))
(portRef D (instanceRef flash_counter_2))
))
(net (rename flash_counter_2 "flash_counter[2]") (joined
(portRef Q (instanceRef flash_counter_2))
(portRef A (instanceRef flash_counter_3_N_3L3_0_N_2L1))
(portRef C (instanceRef un3_flash_counter_1_axbxc2))
(portRef C (instanceRef un3_flash_counter_1_axbxc3))
(portRef A (instanceRef un3_flash_counter_1_axbxc4))
(portRef B (instanceRef flash_counter_3_0))
))
(net un3_flash_counter_1_axbxc3 (joined
(portRef Z (instanceRef un3_flash_counter_1_axbxc3))
(portRef D (instanceRef flash_counter_3))
))
(net (rename flash_counter_3 "flash_counter[3]") (joined
(portRef Q (instanceRef flash_counter_3))
(portRef B (instanceRef flash_counter_3_N_3L3_0_N_2L1))
(portRef B (instanceRef flash_counter_1_3))
(portRef D (instanceRef un3_flash_counter_1_axbxc3))
(portRef B (instanceRef un3_flash_counter_1_axbxc4))
))
(net un3_flash_counter_1_axbxc4 (joined
(portRef Z (instanceRef un3_flash_counter_1_axbxc4))
(portRef D (instanceRef flash_counter_4))
))
(net (rename flash_counter_4 "flash_counter[4]") (joined
(portRef Q (instanceRef flash_counter_4))
(portRef C (instanceRef flash_counter_3_N_3L3_0_N_2L1))
(portRef C (instanceRef flash_counter_3_N_3L3_0))
(portRef A (instanceRef flash_counter_3_5))
(portRef C (instanceRef flash_counter_1_3))
(portRef C (instanceRef un3_flash_counter_1_axbxc4))
))
(net (rename flash_counter_3_5 "flash_counter_3[5]") (joined
(portRef Z (instanceRef flash_counter_3_5))
(portRef D (instanceRef flash_counter_5))
))
(net cursor_flash (joined
(portRef Q (instanceRef flash_counter_5))
(portRef D (instanceRef flash_counter_3_5))
(portRef D (instanceRef flash_counter_1_3))
(portRef cursor_flash)
))
(net flash_counter_1_3 (joined
(portRef Z (instanceRef flash_counter_1_3))
(portRef C (instanceRef flash_counter_3_0))
))
(net un3_flash_counter_1_c2 (joined
(portRef Z (instanceRef un3_flash_counter_1_ac0_1))
(portRef C (instanceRef flash_counter_3_5))
(portRef D (instanceRef un3_flash_counter_1_axbxc4))
))
(net flash_counter_3_N_3L3_0 (joined
(portRef Z (instanceRef flash_counter_3_N_3L3_0))
(portRef B (instanceRef flash_counter_3_5))
))
(net flash_counter_3_N_3L3_0_N_2L1 (joined
(portRef Z (instanceRef flash_counter_3_N_3L3_0_N_2L1))
(portRef D (instanceRef flash_counter_3_N_3L3_0))
))
)
(property orig_inst_of (string "ne555"))
)
)
(cell dm74174 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port states_fast_0 (direction OUTPUT))
(port states_fast_2 (direction OUTPUT))
(port states_fast_4 (direction OUTPUT))
(port wc2_i (direction OUTPUT))
(port wc1_i (direction INPUT))
(port wc1_i_fast (direction INPUT))
(port line_clear_inhibit (direction OUTPUT))
(port last_h (direction INPUT))
(port clear_char_fast (direction INPUT))
(port cleared_last (direction OUTPUT))
(port clear_char (direction INPUT))
(port rda_i (direction OUTPUT))
(port y2_i (direction INPUT))
(port char_ready (direction OUTPUT))
(port da (direction INPUT))
(port screen_clear_inhibit (direction OUTPUT))
(port mem0 (direction INPUT))
(port last (direction INPUT))
)
(contents
(instance (rename states_0 "states[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_fast_1 "states_fast[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_1 "states[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_2 "states[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_3 "states[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_fast_3 "states_fast[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_4 "states[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_fast_5 "states_fast[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_5 "states[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net last (joined
(portRef last)
(portRef D (instanceRef states_0))
))
(net mem0 (joined
(portRef mem0)
(portRef CK (instanceRef states_5))
(portRef CK (instanceRef states_fast_5))
(portRef CK (instanceRef states_4))
(portRef CK (instanceRef states_fast_3))
(portRef CK (instanceRef states_3))
(portRef CK (instanceRef states_2))
(portRef CK (instanceRef states_1))
(portRef CK (instanceRef states_fast_1))
(portRef CK (instanceRef states_0))
))
(net screen_clear_inhibit (joined
(portRef Q (instanceRef states_0))
(portRef screen_clear_inhibit)
))
(net da (joined
(portRef da)
(portRef D (instanceRef states_1))
(portRef D (instanceRef states_fast_1))
))
(net (rename states_fast_0 "states_fast[1]") (joined
(portRef Q (instanceRef states_fast_1))
(portRef states_fast_0)
))
(net char_ready (joined
(portRef Q (instanceRef states_1))
(portRef char_ready)
))
(net y2_i (joined
(portRef y2_i)
(portRef D (instanceRef states_2))
))
(net rda_i (joined
(portRef Q (instanceRef states_2))
(portRef rda_i)
))
(net clear_char (joined
(portRef clear_char)
(portRef D (instanceRef states_3))
))
(net cleared_last (joined
(portRef Q (instanceRef states_3))
(portRef cleared_last)
))
(net clear_char_fast (joined
(portRef clear_char_fast)
(portRef D (instanceRef states_fast_3))
))
(net (rename states_fast_2 "states_fast[3]") (joined
(portRef Q (instanceRef states_fast_3))
(portRef states_fast_2)
))
(net last_h (joined
(portRef last_h)
(portRef D (instanceRef states_4))
))
(net line_clear_inhibit (joined
(portRef Q (instanceRef states_4))
(portRef line_clear_inhibit)
))
(net wc1_i_fast (joined
(portRef wc1_i_fast)
(portRef D (instanceRef states_fast_5))
))
(net (rename states_fast_4 "states_fast[5]") (joined
(portRef Q (instanceRef states_fast_5))
(portRef states_fast_4)
))
(net wc1_i (joined
(portRef wc1_i)
(portRef D (instanceRef states_5))
))
(net wc2_i (joined
(portRef Q (instanceRef states_5))
(portRef wc2_i)
))
)
(property orig_inst_of (string "dm74174"))
)
)
(cell dm74157_0 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port states_0 (direction INPUT))
(port line_curs (direction OUTPUT))
(port write_i_i (direction INPUT))
(port clr (direction INPUT))
)
(contents
(instance (rename Y_1_3 "Y_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net (rename states_0 "states[3]") (joined
(portRef states_0)
(portRef A (instanceRef Y_1_3))
))
(net clr (joined
(portRef clr)
(portRef B (instanceRef Y_1_3))
))
(net write_i_i (joined
(portRef write_i_i)
(portRef C (instanceRef Y_1_3))
))
(net line_curs (joined
(portRef Z (instanceRef Y_1_3))
(portRef line_curs)
))
)
(property orig_inst_of (string "dm74157"))
)
)
(cell sig2504_0 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port mem_curs_in_0 (direction INPUT))
(port mem_curs_out_0 (direction OUTPUT))
(port mem0 (direction INPUT))
)
(contents
(instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance OR2_t0 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT)))
)
(instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance sram_1_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 1))
(property DATA_WIDTH_B (integer 1))
(property REGMODE_A (string "NOREG"))
(property REGMODE_B (string "NOREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b111"))
(property WRITEMODE_A (string "READBEFOREWRITE"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "ASYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string ""))
(property MEM_LPC_FILE (string "sig2504.lpc"))
)
(instance FF_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance sreg_0_ctr_1_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net shreg_addr_w0 (joined
(portRef Q (instanceRef FF_9))
(portRef PC0 (instanceRef sreg_0_ctr_1_0))
(portRef ADA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_1))
))
(net shreg_addr_w0_inv (joined
(portRef Z (instanceRef INV_1))
(portRef AD3 (instanceRef LUT4_3))
))
(net shreg_addr_w3 (joined
(portRef Q (instanceRef FF_6))
(portRef PC1 (instanceRef sreg_0_ctr_1_1))
(portRef ADA3 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_3))
))
(net shreg_addr_w2 (joined
(portRef Q (instanceRef FF_7))
(portRef PC0 (instanceRef sreg_0_ctr_1_1))
(portRef ADA2 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_3))
))
(net shreg_addr_w1 (joined
(portRef Q (instanceRef FF_8))
(portRef PC1 (instanceRef sreg_0_ctr_1_0))
(portRef ADA1 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_3))
))
(net func_and_inet (joined
(portRef DO0 (instanceRef LUT4_3))
(portRef AD3 (instanceRef LUT4_0))
))
(net shreg_addr_w7 (joined
(portRef Q (instanceRef FF_2))
(portRef PC1 (instanceRef sreg_0_ctr_1_3))
(portRef ADA7 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_2))
))
(net shreg_addr_w6 (joined
(portRef Q (instanceRef FF_3))
(portRef PC0 (instanceRef sreg_0_ctr_1_3))
(portRef ADA6 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_2))
))
(net shreg_addr_w5 (joined
(portRef Q (instanceRef FF_4))
(portRef PC1 (instanceRef sreg_0_ctr_1_2))
(portRef ADA5 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_2))
))
(net shreg_addr_w4 (joined
(portRef Q (instanceRef FF_5))
(portRef PC0 (instanceRef sreg_0_ctr_1_2))
(portRef ADA4 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_2))
))
(net func_and_inet_1 (joined
(portRef DO0 (instanceRef LUT4_2))
(portRef AD2 (instanceRef LUT4_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B1 (instanceRef sreg_0_ctr_1_cia))
(portRef A1 (instanceRef sreg_0_ctr_1_cia))
(portRef SP (instanceRef FF_0))
(portRef SP (instanceRef FF_1))
(portRef SP (instanceRef FF_2))
(portRef SP (instanceRef FF_3))
(portRef SP (instanceRef FF_4))
(portRef SP (instanceRef FF_5))
(portRef SP (instanceRef FF_6))
(portRef SP (instanceRef FF_7))
(portRef SP (instanceRef FF_8))
(portRef SP (instanceRef FF_9))
(portRef OCEB (instanceRef sram_1_0_0_0))
(portRef OCEA (instanceRef sram_1_0_0_0))
(portRef CEB (instanceRef sram_1_0_0_0))
(portRef CEA (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_0))
(portRef AD1 (instanceRef LUT4_1))
(portRef AD0 (instanceRef LUT4_1))
))
(net shreg_addr_w9 (joined
(portRef Q (instanceRef FF_0))
(portRef PC1 (instanceRef sreg_0_ctr_1_4))
(portRef ADA9 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_1))
))
(net shreg_addr_w8 (joined
(portRef Q (instanceRef FF_1))
(portRef PC0 (instanceRef sreg_0_ctr_1_4))
(portRef ADA8 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_1))
))
(net func_and_inet_2 (joined
(portRef DO0 (instanceRef LUT4_1))
(portRef AD1 (instanceRef LUT4_0))
))
(net dec0_r2046 (joined
(portRef DO0 (instanceRef LUT4_0))
(portRef B (instanceRef OR2_t0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CI (instanceRef sreg_0_ctr_1_cia))
(portRef B0 (instanceRef sreg_0_ctr_1_cia))
(portRef A0 (instanceRef sreg_0_ctr_1_cia))
(portRef CLKB (instanceRef sram_1_0_0_0))
(portRef CSB2 (instanceRef sram_1_0_0_0))
(portRef CSB1 (instanceRef sram_1_0_0_0))
(portRef CSB0 (instanceRef sram_1_0_0_0))
(portRef CSA2 (instanceRef sram_1_0_0_0))
(portRef CSA1 (instanceRef sram_1_0_0_0))
(portRef CSA0 (instanceRef sram_1_0_0_0))
(portRef RSTB (instanceRef sram_1_0_0_0))
(portRef RSTA (instanceRef sram_1_0_0_0))
(portRef WEB (instanceRef sram_1_0_0_0))
(portRef ADB12 (instanceRef sram_1_0_0_0))
(portRef ADB11 (instanceRef sram_1_0_0_0))
(portRef ADB10 (instanceRef sram_1_0_0_0))
(portRef ADB9 (instanceRef sram_1_0_0_0))
(portRef ADB8 (instanceRef sram_1_0_0_0))
(portRef ADB7 (instanceRef sram_1_0_0_0))
(portRef ADB6 (instanceRef sram_1_0_0_0))
(portRef ADB5 (instanceRef sram_1_0_0_0))
(portRef ADB4 (instanceRef sram_1_0_0_0))
(portRef ADB3 (instanceRef sram_1_0_0_0))
(portRef ADB2 (instanceRef sram_1_0_0_0))
(portRef ADB1 (instanceRef sram_1_0_0_0))
(portRef ADB0 (instanceRef sram_1_0_0_0))
(portRef ADA12 (instanceRef sram_1_0_0_0))
(portRef ADA11 (instanceRef sram_1_0_0_0))
(portRef ADA10 (instanceRef sram_1_0_0_0))
(portRef DIB8 (instanceRef sram_1_0_0_0))
(portRef DIB7 (instanceRef sram_1_0_0_0))
(portRef DIB6 (instanceRef sram_1_0_0_0))
(portRef DIB5 (instanceRef sram_1_0_0_0))
(portRef DIB4 (instanceRef sram_1_0_0_0))
(portRef DIB3 (instanceRef sram_1_0_0_0))
(portRef DIB2 (instanceRef sram_1_0_0_0))
(portRef DIB1 (instanceRef sram_1_0_0_0))
(portRef DIB0 (instanceRef sram_1_0_0_0))
(portRef DIA8 (instanceRef sram_1_0_0_0))
(portRef DIA7 (instanceRef sram_1_0_0_0))
(portRef DIA6 (instanceRef sram_1_0_0_0))
(portRef DIA5 (instanceRef sram_1_0_0_0))
(portRef DIA4 (instanceRef sram_1_0_0_0))
(portRef DIA3 (instanceRef sram_1_0_0_0))
(portRef DIA2 (instanceRef sram_1_0_0_0))
(portRef DIA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_0))
(portRef A (instanceRef OR2_t0))
))
(net srrst_ctr (joined
(portRef Z (instanceRef OR2_t0))
(portRef CD (instanceRef FF_0))
(portRef CD (instanceRef FF_1))
(portRef CD (instanceRef FF_2))
(portRef CD (instanceRef FF_3))
(portRef CD (instanceRef FF_4))
(portRef CD (instanceRef FF_5))
(portRef CD (instanceRef FF_6))
(portRef CD (instanceRef FF_7))
(portRef CD (instanceRef FF_8))
(portRef CD (instanceRef FF_9))
))
(net Reset_inv (joined
(portRef Z (instanceRef INV_0))
(portRef WEA (instanceRef sram_1_0_0_0))
))
(net (rename mem_curs_out_0 "mem_curs_out[0]") (joined
(portRef DOA0 (instanceRef sram_1_0_0_0))
(portRef mem_curs_out_0)
))
(net sram_1_0_0_DOA1_5 (joined
(portRef DOA1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA2_5 (joined
(portRef DOA2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA3_5 (joined
(portRef DOA3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA4_5 (joined
(portRef DOA4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA5_5 (joined
(portRef DOA5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA6_6 (joined
(portRef DOA6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA7_6 (joined
(portRef DOA7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA8_6 (joined
(portRef DOA8 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB0_6 (joined
(portRef DOB0 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB1_6 (joined
(portRef DOB1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB2_6 (joined
(portRef DOB2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB3_6 (joined
(portRef DOB3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB4_6 (joined
(portRef DOB4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB5_6 (joined
(portRef DOB5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB6_6 (joined
(portRef DOB6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB7_6 (joined
(portRef DOB7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB8_6 (joined
(portRef DOB8 (instanceRef sram_1_0_0_0))
))
(net (rename mem_curs_in_0 "mem_curs_in[0]") (joined
(portRef mem_curs_in_0)
(portRef DIA1 (instanceRef sram_1_0_0_0))
))
(net mem0 (joined
(portRef mem0)
(portRef CK (instanceRef FF_0))
(portRef CK (instanceRef FF_1))
(portRef CK (instanceRef FF_2))
(portRef CK (instanceRef FF_3))
(portRef CK (instanceRef FF_4))
(portRef CK (instanceRef FF_5))
(portRef CK (instanceRef FF_6))
(portRef CK (instanceRef FF_7))
(portRef CK (instanceRef FF_8))
(portRef CK (instanceRef FF_9))
(portRef CLKA (instanceRef sram_1_0_0_0))
))
(net ishreg_addr_w0 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_9))
))
(net ishreg_addr_w1 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_8))
))
(net ishreg_addr_w2 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_7))
))
(net ishreg_addr_w3 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_6))
))
(net ishreg_addr_w4 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_5))
))
(net ishreg_addr_w5 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_4))
))
(net ishreg_addr_w6 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_3))
))
(net ishreg_addr_w7 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_2))
))
(net ishreg_addr_w8 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_1))
))
(net ishreg_addr_w9 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_0))
))
(net sreg_0_ctr_1_ci (joined
(portRef COUT (instanceRef sreg_0_ctr_1_cia))
(portRef CI (instanceRef sreg_0_ctr_1_0))
))
(net sreg_0_ctr_1_cia_S0_6 (joined
(portRef S0 (instanceRef sreg_0_ctr_1_cia))
))
(net sreg_0_ctr_1_cia_S1_6 (joined
(portRef S1 (instanceRef sreg_0_ctr_1_cia))
))
(net co0 (joined
(portRef CO (instanceRef sreg_0_ctr_1_0))
(portRef CI (instanceRef sreg_0_ctr_1_1))
))
(net co1 (joined
(portRef CO (instanceRef sreg_0_ctr_1_1))
(portRef CI (instanceRef sreg_0_ctr_1_2))
))
(net co2 (joined
(portRef CO (instanceRef sreg_0_ctr_1_2))
(portRef CI (instanceRef sreg_0_ctr_1_3))
))
(net co3 (joined
(portRef CO (instanceRef sreg_0_ctr_1_3))
(portRef CI (instanceRef sreg_0_ctr_1_4))
))
(net co4 (joined
(portRef CO (instanceRef sreg_0_ctr_1_4))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "sig2504"))
)
)
(cell sig2504_5 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port buffer_char_out_5_0 (direction OUTPUT))
(port mem0 (direction INPUT))
)
(contents
(instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance OR2_t0 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT)))
)
(instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance sram_1_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 1))
(property DATA_WIDTH_B (integer 1))
(property REGMODE_A (string "NOREG"))
(property REGMODE_B (string "NOREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b111"))
(property WRITEMODE_A (string "READBEFOREWRITE"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "ASYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string ""))
(property MEM_LPC_FILE (string "sig2504.lpc"))
)
(instance FF_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance sreg_0_ctr_1_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net shreg_addr_w0 (joined
(portRef Q (instanceRef FF_9))
(portRef PC0 (instanceRef sreg_0_ctr_1_0))
(portRef ADA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_1))
))
(net shreg_addr_w0_inv (joined
(portRef Z (instanceRef INV_1))
(portRef AD3 (instanceRef LUT4_3))
))
(net shreg_addr_w3 (joined
(portRef Q (instanceRef FF_6))
(portRef PC1 (instanceRef sreg_0_ctr_1_1))
(portRef ADA3 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_3))
))
(net shreg_addr_w2 (joined
(portRef Q (instanceRef FF_7))
(portRef PC0 (instanceRef sreg_0_ctr_1_1))
(portRef ADA2 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_3))
))
(net shreg_addr_w1 (joined
(portRef Q (instanceRef FF_8))
(portRef PC1 (instanceRef sreg_0_ctr_1_0))
(portRef ADA1 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_3))
))
(net func_and_inet (joined
(portRef DO0 (instanceRef LUT4_3))
(portRef AD3 (instanceRef LUT4_0))
))
(net shreg_addr_w7 (joined
(portRef Q (instanceRef FF_2))
(portRef PC1 (instanceRef sreg_0_ctr_1_3))
(portRef ADA7 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_2))
))
(net shreg_addr_w6 (joined
(portRef Q (instanceRef FF_3))
(portRef PC0 (instanceRef sreg_0_ctr_1_3))
(portRef ADA6 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_2))
))
(net shreg_addr_w5 (joined
(portRef Q (instanceRef FF_4))
(portRef PC1 (instanceRef sreg_0_ctr_1_2))
(portRef ADA5 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_2))
))
(net shreg_addr_w4 (joined
(portRef Q (instanceRef FF_5))
(portRef PC0 (instanceRef sreg_0_ctr_1_2))
(portRef ADA4 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_2))
))
(net func_and_inet_1 (joined
(portRef DO0 (instanceRef LUT4_2))
(portRef AD2 (instanceRef LUT4_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B1 (instanceRef sreg_0_ctr_1_cia))
(portRef A1 (instanceRef sreg_0_ctr_1_cia))
(portRef SP (instanceRef FF_0))
(portRef SP (instanceRef FF_1))
(portRef SP (instanceRef FF_2))
(portRef SP (instanceRef FF_3))
(portRef SP (instanceRef FF_4))
(portRef SP (instanceRef FF_5))
(portRef SP (instanceRef FF_6))
(portRef SP (instanceRef FF_7))
(portRef SP (instanceRef FF_8))
(portRef SP (instanceRef FF_9))
(portRef OCEB (instanceRef sram_1_0_0_0))
(portRef OCEA (instanceRef sram_1_0_0_0))
(portRef CEB (instanceRef sram_1_0_0_0))
(portRef CEA (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_0))
(portRef AD1 (instanceRef LUT4_1))
(portRef AD0 (instanceRef LUT4_1))
))
(net shreg_addr_w9 (joined
(portRef Q (instanceRef FF_0))
(portRef PC1 (instanceRef sreg_0_ctr_1_4))
(portRef ADA9 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_1))
))
(net shreg_addr_w8 (joined
(portRef Q (instanceRef FF_1))
(portRef PC0 (instanceRef sreg_0_ctr_1_4))
(portRef ADA8 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_1))
))
(net func_and_inet_2 (joined
(portRef DO0 (instanceRef LUT4_1))
(portRef AD1 (instanceRef LUT4_0))
))
(net dec0_r2046 (joined
(portRef DO0 (instanceRef LUT4_0))
(portRef B (instanceRef OR2_t0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CI (instanceRef sreg_0_ctr_1_cia))
(portRef B0 (instanceRef sreg_0_ctr_1_cia))
(portRef A0 (instanceRef sreg_0_ctr_1_cia))
(portRef CLKB (instanceRef sram_1_0_0_0))
(portRef CSB2 (instanceRef sram_1_0_0_0))
(portRef CSB1 (instanceRef sram_1_0_0_0))
(portRef CSB0 (instanceRef sram_1_0_0_0))
(portRef CSA2 (instanceRef sram_1_0_0_0))
(portRef CSA1 (instanceRef sram_1_0_0_0))
(portRef CSA0 (instanceRef sram_1_0_0_0))
(portRef RSTB (instanceRef sram_1_0_0_0))
(portRef RSTA (instanceRef sram_1_0_0_0))
(portRef WEB (instanceRef sram_1_0_0_0))
(portRef ADB12 (instanceRef sram_1_0_0_0))
(portRef ADB11 (instanceRef sram_1_0_0_0))
(portRef ADB10 (instanceRef sram_1_0_0_0))
(portRef ADB9 (instanceRef sram_1_0_0_0))
(portRef ADB8 (instanceRef sram_1_0_0_0))
(portRef ADB7 (instanceRef sram_1_0_0_0))
(portRef ADB6 (instanceRef sram_1_0_0_0))
(portRef ADB5 (instanceRef sram_1_0_0_0))
(portRef ADB4 (instanceRef sram_1_0_0_0))
(portRef ADB3 (instanceRef sram_1_0_0_0))
(portRef ADB2 (instanceRef sram_1_0_0_0))
(portRef ADB1 (instanceRef sram_1_0_0_0))
(portRef ADB0 (instanceRef sram_1_0_0_0))
(portRef ADA12 (instanceRef sram_1_0_0_0))
(portRef ADA11 (instanceRef sram_1_0_0_0))
(portRef ADA10 (instanceRef sram_1_0_0_0))
(portRef DIB8 (instanceRef sram_1_0_0_0))
(portRef DIB7 (instanceRef sram_1_0_0_0))
(portRef DIB6 (instanceRef sram_1_0_0_0))
(portRef DIB5 (instanceRef sram_1_0_0_0))
(portRef DIB4 (instanceRef sram_1_0_0_0))
(portRef DIB3 (instanceRef sram_1_0_0_0))
(portRef DIB2 (instanceRef sram_1_0_0_0))
(portRef DIB1 (instanceRef sram_1_0_0_0))
(portRef DIB0 (instanceRef sram_1_0_0_0))
(portRef DIA8 (instanceRef sram_1_0_0_0))
(portRef DIA7 (instanceRef sram_1_0_0_0))
(portRef DIA6 (instanceRef sram_1_0_0_0))
(portRef DIA5 (instanceRef sram_1_0_0_0))
(portRef DIA4 (instanceRef sram_1_0_0_0))
(portRef DIA3 (instanceRef sram_1_0_0_0))
(portRef DIA2 (instanceRef sram_1_0_0_0))
(portRef DIA1 (instanceRef sram_1_0_0_0))
(portRef DIA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_0))
(portRef A (instanceRef OR2_t0))
))
(net srrst_ctr (joined
(portRef Z (instanceRef OR2_t0))
(portRef CD (instanceRef FF_0))
(portRef CD (instanceRef FF_1))
(portRef CD (instanceRef FF_2))
(portRef CD (instanceRef FF_3))
(portRef CD (instanceRef FF_4))
(portRef CD (instanceRef FF_5))
(portRef CD (instanceRef FF_6))
(portRef CD (instanceRef FF_7))
(portRef CD (instanceRef FF_8))
(portRef CD (instanceRef FF_9))
))
(net Reset_inv (joined
(portRef Z (instanceRef INV_0))
(portRef WEA (instanceRef sram_1_0_0_0))
))
(net (rename buffer_char_out_5_0 "buffer_char_out_5[0]") (joined
(portRef DOA0 (instanceRef sram_1_0_0_0))
(portRef buffer_char_out_5_0)
))
(net sram_1_0_0_DOA1_4 (joined
(portRef DOA1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA2_4 (joined
(portRef DOA2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA3_4 (joined
(portRef DOA3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA4_4 (joined
(portRef DOA4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA5_4 (joined
(portRef DOA5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA6_5 (joined
(portRef DOA6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA7_5 (joined
(portRef DOA7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA8_5 (joined
(portRef DOA8 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB0_5 (joined
(portRef DOB0 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB1_5 (joined
(portRef DOB1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB2_5 (joined
(portRef DOB2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB3_5 (joined
(portRef DOB3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB4_5 (joined
(portRef DOB4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB5_5 (joined
(portRef DOB5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB6_5 (joined
(portRef DOB6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB7_5 (joined
(portRef DOB7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB8_5 (joined
(portRef DOB8 (instanceRef sram_1_0_0_0))
))
(net mem0 (joined
(portRef mem0)
(portRef CK (instanceRef FF_0))
(portRef CK (instanceRef FF_1))
(portRef CK (instanceRef FF_2))
(portRef CK (instanceRef FF_3))
(portRef CK (instanceRef FF_4))
(portRef CK (instanceRef FF_5))
(portRef CK (instanceRef FF_6))
(portRef CK (instanceRef FF_7))
(portRef CK (instanceRef FF_8))
(portRef CK (instanceRef FF_9))
(portRef CLKA (instanceRef sram_1_0_0_0))
))
(net ishreg_addr_w0 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_9))
))
(net ishreg_addr_w1 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_8))
))
(net ishreg_addr_w2 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_7))
))
(net ishreg_addr_w3 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_6))
))
(net ishreg_addr_w4 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_5))
))
(net ishreg_addr_w5 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_4))
))
(net ishreg_addr_w6 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_3))
))
(net ishreg_addr_w7 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_2))
))
(net ishreg_addr_w8 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_1))
))
(net ishreg_addr_w9 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_0))
))
(net sreg_0_ctr_1_ci (joined
(portRef COUT (instanceRef sreg_0_ctr_1_cia))
(portRef CI (instanceRef sreg_0_ctr_1_0))
))
(net sreg_0_ctr_1_cia_S0_5 (joined
(portRef S0 (instanceRef sreg_0_ctr_1_cia))
))
(net sreg_0_ctr_1_cia_S1_5 (joined
(portRef S1 (instanceRef sreg_0_ctr_1_cia))
))
(net co0 (joined
(portRef CO (instanceRef sreg_0_ctr_1_0))
(portRef CI (instanceRef sreg_0_ctr_1_1))
))
(net co1 (joined
(portRef CO (instanceRef sreg_0_ctr_1_1))
(portRef CI (instanceRef sreg_0_ctr_1_2))
))
(net co2 (joined
(portRef CO (instanceRef sreg_0_ctr_1_2))
(portRef CI (instanceRef sreg_0_ctr_1_3))
))
(net co3 (joined
(portRef CO (instanceRef sreg_0_ctr_1_3))
(portRef CI (instanceRef sreg_0_ctr_1_4))
))
(net co4 (joined
(portRef CO (instanceRef sreg_0_ctr_1_4))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "sig2504"))
)
)
(cell sig2504_4 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port buffer_char_out_4_0 (direction OUTPUT))
(port mem0 (direction INPUT))
)
(contents
(instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance OR2_t0 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT)))
)
(instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance sram_1_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 1))
(property DATA_WIDTH_B (integer 1))
(property REGMODE_A (string "NOREG"))
(property REGMODE_B (string "NOREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b111"))
(property WRITEMODE_A (string "READBEFOREWRITE"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "ASYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string ""))
(property MEM_LPC_FILE (string "sig2504.lpc"))
)
(instance FF_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance sreg_0_ctr_1_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net shreg_addr_w0 (joined
(portRef Q (instanceRef FF_9))
(portRef PC0 (instanceRef sreg_0_ctr_1_0))
(portRef ADA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_1))
))
(net shreg_addr_w0_inv (joined
(portRef Z (instanceRef INV_1))
(portRef AD3 (instanceRef LUT4_3))
))
(net shreg_addr_w3 (joined
(portRef Q (instanceRef FF_6))
(portRef PC1 (instanceRef sreg_0_ctr_1_1))
(portRef ADA3 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_3))
))
(net shreg_addr_w2 (joined
(portRef Q (instanceRef FF_7))
(portRef PC0 (instanceRef sreg_0_ctr_1_1))
(portRef ADA2 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_3))
))
(net shreg_addr_w1 (joined
(portRef Q (instanceRef FF_8))
(portRef PC1 (instanceRef sreg_0_ctr_1_0))
(portRef ADA1 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_3))
))
(net func_and_inet (joined
(portRef DO0 (instanceRef LUT4_3))
(portRef AD3 (instanceRef LUT4_0))
))
(net shreg_addr_w7 (joined
(portRef Q (instanceRef FF_2))
(portRef PC1 (instanceRef sreg_0_ctr_1_3))
(portRef ADA7 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_2))
))
(net shreg_addr_w6 (joined
(portRef Q (instanceRef FF_3))
(portRef PC0 (instanceRef sreg_0_ctr_1_3))
(portRef ADA6 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_2))
))
(net shreg_addr_w5 (joined
(portRef Q (instanceRef FF_4))
(portRef PC1 (instanceRef sreg_0_ctr_1_2))
(portRef ADA5 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_2))
))
(net shreg_addr_w4 (joined
(portRef Q (instanceRef FF_5))
(portRef PC0 (instanceRef sreg_0_ctr_1_2))
(portRef ADA4 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_2))
))
(net func_and_inet_1 (joined
(portRef DO0 (instanceRef LUT4_2))
(portRef AD2 (instanceRef LUT4_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B1 (instanceRef sreg_0_ctr_1_cia))
(portRef A1 (instanceRef sreg_0_ctr_1_cia))
(portRef SP (instanceRef FF_0))
(portRef SP (instanceRef FF_1))
(portRef SP (instanceRef FF_2))
(portRef SP (instanceRef FF_3))
(portRef SP (instanceRef FF_4))
(portRef SP (instanceRef FF_5))
(portRef SP (instanceRef FF_6))
(portRef SP (instanceRef FF_7))
(portRef SP (instanceRef FF_8))
(portRef SP (instanceRef FF_9))
(portRef OCEB (instanceRef sram_1_0_0_0))
(portRef OCEA (instanceRef sram_1_0_0_0))
(portRef CEB (instanceRef sram_1_0_0_0))
(portRef CEA (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_0))
(portRef AD1 (instanceRef LUT4_1))
(portRef AD0 (instanceRef LUT4_1))
))
(net shreg_addr_w9 (joined
(portRef Q (instanceRef FF_0))
(portRef PC1 (instanceRef sreg_0_ctr_1_4))
(portRef ADA9 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_1))
))
(net shreg_addr_w8 (joined
(portRef Q (instanceRef FF_1))
(portRef PC0 (instanceRef sreg_0_ctr_1_4))
(portRef ADA8 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_1))
))
(net func_and_inet_2 (joined
(portRef DO0 (instanceRef LUT4_1))
(portRef AD1 (instanceRef LUT4_0))
))
(net dec0_r2046 (joined
(portRef DO0 (instanceRef LUT4_0))
(portRef B (instanceRef OR2_t0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CI (instanceRef sreg_0_ctr_1_cia))
(portRef B0 (instanceRef sreg_0_ctr_1_cia))
(portRef A0 (instanceRef sreg_0_ctr_1_cia))
(portRef CLKB (instanceRef sram_1_0_0_0))
(portRef CSB2 (instanceRef sram_1_0_0_0))
(portRef CSB1 (instanceRef sram_1_0_0_0))
(portRef CSB0 (instanceRef sram_1_0_0_0))
(portRef CSA2 (instanceRef sram_1_0_0_0))
(portRef CSA1 (instanceRef sram_1_0_0_0))
(portRef CSA0 (instanceRef sram_1_0_0_0))
(portRef RSTB (instanceRef sram_1_0_0_0))
(portRef RSTA (instanceRef sram_1_0_0_0))
(portRef WEB (instanceRef sram_1_0_0_0))
(portRef ADB12 (instanceRef sram_1_0_0_0))
(portRef ADB11 (instanceRef sram_1_0_0_0))
(portRef ADB10 (instanceRef sram_1_0_0_0))
(portRef ADB9 (instanceRef sram_1_0_0_0))
(portRef ADB8 (instanceRef sram_1_0_0_0))
(portRef ADB7 (instanceRef sram_1_0_0_0))
(portRef ADB6 (instanceRef sram_1_0_0_0))
(portRef ADB5 (instanceRef sram_1_0_0_0))
(portRef ADB4 (instanceRef sram_1_0_0_0))
(portRef ADB3 (instanceRef sram_1_0_0_0))
(portRef ADB2 (instanceRef sram_1_0_0_0))
(portRef ADB1 (instanceRef sram_1_0_0_0))
(portRef ADB0 (instanceRef sram_1_0_0_0))
(portRef ADA12 (instanceRef sram_1_0_0_0))
(portRef ADA11 (instanceRef sram_1_0_0_0))
(portRef ADA10 (instanceRef sram_1_0_0_0))
(portRef DIB8 (instanceRef sram_1_0_0_0))
(portRef DIB7 (instanceRef sram_1_0_0_0))
(portRef DIB6 (instanceRef sram_1_0_0_0))
(portRef DIB5 (instanceRef sram_1_0_0_0))
(portRef DIB4 (instanceRef sram_1_0_0_0))
(portRef DIB3 (instanceRef sram_1_0_0_0))
(portRef DIB2 (instanceRef sram_1_0_0_0))
(portRef DIB1 (instanceRef sram_1_0_0_0))
(portRef DIB0 (instanceRef sram_1_0_0_0))
(portRef DIA8 (instanceRef sram_1_0_0_0))
(portRef DIA7 (instanceRef sram_1_0_0_0))
(portRef DIA6 (instanceRef sram_1_0_0_0))
(portRef DIA5 (instanceRef sram_1_0_0_0))
(portRef DIA4 (instanceRef sram_1_0_0_0))
(portRef DIA3 (instanceRef sram_1_0_0_0))
(portRef DIA2 (instanceRef sram_1_0_0_0))
(portRef DIA1 (instanceRef sram_1_0_0_0))
(portRef DIA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_0))
(portRef A (instanceRef OR2_t0))
))
(net srrst_ctr (joined
(portRef Z (instanceRef OR2_t0))
(portRef CD (instanceRef FF_0))
(portRef CD (instanceRef FF_1))
(portRef CD (instanceRef FF_2))
(portRef CD (instanceRef FF_3))
(portRef CD (instanceRef FF_4))
(portRef CD (instanceRef FF_5))
(portRef CD (instanceRef FF_6))
(portRef CD (instanceRef FF_7))
(portRef CD (instanceRef FF_8))
(portRef CD (instanceRef FF_9))
))
(net Reset_inv (joined
(portRef Z (instanceRef INV_0))
(portRef WEA (instanceRef sram_1_0_0_0))
))
(net (rename buffer_char_out_4_0 "buffer_char_out_4[0]") (joined
(portRef DOA0 (instanceRef sram_1_0_0_0))
(portRef buffer_char_out_4_0)
))
(net sram_1_0_0_DOA1_3 (joined
(portRef DOA1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA2_3 (joined
(portRef DOA2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA3_3 (joined
(portRef DOA3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA4_3 (joined
(portRef DOA4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA5_3 (joined
(portRef DOA5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA6_4 (joined
(portRef DOA6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA7_4 (joined
(portRef DOA7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA8_4 (joined
(portRef DOA8 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB0_4 (joined
(portRef DOB0 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB1_4 (joined
(portRef DOB1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB2_4 (joined
(portRef DOB2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB3_4 (joined
(portRef DOB3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB4_4 (joined
(portRef DOB4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB5_4 (joined
(portRef DOB5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB6_4 (joined
(portRef DOB6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB7_4 (joined
(portRef DOB7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB8_4 (joined
(portRef DOB8 (instanceRef sram_1_0_0_0))
))
(net mem0 (joined
(portRef mem0)
(portRef CK (instanceRef FF_0))
(portRef CK (instanceRef FF_1))
(portRef CK (instanceRef FF_2))
(portRef CK (instanceRef FF_3))
(portRef CK (instanceRef FF_4))
(portRef CK (instanceRef FF_5))
(portRef CK (instanceRef FF_6))
(portRef CK (instanceRef FF_7))
(portRef CK (instanceRef FF_8))
(portRef CK (instanceRef FF_9))
(portRef CLKA (instanceRef sram_1_0_0_0))
))
(net ishreg_addr_w0 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_9))
))
(net ishreg_addr_w1 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_8))
))
(net ishreg_addr_w2 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_7))
))
(net ishreg_addr_w3 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_6))
))
(net ishreg_addr_w4 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_5))
))
(net ishreg_addr_w5 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_4))
))
(net ishreg_addr_w6 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_3))
))
(net ishreg_addr_w7 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_2))
))
(net ishreg_addr_w8 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_1))
))
(net ishreg_addr_w9 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_0))
))
(net sreg_0_ctr_1_ci (joined
(portRef COUT (instanceRef sreg_0_ctr_1_cia))
(portRef CI (instanceRef sreg_0_ctr_1_0))
))
(net sreg_0_ctr_1_cia_S0_4 (joined
(portRef S0 (instanceRef sreg_0_ctr_1_cia))
))
(net sreg_0_ctr_1_cia_S1_4 (joined
(portRef S1 (instanceRef sreg_0_ctr_1_cia))
))
(net co0 (joined
(portRef CO (instanceRef sreg_0_ctr_1_0))
(portRef CI (instanceRef sreg_0_ctr_1_1))
))
(net co1 (joined
(portRef CO (instanceRef sreg_0_ctr_1_1))
(portRef CI (instanceRef sreg_0_ctr_1_2))
))
(net co2 (joined
(portRef CO (instanceRef sreg_0_ctr_1_2))
(portRef CI (instanceRef sreg_0_ctr_1_3))
))
(net co3 (joined
(portRef CO (instanceRef sreg_0_ctr_1_3))
(portRef CI (instanceRef sreg_0_ctr_1_4))
))
(net co4 (joined
(portRef CO (instanceRef sreg_0_ctr_1_4))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "sig2504"))
)
)
(cell sig2504_3 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port buffer_char_out_3_0 (direction OUTPUT))
(port mem0 (direction INPUT))
)
(contents
(instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance OR2_t0 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT)))
)
(instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance sram_1_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 1))
(property DATA_WIDTH_B (integer 1))
(property REGMODE_A (string "NOREG"))
(property REGMODE_B (string "NOREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b111"))
(property WRITEMODE_A (string "READBEFOREWRITE"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "ASYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string ""))
(property MEM_LPC_FILE (string "sig2504.lpc"))
)
(instance FF_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance sreg_0_ctr_1_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net shreg_addr_w0 (joined
(portRef Q (instanceRef FF_9))
(portRef PC0 (instanceRef sreg_0_ctr_1_0))
(portRef ADA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_1))
))
(net shreg_addr_w0_inv (joined
(portRef Z (instanceRef INV_1))
(portRef AD3 (instanceRef LUT4_3))
))
(net shreg_addr_w3 (joined
(portRef Q (instanceRef FF_6))
(portRef PC1 (instanceRef sreg_0_ctr_1_1))
(portRef ADA3 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_3))
))
(net shreg_addr_w2 (joined
(portRef Q (instanceRef FF_7))
(portRef PC0 (instanceRef sreg_0_ctr_1_1))
(portRef ADA2 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_3))
))
(net shreg_addr_w1 (joined
(portRef Q (instanceRef FF_8))
(portRef PC1 (instanceRef sreg_0_ctr_1_0))
(portRef ADA1 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_3))
))
(net func_and_inet (joined
(portRef DO0 (instanceRef LUT4_3))
(portRef AD3 (instanceRef LUT4_0))
))
(net shreg_addr_w7 (joined
(portRef Q (instanceRef FF_2))
(portRef PC1 (instanceRef sreg_0_ctr_1_3))
(portRef ADA7 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_2))
))
(net shreg_addr_w6 (joined
(portRef Q (instanceRef FF_3))
(portRef PC0 (instanceRef sreg_0_ctr_1_3))
(portRef ADA6 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_2))
))
(net shreg_addr_w5 (joined
(portRef Q (instanceRef FF_4))
(portRef PC1 (instanceRef sreg_0_ctr_1_2))
(portRef ADA5 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_2))
))
(net shreg_addr_w4 (joined
(portRef Q (instanceRef FF_5))
(portRef PC0 (instanceRef sreg_0_ctr_1_2))
(portRef ADA4 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_2))
))
(net func_and_inet_1 (joined
(portRef DO0 (instanceRef LUT4_2))
(portRef AD2 (instanceRef LUT4_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B1 (instanceRef sreg_0_ctr_1_cia))
(portRef A1 (instanceRef sreg_0_ctr_1_cia))
(portRef SP (instanceRef FF_0))
(portRef SP (instanceRef FF_1))
(portRef SP (instanceRef FF_2))
(portRef SP (instanceRef FF_3))
(portRef SP (instanceRef FF_4))
(portRef SP (instanceRef FF_5))
(portRef SP (instanceRef FF_6))
(portRef SP (instanceRef FF_7))
(portRef SP (instanceRef FF_8))
(portRef SP (instanceRef FF_9))
(portRef OCEB (instanceRef sram_1_0_0_0))
(portRef OCEA (instanceRef sram_1_0_0_0))
(portRef CEB (instanceRef sram_1_0_0_0))
(portRef CEA (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_0))
(portRef AD1 (instanceRef LUT4_1))
(portRef AD0 (instanceRef LUT4_1))
))
(net shreg_addr_w9 (joined
(portRef Q (instanceRef FF_0))
(portRef PC1 (instanceRef sreg_0_ctr_1_4))
(portRef ADA9 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_1))
))
(net shreg_addr_w8 (joined
(portRef Q (instanceRef FF_1))
(portRef PC0 (instanceRef sreg_0_ctr_1_4))
(portRef ADA8 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_1))
))
(net func_and_inet_2 (joined
(portRef DO0 (instanceRef LUT4_1))
(portRef AD1 (instanceRef LUT4_0))
))
(net dec0_r2046 (joined
(portRef DO0 (instanceRef LUT4_0))
(portRef B (instanceRef OR2_t0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CI (instanceRef sreg_0_ctr_1_cia))
(portRef B0 (instanceRef sreg_0_ctr_1_cia))
(portRef A0 (instanceRef sreg_0_ctr_1_cia))
(portRef CLKB (instanceRef sram_1_0_0_0))
(portRef CSB2 (instanceRef sram_1_0_0_0))
(portRef CSB1 (instanceRef sram_1_0_0_0))
(portRef CSB0 (instanceRef sram_1_0_0_0))
(portRef CSA2 (instanceRef sram_1_0_0_0))
(portRef CSA1 (instanceRef sram_1_0_0_0))
(portRef CSA0 (instanceRef sram_1_0_0_0))
(portRef RSTB (instanceRef sram_1_0_0_0))
(portRef RSTA (instanceRef sram_1_0_0_0))
(portRef WEB (instanceRef sram_1_0_0_0))
(portRef ADB12 (instanceRef sram_1_0_0_0))
(portRef ADB11 (instanceRef sram_1_0_0_0))
(portRef ADB10 (instanceRef sram_1_0_0_0))
(portRef ADB9 (instanceRef sram_1_0_0_0))
(portRef ADB8 (instanceRef sram_1_0_0_0))
(portRef ADB7 (instanceRef sram_1_0_0_0))
(portRef ADB6 (instanceRef sram_1_0_0_0))
(portRef ADB5 (instanceRef sram_1_0_0_0))
(portRef ADB4 (instanceRef sram_1_0_0_0))
(portRef ADB3 (instanceRef sram_1_0_0_0))
(portRef ADB2 (instanceRef sram_1_0_0_0))
(portRef ADB1 (instanceRef sram_1_0_0_0))
(portRef ADB0 (instanceRef sram_1_0_0_0))
(portRef ADA12 (instanceRef sram_1_0_0_0))
(portRef ADA11 (instanceRef sram_1_0_0_0))
(portRef ADA10 (instanceRef sram_1_0_0_0))
(portRef DIB8 (instanceRef sram_1_0_0_0))
(portRef DIB7 (instanceRef sram_1_0_0_0))
(portRef DIB6 (instanceRef sram_1_0_0_0))
(portRef DIB5 (instanceRef sram_1_0_0_0))
(portRef DIB4 (instanceRef sram_1_0_0_0))
(portRef DIB3 (instanceRef sram_1_0_0_0))
(portRef DIB2 (instanceRef sram_1_0_0_0))
(portRef DIB1 (instanceRef sram_1_0_0_0))
(portRef DIB0 (instanceRef sram_1_0_0_0))
(portRef DIA8 (instanceRef sram_1_0_0_0))
(portRef DIA7 (instanceRef sram_1_0_0_0))
(portRef DIA6 (instanceRef sram_1_0_0_0))
(portRef DIA5 (instanceRef sram_1_0_0_0))
(portRef DIA4 (instanceRef sram_1_0_0_0))
(portRef DIA3 (instanceRef sram_1_0_0_0))
(portRef DIA2 (instanceRef sram_1_0_0_0))
(portRef DIA1 (instanceRef sram_1_0_0_0))
(portRef DIA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_0))
(portRef A (instanceRef OR2_t0))
))
(net srrst_ctr (joined
(portRef Z (instanceRef OR2_t0))
(portRef CD (instanceRef FF_0))
(portRef CD (instanceRef FF_1))
(portRef CD (instanceRef FF_2))
(portRef CD (instanceRef FF_3))
(portRef CD (instanceRef FF_4))
(portRef CD (instanceRef FF_5))
(portRef CD (instanceRef FF_6))
(portRef CD (instanceRef FF_7))
(portRef CD (instanceRef FF_8))
(portRef CD (instanceRef FF_9))
))
(net Reset_inv (joined
(portRef Z (instanceRef INV_0))
(portRef WEA (instanceRef sram_1_0_0_0))
))
(net (rename buffer_char_out_3_0 "buffer_char_out_3[0]") (joined
(portRef DOA0 (instanceRef sram_1_0_0_0))
(portRef buffer_char_out_3_0)
))
(net sram_1_0_0_DOA1_2 (joined
(portRef DOA1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA2_2 (joined
(portRef DOA2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA3_2 (joined
(portRef DOA3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA4_2 (joined
(portRef DOA4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA5_2 (joined
(portRef DOA5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA6_3 (joined
(portRef DOA6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA7_3 (joined
(portRef DOA7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA8_3 (joined
(portRef DOA8 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB0_3 (joined
(portRef DOB0 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB1_3 (joined
(portRef DOB1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB2_3 (joined
(portRef DOB2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB3_3 (joined
(portRef DOB3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB4_3 (joined
(portRef DOB4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB5_3 (joined
(portRef DOB5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB6_3 (joined
(portRef DOB6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB7_3 (joined
(portRef DOB7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB8_3 (joined
(portRef DOB8 (instanceRef sram_1_0_0_0))
))
(net mem0 (joined
(portRef mem0)
(portRef CK (instanceRef FF_0))
(portRef CK (instanceRef FF_1))
(portRef CK (instanceRef FF_2))
(portRef CK (instanceRef FF_3))
(portRef CK (instanceRef FF_4))
(portRef CK (instanceRef FF_5))
(portRef CK (instanceRef FF_6))
(portRef CK (instanceRef FF_7))
(portRef CK (instanceRef FF_8))
(portRef CK (instanceRef FF_9))
(portRef CLKA (instanceRef sram_1_0_0_0))
))
(net ishreg_addr_w0 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_9))
))
(net ishreg_addr_w1 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_8))
))
(net ishreg_addr_w2 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_7))
))
(net ishreg_addr_w3 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_6))
))
(net ishreg_addr_w4 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_5))
))
(net ishreg_addr_w5 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_4))
))
(net ishreg_addr_w6 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_3))
))
(net ishreg_addr_w7 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_2))
))
(net ishreg_addr_w8 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_1))
))
(net ishreg_addr_w9 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_0))
))
(net sreg_0_ctr_1_ci (joined
(portRef COUT (instanceRef sreg_0_ctr_1_cia))
(portRef CI (instanceRef sreg_0_ctr_1_0))
))
(net sreg_0_ctr_1_cia_S0_3 (joined
(portRef S0 (instanceRef sreg_0_ctr_1_cia))
))
(net sreg_0_ctr_1_cia_S1_3 (joined
(portRef S1 (instanceRef sreg_0_ctr_1_cia))
))
(net co0 (joined
(portRef CO (instanceRef sreg_0_ctr_1_0))
(portRef CI (instanceRef sreg_0_ctr_1_1))
))
(net co1 (joined
(portRef CO (instanceRef sreg_0_ctr_1_1))
(portRef CI (instanceRef sreg_0_ctr_1_2))
))
(net co2 (joined
(portRef CO (instanceRef sreg_0_ctr_1_2))
(portRef CI (instanceRef sreg_0_ctr_1_3))
))
(net co3 (joined
(portRef CO (instanceRef sreg_0_ctr_1_3))
(portRef CI (instanceRef sreg_0_ctr_1_4))
))
(net co4 (joined
(portRef CO (instanceRef sreg_0_ctr_1_4))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "sig2504"))
)
)
(cell sig2504_2 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port buffer_char_out_2_0 (direction OUTPUT))
(port mem0 (direction INPUT))
)
(contents
(instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance OR2_t0 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT)))
)
(instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance sram_1_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 1))
(property DATA_WIDTH_B (integer 1))
(property REGMODE_A (string "NOREG"))
(property REGMODE_B (string "NOREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b111"))
(property WRITEMODE_A (string "READBEFOREWRITE"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "ASYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string ""))
(property MEM_LPC_FILE (string "sig2504.lpc"))
)
(instance FF_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance sreg_0_ctr_1_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net shreg_addr_w0 (joined
(portRef Q (instanceRef FF_9))
(portRef PC0 (instanceRef sreg_0_ctr_1_0))
(portRef ADA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_1))
))
(net shreg_addr_w0_inv (joined
(portRef Z (instanceRef INV_1))
(portRef AD3 (instanceRef LUT4_3))
))
(net shreg_addr_w3 (joined
(portRef Q (instanceRef FF_6))
(portRef PC1 (instanceRef sreg_0_ctr_1_1))
(portRef ADA3 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_3))
))
(net shreg_addr_w2 (joined
(portRef Q (instanceRef FF_7))
(portRef PC0 (instanceRef sreg_0_ctr_1_1))
(portRef ADA2 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_3))
))
(net shreg_addr_w1 (joined
(portRef Q (instanceRef FF_8))
(portRef PC1 (instanceRef sreg_0_ctr_1_0))
(portRef ADA1 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_3))
))
(net func_and_inet (joined
(portRef DO0 (instanceRef LUT4_3))
(portRef AD3 (instanceRef LUT4_0))
))
(net shreg_addr_w7 (joined
(portRef Q (instanceRef FF_2))
(portRef PC1 (instanceRef sreg_0_ctr_1_3))
(portRef ADA7 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_2))
))
(net shreg_addr_w6 (joined
(portRef Q (instanceRef FF_3))
(portRef PC0 (instanceRef sreg_0_ctr_1_3))
(portRef ADA6 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_2))
))
(net shreg_addr_w5 (joined
(portRef Q (instanceRef FF_4))
(portRef PC1 (instanceRef sreg_0_ctr_1_2))
(portRef ADA5 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_2))
))
(net shreg_addr_w4 (joined
(portRef Q (instanceRef FF_5))
(portRef PC0 (instanceRef sreg_0_ctr_1_2))
(portRef ADA4 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_2))
))
(net func_and_inet_1 (joined
(portRef DO0 (instanceRef LUT4_2))
(portRef AD2 (instanceRef LUT4_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B1 (instanceRef sreg_0_ctr_1_cia))
(portRef A1 (instanceRef sreg_0_ctr_1_cia))
(portRef SP (instanceRef FF_0))
(portRef SP (instanceRef FF_1))
(portRef SP (instanceRef FF_2))
(portRef SP (instanceRef FF_3))
(portRef SP (instanceRef FF_4))
(portRef SP (instanceRef FF_5))
(portRef SP (instanceRef FF_6))
(portRef SP (instanceRef FF_7))
(portRef SP (instanceRef FF_8))
(portRef SP (instanceRef FF_9))
(portRef OCEB (instanceRef sram_1_0_0_0))
(portRef OCEA (instanceRef sram_1_0_0_0))
(portRef CEB (instanceRef sram_1_0_0_0))
(portRef CEA (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_0))
(portRef AD1 (instanceRef LUT4_1))
(portRef AD0 (instanceRef LUT4_1))
))
(net shreg_addr_w9 (joined
(portRef Q (instanceRef FF_0))
(portRef PC1 (instanceRef sreg_0_ctr_1_4))
(portRef ADA9 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_1))
))
(net shreg_addr_w8 (joined
(portRef Q (instanceRef FF_1))
(portRef PC0 (instanceRef sreg_0_ctr_1_4))
(portRef ADA8 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_1))
))
(net func_and_inet_2 (joined
(portRef DO0 (instanceRef LUT4_1))
(portRef AD1 (instanceRef LUT4_0))
))
(net dec0_r2046 (joined
(portRef DO0 (instanceRef LUT4_0))
(portRef B (instanceRef OR2_t0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CI (instanceRef sreg_0_ctr_1_cia))
(portRef B0 (instanceRef sreg_0_ctr_1_cia))
(portRef A0 (instanceRef sreg_0_ctr_1_cia))
(portRef CLKB (instanceRef sram_1_0_0_0))
(portRef CSB2 (instanceRef sram_1_0_0_0))
(portRef CSB1 (instanceRef sram_1_0_0_0))
(portRef CSB0 (instanceRef sram_1_0_0_0))
(portRef CSA2 (instanceRef sram_1_0_0_0))
(portRef CSA1 (instanceRef sram_1_0_0_0))
(portRef CSA0 (instanceRef sram_1_0_0_0))
(portRef RSTB (instanceRef sram_1_0_0_0))
(portRef RSTA (instanceRef sram_1_0_0_0))
(portRef WEB (instanceRef sram_1_0_0_0))
(portRef ADB12 (instanceRef sram_1_0_0_0))
(portRef ADB11 (instanceRef sram_1_0_0_0))
(portRef ADB10 (instanceRef sram_1_0_0_0))
(portRef ADB9 (instanceRef sram_1_0_0_0))
(portRef ADB8 (instanceRef sram_1_0_0_0))
(portRef ADB7 (instanceRef sram_1_0_0_0))
(portRef ADB6 (instanceRef sram_1_0_0_0))
(portRef ADB5 (instanceRef sram_1_0_0_0))
(portRef ADB4 (instanceRef sram_1_0_0_0))
(portRef ADB3 (instanceRef sram_1_0_0_0))
(portRef ADB2 (instanceRef sram_1_0_0_0))
(portRef ADB1 (instanceRef sram_1_0_0_0))
(portRef ADB0 (instanceRef sram_1_0_0_0))
(portRef ADA12 (instanceRef sram_1_0_0_0))
(portRef ADA11 (instanceRef sram_1_0_0_0))
(portRef ADA10 (instanceRef sram_1_0_0_0))
(portRef DIB8 (instanceRef sram_1_0_0_0))
(portRef DIB7 (instanceRef sram_1_0_0_0))
(portRef DIB6 (instanceRef sram_1_0_0_0))
(portRef DIB5 (instanceRef sram_1_0_0_0))
(portRef DIB4 (instanceRef sram_1_0_0_0))
(portRef DIB3 (instanceRef sram_1_0_0_0))
(portRef DIB2 (instanceRef sram_1_0_0_0))
(portRef DIB1 (instanceRef sram_1_0_0_0))
(portRef DIB0 (instanceRef sram_1_0_0_0))
(portRef DIA8 (instanceRef sram_1_0_0_0))
(portRef DIA7 (instanceRef sram_1_0_0_0))
(portRef DIA6 (instanceRef sram_1_0_0_0))
(portRef DIA5 (instanceRef sram_1_0_0_0))
(portRef DIA4 (instanceRef sram_1_0_0_0))
(portRef DIA3 (instanceRef sram_1_0_0_0))
(portRef DIA2 (instanceRef sram_1_0_0_0))
(portRef DIA1 (instanceRef sram_1_0_0_0))
(portRef DIA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_0))
(portRef A (instanceRef OR2_t0))
))
(net srrst_ctr (joined
(portRef Z (instanceRef OR2_t0))
(portRef CD (instanceRef FF_0))
(portRef CD (instanceRef FF_1))
(portRef CD (instanceRef FF_2))
(portRef CD (instanceRef FF_3))
(portRef CD (instanceRef FF_4))
(portRef CD (instanceRef FF_5))
(portRef CD (instanceRef FF_6))
(portRef CD (instanceRef FF_7))
(portRef CD (instanceRef FF_8))
(portRef CD (instanceRef FF_9))
))
(net Reset_inv (joined
(portRef Z (instanceRef INV_0))
(portRef WEA (instanceRef sram_1_0_0_0))
))
(net (rename buffer_char_out_2_0 "buffer_char_out_2[0]") (joined
(portRef DOA0 (instanceRef sram_1_0_0_0))
(portRef buffer_char_out_2_0)
))
(net sram_1_0_0_DOA1_1 (joined
(portRef DOA1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA2_1 (joined
(portRef DOA2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA3_1 (joined
(portRef DOA3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA4_1 (joined
(portRef DOA4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA5_1 (joined
(portRef DOA5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA6_2 (joined
(portRef DOA6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA7_2 (joined
(portRef DOA7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA8_2 (joined
(portRef DOA8 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB0_2 (joined
(portRef DOB0 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB1_2 (joined
(portRef DOB1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB2_2 (joined
(portRef DOB2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB3_2 (joined
(portRef DOB3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB4_2 (joined
(portRef DOB4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB5_2 (joined
(portRef DOB5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB6_2 (joined
(portRef DOB6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB7_2 (joined
(portRef DOB7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB8_2 (joined
(portRef DOB8 (instanceRef sram_1_0_0_0))
))
(net mem0 (joined
(portRef mem0)
(portRef CK (instanceRef FF_0))
(portRef CK (instanceRef FF_1))
(portRef CK (instanceRef FF_2))
(portRef CK (instanceRef FF_3))
(portRef CK (instanceRef FF_4))
(portRef CK (instanceRef FF_5))
(portRef CK (instanceRef FF_6))
(portRef CK (instanceRef FF_7))
(portRef CK (instanceRef FF_8))
(portRef CK (instanceRef FF_9))
(portRef CLKA (instanceRef sram_1_0_0_0))
))
(net ishreg_addr_w0 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_9))
))
(net ishreg_addr_w1 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_8))
))
(net ishreg_addr_w2 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_7))
))
(net ishreg_addr_w3 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_6))
))
(net ishreg_addr_w4 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_5))
))
(net ishreg_addr_w5 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_4))
))
(net ishreg_addr_w6 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_3))
))
(net ishreg_addr_w7 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_2))
))
(net ishreg_addr_w8 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_1))
))
(net ishreg_addr_w9 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_0))
))
(net sreg_0_ctr_1_ci (joined
(portRef COUT (instanceRef sreg_0_ctr_1_cia))
(portRef CI (instanceRef sreg_0_ctr_1_0))
))
(net sreg_0_ctr_1_cia_S0_2 (joined
(portRef S0 (instanceRef sreg_0_ctr_1_cia))
))
(net sreg_0_ctr_1_cia_S1_2 (joined
(portRef S1 (instanceRef sreg_0_ctr_1_cia))
))
(net co0 (joined
(portRef CO (instanceRef sreg_0_ctr_1_0))
(portRef CI (instanceRef sreg_0_ctr_1_1))
))
(net co1 (joined
(portRef CO (instanceRef sreg_0_ctr_1_1))
(portRef CI (instanceRef sreg_0_ctr_1_2))
))
(net co2 (joined
(portRef CO (instanceRef sreg_0_ctr_1_2))
(portRef CI (instanceRef sreg_0_ctr_1_3))
))
(net co3 (joined
(portRef CO (instanceRef sreg_0_ctr_1_3))
(portRef CI (instanceRef sreg_0_ctr_1_4))
))
(net co4 (joined
(portRef CO (instanceRef sreg_0_ctr_1_4))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "sig2504"))
)
)
(cell sig2504_1 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port buffer_char_out_1_0 (direction OUTPUT))
(port mem0 (direction INPUT))
)
(contents
(instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance OR2_t0 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT)))
)
(instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance sram_1_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 1))
(property DATA_WIDTH_B (integer 1))
(property REGMODE_A (string "NOREG"))
(property REGMODE_B (string "NOREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b111"))
(property WRITEMODE_A (string "READBEFOREWRITE"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "ASYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string ""))
(property MEM_LPC_FILE (string "sig2504.lpc"))
)
(instance FF_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance sreg_0_ctr_1_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net shreg_addr_w0 (joined
(portRef Q (instanceRef FF_9))
(portRef PC0 (instanceRef sreg_0_ctr_1_0))
(portRef ADA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_1))
))
(net shreg_addr_w0_inv (joined
(portRef Z (instanceRef INV_1))
(portRef AD3 (instanceRef LUT4_3))
))
(net shreg_addr_w3 (joined
(portRef Q (instanceRef FF_6))
(portRef PC1 (instanceRef sreg_0_ctr_1_1))
(portRef ADA3 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_3))
))
(net shreg_addr_w2 (joined
(portRef Q (instanceRef FF_7))
(portRef PC0 (instanceRef sreg_0_ctr_1_1))
(portRef ADA2 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_3))
))
(net shreg_addr_w1 (joined
(portRef Q (instanceRef FF_8))
(portRef PC1 (instanceRef sreg_0_ctr_1_0))
(portRef ADA1 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_3))
))
(net func_and_inet (joined
(portRef DO0 (instanceRef LUT4_3))
(portRef AD3 (instanceRef LUT4_0))
))
(net shreg_addr_w7 (joined
(portRef Q (instanceRef FF_2))
(portRef PC1 (instanceRef sreg_0_ctr_1_3))
(portRef ADA7 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_2))
))
(net shreg_addr_w6 (joined
(portRef Q (instanceRef FF_3))
(portRef PC0 (instanceRef sreg_0_ctr_1_3))
(portRef ADA6 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_2))
))
(net shreg_addr_w5 (joined
(portRef Q (instanceRef FF_4))
(portRef PC1 (instanceRef sreg_0_ctr_1_2))
(portRef ADA5 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_2))
))
(net shreg_addr_w4 (joined
(portRef Q (instanceRef FF_5))
(portRef PC0 (instanceRef sreg_0_ctr_1_2))
(portRef ADA4 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_2))
))
(net func_and_inet_1 (joined
(portRef DO0 (instanceRef LUT4_2))
(portRef AD2 (instanceRef LUT4_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B1 (instanceRef sreg_0_ctr_1_cia))
(portRef A1 (instanceRef sreg_0_ctr_1_cia))
(portRef SP (instanceRef FF_0))
(portRef SP (instanceRef FF_1))
(portRef SP (instanceRef FF_2))
(portRef SP (instanceRef FF_3))
(portRef SP (instanceRef FF_4))
(portRef SP (instanceRef FF_5))
(portRef SP (instanceRef FF_6))
(portRef SP (instanceRef FF_7))
(portRef SP (instanceRef FF_8))
(portRef SP (instanceRef FF_9))
(portRef OCEB (instanceRef sram_1_0_0_0))
(portRef OCEA (instanceRef sram_1_0_0_0))
(portRef CEB (instanceRef sram_1_0_0_0))
(portRef CEA (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_0))
(portRef AD1 (instanceRef LUT4_1))
(portRef AD0 (instanceRef LUT4_1))
))
(net shreg_addr_w9 (joined
(portRef Q (instanceRef FF_0))
(portRef PC1 (instanceRef sreg_0_ctr_1_4))
(portRef ADA9 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_1))
))
(net shreg_addr_w8 (joined
(portRef Q (instanceRef FF_1))
(portRef PC0 (instanceRef sreg_0_ctr_1_4))
(portRef ADA8 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_1))
))
(net func_and_inet_2 (joined
(portRef DO0 (instanceRef LUT4_1))
(portRef AD1 (instanceRef LUT4_0))
))
(net dec0_r2046 (joined
(portRef DO0 (instanceRef LUT4_0))
(portRef B (instanceRef OR2_t0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CI (instanceRef sreg_0_ctr_1_cia))
(portRef B0 (instanceRef sreg_0_ctr_1_cia))
(portRef A0 (instanceRef sreg_0_ctr_1_cia))
(portRef CLKB (instanceRef sram_1_0_0_0))
(portRef CSB2 (instanceRef sram_1_0_0_0))
(portRef CSB1 (instanceRef sram_1_0_0_0))
(portRef CSB0 (instanceRef sram_1_0_0_0))
(portRef CSA2 (instanceRef sram_1_0_0_0))
(portRef CSA1 (instanceRef sram_1_0_0_0))
(portRef CSA0 (instanceRef sram_1_0_0_0))
(portRef RSTB (instanceRef sram_1_0_0_0))
(portRef RSTA (instanceRef sram_1_0_0_0))
(portRef WEB (instanceRef sram_1_0_0_0))
(portRef ADB12 (instanceRef sram_1_0_0_0))
(portRef ADB11 (instanceRef sram_1_0_0_0))
(portRef ADB10 (instanceRef sram_1_0_0_0))
(portRef ADB9 (instanceRef sram_1_0_0_0))
(portRef ADB8 (instanceRef sram_1_0_0_0))
(portRef ADB7 (instanceRef sram_1_0_0_0))
(portRef ADB6 (instanceRef sram_1_0_0_0))
(portRef ADB5 (instanceRef sram_1_0_0_0))
(portRef ADB4 (instanceRef sram_1_0_0_0))
(portRef ADB3 (instanceRef sram_1_0_0_0))
(portRef ADB2 (instanceRef sram_1_0_0_0))
(portRef ADB1 (instanceRef sram_1_0_0_0))
(portRef ADB0 (instanceRef sram_1_0_0_0))
(portRef ADA12 (instanceRef sram_1_0_0_0))
(portRef ADA11 (instanceRef sram_1_0_0_0))
(portRef ADA10 (instanceRef sram_1_0_0_0))
(portRef DIB8 (instanceRef sram_1_0_0_0))
(portRef DIB7 (instanceRef sram_1_0_0_0))
(portRef DIB6 (instanceRef sram_1_0_0_0))
(portRef DIB5 (instanceRef sram_1_0_0_0))
(portRef DIB4 (instanceRef sram_1_0_0_0))
(portRef DIB3 (instanceRef sram_1_0_0_0))
(portRef DIB2 (instanceRef sram_1_0_0_0))
(portRef DIB1 (instanceRef sram_1_0_0_0))
(portRef DIB0 (instanceRef sram_1_0_0_0))
(portRef DIA8 (instanceRef sram_1_0_0_0))
(portRef DIA7 (instanceRef sram_1_0_0_0))
(portRef DIA6 (instanceRef sram_1_0_0_0))
(portRef DIA5 (instanceRef sram_1_0_0_0))
(portRef DIA4 (instanceRef sram_1_0_0_0))
(portRef DIA3 (instanceRef sram_1_0_0_0))
(portRef DIA2 (instanceRef sram_1_0_0_0))
(portRef DIA1 (instanceRef sram_1_0_0_0))
(portRef DIA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_0))
(portRef A (instanceRef OR2_t0))
))
(net srrst_ctr (joined
(portRef Z (instanceRef OR2_t0))
(portRef CD (instanceRef FF_0))
(portRef CD (instanceRef FF_1))
(portRef CD (instanceRef FF_2))
(portRef CD (instanceRef FF_3))
(portRef CD (instanceRef FF_4))
(portRef CD (instanceRef FF_5))
(portRef CD (instanceRef FF_6))
(portRef CD (instanceRef FF_7))
(portRef CD (instanceRef FF_8))
(portRef CD (instanceRef FF_9))
))
(net Reset_inv (joined
(portRef Z (instanceRef INV_0))
(portRef WEA (instanceRef sram_1_0_0_0))
))
(net (rename buffer_char_out_1_0 "buffer_char_out_1[0]") (joined
(portRef DOA0 (instanceRef sram_1_0_0_0))
(portRef buffer_char_out_1_0)
))
(net sram_1_0_0_DOA1_0 (joined
(portRef DOA1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA2_0 (joined
(portRef DOA2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA3_0 (joined
(portRef DOA3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA4_0 (joined
(portRef DOA4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA5_0 (joined
(portRef DOA5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA6_1 (joined
(portRef DOA6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA7_1 (joined
(portRef DOA7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA8_1 (joined
(portRef DOA8 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB0_1 (joined
(portRef DOB0 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB1_1 (joined
(portRef DOB1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB2_1 (joined
(portRef DOB2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB3_1 (joined
(portRef DOB3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB4_1 (joined
(portRef DOB4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB5_1 (joined
(portRef DOB5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB6_1 (joined
(portRef DOB6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB7_1 (joined
(portRef DOB7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB8_1 (joined
(portRef DOB8 (instanceRef sram_1_0_0_0))
))
(net mem0 (joined
(portRef mem0)
(portRef CK (instanceRef FF_0))
(portRef CK (instanceRef FF_1))
(portRef CK (instanceRef FF_2))
(portRef CK (instanceRef FF_3))
(portRef CK (instanceRef FF_4))
(portRef CK (instanceRef FF_5))
(portRef CK (instanceRef FF_6))
(portRef CK (instanceRef FF_7))
(portRef CK (instanceRef FF_8))
(portRef CK (instanceRef FF_9))
(portRef CLKA (instanceRef sram_1_0_0_0))
))
(net ishreg_addr_w0 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_9))
))
(net ishreg_addr_w1 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_8))
))
(net ishreg_addr_w2 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_7))
))
(net ishreg_addr_w3 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_6))
))
(net ishreg_addr_w4 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_5))
))
(net ishreg_addr_w5 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_4))
))
(net ishreg_addr_w6 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_3))
))
(net ishreg_addr_w7 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_2))
))
(net ishreg_addr_w8 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_1))
))
(net ishreg_addr_w9 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_0))
))
(net sreg_0_ctr_1_ci (joined
(portRef COUT (instanceRef sreg_0_ctr_1_cia))
(portRef CI (instanceRef sreg_0_ctr_1_0))
))
(net sreg_0_ctr_1_cia_S0_1 (joined
(portRef S0 (instanceRef sreg_0_ctr_1_cia))
))
(net sreg_0_ctr_1_cia_S1_1 (joined
(portRef S1 (instanceRef sreg_0_ctr_1_cia))
))
(net co0 (joined
(portRef CO (instanceRef sreg_0_ctr_1_0))
(portRef CI (instanceRef sreg_0_ctr_1_1))
))
(net co1 (joined
(portRef CO (instanceRef sreg_0_ctr_1_1))
(portRef CI (instanceRef sreg_0_ctr_1_2))
))
(net co2 (joined
(portRef CO (instanceRef sreg_0_ctr_1_2))
(portRef CI (instanceRef sreg_0_ctr_1_3))
))
(net co3 (joined
(portRef CO (instanceRef sreg_0_ctr_1_3))
(portRef CI (instanceRef sreg_0_ctr_1_4))
))
(net co4 (joined
(portRef CO (instanceRef sreg_0_ctr_1_4))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "sig2504"))
)
)
(cell sig2504 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port buffer_char_out_0_0 (direction OUTPUT))
(port mem0 (direction INPUT))
)
(contents
(instance INV_1 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance LUT4_3 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_2 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_1 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance LUT4_0 (viewRef PRIM (cellRef ROM16X1A (libraryRef LUCENT)))
(property INITVAL (string "0x8000"))
)
(instance OR2_t0 (viewRef PRIM (cellRef OR2 (libraryRef LUCENT)))
)
(instance INV_0 (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance sram_1_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 1))
(property DATA_WIDTH_B (integer 1))
(property REGMODE_A (string "NOREG"))
(property REGMODE_B (string "NOREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b111"))
(property WRITEMODE_A (string "READBEFOREWRITE"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "ASYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string ""))
(property MEM_LPC_FILE (string "sig2504.lpc"))
)
(instance FF_9 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_8 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_7 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_6 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_5 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_4 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_3 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_2 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_1 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance FF_0 (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
(property GSR (string "ENABLED"))
)
(instance sreg_0_ctr_1_cia (viewRef PRIM (cellRef FADD2B (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_0 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_1 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_2 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_3 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance sreg_0_ctr_1_4 (viewRef PRIM (cellRef CU2 (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net shreg_addr_w0 (joined
(portRef Q (instanceRef FF_9))
(portRef PC0 (instanceRef sreg_0_ctr_1_0))
(portRef ADA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_1))
))
(net shreg_addr_w0_inv (joined
(portRef Z (instanceRef INV_1))
(portRef AD3 (instanceRef LUT4_3))
))
(net shreg_addr_w3 (joined
(portRef Q (instanceRef FF_6))
(portRef PC1 (instanceRef sreg_0_ctr_1_1))
(portRef ADA3 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_3))
))
(net shreg_addr_w2 (joined
(portRef Q (instanceRef FF_7))
(portRef PC0 (instanceRef sreg_0_ctr_1_1))
(portRef ADA2 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_3))
))
(net shreg_addr_w1 (joined
(portRef Q (instanceRef FF_8))
(portRef PC1 (instanceRef sreg_0_ctr_1_0))
(portRef ADA1 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_3))
))
(net func_and_inet (joined
(portRef DO0 (instanceRef LUT4_3))
(portRef AD3 (instanceRef LUT4_0))
))
(net shreg_addr_w7 (joined
(portRef Q (instanceRef FF_2))
(portRef PC1 (instanceRef sreg_0_ctr_1_3))
(portRef ADA7 (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_2))
))
(net shreg_addr_w6 (joined
(portRef Q (instanceRef FF_3))
(portRef PC0 (instanceRef sreg_0_ctr_1_3))
(portRef ADA6 (instanceRef sram_1_0_0_0))
(portRef AD1 (instanceRef LUT4_2))
))
(net shreg_addr_w5 (joined
(portRef Q (instanceRef FF_4))
(portRef PC1 (instanceRef sreg_0_ctr_1_2))
(portRef ADA5 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_2))
))
(net shreg_addr_w4 (joined
(portRef Q (instanceRef FF_5))
(portRef PC0 (instanceRef sreg_0_ctr_1_2))
(portRef ADA4 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_2))
))
(net func_and_inet_1 (joined
(portRef DO0 (instanceRef LUT4_2))
(portRef AD2 (instanceRef LUT4_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B1 (instanceRef sreg_0_ctr_1_cia))
(portRef A1 (instanceRef sreg_0_ctr_1_cia))
(portRef SP (instanceRef FF_0))
(portRef SP (instanceRef FF_1))
(portRef SP (instanceRef FF_2))
(portRef SP (instanceRef FF_3))
(portRef SP (instanceRef FF_4))
(portRef SP (instanceRef FF_5))
(portRef SP (instanceRef FF_6))
(portRef SP (instanceRef FF_7))
(portRef SP (instanceRef FF_8))
(portRef SP (instanceRef FF_9))
(portRef OCEB (instanceRef sram_1_0_0_0))
(portRef OCEA (instanceRef sram_1_0_0_0))
(portRef CEB (instanceRef sram_1_0_0_0))
(portRef CEA (instanceRef sram_1_0_0_0))
(portRef AD0 (instanceRef LUT4_0))
(portRef AD1 (instanceRef LUT4_1))
(portRef AD0 (instanceRef LUT4_1))
))
(net shreg_addr_w9 (joined
(portRef Q (instanceRef FF_0))
(portRef PC1 (instanceRef sreg_0_ctr_1_4))
(portRef ADA9 (instanceRef sram_1_0_0_0))
(portRef AD2 (instanceRef LUT4_1))
))
(net shreg_addr_w8 (joined
(portRef Q (instanceRef FF_1))
(portRef PC0 (instanceRef sreg_0_ctr_1_4))
(portRef ADA8 (instanceRef sram_1_0_0_0))
(portRef AD3 (instanceRef LUT4_1))
))
(net func_and_inet_2 (joined
(portRef DO0 (instanceRef LUT4_1))
(portRef AD1 (instanceRef LUT4_0))
))
(net dec0_r2046 (joined
(portRef DO0 (instanceRef LUT4_0))
(portRef B (instanceRef OR2_t0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CI (instanceRef sreg_0_ctr_1_cia))
(portRef B0 (instanceRef sreg_0_ctr_1_cia))
(portRef A0 (instanceRef sreg_0_ctr_1_cia))
(portRef CLKB (instanceRef sram_1_0_0_0))
(portRef CSB2 (instanceRef sram_1_0_0_0))
(portRef CSB1 (instanceRef sram_1_0_0_0))
(portRef CSB0 (instanceRef sram_1_0_0_0))
(portRef CSA2 (instanceRef sram_1_0_0_0))
(portRef CSA1 (instanceRef sram_1_0_0_0))
(portRef CSA0 (instanceRef sram_1_0_0_0))
(portRef RSTB (instanceRef sram_1_0_0_0))
(portRef RSTA (instanceRef sram_1_0_0_0))
(portRef WEB (instanceRef sram_1_0_0_0))
(portRef ADB12 (instanceRef sram_1_0_0_0))
(portRef ADB11 (instanceRef sram_1_0_0_0))
(portRef ADB10 (instanceRef sram_1_0_0_0))
(portRef ADB9 (instanceRef sram_1_0_0_0))
(portRef ADB8 (instanceRef sram_1_0_0_0))
(portRef ADB7 (instanceRef sram_1_0_0_0))
(portRef ADB6 (instanceRef sram_1_0_0_0))
(portRef ADB5 (instanceRef sram_1_0_0_0))
(portRef ADB4 (instanceRef sram_1_0_0_0))
(portRef ADB3 (instanceRef sram_1_0_0_0))
(portRef ADB2 (instanceRef sram_1_0_0_0))
(portRef ADB1 (instanceRef sram_1_0_0_0))
(portRef ADB0 (instanceRef sram_1_0_0_0))
(portRef ADA12 (instanceRef sram_1_0_0_0))
(portRef ADA11 (instanceRef sram_1_0_0_0))
(portRef ADA10 (instanceRef sram_1_0_0_0))
(portRef DIB8 (instanceRef sram_1_0_0_0))
(portRef DIB7 (instanceRef sram_1_0_0_0))
(portRef DIB6 (instanceRef sram_1_0_0_0))
(portRef DIB5 (instanceRef sram_1_0_0_0))
(portRef DIB4 (instanceRef sram_1_0_0_0))
(portRef DIB3 (instanceRef sram_1_0_0_0))
(portRef DIB2 (instanceRef sram_1_0_0_0))
(portRef DIB1 (instanceRef sram_1_0_0_0))
(portRef DIB0 (instanceRef sram_1_0_0_0))
(portRef DIA8 (instanceRef sram_1_0_0_0))
(portRef DIA7 (instanceRef sram_1_0_0_0))
(portRef DIA6 (instanceRef sram_1_0_0_0))
(portRef DIA5 (instanceRef sram_1_0_0_0))
(portRef DIA4 (instanceRef sram_1_0_0_0))
(portRef DIA3 (instanceRef sram_1_0_0_0))
(portRef DIA2 (instanceRef sram_1_0_0_0))
(portRef DIA1 (instanceRef sram_1_0_0_0))
(portRef DIA0 (instanceRef sram_1_0_0_0))
(portRef A (instanceRef INV_0))
(portRef A (instanceRef OR2_t0))
))
(net srrst_ctr (joined
(portRef Z (instanceRef OR2_t0))
(portRef CD (instanceRef FF_0))
(portRef CD (instanceRef FF_1))
(portRef CD (instanceRef FF_2))
(portRef CD (instanceRef FF_3))
(portRef CD (instanceRef FF_4))
(portRef CD (instanceRef FF_5))
(portRef CD (instanceRef FF_6))
(portRef CD (instanceRef FF_7))
(portRef CD (instanceRef FF_8))
(portRef CD (instanceRef FF_9))
))
(net Reset_inv (joined
(portRef Z (instanceRef INV_0))
(portRef WEA (instanceRef sram_1_0_0_0))
))
(net (rename buffer_char_out_0_0 "buffer_char_out_0[0]") (joined
(portRef DOA0 (instanceRef sram_1_0_0_0))
(portRef buffer_char_out_0_0)
))
(net sram_1_0_0_0_DOA1 (joined
(portRef DOA1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOA2 (joined
(portRef DOA2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOA3 (joined
(portRef DOA3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOA4 (joined
(portRef DOA4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_0_DOA5 (joined
(portRef DOA5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA6_0 (joined
(portRef DOA6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA7_0 (joined
(portRef DOA7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOA8_0 (joined
(portRef DOA8 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB0_0 (joined
(portRef DOB0 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB1_0 (joined
(portRef DOB1 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB2_0 (joined
(portRef DOB2 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB3_0 (joined
(portRef DOB3 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB4_0 (joined
(portRef DOB4 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB5_0 (joined
(portRef DOB5 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB6_0 (joined
(portRef DOB6 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB7_0 (joined
(portRef DOB7 (instanceRef sram_1_0_0_0))
))
(net sram_1_0_0_DOB8_0 (joined
(portRef DOB8 (instanceRef sram_1_0_0_0))
))
(net mem0 (joined
(portRef mem0)
(portRef CK (instanceRef FF_0))
(portRef CK (instanceRef FF_1))
(portRef CK (instanceRef FF_2))
(portRef CK (instanceRef FF_3))
(portRef CK (instanceRef FF_4))
(portRef CK (instanceRef FF_5))
(portRef CK (instanceRef FF_6))
(portRef CK (instanceRef FF_7))
(portRef CK (instanceRef FF_8))
(portRef CK (instanceRef FF_9))
(portRef CLKA (instanceRef sram_1_0_0_0))
))
(net ishreg_addr_w0 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_9))
))
(net ishreg_addr_w1 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_0))
(portRef D (instanceRef FF_8))
))
(net ishreg_addr_w2 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_7))
))
(net ishreg_addr_w3 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_1))
(portRef D (instanceRef FF_6))
))
(net ishreg_addr_w4 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_5))
))
(net ishreg_addr_w5 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_2))
(portRef D (instanceRef FF_4))
))
(net ishreg_addr_w6 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_3))
))
(net ishreg_addr_w7 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_3))
(portRef D (instanceRef FF_2))
))
(net ishreg_addr_w8 (joined
(portRef NC0 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_1))
))
(net ishreg_addr_w9 (joined
(portRef NC1 (instanceRef sreg_0_ctr_1_4))
(portRef D (instanceRef FF_0))
))
(net sreg_0_ctr_1_ci (joined
(portRef COUT (instanceRef sreg_0_ctr_1_cia))
(portRef CI (instanceRef sreg_0_ctr_1_0))
))
(net sreg_0_ctr_1_cia_S0_0 (joined
(portRef S0 (instanceRef sreg_0_ctr_1_cia))
))
(net sreg_0_ctr_1_cia_S1_0 (joined
(portRef S1 (instanceRef sreg_0_ctr_1_cia))
))
(net co0 (joined
(portRef CO (instanceRef sreg_0_ctr_1_0))
(portRef CI (instanceRef sreg_0_ctr_1_1))
))
(net co1 (joined
(portRef CO (instanceRef sreg_0_ctr_1_1))
(portRef CI (instanceRef sreg_0_ctr_1_2))
))
(net co2 (joined
(portRef CO (instanceRef sreg_0_ctr_1_2))
(portRef CI (instanceRef sreg_0_ctr_1_3))
))
(net co3 (joined
(portRef CO (instanceRef sreg_0_ctr_1_3))
(portRef CI (instanceRef sreg_0_ctr_1_4))
))
(net co4 (joined
(portRef CO (instanceRef sreg_0_ctr_1_4))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "sig2504"))
)
)
(cell ttl2519 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename screen_char "screen_char(5:0)") 6) (direction OUTPUT))
(port (array (rename rd "rd(6:0)") 7) (direction INPUT))
(port buffer_char_out_5_0 (direction INPUT))
(port buffer_char_out_1_0 (direction INPUT))
(port buffer_char_out_3_0 (direction INPUT))
(port buffer_char_out_2_0 (direction INPUT))
(port buffer_char_out_0_0 (direction INPUT))
(port buffer_char_out_4_0 (direction INPUT))
(port line_clock (direction INPUT))
(port msb_s_0 (direction INPUT))
(port clr (direction INPUT))
(port y2 (direction INPUT))
(port write_i_i (direction INPUT))
)
(contents
(instance (rename input_1_4 "input_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C (!B !A))"))
)
(instance (rename input_4 "input[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))"))
)
(instance (rename input_1_0 "input_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C (!B !A))"))
)
(instance (rename input_0 "input[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))"))
)
(instance (rename input_1_2 "input_1[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C (!B !A))"))
)
(instance (rename input_2 "input[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))"))
)
(instance (rename input_1_3 "input_1[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C (!B !A))"))
)
(instance (rename input_3 "input[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))"))
)
(instance (rename input_1_1 "input_1[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C (!B !A))"))
)
(instance (rename input_1 "input[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A))+D (!C (!B+!A)+C !A))"))
)
(instance (rename input_1_5 "input_1[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+(!B !A+B A))+D (!C (!B !A+B A)))"))
)
(instance (rename input_5 "input[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)+C A)+D (C+(B+!A)))"))
)
(instance LineBuffer (viewRef netlist (cellRef ShiftReg40))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net (rename buffer_char_out_4_0 "buffer_char_out_4[0]") (joined
(portRef buffer_char_out_4_0)
(portRef A (instanceRef input_1_4))
))
(net write_i_i (joined
(portRef write_i_i)
(portRef C (instanceRef input_1_5))
(portRef B (instanceRef input_1_1))
(portRef B (instanceRef input_1_3))
(portRef B (instanceRef input_1_2))
(portRef B (instanceRef input_1_0))
(portRef B (instanceRef input_1_4))
))
(net (rename rd_4 "rd[4]") (joined
(portRef (member rd 2))
(portRef C (instanceRef input_1_4))
))
(net (rename input_1_4 "input_1[4]") (joined
(portRef Z (instanceRef input_1_4))
(portRef B (instanceRef input_4))
))
(net y2 (joined
(portRef y2)
(portRef A (instanceRef input_5))
(portRef A (instanceRef input_1))
(portRef A (instanceRef input_3))
(portRef A (instanceRef input_2))
(portRef A (instanceRef input_0))
(portRef A (instanceRef input_4))
))
(net clr (joined
(portRef clr)
(portRef C (instanceRef input_5))
(portRef C (instanceRef input_1))
(portRef C (instanceRef input_3))
(portRef C (instanceRef input_2))
(portRef C (instanceRef input_0))
(portRef C (instanceRef input_4))
))
(net (rename screen_char_4 "screen_char[4]") (joined
(portRef (member screen_char 1) (instanceRef LineBuffer))
(portRef D (instanceRef input_4))
(portRef (member screen_char 1))
))
(net (rename input_4 "input[4]") (joined
(portRef Z (instanceRef input_4))
(portRef (member input 1) (instanceRef LineBuffer))
))
(net (rename buffer_char_out_0_0 "buffer_char_out_0[0]") (joined
(portRef buffer_char_out_0_0)
(portRef A (instanceRef input_1_0))
))
(net (rename rd_0 "rd[0]") (joined
(portRef (member rd 6))
(portRef C (instanceRef input_1_0))
))
(net (rename input_1_0 "input_1[0]") (joined
(portRef Z (instanceRef input_1_0))
(portRef B (instanceRef input_0))
))
(net (rename screen_char_0 "screen_char[0]") (joined
(portRef (member screen_char 5) (instanceRef LineBuffer))
(portRef D (instanceRef input_0))
(portRef (member screen_char 5))
))
(net (rename input_0 "input[0]") (joined
(portRef Z (instanceRef input_0))
(portRef (member input 5) (instanceRef LineBuffer))
))
(net (rename buffer_char_out_2_0 "buffer_char_out_2[0]") (joined
(portRef buffer_char_out_2_0)
(portRef A (instanceRef input_1_2))
))
(net (rename rd_2 "rd[2]") (joined
(portRef (member rd 4))
(portRef C (instanceRef input_1_2))
))
(net (rename input_1_2 "input_1[2]") (joined
(portRef Z (instanceRef input_1_2))
(portRef B (instanceRef input_2))
))
(net (rename screen_char_2 "screen_char[2]") (joined
(portRef (member screen_char 3) (instanceRef LineBuffer))
(portRef D (instanceRef input_2))
(portRef (member screen_char 3))
))
(net (rename input_2 "input[2]") (joined
(portRef Z (instanceRef input_2))
(portRef (member input 3) (instanceRef LineBuffer))
))
(net (rename buffer_char_out_3_0 "buffer_char_out_3[0]") (joined
(portRef buffer_char_out_3_0)
(portRef A (instanceRef input_1_3))
))
(net (rename rd_3 "rd[3]") (joined
(portRef (member rd 3))
(portRef C (instanceRef input_1_3))
))
(net (rename input_1_3 "input_1[3]") (joined
(portRef Z (instanceRef input_1_3))
(portRef B (instanceRef input_3))
))
(net (rename screen_char_3 "screen_char[3]") (joined
(portRef (member screen_char 2) (instanceRef LineBuffer))
(portRef D (instanceRef input_3))
(portRef (member screen_char 2))
))
(net (rename input_3 "input[3]") (joined
(portRef Z (instanceRef input_3))
(portRef (member input 2) (instanceRef LineBuffer))
))
(net (rename buffer_char_out_1_0 "buffer_char_out_1[0]") (joined
(portRef buffer_char_out_1_0)
(portRef A (instanceRef input_1_1))
))
(net (rename rd_1 "rd[1]") (joined
(portRef (member rd 5))
(portRef C (instanceRef input_1_1))
))
(net (rename input_1_1 "input_1[1]") (joined
(portRef Z (instanceRef input_1_1))
(portRef B (instanceRef input_1))
))
(net (rename screen_char_1 "screen_char[1]") (joined
(portRef (member screen_char 4) (instanceRef LineBuffer))
(portRef D (instanceRef input_1))
(portRef (member screen_char 4))
))
(net (rename input_1 "input[1]") (joined
(portRef Z (instanceRef input_1))
(portRef (member input 4) (instanceRef LineBuffer))
))
(net (rename buffer_char_out_5_0 "buffer_char_out_5[0]") (joined
(portRef buffer_char_out_5_0)
(portRef A (instanceRef input_1_5))
))
(net msb_s_0 (joined
(portRef msb_s_0)
(portRef B (instanceRef input_1_5))
))
(net (rename rd_6 "rd[6]") (joined
(portRef (member rd 0))
(portRef D (instanceRef input_1_5))
))
(net (rename input_1_5 "input_1[5]") (joined
(portRef Z (instanceRef input_1_5))
(portRef B (instanceRef input_5))
))
(net (rename screen_char_5 "screen_char[5]") (joined
(portRef (member screen_char 0) (instanceRef LineBuffer))
(portRef D (instanceRef input_5))
(portRef (member screen_char 0))
))
(net (rename input_5 "input[5]") (joined
(portRef Z (instanceRef input_5))
(portRef (member input 0) (instanceRef LineBuffer))
))
(net line_clock (joined
(portRef line_clock)
(portRef line_clock (instanceRef LineBuffer))
))
)
(property orig_inst_of (string "ttl2519"))
)
)
(cell dm7400_1 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port count_i_0 (direction INPUT))
(port horz_count_upper_0 (direction INPUT))
(port (array (rename char_column "char_column(2:0)") 3) (direction INPUT))
(port (array (rename count "count(3:2)") 2) (direction INPUT))
(port count_fast_0 (direction INPUT))
(port vbl_i (direction OUTPUT))
(port line_clock (direction OUTPUT))
(port y2 (direction OUTPUT))
(port y3 (direction OUTPUT))
)
(contents
(instance Y1_keep_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance Y3_keep_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT)))
)
(instance y3_inferred_clock_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance y2_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance y1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance y2_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net (rename y1_inferred_clock "y1") (joined
(portRef A (instanceRef Y1_keep_RNO))
(portRef Z (instanceRef y1))
))
(net (rename y3_inferred_clock "y3") (joined
(portRef A (instanceRef Y3_keep_RNO))
(portRef y3)
(portRef Z (instanceRef y3_inferred_clock_RNO))
))
(net (rename count_fast_0 "count_fast[3]") (joined
(portRef count_fast_0)
(portRef A (instanceRef y3_inferred_clock_RNO))
))
(net (rename count_2 "count[2]") (joined
(portRef (member count 1))
(portRef B (instanceRef y3_inferred_clock_RNO))
))
(net (rename count_3 "count[3]") (joined
(portRef (member count 0))
(portRef C (instanceRef y3_inferred_clock_RNO))
))
(net y2_0_a3_0 (joined
(portRef Z (instanceRef y2_0_a3_0))
(portRef A (instanceRef y2_0_a3))
))
(net (rename char_column_0 "char_column[0]") (joined
(portRef (member char_column 2))
(portRef B (instanceRef y2_0_a3))
))
(net (rename char_column_1 "char_column[1]") (joined
(portRef (member char_column 1))
(portRef C (instanceRef y2_0_a3))
))
(net (rename char_column_2 "char_column[2]") (joined
(portRef (member char_column 0))
(portRef A (instanceRef y1))
(portRef D (instanceRef y2_0_a3))
))
(net y2 (joined
(portRef Z (instanceRef y2_0_a3))
(portRef y2)
))
(net (rename horz_count_upper_0 "horz_count_upper[2]") (joined
(portRef horz_count_upper_0)
(portRef B (instanceRef y2_0_a3_0))
(portRef B (instanceRef y1))
))
(net (rename count_i_0 "count_i[3]") (joined
(portRef count_i_0)
(portRef A (instanceRef y2_0_a3_0))
))
(net (rename Y1_keep "line_clock") (joined
(portRef line_clock)
(portRef Z (instanceRef Y1_keep_RNO))
))
(net (rename Y3_keep "vbl_i") (joined
(portRef vbl_i)
(portRef Z (instanceRef Y3_keep_RNO))
))
)
(property orig_inst_of (string "dm7400"))
)
)
(cell dm74166 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename cur_char "cur_char(4:0)") 5) (direction INPUT))
(port y2 (direction INPUT))
(port luma_temp (direction OUTPUT))
(port CLKOS_i_0 (direction INPUT))
(port dot_rate_0_i (direction INPUT))
)
(contents
(instance Qh (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance Qg (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance Qf (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance Qe (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance Qd (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance Qe_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A)+C (B+A))"))
)
(instance Qf_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A)+C (B+A))"))
)
(instance Qg_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A)+C (B+A))"))
)
(instance Qh_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A)+C (B+A))"))
)
(instance Qd_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net Qh_3 (joined
(portRef Z (instanceRef Qh_3))
(portRef D (instanceRef Qh))
))
(net dot_rate_0_i (joined
(portRef dot_rate_0_i)
(portRef SP (instanceRef Qd))
(portRef SP (instanceRef Qe))
(portRef SP (instanceRef Qf))
(portRef SP (instanceRef Qg))
(portRef SP (instanceRef Qh))
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CK (instanceRef Qd))
(portRef CK (instanceRef Qe))
(portRef CK (instanceRef Qf))
(portRef CK (instanceRef Qg))
(portRef CK (instanceRef Qh))
))
(net luma_temp (joined
(portRef Q (instanceRef Qh))
(portRef luma_temp)
))
(net Qg_3 (joined
(portRef Z (instanceRef Qg_3))
(portRef D (instanceRef Qg))
))
(net Qg (joined
(portRef Q (instanceRef Qg))
(portRef A (instanceRef Qh_3))
))
(net Qf_3 (joined
(portRef Z (instanceRef Qf_3))
(portRef D (instanceRef Qf))
))
(net Qf (joined
(portRef Q (instanceRef Qf))
(portRef A (instanceRef Qg_3))
))
(net Qe_3 (joined
(portRef Z (instanceRef Qe_3))
(portRef D (instanceRef Qe))
))
(net Qe (joined
(portRef Q (instanceRef Qe))
(portRef A (instanceRef Qf_3))
))
(net Qd_3 (joined
(portRef Z (instanceRef Qd_3))
(portRef D (instanceRef Qd))
))
(net Qd (joined
(portRef Q (instanceRef Qd))
(portRef A (instanceRef Qe_3))
))
(net y2 (joined
(portRef y2)
(portRef A (instanceRef Qd_3))
(portRef B (instanceRef Qh_3))
(portRef B (instanceRef Qg_3))
(portRef B (instanceRef Qf_3))
(portRef B (instanceRef Qe_3))
))
(net (rename cur_char_1 "cur_char[1]") (joined
(portRef (member cur_char 3))
(portRef C (instanceRef Qe_3))
))
(net (rename cur_char_2 "cur_char[2]") (joined
(portRef (member cur_char 2))
(portRef C (instanceRef Qf_3))
))
(net (rename cur_char_3 "cur_char[3]") (joined
(portRef (member cur_char 1))
(portRef C (instanceRef Qg_3))
))
(net (rename cur_char_4 "cur_char[4]") (joined
(portRef (member cur_char 0))
(portRef C (instanceRef Qh_3))
))
(net (rename cur_char_0 "cur_char[0]") (joined
(portRef (member cur_char 4))
(portRef B (instanceRef Qd_3))
))
)
(property orig_inst_of (string "dm74166"))
)
)
(cell sig2513 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename screen_char "screen_char(5:0)") 6) (direction INPUT))
(port (array (rename vert_count_lower "vert_count_lower(2:0)") 3) (direction INPUT))
(port (array (rename cur_char "cur_char(4:0)") 5) (direction OUTPUT))
(port circuit_clk (direction INPUT))
)
(contents
(instance sig2513_0_0_0 (viewRef PRIM (cellRef DP8KC (libraryRef LUCENT)))
(property DATA_WIDTH_A (integer 9))
(property DATA_WIDTH_B (integer 9))
(property REGMODE_A (string "OUTREG"))
(property REGMODE_B (string "OUTREG"))
(property CSDECODE_A (string "0b000"))
(property CSDECODE_B (string "0b000"))
(property WRITEMODE_A (string "NORMAL"))
(property WRITEMODE_B (string "NORMAL"))
(property GSR (string "ENABLED"))
(property RESETMODE (string "SYNC"))
(property ASYNC_RESET_RELEASE (string "SYNC"))
(property INITVAL_00 (string "0x01C11020100201101C0003C110221E0221103C000221103E110220A0080001E1002C1702A1101C00"))
(property INITVAL_01 (string "0x01E11026100201001E00020100201E0201003E0003E100201E0201003E0003C11022110221103C00"))
(property INITVAL_02 (string "0x0221202818028120220001C1100201002010020001C04008040080401C00022110221F0221102200"))
(property INITVAL_03 (string "0x01C11022110221101C0002211026150321102200022110221502A1B0220003E10020100201002000"))
(property INITVAL_04 (string "0x01C110020E0201101C00022120281E0221103C0001A1202A110221101C00020100201E0221103C00"))
(property INITVAL_05 (string "0x0221B02A1502211022000080A02211022110220001C1102211022110220000804008040080403E00"))
(property INITVAL_06 (string "0x03E18030180301803E0003E10010040040103E000080400804014110220002211014040141102200"))
(property INITVAL_07 (string "0x03E00000000000000000000000220A008000000003E03006030060303E0000001004040101000000"))
(property INITVAL_08 (string "0x0140A03E0A03E0A0140000000000000140A014000080000804008040080000000000000000000000"))
(property INITVAL_09 (string "0x0000000000008040080001A1202A080281401000006130100400419030000081E00A0E0280F00800"))
(property INITVAL_0A (string "0x000040081F00804000000081501C0401C15008000080200201002020080000808020100200800800"))
(property INITVAL_0B (string "0x0001001004004010000000800000000000000000000000001F000000000001004008000000000000"))
(property INITVAL_0C (string "0x01C11002060040103E0003E10010060021101C0001C04008040080C0080001C11032150261101C00"))
(property INITVAL_0D (string "0x01008010040040103E0001C110221E0200800E0001C110020103C1003E000040203E120140600400"))
(property INITVAL_0E (string "0x0100400800008000000000000008000080000000038020020F0221101C0001C110220E0221101C00"))
(property INITVAL_0F (string "0x00800008040041101C00010040040100404010000000003E0003E000000000404010100100400400"))
(property INITVAL_10 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_11 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_12 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_13 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_14 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_15 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_16 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_17 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_18 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_19 (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1A (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1B (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1C (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1D (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1E (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INITVAL_1F (string "0x00000000000000000000000000000000000000000000000000000000000000000000000000000000"))
(property INIT_DATA (string "STATIC"))
(property MEM_INIT_FILE (string "lut_2513.mem"))
(property MEM_LPC_FILE (string "sig2513.lpc"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net (rename cur_char_0 "cur_char[0]") (joined
(portRef DOA0 (instanceRef sig2513_0_0_0))
(portRef (member cur_char 4))
))
(net (rename cur_char_1 "cur_char[1]") (joined
(portRef DOA1 (instanceRef sig2513_0_0_0))
(portRef (member cur_char 3))
))
(net (rename cur_char_2 "cur_char[2]") (joined
(portRef DOA2 (instanceRef sig2513_0_0_0))
(portRef (member cur_char 2))
))
(net (rename cur_char_3 "cur_char[3]") (joined
(portRef DOA3 (instanceRef sig2513_0_0_0))
(portRef (member cur_char 1))
))
(net (rename cur_char_4 "cur_char[4]") (joined
(portRef DOA4 (instanceRef sig2513_0_0_0))
(portRef (member cur_char 0))
))
(net sig2513_0_0_0_DOA5 (joined
(portRef DOA5 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOA6 (joined
(portRef DOA6 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOA7 (joined
(portRef DOA7 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOA8 (joined
(portRef DOA8 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB0 (joined
(portRef DOB0 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB1 (joined
(portRef DOB1 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB2 (joined
(portRef DOB2 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB3 (joined
(portRef DOB3 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB4 (joined
(portRef DOB4 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB5 (joined
(portRef DOB5 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB6 (joined
(portRef DOB6 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB7 (joined
(portRef DOB7 (instanceRef sig2513_0_0_0))
))
(net sig2513_0_0_0_DOB8 (joined
(portRef DOB8 (instanceRef sig2513_0_0_0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef CSB2 (instanceRef sig2513_0_0_0))
(portRef CSB1 (instanceRef sig2513_0_0_0))
(portRef CSB0 (instanceRef sig2513_0_0_0))
(portRef CSA2 (instanceRef sig2513_0_0_0))
(portRef CSA1 (instanceRef sig2513_0_0_0))
(portRef CSA0 (instanceRef sig2513_0_0_0))
(portRef RSTB (instanceRef sig2513_0_0_0))
(portRef RSTA (instanceRef sig2513_0_0_0))
(portRef WEB (instanceRef sig2513_0_0_0))
(portRef WEA (instanceRef sig2513_0_0_0))
(portRef ADB11 (instanceRef sig2513_0_0_0))
(portRef ADB10 (instanceRef sig2513_0_0_0))
(portRef ADB9 (instanceRef sig2513_0_0_0))
(portRef ADB8 (instanceRef sig2513_0_0_0))
(portRef ADB7 (instanceRef sig2513_0_0_0))
(portRef ADB6 (instanceRef sig2513_0_0_0))
(portRef ADB5 (instanceRef sig2513_0_0_0))
(portRef ADB4 (instanceRef sig2513_0_0_0))
(portRef ADB3 (instanceRef sig2513_0_0_0))
(portRef ADB2 (instanceRef sig2513_0_0_0))
(portRef ADB1 (instanceRef sig2513_0_0_0))
(portRef ADB0 (instanceRef sig2513_0_0_0))
(portRef ADA12 (instanceRef sig2513_0_0_0))
(portRef ADA2 (instanceRef sig2513_0_0_0))
(portRef ADA1 (instanceRef sig2513_0_0_0))
(portRef ADA0 (instanceRef sig2513_0_0_0))
(portRef DIB8 (instanceRef sig2513_0_0_0))
(portRef DIB7 (instanceRef sig2513_0_0_0))
(portRef DIB6 (instanceRef sig2513_0_0_0))
(portRef DIB5 (instanceRef sig2513_0_0_0))
(portRef DIB4 (instanceRef sig2513_0_0_0))
(portRef DIB3 (instanceRef sig2513_0_0_0))
(portRef DIB2 (instanceRef sig2513_0_0_0))
(portRef DIB1 (instanceRef sig2513_0_0_0))
(portRef DIB0 (instanceRef sig2513_0_0_0))
(portRef DIA8 (instanceRef sig2513_0_0_0))
(portRef DIA7 (instanceRef sig2513_0_0_0))
(portRef DIA6 (instanceRef sig2513_0_0_0))
(portRef DIA5 (instanceRef sig2513_0_0_0))
(portRef DIA4 (instanceRef sig2513_0_0_0))
(portRef DIA3 (instanceRef sig2513_0_0_0))
(portRef DIA2 (instanceRef sig2513_0_0_0))
(portRef DIA1 (instanceRef sig2513_0_0_0))
(portRef DIA0 (instanceRef sig2513_0_0_0))
))
(net (rename vert_count_lower_0 "vert_count_lower[0]") (joined
(portRef (member vert_count_lower 2))
(portRef ADA3 (instanceRef sig2513_0_0_0))
))
(net (rename vert_count_lower_1 "vert_count_lower[1]") (joined
(portRef (member vert_count_lower 1))
(portRef ADA4 (instanceRef sig2513_0_0_0))
))
(net (rename vert_count_lower_2 "vert_count_lower[2]") (joined
(portRef (member vert_count_lower 0))
(portRef ADA5 (instanceRef sig2513_0_0_0))
))
(net (rename screen_char_0 "screen_char[0]") (joined
(portRef (member screen_char 5))
(portRef ADA6 (instanceRef sig2513_0_0_0))
))
(net (rename screen_char_1 "screen_char[1]") (joined
(portRef (member screen_char 4))
(portRef ADA7 (instanceRef sig2513_0_0_0))
))
(net (rename screen_char_2 "screen_char[2]") (joined
(portRef (member screen_char 3))
(portRef ADA8 (instanceRef sig2513_0_0_0))
))
(net (rename screen_char_3 "screen_char[3]") (joined
(portRef (member screen_char 2))
(portRef ADA9 (instanceRef sig2513_0_0_0))
))
(net (rename screen_char_4 "screen_char[4]") (joined
(portRef (member screen_char 1))
(portRef ADA10 (instanceRef sig2513_0_0_0))
))
(net (rename screen_char_5 "screen_char[5]") (joined
(portRef (member screen_char 0))
(portRef ADA11 (instanceRef sig2513_0_0_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef OCEB (instanceRef sig2513_0_0_0))
(portRef OCEA (instanceRef sig2513_0_0_0))
(portRef CEB (instanceRef sig2513_0_0_0))
(portRef CEA (instanceRef sig2513_0_0_0))
(portRef ADB12 (instanceRef sig2513_0_0_0))
))
(net circuit_clk (joined
(portRef circuit_clk)
(portRef CLKB (instanceRef sig2513_0_0_0))
(portRef CLKA (instanceRef sig2513_0_0_0))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "sig2513"))
)
)
(cell dm74161_0 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port horz_count_upper_0 (direction INPUT))
(port count_0_d0 (direction INPUT))
(port count_2 (direction INPUT))
(port count_0_0 (direction INPUT))
(port sync_count_0 (direction OUTPUT))
(port sync_count_2 (direction OUTPUT))
(port count_i_0 (direction INPUT))
(port y3 (direction INPUT))
(port CLKOS_i_0 (direction INPUT))
(port states_ret_Q (direction INPUT))
(port last_h (direction INPUT))
)
(contents
(instance un4_cep_0_o3_RNIF38D2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B+A)))"))
)
(instance (rename count_0 "count[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_1 "count[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_2 "count[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_3 "count[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_RNO_3 "count_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C (B+A))"))
)
(instance (rename count_RNO_2 "count_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !A)+D (!C (B !A)+C (!B !A)))"))
)
(instance (rename count_RNO_0_2 "count_RNO_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C (B+!A))"))
)
(instance un4_cep_0_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C+(!B+A)))"))
)
(instance (rename count_RNIDP8N_2 "count_RNIDP8N[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+!A))"))
)
(instance un4_cep_0_o3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance (rename count_RNO_1 "count_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+A)+C (!B+A))"))
)
(instance count_n0_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net last_h (joined
(portRef last_h)
(portRef A (instanceRef un4_cep_0_o3_RNIF38D2))
))
(net N_94 (joined
(portRef Z (instanceRef un4_cep_0_o3))
(portRef A (instanceRef count_n0_i_a3))
(portRef A (instanceRef count_RNO_1))
(portRef B (instanceRef count_RNO_0_2))
(portRef B (instanceRef count_RNO_3))
(portRef B (instanceRef un4_cep_0_o3_RNIF38D2))
))
(net states_ret_Q (joined
(portRef states_ret_Q)
(portRef C (instanceRef un4_cep_0_o3_RNIF38D2))
))
(net (rename count_i_0 "count_i[3]") (joined
(portRef count_i_0)
(portRef D (instanceRef un4_cep_0_o3_RNIF38D2))
))
(net un4_cep_0_o3_RNIF38D2 (joined
(portRef Z (instanceRef un4_cep_0_o3_RNIF38D2))
(portRef SP (instanceRef count_3))
(portRef SP (instanceRef count_2))
(portRef SP (instanceRef count_1))
(portRef SP (instanceRef count_0))
))
(net N_100 (joined
(portRef Z (instanceRef count_n0_i_a3))
(portRef D (instanceRef count_0))
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CK (instanceRef count_3))
(portRef CK (instanceRef count_2))
(portRef CK (instanceRef count_1))
(portRef CK (instanceRef count_0))
))
(net (rename count_0 "count[0]") (joined
(portRef Q (instanceRef count_0))
(portRef B (instanceRef count_n0_i_a3))
(portRef B (instanceRef count_RNO_1))
(portRef A (instanceRef count_RNIDP8N_2))
(portRef B (instanceRef count_RNO_2))
))
(net N_43_i (joined
(portRef Z (instanceRef count_RNO_1))
(portRef D (instanceRef count_1))
))
(net (rename sync_count_0 "sync_count[1]") (joined
(portRef Q (instanceRef count_1))
(portRef C (instanceRef count_RNO_1))
(portRef C (instanceRef count_RNIDP8N_2))
(portRef D (instanceRef count_RNO_2))
(portRef sync_count_0)
))
(net N_42_i (joined
(portRef Z (instanceRef count_RNO_2))
(portRef D (instanceRef count_2))
))
(net (rename countZ0Z_2 "count[2]") (joined
(portRef Q (instanceRef count_2))
(portRef B (instanceRef count_RNIDP8N_2))
(portRef C (instanceRef count_RNO_2))
))
(net N_41_i (joined
(portRef Z (instanceRef count_RNO_3))
(portRef D (instanceRef count_3))
))
(net (rename sync_count_2 "sync_count[3]") (joined
(portRef Q (instanceRef count_3))
(portRef C (instanceRef count_RNO_0_2))
(portRef C (instanceRef count_RNO_3))
(portRef sync_count_2)
))
(net N_67 (joined
(portRef Z (instanceRef count_RNIDP8N_2))
(portRef A (instanceRef count_RNO_0_2))
(portRef A (instanceRef count_RNO_3))
))
(net N_25 (joined
(portRef Z (instanceRef count_RNO_0_2))
(portRef A (instanceRef count_RNO_2))
))
(net (rename count_0_0 "count_0[0]") (joined
(portRef count_0_0)
(portRef A (instanceRef un4_cep_0_o3))
))
(net (rename count_0_d0 "count[1]") (joined
(portRef count_0_d0)
(portRef B (instanceRef un4_cep_0_o3))
))
(net y3 (joined
(portRef y3)
(portRef C (instanceRef un4_cep_0_o3))
))
(net un4_cep_0_o3_1 (joined
(portRef Z (instanceRef un4_cep_0_o3_1))
(portRef D (instanceRef un4_cep_0_o3))
))
(net (rename count_2 "count[3]") (joined
(portRef count_2)
(portRef A (instanceRef un4_cep_0_o3_1))
))
(net (rename horz_count_upper_0 "horz_count_upper[3]") (joined
(portRef horz_count_upper_0)
(portRef B (instanceRef un4_cep_0_o3_1))
))
)
(property orig_inst_of (string "dm74161"))
)
)
(cell dm74161_1 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port sync_count_0 (direction INPUT))
(port (array (rename count_0 "count_0(3:0)") 4) (direction INPUT))
(port count_1_0 (direction INPUT))
(port horz_count_upper_0 (direction INPUT))
(port horz_count_upper_2 (direction INPUT))
(port horz_count_upper_3 (direction INPUT))
(port horz_count_lower_3 (direction INPUT))
(port horz_count_lower_0 (direction INPUT))
(port (array (rename count "count(3:0)") 4) (direction OUTPUT))
(port horz_count_upper_i_0 (direction INPUT))
(port count_5_0 (direction INPUT))
(port char_ready (direction INPUT))
(port un1_N_5_mux (direction INPUT))
(port Y4_a0_x (direction INPUT))
(port g0 (direction INPUT))
(port un1_y2_c_0_a0 (direction INPUT))
(port N_66 (direction INPUT))
(port count_N_7_mux_1 (direction INPUT))
(port G_9 (direction INPUT))
(port last (direction OUTPUT))
(port last_h (direction INPUT))
(port N_27 (direction INPUT))
(port wc2_i (direction INPUT))
(port cleared_last (direction INPUT))
(port y2 (direction INPUT))
(port User_PB1_c (direction INPUT))
(port Y2_m1_e_0_N_2L1_RNID0SD1 (direction INPUT))
(port screen_clear_inhibit (direction INPUT))
(port un8_y1 (direction INPUT))
(port CLKOS_i_0 (direction INPUT))
(port vbl_i (direction INPUT))
(port write_i_i (direction INPUT))
)
(contents
(instance count_N_5_mux_i (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance count_N_5_mux_i_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+A)+C A)+D (!C+A))"))
)
(instance count_N_5_mux_i_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C A+C (!B+A))"))
)
(instance (rename count_0 "count[0]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_1 "count[1]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_2 "count[2]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_3 "count[3]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_RNO_2 "count_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)+C !A)+D (C !A))"))
)
(instance count_m7_i_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B+!A)+C (B A))+D B)"))
)
(instance count_m8_0_m2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+!A)+C (B !A))+D !A)"))
)
(instance count_m2_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !A+C (B !A)))"))
)
(instance count_n2_i_a0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !A+C (B !A))"))
)
(instance count_m3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B A)+D (!C (!B A)))"))
)
(instance carry_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance count_m7_e (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B A+B !A)"))
)
(instance count_n2_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B+!A)+C (B A))"))
)
(instance count_m8_0_x4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(!B+!A))+D (C (B A)))"))
)
(instance carry_0_a3_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+!A))"))
)
(instance g0_0_N_3L3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(!C+(!B+!A)))"))
)
(instance g0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B+A)+C B)+D (B+A))"))
)
(instance g0_N_6L11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(!C+(!B+A)))"))
)
(instance g0_N_4L5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance g0_N_2L1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(!C+(!B+!A)))"))
)
(instance g0_N_7L13 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B+A))+D !C)"))
)
(instance g0_N_7L13_sx (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C B+C (B !A)))"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net count_N_5_mux_i_bm (joined
(portRef Z (instanceRef count_N_5_mux_i_bm))
(portRef ALUT (instanceRef count_N_5_mux_i))
))
(net count_N_5_mux_i_am (joined
(portRef Z (instanceRef count_N_5_mux_i_am))
(portRef BLUT (instanceRef count_N_5_mux_i))
))
(net count_n2_i_a0_1 (joined
(portRef Z (instanceRef count_n2_i_a0_1))
(portRef B (instanceRef count_RNO_2))
(portRef C0 (instanceRef count_N_5_mux_i))
))
(net count_N_5_mux_i (joined
(portRef Z (instanceRef count_N_5_mux_i))
(portRef D (instanceRef count_0))
))
(net (rename count_5_0 "count_5[0]") (joined
(portRef count_5_0)
(portRef A (instanceRef count_N_5_mux_i_am))
(portRef A (instanceRef count_N_5_mux_i_bm))
))
(net write_i_i (joined
(portRef write_i_i)
(portRef D (instanceRef g0_N_7L13))
(portRef D (instanceRef count_m2_e))
(portRef D (instanceRef count_RNO_2))
(portRef B (instanceRef count_N_5_mux_i_bm))
))
(net (rename countZ0Z_0 "count[0]") (joined
(portRef Q (instanceRef count_0))
(portRef A (instanceRef carry_0_a3_RNO))
(portRef A (instanceRef count_m8_0_x4))
(portRef A (instanceRef count_n2_i_0))
(portRef A (instanceRef count_m7_e))
(portRef B (instanceRef count_N_5_mux_i_am))
(portRef C (instanceRef count_N_5_mux_i_bm))
(portRef (member count 3))
))
(net vbl_i (joined
(portRef vbl_i)
(portRef D (instanceRef g0_N_7L13_sx))
(portRef D (instanceRef count_m8_0_m2))
(portRef D (instanceRef count_m7_i_m2))
(portRef C (instanceRef count_RNO_2))
(portRef C (instanceRef count_N_5_mux_i_am))
(portRef D (instanceRef count_N_5_mux_i_bm))
))
(net count_N_3_mux_1_i (joined
(portRef Z (instanceRef g0))
(portRef SP (instanceRef count_3))
(portRef SP (instanceRef count_2))
(portRef SP (instanceRef count_1))
(portRef SP (instanceRef count_0))
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CK (instanceRef count_3))
(portRef CK (instanceRef count_2))
(portRef CK (instanceRef count_1))
(portRef CK (instanceRef count_0))
))
(net (rename horz_count_upper_i_0 "horz_count_upper_i[3]") (joined
(portRef horz_count_upper_i_0)
(portRef CD (instanceRef count_3))
(portRef CD (instanceRef count_2))
(portRef CD (instanceRef count_1))
(portRef CD (instanceRef count_0))
))
(net count_n1 (joined
(portRef Z (instanceRef count_m7_i_m2))
(portRef D (instanceRef count_1))
))
(net (rename count_1 "count[1]") (joined
(portRef Q (instanceRef count_1))
(portRef B (instanceRef carry_0_a3_RNO))
(portRef B (instanceRef count_m8_0_x4))
(portRef B (instanceRef count_n2_i_0))
(portRef B (instanceRef count_m7_e))
(portRef (member count 2))
))
(net N_44_i (joined
(portRef Z (instanceRef count_RNO_2))
(portRef D (instanceRef count_2))
))
(net (rename count_2 "count[2]") (joined
(portRef Q (instanceRef count_2))
(portRef C (instanceRef carry_0_a3_RNO))
(portRef C (instanceRef count_m8_0_x4))
(portRef C (instanceRef count_n2_i_0))
(portRef (member count 1))
))
(net count_n3 (joined
(portRef Z (instanceRef count_m8_0_m2))
(portRef D (instanceRef count_3))
))
(net (rename count_3 "count[3]") (joined
(portRef Q (instanceRef count_3))
(portRef D (instanceRef count_m8_0_x4))
(portRef C (instanceRef carry_0_a3))
(portRef (member count 0))
))
(net count_n2_i_0 (joined
(portRef Z (instanceRef count_n2_i_0))
(portRef A (instanceRef count_RNO_2))
))
(net count_m2_e (joined
(portRef Z (instanceRef count_m2_e))
(portRef B (instanceRef count_m8_0_m2))
(portRef A (instanceRef count_m7_i_m2))
))
(net count_m7_e (joined
(portRef Z (instanceRef count_m7_e))
(portRef B (instanceRef count_m7_i_m2))
))
(net (rename horz_count_lower_3 "horz_count_lower[3]") (joined
(portRef horz_count_lower_3)
(portRef C (instanceRef count_m8_0_m2))
(portRef C (instanceRef count_m7_i_m2))
))
(net count_N_4_i (joined
(portRef Z (instanceRef count_m8_0_x4))
(portRef A (instanceRef count_m8_0_m2))
))
(net un8_y1 (joined
(portRef un8_y1)
(portRef A (instanceRef count_n2_i_a0_1))
(portRef A (instanceRef count_m2_e))
))
(net count_N_4 (joined
(portRef Z (instanceRef count_m3_0_a3))
(portRef B (instanceRef count_m2_e))
))
(net screen_clear_inhibit (joined
(portRef screen_clear_inhibit)
(portRef C (instanceRef count_n2_i_a0_1))
(portRef C (instanceRef count_m2_e))
))
(net Y2_m1_e_0_N_2L1_RNID0SD1 (joined
(portRef Y2_m1_e_0_N_2L1_RNID0SD1)
(portRef B (instanceRef count_n2_i_a0_1))
))
(net User_PB1_c (joined
(portRef User_PB1_c)
(portRef A (instanceRef count_m3_0_a3))
))
(net y2 (joined
(portRef y2)
(portRef B (instanceRef count_m3_0_a3))
))
(net cleared_last (joined
(portRef cleared_last)
(portRef C (instanceRef count_m3_0_a3))
))
(net wc2_i (joined
(portRef wc2_i)
(portRef D (instanceRef count_m3_0_a3))
))
(net N_65 (joined
(portRef Z (instanceRef carry_0_a3_RNO))
(portRef A (instanceRef carry_0_a3))
))
(net N_27 (joined
(portRef N_27)
(portRef B (instanceRef carry_0_a3))
))
(net last_h (joined
(portRef last_h)
(portRef D (instanceRef carry_0_a3))
))
(net last (joined
(portRef Z (instanceRef carry_0_a3))
(portRef last)
))
(net (rename count_0_0 "count_0[0]") (joined
(portRef (member count_0 3))
(portRef A (instanceRef g0_0_N_3L3))
))
(net (rename count_0_1 "count_0[1]") (joined
(portRef (member count_0 2))
(portRef B (instanceRef g0_0_N_3L3))
))
(net (rename horz_count_upper_0 "horz_count_upper[0]") (joined
(portRef horz_count_upper_0)
(portRef C (instanceRef g0_0_N_3L3))
))
(net (rename horz_count_upper_2 "horz_count_upper[2]") (joined
(portRef horz_count_upper_2)
(portRef D (instanceRef g0_0_N_3L3))
))
(net g0_0_N_3L3 (joined
(portRef Z (instanceRef g0_0_N_3L3))
(portRef B (instanceRef g0_N_4L5))
))
(net G_9 (joined
(portRef G_9)
(portRef A (instanceRef g0))
))
(net count_N_7_mux_1 (joined
(portRef count_N_7_mux_1)
(portRef B (instanceRef g0))
))
(net g0_N_6L11 (joined
(portRef Z (instanceRef g0_N_6L11))
(portRef C (instanceRef g0))
))
(net g0_N_7L13 (joined
(portRef Z (instanceRef g0_N_7L13))
(portRef D (instanceRef g0))
))
(net g0_N_2L1 (joined
(portRef Z (instanceRef g0_N_2L1))
(portRef A (instanceRef g0_N_6L11))
))
(net g0_N_4L5 (joined
(portRef Z (instanceRef g0_N_4L5))
(portRef B (instanceRef g0_N_6L11))
))
(net (rename horz_count_lower_0 "horz_count_lower[0]") (joined
(portRef horz_count_lower_0)
(portRef C (instanceRef g0_N_6L11))
))
(net (rename horz_count_upper_3 "horz_count_upper[3]") (joined
(portRef horz_count_upper_3)
(portRef D (instanceRef g0_N_6L11))
))
(net N_66 (joined
(portRef N_66)
(portRef A (instanceRef g0_N_4L5))
))
(net (rename count_1_0 "count_1[1]") (joined
(portRef count_1_0)
(portRef A (instanceRef g0_N_2L1))
))
(net (rename count_0_2 "count_0[2]") (joined
(portRef (member count_0 1))
(portRef B (instanceRef g0_N_2L1))
))
(net (rename count_0_3 "count_0[3]") (joined
(portRef (member count_0 0))
(portRef C (instanceRef g0_N_2L1))
))
(net (rename sync_count_0 "sync_count[1]") (joined
(portRef sync_count_0)
(portRef D (instanceRef g0_N_2L1))
))
(net un1_y2_c_0_a0 (joined
(portRef un1_y2_c_0_a0)
(portRef A (instanceRef g0_N_7L13))
))
(net g0 (joined
(portRef g0)
(portRef B (instanceRef g0_N_7L13))
))
(net g0_N_7L13_sx (joined
(portRef Z (instanceRef g0_N_7L13_sx))
(portRef C (instanceRef g0_N_7L13))
))
(net Y4_a0_x (joined
(portRef Y4_a0_x)
(portRef A (instanceRef g0_N_7L13_sx))
))
(net un1_N_5_mux (joined
(portRef un1_N_5_mux)
(portRef B (instanceRef g0_N_7L13_sx))
))
(net char_ready (joined
(portRef char_ready)
(portRef C (instanceRef g0_N_7L13_sx))
))
)
(property orig_inst_of (string "dm74161"))
)
)
(cell dm74161_2 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port rd_0 (direction INPUT))
(port rd_3 (direction INPUT))
(port rd_4 (direction INPUT))
(port sync_count_0 (direction INPUT))
(port count_i_0 (direction INPUT))
(port (array (rename vert_count_lower "vert_count_lower(2:0)") 3) (direction OUTPUT))
(port horz_count_upper_0 (direction INPUT))
(port states_0 (direction INPUT))
(port horz_count_lower_0 (direction INPUT))
(port count_5_0 (direction OUTPUT))
(port (array (rename count "count(3:0)") 4) (direction OUTPUT))
(port horz_count_upper_i_0 (direction INPUT))
(port un1_N_5_mux (direction INPUT))
(port g0 (direction INPUT))
(port un1_y2_c_0_a0 (direction INPUT))
(port count_N_7_mux_1 (direction OUTPUT))
(port Y2_m1_e_0_N_2L1_RNID0SD1 (direction INPUT))
(port last_h (direction INPUT))
(port y2 (direction INPUT))
(port N_27 (direction OUTPUT))
(port G_9 (direction OUTPUT))
(port states_ret_Q (direction INPUT))
(port char_ready (direction INPUT))
(port wc2_i (direction INPUT))
(port screen_clear_inhibit (direction INPUT))
(port cleared_last (direction INPUT))
(port User_PB1_c (direction INPUT))
(port write_i_i (direction INPUT))
(port Y2_m1_e_a0_1 (direction INPUT))
(port vbl_i (direction INPUT))
(port un8_y1 (direction INPUT))
(port CLKOS_i_0 (direction INPUT))
)
(contents
(instance (rename count_0 "count[0]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_1 "count[1]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_2 "count[2]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_3 "count[3]") (viewRef PRIM (cellRef FD1P3DX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance count_n3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)+C (B+A))+D (!C (!B !A)+C (!B+A)))"))
)
(instance count_n1_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C (B !A)+C (!B !A)))"))
)
(instance count_n0_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(!B !A))"))
)
(instance count_m4_0_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+A)))"))
)
(instance count_m2_e_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C B+C (B !A)))"))
)
(instance un1_m3_0_a3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+A)+D (!C+(!B A)))"))
)
(instance count_m2_e_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+A)+D (!C+(!B A)))"))
)
(instance count_m2_e_s_a0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance count_7_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename un1_count_2 "un1_count[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename un1_count_1 "un1_count[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename un1_count_0 "un1_count[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance G_9 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance (rename count_RNI2SKL7_3 "count_RNI2SKL7[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+A)+D (!C B+C A))"))
)
(instance count_n2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance count_n2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)+C (!B+A))+D (!C (B !A)+C (B+A)))"))
)
(instance (rename count_RNIMRA03_3 "count_RNIMRA03[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C B+C (B !A)))"))
)
(instance (rename count_RNI6CAC2_3 "count_RNI6CAC2[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A))"))
)
(instance (rename count_cnv_0_0 "count_cnv_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (!B A))+D (!C A))"))
)
(instance count_cnv_0_N_3L3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance (rename count_RNI6U1S_3 "count_RNI6U1S[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance g0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance (rename count_cnv_x0_0 "count_cnv_x0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance count_cnv_0_N_2L1_0_sx (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A))+D (B !A))"))
)
(instance count_cnv_0_N_2L1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+(!B !A)))"))
)
(instance (rename count_cnv_0 "count_cnv[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net N_46 (joined
(portRef Z (instanceRef count_n0_i))
(portRef D (instanceRef count_0))
))
(net (rename count_cnv_0_0 "count_cnv_0[0]") (joined
(portRef Z (instanceRef count_cnv_0))
(portRef SP (instanceRef count_3))
(portRef SP (instanceRef count_2))
(portRef SP (instanceRef count_1))
(portRef SP (instanceRef count_0))
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CK (instanceRef count_3))
(portRef CK (instanceRef count_2))
(portRef CK (instanceRef count_1))
(portRef CK (instanceRef count_0))
))
(net (rename horz_count_upper_i_0 "horz_count_upper_i[3]") (joined
(portRef horz_count_upper_i_0)
(portRef CD (instanceRef count_3))
(portRef CD (instanceRef count_2))
(portRef CD (instanceRef count_1))
(portRef CD (instanceRef count_0))
))
(net (rename count_0 "count[0]") (joined
(portRef Q (instanceRef count_0))
(portRef A (instanceRef count_RNI6U1S_3))
(portRef A (instanceRef count_n2_1))
(portRef A (instanceRef un1_count_0))
(portRef A (instanceRef count_7_0))
(portRef B (instanceRef count_n0_i))
(portRef B (instanceRef count_n1_0_0))
(portRef (member count 3))
))
(net count_n1 (joined
(portRef Z (instanceRef count_n1_0_0))
(portRef D (instanceRef count_1))
))
(net (rename count_1 "count[1]") (joined
(portRef Q (instanceRef count_1))
(portRef B (instanceRef count_RNI6U1S_3))
(portRef B (instanceRef count_n2_1))
(portRef A (instanceRef un1_count_1))
(portRef B (instanceRef count_7_0))
(portRef C (instanceRef count_n1_0_0))
(portRef (member count 2))
))
(net count_n2 (joined
(portRef Z (instanceRef count_n2))
(portRef D (instanceRef count_2))
))
(net (rename count_2 "count[2]") (joined
(portRef Q (instanceRef count_2))
(portRef C (instanceRef count_RNI6U1S_3))
(portRef B (instanceRef count_n2))
(portRef A (instanceRef un1_count_2))
(portRef C (instanceRef count_7_0))
(portRef (member count 1))
))
(net count_n3 (joined
(portRef Z (instanceRef count_n3))
(portRef D (instanceRef count_3))
))
(net (rename count_3 "count[3]") (joined
(portRef Q (instanceRef count_3))
(portRef D (instanceRef count_RNI6U1S_3))
(portRef B (instanceRef count_n3))
(portRef (member count 0))
))
(net N_19 (joined
(portRef Z (instanceRef count_RNI2SKL7_3))
(portRef A (instanceRef count_n2))
(portRef A (instanceRef count_n0_i))
(portRef A (instanceRef count_n1_0_0))
(portRef A (instanceRef count_n3))
))
(net (rename count_5_0 "count_5[0]") (joined
(portRef Z (instanceRef count_m4_0_a2))
(portRef C (instanceRef count_n2))
(portRef C (instanceRef count_n0_i))
(portRef D (instanceRef count_n1_0_0))
(portRef C (instanceRef count_n3))
(portRef count_5_0)
))
(net count_7_0 (joined
(portRef Z (instanceRef count_7_0))
(portRef D (instanceRef count_n3))
))
(net un8_y1 (joined
(portRef un8_y1)
(portRef A (instanceRef count_RNI2SKL7_3))
(portRef A (instanceRef count_m4_0_a2))
))
(net count_m2_e_2 (joined
(portRef Z (instanceRef count_m2_e_2))
(portRef B (instanceRef count_m4_0_a2))
))
(net (rename horz_count_lower_0 "horz_count_lower[3]") (joined
(portRef horz_count_lower_0)
(portRef C (instanceRef count_m4_0_a2))
))
(net vbl_i (joined
(portRef vbl_i)
(portRef D (instanceRef count_cnv_x0_0))
(portRef D (instanceRef g0_1))
(portRef D (instanceRef count_cnv_0_0))
(portRef C (instanceRef count_RNI6CAC2_3))
(portRef D (instanceRef count_RNI2SKL7_3))
(portRef D (instanceRef count_m4_0_a2))
))
(net Y2_m1_e_a0_1 (joined
(portRef Y2_m1_e_a0_1)
(portRef A (instanceRef count_m2_e_2))
))
(net count_m2_e_0 (joined
(portRef Z (instanceRef count_m2_e_0))
(portRef B (instanceRef count_m2_e_2))
))
(net count_m2_e_s_a0_1 (joined
(portRef Z (instanceRef count_m2_e_s_a0_1))
(portRef C (instanceRef count_m2_e_2))
))
(net write_i_i (joined
(portRef write_i_i)
(portRef D (instanceRef count_RNIMRA03_3))
(portRef D (instanceRef count_m2_e_2))
))
(net User_PB1_c (joined
(portRef User_PB1_c)
(portRef A (instanceRef count_m2_e_0))
(portRef A (instanceRef un1_m3_0_a3_0_0))
))
(net cleared_last (joined
(portRef cleared_last)
(portRef B (instanceRef count_m2_e_0))
(portRef B (instanceRef un1_m3_0_a3_0_0))
))
(net screen_clear_inhibit (joined
(portRef screen_clear_inhibit)
(portRef C (instanceRef count_cnv_x0_0))
(portRef C (instanceRef g0_1))
(portRef C (instanceRef count_RNIMRA03_3))
(portRef C (instanceRef count_m2_e_s_a0_1))
(portRef C (instanceRef count_m2_e_0))
(portRef C (instanceRef un1_m3_0_a3_0_0))
))
(net wc2_i (joined
(portRef wc2_i)
(portRef D (instanceRef count_m2_e_0))
(portRef D (instanceRef un1_m3_0_a3_0_0))
))
(net un1_m3_0_c (joined
(portRef Z (instanceRef un1_m3_0_a3_0_0))
(portRef B (instanceRef count_RNI6CAC2_3))
))
(net (rename states_0 "states[3]") (joined
(portRef states_0)
(portRef A (instanceRef count_cnv_0_N_2L1_0_sx))
(portRef A (instanceRef count_m2_e_s_a0_1))
))
(net char_ready (joined
(portRef char_ready)
(portRef B (instanceRef count_cnv_0_N_2L1_0_sx))
(portRef B (instanceRef count_m2_e_s_a0_1))
))
(net (rename rd_0 "rd[2]") (joined
(portRef rd_0)
(portRef D (instanceRef count_m2_e_s_a0_1))
))
(net (rename horz_count_upper_0 "horz_count_upper[3]") (joined
(portRef horz_count_upper_0)
(portRef B (instanceRef un1_count_0))
(portRef B (instanceRef un1_count_1))
(portRef B (instanceRef un1_count_2))
))
(net (rename vert_count_lower_2 "vert_count_lower[2]") (joined
(portRef Z (instanceRef un1_count_2))
(portRef (member vert_count_lower 0))
))
(net (rename vert_count_lower_1 "vert_count_lower[1]") (joined
(portRef Z (instanceRef un1_count_1))
(portRef (member vert_count_lower 1))
))
(net (rename vert_count_lower_0 "vert_count_lower[0]") (joined
(portRef Z (instanceRef un1_count_0))
(portRef (member vert_count_lower 2))
))
(net (rename count_i_0 "count_i[3]") (joined
(portRef count_i_0)
(portRef A (instanceRef G_9))
))
(net states_ret_Q (joined
(portRef states_ret_Q)
(portRef B (instanceRef G_9))
))
(net G_9 (joined
(portRef Z (instanceRef G_9))
(portRef A (instanceRef count_cnv_x0_0))
(portRef A (instanceRef g0_1))
(portRef A (instanceRef count_cnv_0_0))
(portRef G_9)
))
(net N_27 (joined
(portRef Z (instanceRef count_RNI6U1S_3))
(portRef A (instanceRef count_RNI6CAC2_3))
(portRef B (instanceRef count_RNI2SKL7_3))
(portRef N_27)
))
(net un1_N_12_mux (joined
(portRef Z (instanceRef count_RNIMRA03_3))
(portRef C (instanceRef count_RNI2SKL7_3))
))
(net count_n2_1 (joined
(portRef Z (instanceRef count_n2_1))
(portRef D (instanceRef count_n2))
))
(net y2 (joined
(portRef y2)
(portRef A (instanceRef count_RNIMRA03_3))
))
(net (rename count_RNI6CAC2_3 "count_RNI6CAC2[3]") (joined
(portRef Z (instanceRef count_RNI6CAC2_3))
(portRef B (instanceRef count_RNIMRA03_3))
))
(net count_cnv_0_N_2L1_0 (joined
(portRef Z (instanceRef count_cnv_0_N_2L1_0))
(portRef B (instanceRef count_cnv_0_0))
))
(net count_cnv_0_N_3L3_0 (joined
(portRef Z (instanceRef count_cnv_0_N_3L3_0))
(portRef C (instanceRef count_cnv_0_0))
))
(net (rename count_cnv_0_0_0 "count_cnv_0_0[0]") (joined
(portRef Z (instanceRef count_cnv_0_0))
(portRef B (instanceRef count_cnv_0))
))
(net last_h (joined
(portRef last_h)
(portRef A (instanceRef count_cnv_0_N_3L3_0))
))
(net (rename sync_count_0 "sync_count[1]") (joined
(portRef sync_count_0)
(portRef B (instanceRef count_cnv_0_N_3L3_0))
))
(net Y2_m1_e_0_N_2L1_RNID0SD1 (joined
(portRef Y2_m1_e_0_N_2L1_RNID0SD1)
(portRef B (instanceRef count_cnv_x0_0))
(portRef B (instanceRef g0_1))
))
(net count_N_7_mux_1 (joined
(portRef Z (instanceRef g0_1))
(portRef count_N_7_mux_1)
))
(net (rename count_cnv_x0_0 "count_cnv_x0[0]") (joined
(portRef Z (instanceRef count_cnv_x0_0))
(portRef A (instanceRef count_cnv_0))
))
(net (rename rd_3 "rd[5]") (joined
(portRef rd_3)
(portRef C (instanceRef count_cnv_0_N_2L1_0_sx))
))
(net (rename rd_4 "rd[6]") (joined
(portRef rd_4)
(portRef D (instanceRef count_cnv_0_N_2L1_0_sx))
))
(net count_cnv_0_N_2L1_0_sx (joined
(portRef Z (instanceRef count_cnv_0_N_2L1_0_sx))
(portRef D (instanceRef count_cnv_0_N_2L1_0))
))
(net un1_y2_c_0_a0 (joined
(portRef un1_y2_c_0_a0)
(portRef A (instanceRef count_cnv_0_N_2L1_0))
))
(net g0 (joined
(portRef g0)
(portRef B (instanceRef count_cnv_0_N_2L1_0))
))
(net un1_N_5_mux (joined
(portRef un1_N_5_mux)
(portRef C (instanceRef count_cnv_0_N_2L1_0))
))
)
(property orig_inst_of (string "dm74161"))
)
)
(cell dm74161_3 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port count_fast_0 (direction OUTPUT))
(port count_0 (direction OUTPUT))
(port horz_count_upper_3 (direction OUTPUT))
(port horz_count_upper_0 (direction OUTPUT))
(port horz_count_upper_2 (direction OUTPUT))
(port count_i_0 (direction INPUT))
(port horz_count_lower_0 (direction INPUT))
(port horz_count_upper_i_0 (direction OUTPUT))
(port N_8 (direction OUTPUT))
(port last_h (direction OUTPUT))
(port CLKOS_i_0 (direction INPUT))
(port states_ret_Q (direction INPUT))
(port N_66 (direction INPUT))
)
(contents
(instance (rename count_RNI28S1_3 "count_RNI28S1[3]") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance (rename count_cnv_0 "count_cnv[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance (rename count_RNO_0 "count_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D !A+D (!C !A+C (B+!A)))"))
)
(instance (rename count_0 "count[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_1 "count[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_2 "count[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_3 "count[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_fast_3 "count_fast[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance count_n3_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C (B A)))"))
)
(instance count_n1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B A+B !A)"))
)
(instance count_n2_i_x2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+!A))"))
)
(instance (rename count_RNI0GGQ1_0 "count_RNI0GGQ1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance (rename count_RNI2QG7_1 "count_RNI2QG7[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename count_RNI3LK5_1 "count_RNI3LK5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance count_n3_0_0_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D B+D (!C B+C (B+A)))"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net (rename horz_count_upper_3 "horz_count_upper[3]") (joined
(portRef Q (instanceRef count_3))
(portRef C (instanceRef count_RNI3LK5_1))
(portRef D (instanceRef count_RNI2QG7_1))
(portRef D (instanceRef count_n3_0_0))
(portRef B (instanceRef count_RNO_0))
(portRef A (instanceRef count_RNI28S1_3))
(portRef horz_count_upper_3)
))
(net (rename horz_count_upper_i_0 "horz_count_upper_i[3]") (joined
(portRef Z (instanceRef count_RNI28S1_3))
(portRef horz_count_upper_i_0)
))
(net (rename horz_count_lower_0 "horz_count_lower[0]") (joined
(portRef horz_count_lower_0)
(portRef C (instanceRef count_RNI0GGQ1_0))
(portRef A (instanceRef count_cnv_0))
))
(net N_66 (joined
(portRef N_66)
(portRef A (instanceRef count_RNI0GGQ1_0))
(portRef B (instanceRef count_cnv_0))
))
(net states_ret_Q (joined
(portRef states_ret_Q)
(portRef C (instanceRef count_cnv_0))
))
(net (rename count_i_0 "count_i[3]") (joined
(portRef count_i_0)
(portRef D (instanceRef count_cnv_0))
))
(net (rename count_cnv_0 "count_cnv[0]") (joined
(portRef Z (instanceRef count_cnv_0))
(portRef SP (instanceRef count_fast_3))
(portRef SP (instanceRef count_3))
(portRef SP (instanceRef count_2))
(portRef SP (instanceRef count_1))
(portRef SP (instanceRef count_0))
))
(net (rename horz_count_upper_0 "horz_count_upper[0]") (joined
(portRef Q (instanceRef count_0))
(portRef C (instanceRef count_n3_0_0_fast))
(portRef B (instanceRef count_RNI2QG7_1))
(portRef D (instanceRef count_RNI0GGQ1_0))
(portRef B (instanceRef count_n2_i_x2))
(portRef B (instanceRef count_n1))
(portRef B (instanceRef count_n3_0_0))
(portRef A (instanceRef count_RNO_0))
(portRef horz_count_upper_0)
))
(net (rename horz_count_upper_2 "horz_count_upper[2]") (joined
(portRef Q (instanceRef count_2))
(portRef D (instanceRef count_n3_0_0_fast))
(portRef B (instanceRef count_RNI3LK5_1))
(portRef C (instanceRef count_RNI2QG7_1))
(portRef C (instanceRef count_n2_i_x2))
(portRef C (instanceRef count_n3_0_0))
(portRef C (instanceRef count_RNO_0))
(portRef horz_count_upper_2)
))
(net (rename count_0 "count[1]") (joined
(portRef Q (instanceRef count_1))
(portRef A (instanceRef count_n3_0_0_fast))
(portRef A (instanceRef count_RNI3LK5_1))
(portRef A (instanceRef count_RNI2QG7_1))
(portRef A (instanceRef count_n2_i_x2))
(portRef A (instanceRef count_n1))
(portRef A (instanceRef count_n3_0_0))
(portRef D (instanceRef count_RNO_0))
(portRef count_0)
))
(net N_33_i (joined
(portRef Z (instanceRef count_RNO_0))
(portRef D (instanceRef count_0))
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CK (instanceRef count_fast_3))
(portRef CK (instanceRef count_3))
(portRef CK (instanceRef count_2))
(portRef CK (instanceRef count_1))
(portRef CK (instanceRef count_0))
))
(net count_n1 (joined
(portRef Z (instanceRef count_n1))
(portRef D (instanceRef count_1))
))
(net N_91_i (joined
(portRef Z (instanceRef count_n2_i_x2))
(portRef D (instanceRef count_2))
))
(net count_n3 (joined
(portRef Z (instanceRef count_n3_0_0))
(portRef D (instanceRef count_3))
))
(net count_n3_fast (joined
(portRef Z (instanceRef count_n3_0_0_fast))
(portRef D (instanceRef count_fast_3))
))
(net (rename count_fast_0 "count_fast[3]") (joined
(portRef Q (instanceRef count_fast_3))
(portRef B (instanceRef count_n3_0_0_fast))
(portRef count_fast_0)
))
(net g0_2 (joined
(portRef Z (instanceRef count_RNI3LK5_1))
(portRef B (instanceRef count_RNI0GGQ1_0))
))
(net last_h (joined
(portRef Z (instanceRef count_RNI0GGQ1_0))
(portRef last_h)
))
(net N_8 (joined
(portRef Z (instanceRef count_RNI2QG7_1))
(portRef N_8)
))
)
(property orig_inst_of (string "dm74161"))
)
)
(cell dm74160 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port horz_count_lower_0 (direction OUTPUT))
(port horz_count_lower_3 (direction OUTPUT))
(port N_8 (direction INPUT))
(port N_66 (direction OUTPUT))
(port CLKOS_i_0 (direction INPUT))
(port G_9 (direction INPUT))
)
(contents
(instance (rename count_0 "count[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_1 "count[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_2 "count[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_3 "count[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance count_n2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C (!B A+B !A))"))
)
(instance count_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D B+D (!C (B A)+C (B+!A)))"))
)
(instance count_n3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A))+D (!C+(!B A+B !A)))"))
)
(instance count_n1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C !A)+D (!C A+C (B !A)))"))
)
(instance count_n0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(B !A))"))
)
(instance (rename count_RNI0Q761_1 "count_RNI0Q761[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(B+A))"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net count_n0 (joined
(portRef Z (instanceRef count_n0_0))
(portRef D (instanceRef count_0))
))
(net G_9 (joined
(portRef G_9)
(portRef SP (instanceRef count_3))
(portRef SP (instanceRef count_2))
(portRef SP (instanceRef count_1))
(portRef SP (instanceRef count_0))
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CK (instanceRef count_3))
(portRef CK (instanceRef count_2))
(portRef CK (instanceRef count_1))
(portRef CK (instanceRef count_0))
))
(net (rename horz_count_lower_0 "horz_count_lower[0]") (joined
(portRef Q (instanceRef count_0))
(portRef C (instanceRef count_n0_0))
(portRef C (instanceRef count_n1))
(portRef C (instanceRef count_n3))
(portRef D (instanceRef count_6))
(portRef C (instanceRef count_n2))
(portRef horz_count_lower_0)
))
(net count_n1 (joined
(portRef Z (instanceRef count_n1))
(portRef D (instanceRef count_1))
))
(net (rename count_1 "count[1]") (joined
(portRef Q (instanceRef count_1))
(portRef A (instanceRef count_RNI0Q761_1))
(portRef A (instanceRef count_n1))
(portRef A (instanceRef count_n3))
(portRef A (instanceRef count_n2))
))
(net count_n2 (joined
(portRef Z (instanceRef count_n2))
(portRef D (instanceRef count_2))
))
(net (rename count_2 "count[2]") (joined
(portRef Q (instanceRef count_2))
(portRef B (instanceRef count_RNI0Q761_1))
(portRef B (instanceRef count_n1))
(portRef B (instanceRef count_n3))
(portRef B (instanceRef count_6))
))
(net count_n3 (joined
(portRef Z (instanceRef count_n3))
(portRef D (instanceRef count_3))
))
(net (rename horz_count_lower_3 "horz_count_lower[3]") (joined
(portRef Q (instanceRef count_3))
(portRef C (instanceRef count_RNI0Q761_1))
(portRef D (instanceRef count_n1))
(portRef D (instanceRef count_n3))
(portRef horz_count_lower_3)
))
(net N_9 (joined
(portRef Z (instanceRef count_6))
(portRef B (instanceRef count_n2))
))
(net N_66 (joined
(portRef Z (instanceRef count_RNI0Q761_1))
(portRef A (instanceRef count_n0_0))
(portRef A (instanceRef count_6))
(portRef N_66)
))
(net N_8 (joined
(portRef N_8)
(portRef B (instanceRef count_n0_0))
(portRef C (instanceRef count_6))
))
)
(property orig_inst_of (string "dm74160"))
)
)
(cell dm74161_4 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port count_i_0 (direction OUTPUT))
(port (array (rename char_column "char_column(2:0)") 3) (direction OUTPUT))
(port CLKOS_i_0 (direction INPUT))
(port dot_rate_0_i (direction INPUT))
)
(contents
(instance (rename count_0 "count[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_1 "count[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_2 "count[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_0_3 "count_0[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename count_0_RNO_3 "count_0_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(!C+(!B+!A)))"))
)
(instance (rename count_RNO_2 "count_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A))+D (!C A+C (!B A)))"))
)
(instance (rename count_5_iv_0_1 "count_5_iv_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B+!A)+C (!B+!A))"))
)
(instance (rename count_RNO_0 "count_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B A)"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net (rename count_5_0 "count_5[0]") (joined
(portRef Z (instanceRef count_RNO_0))
(portRef D (instanceRef count_0))
))
(net dot_rate_0_i (joined
(portRef dot_rate_0_i)
(portRef SP (instanceRef count_0_3))
(portRef SP (instanceRef count_2))
(portRef SP (instanceRef count_1))
(portRef SP (instanceRef count_0))
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CK (instanceRef count_0_3))
(portRef CK (instanceRef count_2))
(portRef CK (instanceRef count_1))
(portRef CK (instanceRef count_0))
))
(net (rename char_column_0 "char_column[0]") (joined
(portRef Q (instanceRef count_0))
(portRef B (instanceRef count_RNO_0))
(portRef B (instanceRef count_5_iv_0_1))
(portRef B (instanceRef count_RNO_2))
(portRef B (instanceRef count_0_RNO_3))
(portRef (member char_column 2))
))
(net (rename count_5_1 "count_5[1]") (joined
(portRef Z (instanceRef count_5_iv_0_1))
(portRef D (instanceRef count_1))
))
(net (rename char_column_1 "char_column[1]") (joined
(portRef Q (instanceRef count_1))
(portRef C (instanceRef count_5_iv_0_1))
(portRef C (instanceRef count_RNO_2))
(portRef C (instanceRef count_0_RNO_3))
(portRef (member char_column 1))
))
(net N_61_i (joined
(portRef Z (instanceRef count_RNO_2))
(portRef D (instanceRef count_2))
))
(net (rename char_column_2 "char_column[2]") (joined
(portRef Q (instanceRef count_2))
(portRef D (instanceRef count_RNO_2))
(portRef D (instanceRef count_0_RNO_3))
(portRef (member char_column 0))
))
(net (rename count_5_0_0_3 "count_5_0_0[3]") (joined
(portRef Z (instanceRef count_0_RNO_3))
(portRef D (instanceRef count_0_3))
))
(net (rename count_i_0 "count_i[3]") (joined
(portRef Q (instanceRef count_0_3))
(portRef A (instanceRef count_RNO_0))
(portRef A (instanceRef count_5_iv_0_1))
(portRef A (instanceRef count_RNO_2))
(portRef A (instanceRef count_0_RNO_3))
(portRef count_i_0)
))
)
(property orig_inst_of (string "dm74161"))
)
)
(cell dm74175 (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename states "states(3:2)") 2) (direction OUTPUT))
(port mem_curs_out_0 (direction INPUT))
(port states_i_0 (direction OUTPUT))
(port line_curs (direction INPUT))
(port circuit_clk (direction INPUT))
(port y3_i (direction INPUT))
(port CLKOS_i_0 (direction INPUT))
(port dot_rate_0_i (direction OUTPUT))
(port states_ret_Q (direction OUTPUT))
)
(contents
(instance (rename states_RNINNO_1 "states_RNINNO[1]") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance states_ret_RNIOA2 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance states_ret (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_1 "states[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_2 "states[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename states_3 "states[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net (rename states_1 "states[1]") (joined
(portRef Q (instanceRef states_1))
(portRef A (instanceRef states_RNINNO_1))
))
(net (rename states_i_0 "states_i[1]") (joined
(portRef Z (instanceRef states_RNINNO_1))
(portRef states_i_0)
))
(net states_ret_Q (joined
(portRef Q (instanceRef states_ret))
(portRef A (instanceRef states_ret_RNIOA2))
(portRef states_ret_Q)
))
(net dot_rate_0_i (joined
(portRef Z (instanceRef states_ret_RNIOA2))
(portRef D (instanceRef states_ret))
(portRef dot_rate_0_i)
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CK (instanceRef states_ret))
))
(net y3_i (joined
(portRef y3_i)
(portRef D (instanceRef states_1))
))
(net circuit_clk (joined
(portRef circuit_clk)
(portRef CK (instanceRef states_3))
(portRef CK (instanceRef states_2))
(portRef CK (instanceRef states_1))
))
(net line_curs (joined
(portRef line_curs)
(portRef D (instanceRef states_2))
))
(net (rename states_2 "states[2]") (joined
(portRef Q (instanceRef states_2))
(portRef (member states 1))
))
(net (rename mem_curs_out_0 "mem_curs_out[0]") (joined
(portRef mem_curs_out_0)
(portRef D (instanceRef states_3))
))
(net (rename states_3 "states[3]") (joined
(portRef Q (instanceRef states_3))
(portRef (member states 0))
))
)
(property orig_inst_of (string "dm74175"))
)
)
(cell EHXPLLJ (cellType GENERIC)
(view syn_black_box (viewType NETLIST)
(interface
(port CLKI (direction INPUT))
(port CLKFB (direction INPUT))
(port PHASESEL1 (direction INPUT))
(port PHASESEL0 (direction INPUT))
(port PHASEDIR (direction INPUT))
(port PHASESTEP (direction INPUT))
(port LOADREG (direction INPUT))
(port STDBY (direction INPUT))
(port PLLWAKESYNC (direction INPUT))
(port RST (direction INPUT))
(port RESETM (direction INPUT))
(port RESETC (direction INPUT))
(port RESETD (direction INPUT))
(port ENCLKOP (direction INPUT))
(port ENCLKOS (direction INPUT))
(port ENCLKOS2 (direction INPUT))
(port ENCLKOS3 (direction INPUT))
(port PLLCLK (direction INPUT))
(port PLLRST (direction INPUT))
(port PLLSTB (direction INPUT))
(port PLLWE (direction INPUT))
(port PLLDATI7 (direction INPUT))
(port PLLDATI6 (direction INPUT))
(port PLLDATI5 (direction INPUT))
(port PLLDATI4 (direction INPUT))
(port PLLDATI3 (direction INPUT))
(port PLLDATI2 (direction INPUT))
(port PLLDATI1 (direction INPUT))
(port PLLDATI0 (direction INPUT))
(port PLLADDR4 (direction INPUT))
(port PLLADDR3 (direction INPUT))
(port PLLADDR2 (direction INPUT))
(port PLLADDR1 (direction INPUT))
(port PLLADDR0 (direction INPUT))
(port CLKOP (direction OUTPUT))
(port CLKOS (direction OUTPUT))
(port CLKOS2 (direction OUTPUT))
(port CLKOS3 (direction OUTPUT))
(port LOCK (direction OUTPUT))
(port INTLOCK (direction OUTPUT))
(port REFCLK (direction OUTPUT))
(port PLLDATO7 (direction OUTPUT))
(port PLLDATO6 (direction OUTPUT))
(port PLLDATO5 (direction OUTPUT))
(port PLLDATO4 (direction OUTPUT))
(port PLLDATO3 (direction OUTPUT))
(port PLLDATO2 (direction OUTPUT))
(port PLLDATO1 (direction OUTPUT))
(port PLLDATO0 (direction OUTPUT))
(port PLLACK (direction OUTPUT))
(port DPHSRC (direction OUTPUT))
(port CLKINTFB (direction OUTPUT))
)
(property INTFB_WAKE (string "DISABLED"))
(property DDRST_ENA (string "DISABLED"))
(property DCRST_ENA (string "DISABLED"))
(property MRST_ENA (string "DISABLED"))
(property PLLRST_ENA (string "DISABLED"))
(property DPHASE_SOURCE (string "DISABLED"))
(property STDBY_ENABLE (string "DISABLED"))
(property OUTDIVIDER_MUXD2 (string "DIVD"))
(property OUTDIVIDER_MUXC2 (string "DIVC"))
(property OUTDIVIDER_MUXB2 (string "DIVB"))
(property OUTDIVIDER_MUXA2 (string "DIVA"))
(property PREDIVIDER_MUXD1 (integer 0))
(property PREDIVIDER_MUXC1 (integer 0))
(property PREDIVIDER_MUXB1 (integer 0))
(property PREDIVIDER_MUXA1 (integer 0))
(property PLL_USE_WB (string "DISABLED"))
(property PLL_LOCK_MODE (integer 0))
(property CLKOS_TRIM_DELAY (integer 0))
(property CLKOS_TRIM_POL (string "RISING"))
(property CLKOP_TRIM_DELAY (integer 0))
(property CLKOP_TRIM_POL (string "RISING"))
(property FRACN_DIV (integer 0))
(property FRACN_ENABLE (string "DISABLED"))
(property FEEDBK_PATH (string "CLKOP"))
(property CLKOS3_FPHASE (integer 0))
(property CLKOS2_FPHASE (integer 0))
(property CLKOS_FPHASE (integer 0))
(property CLKOP_FPHASE (integer 0))
(property CLKOS3_CPHASE (integer 0))
(property CLKOS2_CPHASE (integer 0))
(property CLKOS_CPHASE (integer 0))
(property CLKOP_CPHASE (integer 0))
(property VCO_BYPASS_D0 (string "DISABLED"))
(property VCO_BYPASS_C0 (string "DISABLED"))
(property VCO_BYPASS_B0 (string "DISABLED"))
(property VCO_BYPASS_A0 (string "DISABLED"))
(property CLKOS3_ENABLE (string "ENABLED"))
(property CLKOS2_ENABLE (string "ENABLED"))
(property CLKOS_ENABLE (string "ENABLED"))
(property CLKOP_ENABLE (string "ENABLED"))
(property CLKOS3_DIV (integer 8))
(property CLKOS2_DIV (integer 8))
(property CLKOS_DIV (integer 8))
(property CLKOP_DIV (integer 8))
(property CLKFB_DIV (integer 1))
(property CLKI_DIV (integer 1))
(property orig_inst_of (string "EHXPLLJ"))
)
)
(cell UART_RX (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port flash_count_0 (direction INPUT))
(port (array (rename rx_data "rx_data(6:0)") 7) (direction OUTPUT))
(port un1_flash_count_1 (direction OUTPUT))
(port da_0_sqmuxa (direction OUTPUT))
(port un1_flash_countlto21_3 (direction INPUT))
(port un1_flash_countlt16 (direction INPUT))
(port rda_i (direction INPUT))
(port r_RX_Data_R (direction INPUT))
(port sys_clock_c (direction INPUT))
)
(contents
(instance (rename r_Clk_Count_RNI48AM1_7 "r_Clk_Count_RNI48AM1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B+A)+D (!C+(!B+A)))"))
)
(instance (rename p_UART_RX_r_Clk_Count_6_iv_RNO_3 "p_UART_RX.r_Clk_Count_6_iv_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename r_SM_Main_RNIAA044_1 "r_SM_Main_RNIAA044[1]") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance r_SM_Main_s1_i_o3_RNILR1Q1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(!C (!B+A)+C A))"))
)
(instance r_SM_Main_s1_i_o3_RNI8HPU1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!B !A)+D (!C+(!B !A)))"))
)
(instance (rename r_SM_Main_0 "r_SM_Main[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename r_SM_Main_1 "r_SM_Main[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename r_SM_Main_2 "r_SM_Main[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance r_RX_Data (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance r_RX_DV (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename r_RX_Byte_0 "r_RX_Byte[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename r_RX_Byte_1 "r_RX_Byte[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename r_RX_Byte_2 "r_RX_Byte[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename r_RX_Byte_3 "r_RX_Byte[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename r_RX_Byte_4 "r_RX_Byte[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename r_RX_Byte_5 "r_RX_Byte[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename r_RX_Byte_6 "r_RX_Byte[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
(property INIT (string "0"))
)
(instance (rename r_Clk_Count_0 "r_Clk_Count[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename r_Clk_Count_1 "r_Clk_Count[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename r_Clk_Count_2 "r_Clk_Count[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename r_Clk_Count_3 "r_Clk_Count[3]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename r_Clk_Count_4 "r_Clk_Count[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename r_Clk_Count_5 "r_Clk_Count[5]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename r_Clk_Count_6 "r_Clk_Count[6]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename r_Clk_Count_7 "r_Clk_Count[7]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename r_Bit_Index_0 "r_Bit_Index[0]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename r_Bit_Index_1 "r_Bit_Index[1]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename r_Bit_Index_2 "r_Bit_Index[2]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename p_UART_RX_r_Clk_Count_6_iv_2 "p_UART_RX.r_Clk_Count_6_iv[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D B+D (!C (B+A)+C (B+!A)))"))
)
(instance (rename p_UART_RX_r_Clk_Count_6_iv_3 "p_UART_RX.r_Clk_Count_6_iv[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D B+D (!C (B+A)+C (B+!A)))"))
)
(instance (rename p_UART_RX_r_Clk_Count_6_iv_5 "p_UART_RX.r_Clk_Count_6_iv[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D B+D (!C (B+A)+C (B+!A)))"))
)
(instance (rename r_Clk_Count_RNO_6 "r_Clk_Count_RNO[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+A)+D (C+!B))"))
)
(instance (rename r_SM_Main_ns_2_0__m19 "r_SM_Main_ns_2_0_.m19") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance (rename r_Bit_Index_RNO_2 "r_Bit_Index_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)+C (!B+!A))+D C)"))
)
(instance un1_r_Clk_Count_axbxc7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (!C (B A)+C (!B+!A)))"))
)
(instance (rename r_SM_Main_ns_2_0__m18_am "r_SM_Main_ns_2_0_.m18_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B+!A)+D (!C (B+!A)+C (!B !A)))"))
)
(instance (rename r_SM_Main_ns_2_0__m18_bm "r_SM_Main_ns_2_0_.m18_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)+C B)+D (!C (!B A+B !A)+C B))"))
)
(instance (rename r_SM_Main_ns_2_0__m18 "r_SM_Main_ns_2_0_.m18") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance da_0_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !B+C (!B A)))"))
)
(instance r_RX_DV_1_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !B+C (B A))+D (!C !B))"))
)
(instance (rename r_Bit_Index_RNO_0 "r_Bit_Index_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A+B A)"))
)
(instance (rename r_Bit_Index_RNO_1 "r_Bit_Index_RNO[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A+B !A)+C B)"))
)
(instance (rename r_SM_Main_ns_2_0__m22_am "r_SM_Main_ns_2_0_.m22_am") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance (rename r_SM_Main_ns_2_0__m22_bm "r_SM_Main_ns_2_0_.m22_bm") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(!B+!A))"))
)
(instance (rename r_SM_Main_ns_2_0__m22 "r_SM_Main_ns_2_0_.m22") (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance un1_r_Clk_Count_ac0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance un1_flash_count_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B !A)))"))
)
(instance (rename r_SM_Main_ns_2_0__m23 "r_SM_Main_ns_2_0_.m23") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A)))"))
)
(instance un1_r_SM_Main_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C (B !A)+C B))"))
)
(instance (rename r_RX_Bytece_0 "r_RX_Bytece[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B !A)))"))
)
(instance (rename r_RX_Bytece_1 "r_RX_Bytece[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance (rename r_RX_Bytece_2 "r_RX_Bytece[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B !A)))"))
)
(instance (rename r_RX_Bytece_3 "r_RX_Bytece[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)))"))
)
(instance (rename r_RX_Bytece_4 "r_RX_Bytece[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance (rename r_RX_Bytece_5 "r_RX_Bytece[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance (rename r_RX_Bytece_6 "r_RX_Bytece[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance un1_r_SM_Main_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(B+!A)))"))
)
(instance r_RX_Byte_1_sqmuxa (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance r_Clk_Count_1_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance un1_r_SM_Main_7_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B+!A)+D (!C (B+!A)+C B))"))
)
(instance (rename r_Clk_Count_RNO_1_6 "r_Clk_Count_RNO_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(!B+!A))+D (C (B A)))"))
)
(instance r_RX_DV_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B+A))+D (!C (!B A)))"))
)
(instance (rename r_SM_Main_ns_2_0__m12 "r_SM_Main_ns_2_0_.m12") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(!C (!B+!A)))"))
)
(instance un1_r_Clk_Count_2_sqmuxa_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)+C A))"))
)
(instance r_SM_Main_s0_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance (rename r_SM_Main_ns_2_0__m16_e "r_SM_Main_ns_2_0_.m16_e") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance un1_r_Clk_Count_ac0_3_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename r_Clk_Count_RNO_2_6 "r_Clk_Count_RNO_2[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename r_SM_Main_ns_2_0__m7_3 "r_SM_Main_ns_2_0_.m7_3") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A)))"))
)
(instance (rename r_SM_Main_ns_2_0__m7_4 "r_SM_Main_ns_2_0_.m7_4") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance r_SM_Main_s1_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+!A)"))
)
(instance un2_rx_ready (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance r_SM_Main_s3_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance (rename r_Clk_Count_RNO_0_6 "r_Clk_Count_RNO_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance un1_r_Clk_Count_ac0_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance un1_r_SM_Main_7_0_RNIKSRD1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+A)+C !B))"))
)
(instance (rename r_Clk_Countd_0 "r_Clk_Countd[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (!B !A))"))
)
(instance (rename r_Clk_Countd_1 "r_Clk_Countd[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !A)+D (!C (B !A)+C (!B !A)))"))
)
(instance (rename r_Clk_Countd_4 "r_Clk_Countd[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (B !A)+D (!C (B !A)+C (!B !A)))"))
)
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(net N_13 (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m12))
(portRef C (instanceRef un1_r_SM_Main_7_0_RNIKSRD1))
(portRef D (instanceRef r_RX_Byte_1_sqmuxa))
(portRef D (instanceRef un1_r_SM_Main_3))
(portRef C (instanceRef un1_r_SM_Main_7))
(portRef D (instanceRef r_SM_Main_ns_2_0__m23))
(portRef C (instanceRef r_SM_Main_ns_2_0__m22_bm))
(portRef D (instanceRef r_RX_DV_1_RNO))
(portRef C (instanceRef r_SM_Main_ns_2_0__m18_bm))
(portRef A (instanceRef r_SM_Main_s1_i_o3_RNILR1Q1))
(portRef A (instanceRef r_Clk_Count_RNI48AM1_7))
))
(net (rename r_Clk_Count_7 "r_Clk_Count[7]") (joined
(portRef Q (instanceRef r_Clk_Count_7))
(portRef A (instanceRef un1_r_SM_Main_7_0_RNIKSRD1))
(portRef D (instanceRef r_SM_Main_ns_2_0__m7_3))
(portRef A (instanceRef r_RX_Byte_1_sqmuxa))
(portRef A (instanceRef un1_r_SM_Main_3))
(portRef A (instanceRef un1_r_SM_Main_7))
(portRef A (instanceRef r_SM_Main_ns_2_0__m23))
(portRef A (instanceRef r_SM_Main_ns_2_0__m22_bm))
(portRef A (instanceRef r_RX_DV_1_RNO))
(portRef A (instanceRef r_SM_Main_ns_2_0__m18_bm))
(portRef C (instanceRef un1_r_Clk_Count_axbxc7))
(portRef D (instanceRef r_SM_Main_s1_i_o3_RNILR1Q1))
(portRef B (instanceRef r_Clk_Count_RNI48AM1_7))
))
(net (rename r_SM_Main_1 "r_SM_Main[1]") (joined
(portRef Q (instanceRef r_SM_Main_1))
(portRef B (instanceRef un1_r_SM_Main_7_0_RNIKSRD1))
(portRef B (instanceRef r_SM_Main_s3_i))
(portRef B (instanceRef r_SM_Main_s1_i_o3))
(portRef B (instanceRef r_SM_Main_s0_0_a3))
(portRef C (instanceRef un1_r_Clk_Count_2_sqmuxa_0_1))
(portRef C (instanceRef r_RX_Byte_1_sqmuxa))
(portRef B (instanceRef un1_r_SM_Main_7))
(portRef C (instanceRef r_SM_Main_ns_2_0__m23))
(portRef C0 (instanceRef r_SM_Main_ns_2_0__m22))
(portRef C (instanceRef r_RX_DV_1_RNO))
(portRef C0 (instanceRef r_SM_Main_ns_2_0__m18))
(portRef C0 (instanceRef r_SM_Main_RNIAA044_1))
(portRef C (instanceRef r_Clk_Count_RNI48AM1_7))
))
(net (rename r_SM_Main_0 "r_SM_Main[0]") (joined
(portRef Q (instanceRef r_SM_Main_0))
(portRef A (instanceRef r_SM_Main_s3_i))
(portRef A (instanceRef r_SM_Main_s1_i_o3))
(portRef A (instanceRef r_SM_Main_s0_0_a3))
(portRef B (instanceRef un1_r_Clk_Count_2_sqmuxa_0_1))
(portRef B (instanceRef r_RX_Byte_1_sqmuxa))
(portRef B (instanceRef un1_r_SM_Main_3))
(portRef B (instanceRef r_SM_Main_ns_2_0__m23))
(portRef B (instanceRef r_SM_Main_ns_2_0__m22_bm))
(portRef B (instanceRef r_SM_Main_ns_2_0__m22_am))
(portRef B (instanceRef r_RX_DV_1_RNO))
(portRef B (instanceRef r_SM_Main_ns_2_0__m18_bm))
(portRef B (instanceRef r_SM_Main_ns_2_0__m18_am))
(portRef D (instanceRef r_SM_Main_s1_i_o3_RNI8HPU1))
(portRef D (instanceRef r_Clk_Count_RNI48AM1_7))
))
(net un1_r_Clk_Count_2_sqmuxa_d (joined
(portRef Z (instanceRef r_Clk_Count_RNI48AM1_7))
(portRef D (instanceRef r_Clk_Countd_4))
(portRef C (instanceRef un1_r_Clk_Count_ac0_7))
))
(net (rename r_SM_Main_RNIAA044_1 "r_SM_Main_RNIAA044[1]") (joined
(portRef Z (instanceRef r_SM_Main_RNIAA044_1))
(portRef D (instanceRef r_Clk_Countd_1))
(portRef C (instanceRef r_Clk_Countd_0))
(portRef C (instanceRef un1_r_Clk_Count_ac0_1))
(portRef D (instanceRef r_Clk_Count_RNO_6))
(portRef A (instanceRef p_UART_RX_r_Clk_Count_6_iv_RNO_3))
))
(net (rename r_Clk_Count_2 "r_Clk_Count[2]") (joined
(portRef Q (instanceRef r_Clk_Count_2))
(portRef C (instanceRef r_SM_Main_ns_2_0__m7_3))
(portRef C (instanceRef r_Clk_Count_RNO_2_6))
(portRef C (instanceRef un1_r_Clk_Count_ac0_3_s))
(portRef A (instanceRef p_UART_RX_r_Clk_Count_6_iv_2))
(portRef B (instanceRef p_UART_RX_r_Clk_Count_6_iv_RNO_3))
))
(net (rename r_Clk_Count_1 "r_Clk_Count[1]") (joined
(portRef Q (instanceRef r_Clk_Count_1))
(portRef C (instanceRef r_Clk_Countd_1))
(portRef B (instanceRef r_SM_Main_ns_2_0__m7_3))
(portRef B (instanceRef r_Clk_Count_RNO_2_6))
(portRef B (instanceRef un1_r_Clk_Count_ac0_3_s))
(portRef B (instanceRef un1_r_Clk_Count_ac0_1))
(portRef C (instanceRef p_UART_RX_r_Clk_Count_6_iv_RNO_3))
))
(net (rename r_Clk_Count_0 "r_Clk_Count[0]") (joined
(portRef Q (instanceRef r_Clk_Count_0))
(portRef B (instanceRef r_Clk_Countd_1))
(portRef B (instanceRef r_Clk_Countd_0))
(portRef A (instanceRef r_SM_Main_ns_2_0__m7_3))
(portRef A (instanceRef r_Clk_Count_RNO_2_6))
(portRef A (instanceRef un1_r_Clk_Count_ac0_3_s))
(portRef A (instanceRef un1_r_Clk_Count_ac0_1))
(portRef D (instanceRef p_UART_RX_r_Clk_Count_6_iv_RNO_3))
))
(net un1_r_Clk_Count_c3 (joined
(portRef Z (instanceRef p_UART_RX_r_Clk_Count_6_iv_RNO_3))
(portRef C (instanceRef p_UART_RX_r_Clk_Count_6_iv_3))
))
(net r_SM_Main_s1_i_o3_RNILR1Q1 (joined
(portRef Z (instanceRef r_SM_Main_s1_i_o3_RNILR1Q1))
(portRef ALUT (instanceRef r_SM_Main_RNIAA044_1))
))
(net r_SM_Main_s1_i_o3_RNI8HPU1 (joined
(portRef Z (instanceRef r_SM_Main_s1_i_o3_RNI8HPU1))
(portRef BLUT (instanceRef r_SM_Main_RNIAA044_1))
))
(net m7_4 (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m7_4))
(portRef D (instanceRef un1_r_SM_Main_7_0))
(portRef D (instanceRef r_Clk_Count_1_sqmuxa_0_a3))
(portRef D (instanceRef r_SM_Main_ns_2_0__m22_am))
(portRef D (instanceRef r_SM_Main_ns_2_0__m18_am))
(portRef A (instanceRef r_SM_Main_s1_i_o3_RNI8HPU1))
(portRef B (instanceRef r_SM_Main_s1_i_o3_RNILR1Q1))
))
(net N_115 (joined
(portRef Z (instanceRef r_SM_Main_s1_i_o3))
(portRef A (instanceRef un1_r_SM_Main_7_0))
(portRef A (instanceRef r_Clk_Count_1_sqmuxa_0_a3))
(portRef B (instanceRef r_SM_Main_s1_i_o3_RNI8HPU1))
(portRef C (instanceRef r_SM_Main_s1_i_o3_RNILR1Q1))
))
(net m7_3 (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m7_3))
(portRef C (instanceRef un1_r_SM_Main_7_0))
(portRef C (instanceRef r_Clk_Count_1_sqmuxa_0_a3))
(portRef C (instanceRef r_SM_Main_ns_2_0__m22_am))
(portRef C (instanceRef r_SM_Main_ns_2_0__m18_am))
(portRef C (instanceRef r_SM_Main_s1_i_o3_RNI8HPU1))
))
(net (rename r_SM_Main_ns_0 "r_SM_Main_ns[0]") (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m19))
(portRef D (instanceRef r_SM_Main_0))
))
(net sys_clock_c (joined
(portRef sys_clock_c)
(portRef CK (instanceRef r_Bit_Index_2))
(portRef CK (instanceRef r_Bit_Index_1))
(portRef CK (instanceRef r_Bit_Index_0))
(portRef CK (instanceRef r_Clk_Count_7))
(portRef CK (instanceRef r_Clk_Count_6))
(portRef CK (instanceRef r_Clk_Count_5))
(portRef CK (instanceRef r_Clk_Count_4))
(portRef CK (instanceRef r_Clk_Count_3))
(portRef CK (instanceRef r_Clk_Count_2))
(portRef CK (instanceRef r_Clk_Count_1))
(portRef CK (instanceRef r_Clk_Count_0))
(portRef CK (instanceRef r_RX_Byte_6))
(portRef CK (instanceRef r_RX_Byte_5))
(portRef CK (instanceRef r_RX_Byte_4))
(portRef CK (instanceRef r_RX_Byte_3))
(portRef CK (instanceRef r_RX_Byte_2))
(portRef CK (instanceRef r_RX_Byte_1))
(portRef CK (instanceRef r_RX_Byte_0))
(portRef CK (instanceRef r_RX_DV))
(portRef CK (instanceRef r_RX_Data))
(portRef CK (instanceRef r_SM_Main_2))
(portRef CK (instanceRef r_SM_Main_1))
(portRef CK (instanceRef r_SM_Main_0))
))
(net (rename r_SM_Main_ns_1 "r_SM_Main_ns[1]") (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m22))
(portRef D (instanceRef r_SM_Main_1))
))
(net (rename r_SM_Main_ns_2 "r_SM_Main_ns[2]") (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m23))
(portRef D (instanceRef r_SM_Main_2))
))
(net (rename r_SM_Main_2 "r_SM_Main[2]") (joined
(portRef Q (instanceRef r_SM_Main_2))
(portRef B (instanceRef r_Clk_Count_RNO_0_6))
(portRef C (instanceRef r_SM_Main_s0_0_a3))
(portRef B (instanceRef un1_r_SM_Main_7_0))
(portRef C (instanceRef un1_r_SM_Main_3))
(portRef A (instanceRef r_SM_Main_ns_2_0__m19))
))
(net r_RX_Data_R (joined
(portRef r_RX_Data_R)
(portRef D (instanceRef r_RX_Data))
))
(net r_RX_Data (joined
(portRef Q (instanceRef r_RX_Data))
(portRef B (instanceRef r_Clk_Count_1_sqmuxa_0_a3))
(portRef A (instanceRef r_SM_Main_ns_2_0__m22_am))
(portRef A (instanceRef r_SM_Main_ns_2_0__m18_am))
(portRef D (instanceRef r_RX_Byte_6))
(portRef D (instanceRef r_RX_Byte_5))
(portRef D (instanceRef r_RX_Byte_4))
(portRef D (instanceRef r_RX_Byte_3))
(portRef D (instanceRef r_RX_Byte_2))
(portRef D (instanceRef r_RX_Byte_1))
(portRef D (instanceRef r_RX_Byte_0))
))
(net r_RX_DV_1 (joined
(portRef Z (instanceRef r_RX_DV_1))
(portRef D (instanceRef r_RX_DV))
))
(net rx_ready (joined
(portRef Q (instanceRef r_RX_DV))
(portRef B (instanceRef un2_rx_ready))
(portRef A (instanceRef r_RX_DV_1))
))
(net (rename r_RX_Bytece_0 "r_RX_Bytece[0]") (joined
(portRef Z (instanceRef r_RX_Bytece_0))
(portRef SP (instanceRef r_RX_Byte_0))
))
(net (rename rx_data_0 "rx_data[0]") (joined
(portRef Q (instanceRef r_RX_Byte_0))
(portRef (member rx_data 6))
))
(net (rename r_RX_Bytece_1 "r_RX_Bytece[1]") (joined
(portRef Z (instanceRef r_RX_Bytece_1))
(portRef SP (instanceRef r_RX_Byte_1))
))
(net (rename rx_data_1 "rx_data[1]") (joined
(portRef Q (instanceRef r_RX_Byte_1))
(portRef (member rx_data 5))
))
(net (rename r_RX_Bytece_2 "r_RX_Bytece[2]") (joined
(portRef Z (instanceRef r_RX_Bytece_2))
(portRef SP (instanceRef r_RX_Byte_2))
))
(net (rename rx_data_2 "rx_data[2]") (joined
(portRef Q (instanceRef r_RX_Byte_2))
(portRef (member rx_data 4))
))
(net (rename r_RX_Bytece_3 "r_RX_Bytece[3]") (joined
(portRef Z (instanceRef r_RX_Bytece_3))
(portRef SP (instanceRef r_RX_Byte_3))
))
(net (rename rx_data_3 "rx_data[3]") (joined
(portRef Q (instanceRef r_RX_Byte_3))
(portRef (member rx_data 3))
))
(net (rename r_RX_Bytece_4 "r_RX_Bytece[4]") (joined
(portRef Z (instanceRef r_RX_Bytece_4))
(portRef SP (instanceRef r_RX_Byte_4))
))
(net (rename rx_data_4 "rx_data[4]") (joined
(portRef Q (instanceRef r_RX_Byte_4))
(portRef (member rx_data 2))
))
(net (rename r_RX_Bytece_5 "r_RX_Bytece[5]") (joined
(portRef Z (instanceRef r_RX_Bytece_5))
(portRef SP (instanceRef r_RX_Byte_5))
))
(net (rename rx_data_5 "rx_data[5]") (joined
(portRef Q (instanceRef r_RX_Byte_5))
(portRef (member rx_data 1))
))
(net (rename r_RX_Bytece_6 "r_RX_Bytece[6]") (joined
(portRef Z (instanceRef r_RX_Bytece_6))
(portRef SP (instanceRef r_RX_Byte_6))
))
(net (rename rx_data_6 "rx_data[6]") (joined
(portRef Q (instanceRef r_RX_Byte_6))
(portRef (member rx_data 0))
))
(net (rename r_Clk_Countd_0_0 "r_Clk_Countd_0[0]") (joined
(portRef Z (instanceRef r_Clk_Countd_0))
(portRef D (instanceRef r_Clk_Count_0))
))
(net (rename r_Clk_Countd_0_1 "r_Clk_Countd_0[1]") (joined
(portRef Z (instanceRef r_Clk_Countd_1))
(portRef D (instanceRef r_Clk_Count_1))
))
(net (rename r_Clk_Count_6_2 "r_Clk_Count_6[2]") (joined
(portRef Z (instanceRef p_UART_RX_r_Clk_Count_6_iv_2))
(portRef D (instanceRef r_Clk_Count_2))
))
(net (rename r_SM_Main_d_4 "r_SM_Main_d[4]") (joined
(portRef Z (instanceRef r_SM_Main_s0_0_a3))
(portRef C (instanceRef r_RX_DV_1))
(portRef CD (instanceRef r_Bit_Index_2))
(portRef CD (instanceRef r_Bit_Index_1))
(portRef CD (instanceRef r_Bit_Index_0))
(portRef CD (instanceRef r_Clk_Count_6))
(portRef CD (instanceRef r_Clk_Count_5))
(portRef CD (instanceRef r_Clk_Count_3))
(portRef CD (instanceRef r_Clk_Count_2))
))
(net (rename r_Clk_Count_6_3 "r_Clk_Count_6[3]") (joined
(portRef Z (instanceRef p_UART_RX_r_Clk_Count_6_iv_3))
(portRef D (instanceRef r_Clk_Count_3))
))
(net (rename r_Clk_Count_3 "r_Clk_Count[3]") (joined
(portRef Q (instanceRef r_Clk_Count_3))
(portRef A (instanceRef r_SM_Main_ns_2_0__m7_4))
(portRef D (instanceRef r_Clk_Count_RNO_2_6))
(portRef A (instanceRef un1_r_Clk_Count_2_sqmuxa_0_1))
(portRef A (instanceRef r_SM_Main_ns_2_0__m12))
(portRef A (instanceRef p_UART_RX_r_Clk_Count_6_iv_3))
))
(net (rename r_Clk_Countd_0_4 "r_Clk_Countd_0[4]") (joined
(portRef Z (instanceRef r_Clk_Countd_4))
(portRef D (instanceRef r_Clk_Count_4))
))
(net (rename r_Clk_Count_4 "r_Clk_Count[4]") (joined
(portRef Q (instanceRef r_Clk_Count_4))
(portRef B (instanceRef r_Clk_Countd_4))
(portRef A (instanceRef un1_r_Clk_Count_ac0_7))
(portRef B (instanceRef r_SM_Main_ns_2_0__m7_4))
(portRef B (instanceRef r_SM_Main_ns_2_0__m12))
(portRef B (instanceRef r_Clk_Count_RNO_1_6))
))
(net (rename r_Clk_Count_6_5 "r_Clk_Count_6[5]") (joined
(portRef Z (instanceRef p_UART_RX_r_Clk_Count_6_iv_5))
(portRef D (instanceRef r_Clk_Count_5))
))
(net (rename r_Clk_Count_5 "r_Clk_Count[5]") (joined
(portRef Q (instanceRef r_Clk_Count_5))
(portRef C (instanceRef r_SM_Main_ns_2_0__m7_4))
(portRef C (instanceRef r_SM_Main_ns_2_0__m12))
(portRef C (instanceRef r_Clk_Count_RNO_1_6))
(portRef A (instanceRef un1_r_Clk_Count_axbxc7))
(portRef A (instanceRef p_UART_RX_r_Clk_Count_6_iv_5))
))
(net p_N_8_i (joined
(portRef Z (instanceRef r_Clk_Count_RNO_6))
(portRef D (instanceRef r_Clk_Count_6))
))
(net (rename r_Clk_Count_6 "r_Clk_Count[6]") (joined
(portRef Q (instanceRef r_Clk_Count_6))
(portRef A (instanceRef r_Clk_Count_RNO_0_6))
(portRef D (instanceRef r_SM_Main_ns_2_0__m7_4))
(portRef D (instanceRef r_SM_Main_ns_2_0__m12))
(portRef D (instanceRef r_Clk_Count_RNO_1_6))
(portRef B (instanceRef un1_r_Clk_Count_axbxc7))
))
(net (rename un1_r_Clk_Count_0 "un1_r_Clk_Count[0]") (joined
(portRef Z (instanceRef un1_r_Clk_Count_axbxc7))
(portRef D (instanceRef r_Clk_Count_7))
))
(net un1_r_SM_Main_7_0_RNIKSRD1 (joined
(portRef Z (instanceRef un1_r_SM_Main_7_0_RNIKSRD1))
(portRef A (instanceRef r_Clk_Countd_4))
(portRef A (instanceRef r_Clk_Countd_1))
(portRef A (instanceRef r_Clk_Countd_0))
(portRef CD (instanceRef r_Clk_Count_7))
))
(net N_38_i (joined
(portRef Z (instanceRef r_Bit_Index_RNO_0))
(portRef D (instanceRef r_Bit_Index_0))
))
(net (rename r_Bit_Index_0 "r_Bit_Index[0]") (joined
(portRef Q (instanceRef r_Bit_Index_0))
(portRef A (instanceRef r_SM_Main_ns_2_0__m16_e))
(portRef A (instanceRef r_RX_Bytece_6))
(portRef A (instanceRef r_RX_Bytece_5))
(portRef A (instanceRef r_RX_Bytece_4))
(portRef A (instanceRef r_RX_Bytece_3))
(portRef A (instanceRef r_RX_Bytece_2))
(portRef A (instanceRef r_RX_Bytece_1))
(portRef A (instanceRef r_RX_Bytece_0))
(portRef A (instanceRef r_Bit_Index_RNO_1))
(portRef A (instanceRef r_Bit_Index_RNO_0))
(portRef A (instanceRef r_Bit_Index_RNO_2))
))
(net (rename r_Bit_Index_RNO_1 "r_Bit_Index_RNO[1]") (joined
(portRef Z (instanceRef r_Bit_Index_RNO_1))
(portRef D (instanceRef r_Bit_Index_1))
))
(net (rename r_Bit_Index_1 "r_Bit_Index[1]") (joined
(portRef Q (instanceRef r_Bit_Index_1))
(portRef B (instanceRef r_SM_Main_ns_2_0__m16_e))
(portRef B (instanceRef r_RX_Bytece_6))
(portRef B (instanceRef r_RX_Bytece_5))
(portRef B (instanceRef r_RX_Bytece_4))
(portRef B (instanceRef r_RX_Bytece_3))
(portRef B (instanceRef r_RX_Bytece_2))
(portRef B (instanceRef r_RX_Bytece_1))
(portRef B (instanceRef r_RX_Bytece_0))
(portRef B (instanceRef r_Bit_Index_RNO_1))
(portRef B (instanceRef r_Bit_Index_RNO_2))
))
(net (rename r_Bit_Index_RNO_2 "r_Bit_Index_RNO[2]") (joined
(portRef Z (instanceRef r_Bit_Index_RNO_2))
(portRef D (instanceRef r_Bit_Index_2))
))
(net (rename r_Bit_Index_2 "r_Bit_Index[2]") (joined
(portRef Q (instanceRef r_Bit_Index_2))
(portRef C (instanceRef r_SM_Main_ns_2_0__m16_e))
(portRef C (instanceRef r_RX_Bytece_6))
(portRef C (instanceRef r_RX_Bytece_5))
(portRef C (instanceRef r_RX_Bytece_4))
(portRef C (instanceRef r_RX_Bytece_3))
(portRef C (instanceRef r_RX_Bytece_2))
(portRef C (instanceRef r_RX_Bytece_1))
(portRef C (instanceRef r_RX_Bytece_0))
(portRef C (instanceRef r_Bit_Index_RNO_2))
))
(net r_Clk_Count_1_sqmuxa (joined
(portRef Z (instanceRef r_Clk_Count_1_sqmuxa_0_a3))
(portRef C (instanceRef r_Clk_Count_RNO_6))
(portRef B (instanceRef p_UART_RX_r_Clk_Count_6_iv_5))
(portRef B (instanceRef p_UART_RX_r_Clk_Count_6_iv_3))
(portRef B (instanceRef p_UART_RX_r_Clk_Count_6_iv_2))
))
(net un1_r_Clk_Count_c2 (joined
(portRef Z (instanceRef un1_r_Clk_Count_ac0_1))
(portRef C (instanceRef p_UART_RX_r_Clk_Count_6_iv_2))
))
(net un1_r_SM_Main_7 (joined
(portRef Z (instanceRef un1_r_SM_Main_7))
(portRef D (instanceRef p_UART_RX_r_Clk_Count_6_iv_5))
(portRef D (instanceRef p_UART_RX_r_Clk_Count_6_iv_3))
(portRef D (instanceRef p_UART_RX_r_Clk_Count_6_iv_2))
))
(net un1_r_Clk_Count_c5 (joined
(portRef Z (instanceRef un1_r_Clk_Count_ac0_7))
(portRef D (instanceRef un1_r_Clk_Count_axbxc7))
(portRef C (instanceRef p_UART_RX_r_Clk_Count_6_iv_5))
))
(net p_N_2 (joined
(portRef Z (instanceRef r_Clk_Count_RNO_0_6))
(portRef A (instanceRef r_Clk_Count_RNO_6))
))
(net p_N_9_mux (joined
(portRef Z (instanceRef r_Clk_Count_RNO_1_6))
(portRef B (instanceRef r_Clk_Count_RNO_6))
))
(net N_19 (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m18))
(portRef B (instanceRef r_SM_Main_ns_2_0__m19))
))
(net un1_r_SM_Main_3 (joined
(portRef Z (instanceRef un1_r_SM_Main_3))
(portRef C (instanceRef r_Bit_Index_RNO_1))
(portRef B (instanceRef r_Bit_Index_RNO_0))
(portRef D (instanceRef r_Bit_Index_RNO_2))
))
(net m18_am (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m18_am))
(portRef BLUT (instanceRef r_SM_Main_ns_2_0__m18))
))
(net N_31 (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m16_e))
(portRef D (instanceRef r_SM_Main_ns_2_0__m18_bm))
))
(net m18_bm (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m18_bm))
(portRef ALUT (instanceRef r_SM_Main_ns_2_0__m18))
))
(net (rename flash_count_0 "flash_count[16]") (joined
(portRef flash_count_0)
(portRef A (instanceRef un1_flash_count_1))
(portRef A (instanceRef da_0_sqmuxa))
))
(net rda_i (joined
(portRef rda_i)
(portRef A (instanceRef un2_rx_ready))
(portRef B (instanceRef da_0_sqmuxa))
))
(net un1_flash_countlt16 (joined
(portRef un1_flash_countlt16)
(portRef B (instanceRef un1_flash_count_1))
(portRef C (instanceRef da_0_sqmuxa))
))
(net un1_flash_countlto21_3 (joined
(portRef un1_flash_countlto21_3)
(portRef C (instanceRef un1_flash_count_1))
(portRef D (instanceRef da_0_sqmuxa))
))
(net da_0_sqmuxa (joined
(portRef Z (instanceRef da_0_sqmuxa))
(portRef da_0_sqmuxa)
))
(net un1_r_SM_Main_5_i (joined
(portRef Z (instanceRef r_RX_DV_1_RNO))
(portRef B (instanceRef r_RX_DV_1))
))
(net m22_am (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m22_am))
(portRef BLUT (instanceRef r_SM_Main_ns_2_0__m22))
))
(net m22_bm (joined
(portRef Z (instanceRef r_SM_Main_ns_2_0__m22_bm))
(portRef ALUT (instanceRef r_SM_Main_ns_2_0__m22))
))
(net un2_rx_ready (joined
(portRef Z (instanceRef un2_rx_ready))
(portRef D (instanceRef un1_flash_count_1))
))
(net un1_flash_count_1 (joined
(portRef Z (instanceRef un1_flash_count_1))
(portRef un1_flash_count_1)
))
(net un1_r_SM_Main_7_0 (joined
(portRef Z (instanceRef un1_r_SM_Main_7_0))
(portRef D (instanceRef un1_r_SM_Main_7_0_RNIKSRD1))
(portRef D (instanceRef un1_r_SM_Main_7))
))
(net r_RX_Byte_1_sqmuxa (joined
(portRef Z (instanceRef r_RX_Byte_1_sqmuxa))
(portRef D (instanceRef r_RX_Bytece_6))
(portRef D (instanceRef r_RX_Bytece_5))
(portRef D (instanceRef r_RX_Bytece_4))
(portRef D (instanceRef r_RX_Bytece_3))
(portRef D (instanceRef r_RX_Bytece_2))
(portRef D (instanceRef r_RX_Bytece_1))
(portRef D (instanceRef r_RX_Bytece_0))
))
(net p_N_7_mux (joined
(portRef Z (instanceRef r_Clk_Count_RNO_2_6))
(portRef A (instanceRef r_Clk_Count_RNO_1_6))
))
(net N_85 (joined
(portRef Z (instanceRef r_SM_Main_s3_i))
(portRef D (instanceRef r_RX_DV_1))
))
(net un1_r_Clk_Count_ac0_3_out (joined
(portRef Z (instanceRef un1_r_Clk_Count_ac0_3_s))
(portRef D (instanceRef un1_r_Clk_Count_2_sqmuxa_0_1))
))
(net un1_r_Clk_Count_2_sqmuxa_0_1 (joined
(portRef Z (instanceRef un1_r_Clk_Count_2_sqmuxa_0_1))
(portRef C (instanceRef r_Clk_Countd_4))
(portRef B (instanceRef un1_r_Clk_Count_ac0_7))
))
)
(property orig_inst_of (string "UART_RX"))
)
)
(cell apple1display (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename rd "rd(6:0)") 7) (direction INPUT))
(port states_i_0 (direction OUTPUT))
(port da (direction INPUT))
(port rda_i (direction OUTPUT))
(port User_PB1_c (direction INPUT))
(port CLKOS_i_0 (direction INPUT))
(port circuit_clk (direction INPUT))
(port luma_c (direction OUTPUT))
)
(contents
(instance luma (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (C+(B !A)))"))
)
(instance un4_luma_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance msb_s_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance C13 (viewRef netlist (cellRef dm74175))
)
(instance D11 (viewRef netlist (cellRef dm74161_4))
)
(instance D6 (viewRef netlist (cellRef dm74160))
)
(instance D7 (viewRef netlist (cellRef dm74161_3))
)
(instance D8 (viewRef netlist (cellRef dm74161_2))
)
(instance D9 (viewRef netlist (cellRef dm74161_1))
)
(instance D15 (viewRef netlist (cellRef dm74161_0))
)
(instance D2 (viewRef netlist (cellRef sig2513))
)
(instance D1 (viewRef netlist (cellRef dm74166))
)
(instance D10 (viewRef netlist (cellRef dm7400_1))
)
(instance C3 (viewRef netlist (cellRef ttl2519))
)
(instance D5a (viewRef netlist (cellRef sig2504))
)
(instance D5b (viewRef netlist (cellRef sig2504_1))
)
(instance D4a (viewRef netlist (cellRef sig2504_2))
)
(instance D4b (viewRef netlist (cellRef sig2504_3))
)
(instance D14a (viewRef netlist (cellRef sig2504_4))
)
(instance D14b (viewRef netlist (cellRef sig2504_5))
)
(instance C11b (viewRef netlist (cellRef sig2504_0))
)
(instance C14 (viewRef netlist (cellRef dm74157_0))
)
(instance C7 (viewRef netlist (cellRef dm74174))
)
(instance D13 (viewRef netlist (cellRef ne555))
)
(instance C5 (viewRef netlist (cellRef dm7427))
)
(instance C6 (viewRef netlist (cellRef dm7410_1))
)
(instance C8 (viewRef netlist (cellRef dm7450))
)
(instance C12 (viewRef netlist (cellRef dm7408))
)
(instance C9 (viewRef netlist (cellRef dm7432))
)
(instance B2 (viewRef netlist (cellRef dm7410_0))
)
(instance C15 (viewRef netlist (cellRef dm7400_0))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net y1 (joined
(portRef y1 (instanceRef C5))
(portRef A (instanceRef luma))
))
(net (rename horz_count_lower_0 "horz_count_lower[0]") (joined
(portRef horz_count_lower_0 (instanceRef D6))
(portRef horz_count_lower_0 (instanceRef D9))
(portRef horz_count_lower_0 (instanceRef D7))
(portRef B (instanceRef luma))
))
(net luma_temp (joined
(portRef luma_temp (instanceRef D1))
(portRef C (instanceRef luma))
))
(net un4_luma_0_a3_0 (joined
(portRef Z (instanceRef un4_luma_0_a3_0))
(portRef D (instanceRef luma))
))
(net luma_c (joined
(portRef Z (instanceRef luma))
(portRef luma_c)
))
(net (rename count_i_3 "count_i[3]") (joined
(portRef count_i_0 (instanceRef D11))
(portRef count_i_0 (instanceRef D10))
(portRef count_i_0 (instanceRef D15))
(portRef count_i_0 (instanceRef D8))
(portRef count_i_0 (instanceRef D7))
(portRef A (instanceRef un4_luma_0_a3_0))
))
(net (rename char_column_0 "char_column[0]") (joined
(portRef (member char_column 2) (instanceRef D11))
(portRef (member char_column 2) (instanceRef D10))
(portRef B (instanceRef un4_luma_0_a3_0))
))
(net (rename char_column_1 "char_column[1]") (joined
(portRef (member char_column 1) (instanceRef D11))
(portRef (member char_column 1) (instanceRef D10))
(portRef C (instanceRef un4_luma_0_a3_0))
))
(net (rename char_column_2 "char_column[2]") (joined
(portRef (member char_column 0) (instanceRef D11))
(portRef (member char_column 0) (instanceRef D10))
(portRef D (instanceRef un4_luma_0_a3_0))
))
(net (rename states_3 "states[3]") (joined
(portRef (member states 0) (instanceRef C13))
(portRef states_0 (instanceRef C9))
(portRef (member states 0) (instanceRef C12))
(portRef states_0 (instanceRef C8))
(portRef states_0 (instanceRef C6))
(portRef states_0 (instanceRef C14))
(portRef states_0 (instanceRef D8))
(portRef A (instanceRef msb_s_0))
))
(net cursor_flash (joined
(portRef cursor_flash (instanceRef D13))
(portRef B (instanceRef msb_s_0))
))
(net msb_s_0 (joined
(portRef Z (instanceRef msb_s_0))
(portRef msb_s_0 (instanceRef C3))
))
(net (rename states_2 "states[2]") (joined
(portRef (member states 1) (instanceRef C13))
(portRef (member states 1) (instanceRef C12))
))
(net (rename mem_curs_out_0 "mem_curs_out[0]") (joined
(portRef mem_curs_out_0 (instanceRef C11b))
(portRef mem_curs_out_0 (instanceRef C13))
))
(net (rename states_i_0 "states_i[1]") (joined
(portRef states_i_0 (instanceRef C13))
(portRef states_i_0)
))
(net line_curs (joined
(portRef line_curs (instanceRef C14))
(portRef line_curs (instanceRef C13))
))
(net circuit_clk (joined
(portRef circuit_clk)
(portRef circuit_clk (instanceRef D2))
(portRef circuit_clk (instanceRef C13))
))
(net y3_i (joined
(portRef y3_i (instanceRef C15))
(portRef y3_i (instanceRef C13))
))
(net CLKOS_i_0 (joined
(portRef CLKOS_i_0)
(portRef CLKOS_i_0 (instanceRef D1))
(portRef CLKOS_i_0 (instanceRef D15))
(portRef CLKOS_i_0 (instanceRef D9))
(portRef CLKOS_i_0 (instanceRef D8))
(portRef CLKOS_i_0 (instanceRef D7))
(portRef CLKOS_i_0 (instanceRef D6))
(portRef CLKOS_i_0 (instanceRef D11))
(portRef CLKOS_i_0 (instanceRef C13))
))
(net dot_rate_0_i (joined
(portRef dot_rate_0_i (instanceRef C13))
(portRef dot_rate_0_i (instanceRef D1))
(portRef dot_rate_0_i (instanceRef D11))
))
(net states_ret_Q (joined
(portRef states_ret_Q (instanceRef C13))
(portRef states_ret_Q (instanceRef D15))
(portRef states_ret_Q (instanceRef D8))
(portRef states_ret_Q (instanceRef D7))
))
(net (rename horz_count_lower_3 "horz_count_lower[3]") (joined
(portRef horz_count_lower_3 (instanceRef D6))
(portRef horz_count_lower_0 (instanceRef C5))
(portRef horz_count_lower_3 (instanceRef D9))
(portRef horz_count_lower_0 (instanceRef D8))
))
(net N_8 (joined
(portRef N_8 (instanceRef D7))
(portRef N_8 (instanceRef D6))
))
(net N_66 (joined
(portRef N_66 (instanceRef D6))
(portRef N_66 (instanceRef D9))
(portRef N_66 (instanceRef D7))
))
(net G_9 (joined
(portRef G_9 (instanceRef D8))
(portRef G_9 (instanceRef D9))
(portRef G_9 (instanceRef D6))
))
(net (rename count_fast_3 "count_fast[3]") (joined
(portRef count_fast_0 (instanceRef D7))
(portRef count_fast_0 (instanceRef D10))
))
(net (rename count_1 "count[1]") (joined
(portRef count_0 (instanceRef D7))
(portRef count_1_0 (instanceRef D9))
))
(net (rename horz_count_upper_3 "horz_count_upper[3]") (joined
(portRef horz_count_upper_3 (instanceRef D7))
(portRef horz_count_upper_0 (instanceRef B2))
(portRef horz_count_upper_0 (instanceRef D15))
(portRef horz_count_upper_3 (instanceRef D9))
(portRef horz_count_upper_0 (instanceRef D8))
))
(net (rename horz_count_upper_0 "horz_count_upper[0]") (joined
(portRef horz_count_upper_0 (instanceRef D7))
(portRef horz_count_upper_0 (instanceRef C15))
(portRef horz_count_upper_0 (instanceRef D9))
))
(net (rename horz_count_upper_2 "horz_count_upper[2]") (joined
(portRef horz_count_upper_2 (instanceRef D7))
(portRef horz_count_upper_2 (instanceRef C15))
(portRef horz_count_upper_0 (instanceRef D10))
(portRef horz_count_upper_2 (instanceRef D9))
))
(net (rename horz_count_upper_i_3 "horz_count_upper_i[3]") (joined
(portRef horz_count_upper_i_0 (instanceRef D7))
(portRef horz_count_upper_i_0 (instanceRef D9))
(portRef horz_count_upper_i_0 (instanceRef D8))
))
(net last_h (joined
(portRef last_h (instanceRef D7))
(portRef last_h (instanceRef C7))
(portRef last_h (instanceRef D15))
(portRef last_h (instanceRef D9))
(portRef last_h (instanceRef D8))
))
(net (rename rd_2 "rd[2]") (joined
(portRef (member rd 4))
(portRef (member rd 4) (instanceRef C12))
(portRef (member rd 4) (instanceRef C8))
(portRef (member rd 4) (instanceRef C3))
(portRef rd_0 (instanceRef D8))
))
(net (rename rd_5 "rd[5]") (joined
(portRef (member rd 1))
(portRef (member rd 1) (instanceRef C9))
(portRef (member rd 1) (instanceRef C12))
(portRef (member rd 1) (instanceRef C8))
(portRef rd_3 (instanceRef D8))
))
(net (rename rd_6 "rd[6]") (joined
(portRef (member rd 0))
(portRef (member rd 0) (instanceRef C9))
(portRef (member rd 0) (instanceRef C12))
(portRef (member rd 0) (instanceRef C8))
(portRef (member rd 0) (instanceRef C3))
(portRef rd_4 (instanceRef D8))
))
(net (rename sync_count_1 "sync_count[1]") (joined
(portRef sync_count_0 (instanceRef D15))
(portRef sync_count_0 (instanceRef D9))
(portRef sync_count_0 (instanceRef D8))
))
(net (rename vert_count_lower_0 "vert_count_lower[0]") (joined
(portRef (member vert_count_lower 2) (instanceRef D8))
(portRef (member vert_count_lower 2) (instanceRef D2))
))
(net (rename vert_count_lower_1 "vert_count_lower[1]") (joined
(portRef (member vert_count_lower 1) (instanceRef D8))
(portRef (member vert_count_lower 1) (instanceRef D2))
))
(net (rename vert_count_lower_2 "vert_count_lower[2]") (joined
(portRef (member vert_count_lower 0) (instanceRef D8))
(portRef (member vert_count_lower 0) (instanceRef D2))
))
(net (rename count_5_0 "count_5[0]") (joined
(portRef count_5_0 (instanceRef D8))
(portRef count_5_0 (instanceRef D9))
))
(net (rename count_0 "count[0]") (joined
(portRef (member count 3) (instanceRef D8))
(portRef (member count 2) (instanceRef B2))
(portRef (member count_0 3) (instanceRef D9))
))
(net (rename count_0_1 "count_0[1]") (joined
(portRef (member count 2) (instanceRef D8))
(portRef (member count 1) (instanceRef B2))
(portRef (member count_0 2) (instanceRef D9))
))
(net (rename count_2 "count[2]") (joined
(portRef (member count 1) (instanceRef D8))
(portRef (member count 0) (instanceRef B2))
(portRef (member count_0 1) (instanceRef D9))
))
(net (rename count_3 "count[3]") (joined
(portRef (member count 0) (instanceRef D8))
(portRef count_2 (instanceRef D15))
(portRef (member count_0 0) (instanceRef D9))
))
(net un1_N_5_mux (joined
(portRef un1_N_5_mux (instanceRef C8))
(portRef un1_N_5_mux (instanceRef C12))
(portRef un1_N_5_mux (instanceRef D9))
(portRef un1_N_5_mux (instanceRef D8))
))
(net g0 (joined
(portRef g0 (instanceRef C8))
(portRef g0 (instanceRef C12))
(portRef g0 (instanceRef D9))
(portRef g0 (instanceRef D8))
))
(net un1_y2_c_0_a0 (joined
(portRef un1_y2_c_0_a0 (instanceRef C8))
(portRef un1_y2_c_0_a0 (instanceRef C12))
(portRef un1_y2_c_0_a0 (instanceRef D9))
(portRef un1_y2_c_0_a0 (instanceRef D8))
))
(net count_N_7_mux_1 (joined
(portRef count_N_7_mux_1 (instanceRef D8))
(portRef count_N_7_mux_1 (instanceRef D9))
))
(net Y2_m1_e_0_N_2L1_RNID0SD1 (joined
(portRef Y2_m1_e_0_N_2L1_RNID0SD1 (instanceRef C12))
(portRef Y2_m1_e_0_N_2L1_RNID0SD1 (instanceRef D9))
(portRef Y2_m1_e_0_N_2L1_RNID0SD1 (instanceRef D8))
))
(net y2 (joined
(portRef y2 (instanceRef C8))
(portRef y2 (instanceRef C9))
(portRef y2 (instanceRef D9))
(portRef y2 (instanceRef D8))
))
(net N_27 (joined
(portRef N_27 (instanceRef D8))
(portRef N_27 (instanceRef D9))
))
(net char_ready (joined
(portRef char_ready (instanceRef C7))
(portRef char_ready (instanceRef C9))
(portRef char_ready (instanceRef C12))
(portRef char_ready (instanceRef C8))
(portRef char_ready (instanceRef C6))
(portRef char_ready (instanceRef D9))
(portRef char_ready (instanceRef D8))
))
(net wc2_i (joined
(portRef wc2_i (instanceRef C7))
(portRef wc2_i (instanceRef C9))
(portRef wc2_i (instanceRef C12))
(portRef wc2_i (instanceRef C8))
(portRef wc2_i (instanceRef D9))
(portRef wc2_i (instanceRef D8))
))
(net screen_clear_inhibit (joined
(portRef screen_clear_inhibit (instanceRef C7))
(portRef screen_clear_inhibit (instanceRef C12))
(portRef screen_clear_inhibit (instanceRef D9))
(portRef screen_clear_inhibit (instanceRef D8))
))
(net cleared_last (joined
(portRef cleared_last (instanceRef C7))
(portRef cleared_last (instanceRef C9))
(portRef cleared_last (instanceRef C12))
(portRef cleared_last (instanceRef C8))
(portRef cleared_last (instanceRef D9))
(portRef cleared_last (instanceRef D8))
))
(net User_PB1_c (joined
(portRef User_PB1_c)
(portRef User_PB1_c (instanceRef C9))
(portRef User_PB1_c (instanceRef C12))
(portRef User_PB1_c (instanceRef D9))
(portRef User_PB1_c (instanceRef D8))
))
(net write_i_i (joined
(portRef write_i_i (instanceRef C9))
(portRef write_i_i (instanceRef C12))
(portRef write_i_i (instanceRef C14))
(portRef write_i_i (instanceRef C3))
(portRef write_i_i (instanceRef D9))
(portRef write_i_i (instanceRef D8))
))
(net Y2_m1_e_a0_1 (joined
(portRef Y2_m1_e_a0_1 (instanceRef C12))
(portRef Y2_m1_e_a0_1 (instanceRef C8))
(portRef Y2_m1_e_a0_1 (instanceRef D8))
))
(net vbl_i (joined
(portRef vbl_i (instanceRef D10))
(portRef vbl_i (instanceRef C9))
(portRef vbl_i (instanceRef C5))
(portRef vbl_i (instanceRef D13))
(portRef vbl_i (instanceRef D9))
(portRef vbl_i (instanceRef D8))
))
(net un8_y1 (joined
(portRef un8_y1 (instanceRef C12))
(portRef un8_y1 (instanceRef D9))
(portRef un8_y1 (instanceRef D8))
))
(net (rename count_0_0 "count_0[0]") (joined
(portRef (member count 3) (instanceRef D9))
(portRef count_0_0 (instanceRef D15))
))
(net (rename count_1_1 "count_1[1]") (joined
(portRef (member count 2) (instanceRef D9))
(portRef count_0_d0 (instanceRef D15))
))
(net (rename count_0_2 "count_0[2]") (joined
(portRef (member count 1) (instanceRef D9))
(portRef (member count 1) (instanceRef D10))
))
(net (rename count_0_3 "count_0[3]") (joined
(portRef (member count 0) (instanceRef D9))
(portRef (member count 0) (instanceRef D10))
))
(net Y4_a0_x (joined
(portRef Y4_a0_x (instanceRef C9))
(portRef Y4_a0_x (instanceRef D9))
))
(net last (joined
(portRef last (instanceRef D9))
(portRef last (instanceRef C7))
))
(net (rename sync_count_3 "sync_count[3]") (joined
(portRef sync_count_2 (instanceRef D15))
(portRef sync_count_0 (instanceRef C15))
))
(net y3 (joined
(portRef y3 (instanceRef D10))
(portRef y3 (instanceRef D15))
))
(net (rename screen_char_0 "screen_char[0]") (joined
(portRef (member screen_char 5) (instanceRef C3))
(portRef (member screen_char 5) (instanceRef D2))
))
(net (rename screen_char_1 "screen_char[1]") (joined
(portRef (member screen_char 4) (instanceRef C3))
(portRef (member screen_char 4) (instanceRef D2))
))
(net (rename screen_char_2 "screen_char[2]") (joined
(portRef (member screen_char 3) (instanceRef C3))
(portRef (member screen_char 3) (instanceRef D2))
))
(net (rename screen_char_3 "screen_char[3]") (joined
(portRef (member screen_char 2) (instanceRef C3))
(portRef (member screen_char 2) (instanceRef D2))
))
(net (rename screen_char_4 "screen_char[4]") (joined
(portRef (member screen_char 1) (instanceRef C3))
(portRef (member screen_char 1) (instanceRef D2))
))
(net (rename screen_char_5 "screen_char[5]") (joined
(portRef (member screen_char 0) (instanceRef C3))
(portRef (member screen_char 0) (instanceRef D2))
))
(net (rename cur_char_0 "cur_char[0]") (joined
(portRef (member cur_char 4) (instanceRef D2))
(portRef (member cur_char 4) (instanceRef D1))
))
(net (rename cur_char_1 "cur_char[1]") (joined
(portRef (member cur_char 3) (instanceRef D2))
(portRef (member cur_char 3) (instanceRef D1))
))
(net (rename cur_char_2 "cur_char[2]") (joined
(portRef (member cur_char 2) (instanceRef D2))
(portRef (member cur_char 2) (instanceRef D1))
))
(net (rename cur_char_3 "cur_char[3]") (joined
(portRef (member cur_char 1) (instanceRef D2))
(portRef (member cur_char 1) (instanceRef D1))
))
(net (rename cur_char_4 "cur_char[4]") (joined
(portRef (member cur_char 0) (instanceRef D2))
(portRef (member cur_char 0) (instanceRef D1))
))
(net y2_0 (joined
(portRef y2 (instanceRef D10))
(portRef y2 (instanceRef D1))
))
(net line_clock (joined
(portRef line_clock (instanceRef D10))
(portRef line_clock (instanceRef C5))
(portRef line_clock (instanceRef C3))
))
(net (rename rd_0 "rd[0]") (joined
(portRef (member rd 6))
(portRef (member rd 6) (instanceRef C12))
(portRef (member rd 6) (instanceRef C8))
(portRef (member rd 6) (instanceRef C3))
))
(net (rename rd_1 "rd[1]") (joined
(portRef (member rd 5))
(portRef (member rd 5) (instanceRef C12))
(portRef (member rd 5) (instanceRef C8))
(portRef (member rd 5) (instanceRef C3))
))
(net (rename rd_3 "rd[3]") (joined
(portRef (member rd 3))
(portRef (member rd 3) (instanceRef C12))
(portRef (member rd 3) (instanceRef C8))
(portRef (member rd 3) (instanceRef C3))
))
(net (rename rd_4 "rd[4]") (joined
(portRef (member rd 2))
(portRef (member rd 2) (instanceRef C12))
(portRef (member rd 2) (instanceRef C8))
(portRef (member rd 2) (instanceRef C3))
))
(net (rename buffer_char_out_5_0 "buffer_char_out_5[0]") (joined
(portRef buffer_char_out_5_0 (instanceRef D14b))
(portRef buffer_char_out_5_0 (instanceRef C3))
))
(net (rename buffer_char_out_1_0 "buffer_char_out_1[0]") (joined
(portRef buffer_char_out_1_0 (instanceRef D5b))
(portRef buffer_char_out_1_0 (instanceRef C3))
))
(net (rename buffer_char_out_3_0 "buffer_char_out_3[0]") (joined
(portRef buffer_char_out_3_0 (instanceRef D4b))
(portRef buffer_char_out_3_0 (instanceRef C3))
))
(net (rename buffer_char_out_2_0 "buffer_char_out_2[0]") (joined
(portRef buffer_char_out_2_0 (instanceRef D4a))
(portRef buffer_char_out_2_0 (instanceRef C3))
))
(net (rename buffer_char_out_0_0 "buffer_char_out_0[0]") (joined
(portRef buffer_char_out_0_0 (instanceRef D5a))
(portRef buffer_char_out_0_0 (instanceRef C3))
))
(net (rename buffer_char_out_4_0 "buffer_char_out_4[0]") (joined
(portRef buffer_char_out_4_0 (instanceRef D14a))
(portRef buffer_char_out_4_0 (instanceRef C3))
))
(net clr (joined
(portRef clr (instanceRef C9))
(portRef clr (instanceRef C14))
(portRef clr (instanceRef C3))
))
(net y2_1 (joined
(portRef y2 (instanceRef B2))
(portRef y2 (instanceRef C5))
(portRef y2 (instanceRef C3))
))
(net mem0 (joined
(portRef mem0 (instanceRef C5))
(portRef mem0 (instanceRef C7))
(portRef mem0 (instanceRef C11b))
(portRef mem0 (instanceRef D14b))
(portRef mem0 (instanceRef D14a))
(portRef mem0 (instanceRef D4b))
(portRef mem0 (instanceRef D4a))
(portRef mem0 (instanceRef D5b))
(portRef mem0 (instanceRef D5a))
))
(net (rename mem_curs_in_0 "mem_curs_in[0]") (joined
(portRef mem_curs_in_0 (instanceRef C12))
(portRef mem_curs_in_0 (instanceRef C11b))
))
(net (rename states_fast_1 "states_fast[1]") (joined
(portRef states_fast_0 (instanceRef C7))
(portRef states_fast_0 (instanceRef C8))
))
(net (rename states_fast_3 "states_fast[3]") (joined
(portRef states_fast_2 (instanceRef C7))
(portRef states_fast_2 (instanceRef C8))
))
(net (rename states_fast_5 "states_fast[5]") (joined
(portRef states_fast_4 (instanceRef C7))
(portRef states_fast_4 (instanceRef C8))
))
(net wc1_i (joined
(portRef wc1_i (instanceRef C12))
(portRef wc1_i (instanceRef C7))
))
(net wc1_i_fast (joined
(portRef wc1_i_fast (instanceRef C12))
(portRef wc1_i_fast (instanceRef C7))
))
(net line_clear_inhibit (joined
(portRef line_clear_inhibit (instanceRef C7))
(portRef line_clear_inhibit (instanceRef C8))
))
(net clear_char_fast (joined
(portRef clear_char_fast (instanceRef C8))
(portRef clear_char_fast (instanceRef C7))
))
(net clear_char (joined
(portRef clear_char (instanceRef C8))
(portRef clear_char (instanceRef C7))
))
(net rda_i (joined
(portRef rda_i (instanceRef C7))
(portRef rda_i)
))
(net y2_i (joined
(portRef y2_i (instanceRef C6))
(portRef y2_i (instanceRef C7))
))
(net da (joined
(portRef da)
(portRef da (instanceRef C7))
))
)
(property orig_inst_of (string "apple1display"))
)
)
(cell master_clk (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port CLKOS_i_0 (direction OUTPUT))
(port sys_clock_c (direction INPUT))
)
(contents
(instance PLLInst_0 (viewRef syn_black_box (cellRef EHXPLLJ))
(property CLKI_DIV (integer 1))
(property CLKFB_DIV (integer 1))
(property CLKOP_DIV (integer 20))
(property CLKOS_DIV (integer 35))
(property CLKOS2_DIV (integer 1))
(property CLKOS3_DIV (integer 1))
(property CLKOP_ENABLE (string "ENABLED"))
(property CLKOS_ENABLE (string "ENABLED"))
(property CLKOS2_ENABLE (string "DISABLED"))
(property CLKOS3_ENABLE (string "DISABLED"))
(property VCO_BYPASS_A0 (string "DISABLED"))
(property VCO_BYPASS_B0 (string "DISABLED"))
(property VCO_BYPASS_C0 (string "DISABLED"))
(property VCO_BYPASS_D0 (string "DISABLED"))
(property CLKOP_CPHASE (integer 19))
(property CLKOS_CPHASE (integer 34))
(property CLKOS2_CPHASE (integer 0))
(property CLKOS3_CPHASE (integer 0))
(property CLKOP_FPHASE (integer 0))
(property CLKOS_FPHASE (integer 0))
(property CLKOS2_FPHASE (integer 0))
(property CLKOS3_FPHASE (integer 0))
(property FEEDBK_PATH (string "INT_DIVA"))
(property FRACN_ENABLE (string "DISABLED"))
(property FRACN_DIV (integer 0))
(property CLKOP_TRIM_POL (string "RISING"))
(property CLKOP_TRIM_DELAY (integer 0))
(property CLKOS_TRIM_POL (string "RISING"))
(property CLKOS_TRIM_DELAY (integer 0))
(property PLL_LOCK_MODE (integer 0))
(property PLL_USE_WB (string "DISABLED"))
(property PREDIVIDER_MUXA1 (integer 0))
(property PREDIVIDER_MUXB1 (integer 0))
(property PREDIVIDER_MUXC1 (integer 0))
(property PREDIVIDER_MUXD1 (integer 0))
(property OUTDIVIDER_MUXA2 (string "DIVA"))
(property OUTDIVIDER_MUXB2 (string "DIVB"))
(property OUTDIVIDER_MUXC2 (string "DIVC"))
(property OUTDIVIDER_MUXD2 (string "DIVD"))
(property STDBY_ENABLE (string "DISABLED"))
(property DPHASE_SOURCE (string "DISABLED"))
(property PLLRST_ENA (string "DISABLED"))
(property MRST_ENA (string "DISABLED"))
(property DCRST_ENA (string "DISABLED"))
(property DDRST_ENA (string "DISABLED"))
(property INTFB_WAKE (string "DISABLED"))
(property LPF_RESISTOR (string "8"))
(property ICP_CURRENT (string "7"))
(property FREQUENCY_PIN_CLKI (string "25.000000"))
(property FREQUENCY_PIN_CLKOP (string "25.000000"))
(property FREQUENCY_PIN_CLKOS (string "14.285714"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net sys_clock_c (joined
(portRef sys_clock_c)
(portRef CLKI (instanceRef PLLInst_0))
))
(net CLKFB_t (joined
(portRef CLKINTFB (instanceRef PLLInst_0))
(portRef CLKFB (instanceRef PLLInst_0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef PLLADDR0 (instanceRef PLLInst_0))
(portRef PLLADDR1 (instanceRef PLLInst_0))
(portRef PLLADDR2 (instanceRef PLLInst_0))
(portRef PLLADDR3 (instanceRef PLLInst_0))
(portRef PLLADDR4 (instanceRef PLLInst_0))
(portRef PLLDATI0 (instanceRef PLLInst_0))
(portRef PLLDATI1 (instanceRef PLLInst_0))
(portRef PLLDATI2 (instanceRef PLLInst_0))
(portRef PLLDATI3 (instanceRef PLLInst_0))
(portRef PLLDATI4 (instanceRef PLLInst_0))
(portRef PLLDATI5 (instanceRef PLLInst_0))
(portRef PLLDATI6 (instanceRef PLLInst_0))
(portRef PLLDATI7 (instanceRef PLLInst_0))
(portRef PLLWE (instanceRef PLLInst_0))
(portRef PLLSTB (instanceRef PLLInst_0))
(portRef PLLRST (instanceRef PLLInst_0))
(portRef PLLCLK (instanceRef PLLInst_0))
(portRef ENCLKOS3 (instanceRef PLLInst_0))
(portRef ENCLKOS2 (instanceRef PLLInst_0))
(portRef ENCLKOS (instanceRef PLLInst_0))
(portRef ENCLKOP (instanceRef PLLInst_0))
(portRef RESETD (instanceRef PLLInst_0))
(portRef RESETC (instanceRef PLLInst_0))
(portRef RESETM (instanceRef PLLInst_0))
(portRef RST (instanceRef PLLInst_0))
(portRef PLLWAKESYNC (instanceRef PLLInst_0))
(portRef STDBY (instanceRef PLLInst_0))
(portRef LOADREG (instanceRef PLLInst_0))
(portRef PHASESTEP (instanceRef PLLInst_0))
(portRef PHASEDIR (instanceRef PLLInst_0))
(portRef PHASESEL0 (instanceRef PLLInst_0))
(portRef PHASESEL1 (instanceRef PLLInst_0))
))
(net CLKOP (joined
(portRef CLKOP (instanceRef PLLInst_0))
))
(net CLKOS_i_0 (joined
(portRef CLKOS (instanceRef PLLInst_0))
(portRef CLKOS_i_0)
))
(net CLKOS2 (joined
(portRef CLKOS2 (instanceRef PLLInst_0))
))
(net CLKOS3 (joined
(portRef CLKOS3 (instanceRef PLLInst_0))
))
(net LOCK (joined
(portRef LOCK (instanceRef PLLInst_0))
))
(net INTLOCK (joined
(portRef INTLOCK (instanceRef PLLInst_0))
))
(net REFCLK (joined
(portRef REFCLK (instanceRef PLLInst_0))
))
(net PLLDATO7 (joined
(portRef PLLDATO7 (instanceRef PLLInst_0))
))
(net PLLDATO6 (joined
(portRef PLLDATO6 (instanceRef PLLInst_0))
))
(net PLLDATO5 (joined
(portRef PLLDATO5 (instanceRef PLLInst_0))
))
(net PLLDATO4 (joined
(portRef PLLDATO4 (instanceRef PLLInst_0))
))
(net PLLDATO3 (joined
(portRef PLLDATO3 (instanceRef PLLInst_0))
))
(net PLLDATO2 (joined
(portRef PLLDATO2 (instanceRef PLLInst_0))
))
(net PLLDATO1 (joined
(portRef PLLDATO1 (instanceRef PLLInst_0))
))
(net PLLDATO0 (joined
(portRef PLLDATO0 (instanceRef PLLInst_0))
))
(net PLLACK (joined
(portRef PLLACK (instanceRef PLLInst_0))
))
(net DPHSRC (joined
(portRef DPHSRC (instanceRef PLLInst_0))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "master_clk"))
)
)
(cell FleaFPGA_Uno_E1 (cellType GENERIC)
(view arch (viewType NETLIST)
(interface
(port sys_clock (direction INPUT))
(port User_LED1 (direction OUTPUT))
(port User_LED2 (direction OUTPUT))
(port (array (rename ntsc_dac "NTSC_DAC(3:0)") 4) (direction OUTPUT))
(port luma (direction OUTPUT))
(port sync (direction OUTPUT))
(port User_PB1 (direction INPUT))
(port SRAM_n_cs (direction OUTPUT))
(port Audio_l (direction OUTPUT))
(port Audio_r (direction OUTPUT))
(port spi1_cs (direction OUTPUT))
(port slave_rx_i (direction INPUT))
)
(contents
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT)))
)
(instance (rename flash_count_RNO_0 "flash_count_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A+B !A)+C !A)+D (!B A+B !A))"))
)
(instance (rename da_fb "da.fb") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance uart_module_r_RX_Data_Rio (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
(property INIT (string "0"))
)
(instance (rename rd_0 "rd[0]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
)
(instance (rename rd_1 "rd[1]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
)
(instance (rename rd_2 "rd[2]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
)
(instance (rename rd_3 "rd[3]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
)
(instance (rename rd_4 "rd[4]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
)
(instance (rename rd_5 "rd[5]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
)
(instance (rename rd_6 "rd[6]") (viewRef PRIM (cellRef FD1P3JX (libraryRef LUCENT)))
)
(instance (rename flash_count_0 "flash_count[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_1 "flash_count[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_2 "flash_count[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_3 "flash_count[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_4 "flash_count[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_5 "flash_count[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_6 "flash_count[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_7 "flash_count[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_8 "flash_count[8]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_9 "flash_count[9]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_10 "flash_count[10]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_11 "flash_count[11]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_12 "flash_count[12]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_13 "flash_count[13]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_14 "flash_count[14]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_15 "flash_count[15]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_16 "flash_count[16]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_17 "flash_count[17]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_18 "flash_count[18]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_19 "flash_count[19]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_20 "flash_count[20]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename flash_count_21 "flash_count[21]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance da (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance slave_rx_i_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance spi1_cs_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance Audio_r_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance Audio_l_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance SRAM_n_cs_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance User_PB1_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance sync_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance luma_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename NTSC_DAC_pad_3 "NTSC_DAC_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename NTSC_DAC_pad_2 "NTSC_DAC_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename NTSC_DAC_pad_1 "NTSC_DAC_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename NTSC_DAC_pad_0 "NTSC_DAC_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance User_LED2_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance User_LED1_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance sys_clock_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance un1_flash_countlto14_0_RNIEVM51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B !A))"))
)
(instance un1_flash_countlto21 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B !A))"))
)
(instance un1_flash_countlto14_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C !B+C (!B+!A)))"))
)
(instance un1_flash_countlto14_d (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance un1_flash_countlto4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C+(!B+!A)))"))
)
(instance un1_flash_countlto21_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C+(!B+!A)))"))
)
(instance un1_flash_countlto13_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(!C+(!B+!A)))"))
)
(instance un1_flash_countlto14_d_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance un1_flash_countlto4_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance un1_flash_countlto21_3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance un6_flash_count_s_21_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_19_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_17_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_15_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_13_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_11_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_9_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_7_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_5_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_3_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_1_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance un6_flash_count_cry_0_0 (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance clock_module (viewRef netlist (cellRef master_clk))
)
(instance apple_module (viewRef netlist (cellRef apple1display))
)
(instance uart_module (viewRef netlist (cellRef UART_RX))
)
(net un1_flash_countlt22 (joined
(portRef Z (instanceRef un1_flash_countlto21))
(portRef SP (instanceRef flash_count_21))
(portRef SP (instanceRef flash_count_20))
(portRef SP (instanceRef flash_count_19))
(portRef SP (instanceRef flash_count_18))
(portRef SP (instanceRef flash_count_17))
(portRef SP (instanceRef flash_count_16))
(portRef SP (instanceRef flash_count_15))
(portRef SP (instanceRef flash_count_14))
(portRef SP (instanceRef flash_count_13))
(portRef SP (instanceRef flash_count_12))
(portRef SP (instanceRef flash_count_11))
(portRef SP (instanceRef flash_count_10))
(portRef SP (instanceRef flash_count_9))
(portRef SP (instanceRef flash_count_8))
(portRef SP (instanceRef flash_count_7))
(portRef SP (instanceRef flash_count_6))
(portRef SP (instanceRef flash_count_5))
(portRef SP (instanceRef flash_count_4))
(portRef SP (instanceRef flash_count_3))
(portRef SP (instanceRef flash_count_2))
(portRef SP (instanceRef flash_count_1))
))
(net un1_flash_count_1 (joined
(portRef un1_flash_count_1 (instanceRef uart_module))
(portRef SP (instanceRef rd_6))
(portRef SP (instanceRef rd_5))
(portRef SP (instanceRef rd_4))
(portRef SP (instanceRef rd_3))
(portRef SP (instanceRef rd_2))
(portRef SP (instanceRef rd_1))
(portRef SP (instanceRef rd_0))
(portRef B (instanceRef da_fb))
))
(net (rename circuit_clkkeep "circuit_clk") (joined
(portRef circuit_clk (instanceRef apple_module))
(portRef CLKOS_i_0 (instanceRef clock_module))
(portRef CLKOS_i_0 (instanceRef apple_module))
))
(net (rename rd_0 "rd[0]") (joined
(portRef Q (instanceRef rd_0))
(portRef (member rd 6) (instanceRef apple_module))
))
(net (rename rd_1 "rd[1]") (joined
(portRef Q (instanceRef rd_1))
(portRef (member rd 5) (instanceRef apple_module))
))
(net (rename rd_2 "rd[2]") (joined
(portRef Q (instanceRef rd_2))
(portRef (member rd 4) (instanceRef apple_module))
))
(net (rename rd_3 "rd[3]") (joined
(portRef Q (instanceRef rd_3))
(portRef (member rd 3) (instanceRef apple_module))
))
(net (rename rd_4 "rd[4]") (joined
(portRef Q (instanceRef rd_4))
(portRef (member rd 2) (instanceRef apple_module))
))
(net (rename rd_5 "rd[5]") (joined
(portRef Q (instanceRef rd_5))
(portRef (member rd 1) (instanceRef apple_module))
))
(net (rename rd_6 "rd[6]") (joined
(portRef Q (instanceRef rd_6))
(portRef (member rd 0) (instanceRef apple_module))
))
(net da (joined
(portRef Q (instanceRef da))
(portRef da (instanceRef apple_module))
(portRef A (instanceRef da_fb))
))
(net rda_i (joined
(portRef rda_i (instanceRef apple_module))
(portRef rda_i (instanceRef uart_module))
))
(net (rename rx_data_0 "rx_data[0]") (joined
(portRef (member rx_data 6) (instanceRef uart_module))
(portRef D (instanceRef rd_0))
))
(net (rename rx_data_1 "rx_data[1]") (joined
(portRef (member rx_data 5) (instanceRef uart_module))
(portRef D (instanceRef rd_1))
))
(net (rename rx_data_2 "rx_data[2]") (joined
(portRef (member rx_data 4) (instanceRef uart_module))
(portRef D (instanceRef rd_2))
))
(net (rename rx_data_3 "rx_data[3]") (joined
(portRef (member rx_data 3) (instanceRef uart_module))
(portRef D (instanceRef rd_3))
))
(net (rename rx_data_4 "rx_data[4]") (joined
(portRef (member rx_data 2) (instanceRef uart_module))
(portRef D (instanceRef rd_4))
))
(net (rename rx_data_5 "rx_data[5]") (joined
(portRef (member rx_data 1) (instanceRef uart_module))
(portRef D (instanceRef rd_5))
))
(net (rename rx_data_6 "rx_data[6]") (joined
(portRef (member rx_data 0) (instanceRef uart_module))
(portRef D (instanceRef rd_6))
))
(net da_0_sqmuxa (joined
(portRef da_0_sqmuxa (instanceRef uart_module))
(portRef CD (instanceRef da))
))
(net un1_flash_countlt16 (joined
(portRef Z (instanceRef un1_flash_countlto14_0))
(portRef un1_flash_countlt16 (instanceRef uart_module))
(portRef B (instanceRef un1_flash_countlto21))
(portRef B (instanceRef un1_flash_countlto14_0_RNIEVM51))
(portRef C (instanceRef flash_count_RNO_0))
))
(net un1_flash_countlt9 (joined
(portRef Z (instanceRef un1_flash_countlto4))
(portRef C (instanceRef un1_flash_countlto14_d))
))
(net (rename uart_module_r_RX_Data_R "uart_module.r_RX_Data_R") (joined
(portRef Q (instanceRef uart_module_r_RX_Data_Rio))
(portRef r_RX_Data_R (instanceRef uart_module))
))
(net un1_flash_countlto14_0_RNIEVM51 (joined
(portRef Z (instanceRef un1_flash_countlto14_0_RNIEVM51))
(portRef PD (instanceRef rd_6))
(portRef PD (instanceRef rd_5))
(portRef PD (instanceRef rd_4))
(portRef PD (instanceRef rd_3))
(portRef PD (instanceRef rd_2))
(portRef PD (instanceRef rd_1))
(portRef PD (instanceRef rd_0))
))
(net (rename flash_count_21 "flash_count[21]") (joined
(portRef Q (instanceRef flash_count_21))
(portRef A0 (instanceRef un6_flash_count_s_21_0))
(portRef B (instanceRef un1_flash_countlto21_3_1))
))
(net (rename flash_count_20 "flash_count[20]") (joined
(portRef Q (instanceRef flash_count_20))
(portRef A1 (instanceRef un6_flash_count_cry_19_0))
(portRef A (instanceRef un1_flash_countlto21_3_1))
))
(net (rename flash_count_19 "flash_count[19]") (joined
(portRef Q (instanceRef flash_count_19))
(portRef A0 (instanceRef un6_flash_count_cry_19_0))
(portRef C (instanceRef un1_flash_countlto21_3))
))
(net (rename flash_count_18 "flash_count[18]") (joined
(portRef Q (instanceRef flash_count_18))
(portRef A1 (instanceRef un6_flash_count_cry_17_0))
(portRef B (instanceRef un1_flash_countlto21_3))
))
(net (rename flash_count_17 "flash_count[17]") (joined
(portRef Q (instanceRef flash_count_17))
(portRef A0 (instanceRef un6_flash_count_cry_17_0))
(portRef A (instanceRef un1_flash_countlto21_3))
))
(net (rename flash_count_16 "flash_count[16]") (joined
(portRef Q (instanceRef flash_count_16))
(portRef flash_count_0 (instanceRef uart_module))
(portRef A1 (instanceRef un6_flash_count_cry_15_0))
(portRef A (instanceRef un1_flash_countlto21))
(portRef A (instanceRef un1_flash_countlto14_0_RNIEVM51))
(portRef D (instanceRef flash_count_RNO_0))
))
(net (rename flash_count_15 "flash_count[15]") (joined
(portRef Q (instanceRef flash_count_15))
(portRef A0 (instanceRef un6_flash_count_cry_15_0))
(portRef B (instanceRef un1_flash_countlto14_0))
))
(net (rename flash_count_14 "flash_count[14]") (joined
(portRef Q (instanceRef flash_count_14))
(portRef A1 (instanceRef un6_flash_count_cry_13_0))
(portRef B (instanceRef un1_flash_countlto14_d))
(portRef A (instanceRef un1_flash_countlto14_0))
))
(net (rename flash_count_13 "flash_count[13]") (joined
(portRef Q (instanceRef flash_count_13))
(portRef A0 (instanceRef un6_flash_count_cry_13_0))
(portRef D (instanceRef un1_flash_countlto13_2))
))
(net (rename flash_count_12 "flash_count[12]") (joined
(portRef Q (instanceRef flash_count_12))
(portRef A1 (instanceRef un6_flash_count_cry_11_0))
(portRef C (instanceRef un1_flash_countlto13_2))
))
(net (rename flash_count_11 "flash_count[11]") (joined
(portRef Q (instanceRef flash_count_11))
(portRef A0 (instanceRef un6_flash_count_cry_11_0))
(portRef B (instanceRef un1_flash_countlto13_2))
))
(net (rename flash_count_10 "flash_count[10]") (joined
(portRef Q (instanceRef flash_count_10))
(portRef A1 (instanceRef un6_flash_count_cry_9_0))
(portRef A (instanceRef un1_flash_countlto13_2))
))
(net (rename flash_count_9 "flash_count[9]") (joined
(portRef Q (instanceRef flash_count_9))
(portRef A0 (instanceRef un6_flash_count_cry_9_0))
(portRef D (instanceRef un1_flash_countlto14_d_3))
))
(net (rename flash_count_8 "flash_count[8]") (joined
(portRef Q (instanceRef flash_count_8))
(portRef A1 (instanceRef un6_flash_count_cry_7_0))
(portRef C (instanceRef un1_flash_countlto14_d_3))
))
(net (rename flash_count_7 "flash_count[7]") (joined
(portRef Q (instanceRef flash_count_7))
(portRef A0 (instanceRef un6_flash_count_cry_7_0))
(portRef A (instanceRef un1_flash_countlto14_d))
))
(net (rename flash_count_6 "flash_count[6]") (joined
(portRef Q (instanceRef flash_count_6))
(portRef A1 (instanceRef un6_flash_count_cry_5_0))
(portRef B (instanceRef un1_flash_countlto14_d_3))
))
(net (rename flash_count_5 "flash_count[5]") (joined
(portRef Q (instanceRef flash_count_5))
(portRef A0 (instanceRef un6_flash_count_cry_5_0))
(portRef A (instanceRef un1_flash_countlto14_d_3))
))
(net (rename flash_count_4 "flash_count[4]") (joined
(portRef Q (instanceRef flash_count_4))
(portRef A1 (instanceRef un6_flash_count_cry_3_0))
(portRef B (instanceRef un1_flash_countlto4_1))
))
(net (rename flash_count_3 "flash_count[3]") (joined
(portRef Q (instanceRef flash_count_3))
(portRef A0 (instanceRef un6_flash_count_cry_3_0))
(portRef C (instanceRef un1_flash_countlto4))
))
(net (rename flash_count_2 "flash_count[2]") (joined
(portRef Q (instanceRef flash_count_2))
(portRef A1 (instanceRef un6_flash_count_cry_1_0))
(portRef B (instanceRef un1_flash_countlto4))
))
(net (rename flash_count_1 "flash_count[1]") (joined
(portRef Q (instanceRef flash_count_1))
(portRef A0 (instanceRef un6_flash_count_cry_1_0))
(portRef A (instanceRef un1_flash_countlto4_1))
))
(net (rename flash_count_0 "flash_count[0]") (joined
(portRef Q (instanceRef flash_count_0))
(portRef A1 (instanceRef un6_flash_count_cry_0_0))
(portRef A (instanceRef un1_flash_countlto4))
(portRef A (instanceRef flash_count_RNO_0))
))
(net (rename un6_flash_count_31 "un6_flash_count[31]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_1_0))
(portRef D (instanceRef flash_count_1))
))
(net (rename un6_flash_count_30 "un6_flash_count[30]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_1_0))
(portRef D (instanceRef flash_count_2))
))
(net (rename un6_flash_count_29 "un6_flash_count[29]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_3_0))
(portRef D (instanceRef flash_count_3))
))
(net (rename un6_flash_count_28 "un6_flash_count[28]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_3_0))
(portRef D (instanceRef flash_count_4))
))
(net (rename un6_flash_count_27 "un6_flash_count[27]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_5_0))
(portRef D (instanceRef flash_count_5))
))
(net (rename un6_flash_count_26 "un6_flash_count[26]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_5_0))
(portRef D (instanceRef flash_count_6))
))
(net (rename un6_flash_count_25 "un6_flash_count[25]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_7_0))
(portRef D (instanceRef flash_count_7))
))
(net (rename un6_flash_count_24 "un6_flash_count[24]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_7_0))
(portRef D (instanceRef flash_count_8))
))
(net (rename un6_flash_count_23 "un6_flash_count[23]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_9_0))
(portRef D (instanceRef flash_count_9))
))
(net (rename un6_flash_count_22 "un6_flash_count[22]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_9_0))
(portRef D (instanceRef flash_count_10))
))
(net (rename un6_flash_count_21 "un6_flash_count[21]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_11_0))
(portRef D (instanceRef flash_count_11))
))
(net (rename un6_flash_count_20 "un6_flash_count[20]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_11_0))
(portRef D (instanceRef flash_count_12))
))
(net (rename un6_flash_count_19 "un6_flash_count[19]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_13_0))
(portRef D (instanceRef flash_count_13))
))
(net (rename un6_flash_count_18 "un6_flash_count[18]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_13_0))
(portRef D (instanceRef flash_count_14))
))
(net (rename un6_flash_count_17 "un6_flash_count[17]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_15_0))
(portRef D (instanceRef flash_count_15))
))
(net (rename un6_flash_count_16 "un6_flash_count[16]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_15_0))
(portRef D (instanceRef flash_count_16))
))
(net (rename un6_flash_count_15 "un6_flash_count[15]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_17_0))
(portRef D (instanceRef flash_count_17))
))
(net (rename un6_flash_count_14 "un6_flash_count[14]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_17_0))
(portRef D (instanceRef flash_count_18))
))
(net (rename un6_flash_count_13 "un6_flash_count[13]") (joined
(portRef S0 (instanceRef un6_flash_count_cry_19_0))
(portRef D (instanceRef flash_count_19))
))
(net (rename un6_flash_count_12 "un6_flash_count[12]") (joined
(portRef S1 (instanceRef un6_flash_count_cry_19_0))
(portRef D (instanceRef flash_count_20))
))
(net (rename un6_flash_count_11 "un6_flash_count[11]") (joined
(portRef S0 (instanceRef un6_flash_count_s_21_0))
(portRef D (instanceRef flash_count_21))
))
(net un6_flash_count_cry_0 (joined
(portRef COUT (instanceRef un6_flash_count_cry_0_0))
(portRef CIN (instanceRef un6_flash_count_cry_1_0))
))
(net un6_flash_count_cry_2 (joined
(portRef COUT (instanceRef un6_flash_count_cry_1_0))
(portRef CIN (instanceRef un6_flash_count_cry_3_0))
))
(net un6_flash_count_cry_4 (joined
(portRef COUT (instanceRef un6_flash_count_cry_3_0))
(portRef CIN (instanceRef un6_flash_count_cry_5_0))
))
(net un6_flash_count_cry_6 (joined
(portRef COUT (instanceRef un6_flash_count_cry_5_0))
(portRef CIN (instanceRef un6_flash_count_cry_7_0))
))
(net un6_flash_count_cry_8 (joined
(portRef COUT (instanceRef un6_flash_count_cry_7_0))
(portRef CIN (instanceRef un6_flash_count_cry_9_0))
))
(net un6_flash_count_cry_10 (joined
(portRef COUT (instanceRef un6_flash_count_cry_9_0))
(portRef CIN (instanceRef un6_flash_count_cry_11_0))
))
(net un6_flash_count_cry_12 (joined
(portRef COUT (instanceRef un6_flash_count_cry_11_0))
(portRef CIN (instanceRef un6_flash_count_cry_13_0))
))
(net un6_flash_count_cry_14 (joined
(portRef COUT (instanceRef un6_flash_count_cry_13_0))
(portRef CIN (instanceRef un6_flash_count_cry_15_0))
))
(net un6_flash_count_cry_16 (joined
(portRef COUT (instanceRef un6_flash_count_cry_15_0))
(portRef CIN (instanceRef un6_flash_count_cry_17_0))
))
(net un6_flash_count_cry_18 (joined
(portRef COUT (instanceRef un6_flash_count_cry_17_0))
(portRef CIN (instanceRef un6_flash_count_cry_19_0))
))
(net un6_flash_count_cry_20 (joined
(portRef COUT (instanceRef un6_flash_count_cry_19_0))
(portRef CIN (instanceRef un6_flash_count_s_21_0))
))
(net un1_flash_countlto13_2 (joined
(portRef Z (instanceRef un1_flash_countlto13_2))
(portRef C (instanceRef un1_flash_countlto14_0))
))
(net un1_flash_countlto14_d (joined
(portRef Z (instanceRef un1_flash_countlto14_d))
(portRef D (instanceRef un1_flash_countlto14_0))
))
(net un1_flash_countlto21_3 (joined
(portRef Z (instanceRef un1_flash_countlto21_3))
(portRef un1_flash_countlto21_3 (instanceRef uart_module))
(portRef C (instanceRef un1_flash_countlto21))
(portRef C (instanceRef un1_flash_countlto14_0_RNIEVM51))
(portRef B (instanceRef flash_count_RNO_0))
))
(net un1_flash_countlto4_1 (joined
(portRef Z (instanceRef un1_flash_countlto4_1))
(portRef D (instanceRef un1_flash_countlto4))
))
(net un1_flash_countlto21_3_1 (joined
(portRef Z (instanceRef un1_flash_countlto21_3_1))
(portRef D (instanceRef un1_flash_countlto21_3))
))
(net un1_flash_countlto14_d_3 (joined
(portRef Z (instanceRef un1_flash_countlto14_d_3))
(portRef D (instanceRef un1_flash_countlto14_d))
))
(net un6_flash_count_cry_0_0_S0 (joined
(portRef S0 (instanceRef un6_flash_count_cry_0_0))
))
(net un6_flash_count_cry_0_0_S1 (joined
(portRef S1 (instanceRef un6_flash_count_cry_0_0))
))
(net un6_flash_count_s_21_0_S1 (joined
(portRef S1 (instanceRef un6_flash_count_s_21_0))
))
(net un6_flash_count_s_21_0_COUT (joined
(portRef COUT (instanceRef un6_flash_count_s_21_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B0 (instanceRef un6_flash_count_cry_0_0))
(portRef I (instanceRef User_LED1_pad))
(portRef I (instanceRef SRAM_n_cs_pad))
(portRef I (instanceRef spi1_cs_pad))
(portRef SP (instanceRef uart_module_r_RX_Data_Rio))
(portRef GSR (instanceRef GSR_INST))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef D1 (instanceRef un6_flash_count_cry_0_0))
(portRef C1 (instanceRef un6_flash_count_cry_0_0))
(portRef B1 (instanceRef un6_flash_count_cry_0_0))
(portRef D0 (instanceRef un6_flash_count_cry_0_0))
(portRef C0 (instanceRef un6_flash_count_cry_0_0))
(portRef A0 (instanceRef un6_flash_count_cry_0_0))
(portRef D1 (instanceRef un6_flash_count_cry_1_0))
(portRef C1 (instanceRef un6_flash_count_cry_1_0))
(portRef B1 (instanceRef un6_flash_count_cry_1_0))
(portRef D0 (instanceRef un6_flash_count_cry_1_0))
(portRef C0 (instanceRef un6_flash_count_cry_1_0))
(portRef B0 (instanceRef un6_flash_count_cry_1_0))
(portRef D1 (instanceRef un6_flash_count_cry_3_0))
(portRef C1 (instanceRef un6_flash_count_cry_3_0))
(portRef B1 (instanceRef un6_flash_count_cry_3_0))
(portRef D0 (instanceRef un6_flash_count_cry_3_0))
(portRef C0 (instanceRef un6_flash_count_cry_3_0))
(portRef B0 (instanceRef un6_flash_count_cry_3_0))
(portRef D1 (instanceRef un6_flash_count_cry_5_0))
(portRef C1 (instanceRef un6_flash_count_cry_5_0))
(portRef B1 (instanceRef un6_flash_count_cry_5_0))
(portRef D0 (instanceRef un6_flash_count_cry_5_0))
(portRef C0 (instanceRef un6_flash_count_cry_5_0))
(portRef B0 (instanceRef un6_flash_count_cry_5_0))
(portRef D1 (instanceRef un6_flash_count_cry_7_0))
(portRef C1 (instanceRef un6_flash_count_cry_7_0))
(portRef B1 (instanceRef un6_flash_count_cry_7_0))
(portRef D0 (instanceRef un6_flash_count_cry_7_0))
(portRef C0 (instanceRef un6_flash_count_cry_7_0))
(portRef B0 (instanceRef un6_flash_count_cry_7_0))
(portRef D1 (instanceRef un6_flash_count_cry_9_0))
(portRef C1 (instanceRef un6_flash_count_cry_9_0))
(portRef B1 (instanceRef un6_flash_count_cry_9_0))
(portRef D0 (instanceRef un6_flash_count_cry_9_0))
(portRef C0 (instanceRef un6_flash_count_cry_9_0))
(portRef B0 (instanceRef un6_flash_count_cry_9_0))
(portRef D1 (instanceRef un6_flash_count_cry_11_0))
(portRef C1 (instanceRef un6_flash_count_cry_11_0))
(portRef B1 (instanceRef un6_flash_count_cry_11_0))
(portRef D0 (instanceRef un6_flash_count_cry_11_0))
(portRef C0 (instanceRef un6_flash_count_cry_11_0))
(portRef B0 (instanceRef un6_flash_count_cry_11_0))
(portRef D1 (instanceRef un6_flash_count_cry_13_0))
(portRef C1 (instanceRef un6_flash_count_cry_13_0))
(portRef B1 (instanceRef un6_flash_count_cry_13_0))
(portRef D0 (instanceRef un6_flash_count_cry_13_0))
(portRef C0 (instanceRef un6_flash_count_cry_13_0))
(portRef B0 (instanceRef un6_flash_count_cry_13_0))
(portRef D1 (instanceRef un6_flash_count_cry_15_0))
(portRef C1 (instanceRef un6_flash_count_cry_15_0))
(portRef B1 (instanceRef un6_flash_count_cry_15_0))
(portRef D0 (instanceRef un6_flash_count_cry_15_0))
(portRef C0 (instanceRef un6_flash_count_cry_15_0))
(portRef B0 (instanceRef un6_flash_count_cry_15_0))
(portRef D1 (instanceRef un6_flash_count_cry_17_0))
(portRef C1 (instanceRef un6_flash_count_cry_17_0))
(portRef B1 (instanceRef un6_flash_count_cry_17_0))
(portRef D0 (instanceRef un6_flash_count_cry_17_0))
(portRef C0 (instanceRef un6_flash_count_cry_17_0))
(portRef B0 (instanceRef un6_flash_count_cry_17_0))
(portRef D1 (instanceRef un6_flash_count_cry_19_0))
(portRef C1 (instanceRef un6_flash_count_cry_19_0))
(portRef B1 (instanceRef un6_flash_count_cry_19_0))
(portRef D0 (instanceRef un6_flash_count_cry_19_0))
(portRef C0 (instanceRef un6_flash_count_cry_19_0))
(portRef B0 (instanceRef un6_flash_count_cry_19_0))
(portRef D1 (instanceRef un6_flash_count_s_21_0))
(portRef C1 (instanceRef un6_flash_count_s_21_0))
(portRef B1 (instanceRef un6_flash_count_s_21_0))
(portRef A1 (instanceRef un6_flash_count_s_21_0))
(portRef D0 (instanceRef un6_flash_count_s_21_0))
(portRef C0 (instanceRef un6_flash_count_s_21_0))
(portRef B0 (instanceRef un6_flash_count_s_21_0))
(portRef I (instanceRef User_LED2_pad))
(portRef I (instanceRef NTSC_DAC_pad_0))
(portRef I (instanceRef NTSC_DAC_pad_1))
(portRef I (instanceRef NTSC_DAC_pad_2))
(portRef I (instanceRef Audio_l_pad))
(portRef I (instanceRef Audio_r_pad))
(portRef CD (instanceRef uart_module_r_RX_Data_Rio))
))
(net (rename flash_count_RNO_0 "flash_count_RNO[0]") (joined
(portRef Z (instanceRef flash_count_RNO_0))
(portRef D (instanceRef flash_count_0))
))
(net sys_clock_c (joined
(portRef O (instanceRef sys_clock_pad))
(portRef sys_clock_c (instanceRef uart_module))
(portRef sys_clock_c (instanceRef clock_module))
(portRef CK (instanceRef da))
(portRef CK (instanceRef flash_count_21))
(portRef CK (instanceRef flash_count_20))
(portRef CK (instanceRef flash_count_19))
(portRef CK (instanceRef flash_count_18))
(portRef CK (instanceRef flash_count_17))
(portRef CK (instanceRef flash_count_16))
(portRef CK (instanceRef flash_count_15))
(portRef CK (instanceRef flash_count_14))
(portRef CK (instanceRef flash_count_13))
(portRef CK (instanceRef flash_count_12))
(portRef CK (instanceRef flash_count_11))
(portRef CK (instanceRef flash_count_10))
(portRef CK (instanceRef flash_count_9))
(portRef CK (instanceRef flash_count_8))
(portRef CK (instanceRef flash_count_7))
(portRef CK (instanceRef flash_count_6))
(portRef CK (instanceRef flash_count_5))
(portRef CK (instanceRef flash_count_4))
(portRef CK (instanceRef flash_count_3))
(portRef CK (instanceRef flash_count_2))
(portRef CK (instanceRef flash_count_1))
(portRef CK (instanceRef flash_count_0))
(portRef CK (instanceRef rd_6))
(portRef CK (instanceRef rd_5))
(portRef CK (instanceRef rd_4))
(portRef CK (instanceRef rd_3))
(portRef CK (instanceRef rd_2))
(portRef CK (instanceRef rd_1))
(portRef CK (instanceRef rd_0))
(portRef SCLK (instanceRef uart_module_r_RX_Data_Rio))
))
(net sys_clock (joined
(portRef sys_clock)
(portRef I (instanceRef sys_clock_pad))
))
(net User_LED1 (joined
(portRef O (instanceRef User_LED1_pad))
(portRef User_LED1)
))
(net User_LED2 (joined
(portRef O (instanceRef User_LED2_pad))
(portRef User_LED2)
))
(net (rename NTSC_DAC_0 "NTSC_DAC[0]") (joined
(portRef O (instanceRef NTSC_DAC_pad_0))
(portRef (member ntsc_dac 3))
))
(net (rename NTSC_DAC_1 "NTSC_DAC[1]") (joined
(portRef O (instanceRef NTSC_DAC_pad_1))
(portRef (member ntsc_dac 2))
))
(net (rename NTSC_DAC_2 "NTSC_DAC[2]") (joined
(portRef O (instanceRef NTSC_DAC_pad_2))
(portRef (member ntsc_dac 1))
))
(net (rename NTSC_DAC_3 "NTSC_DAC[3]") (joined
(portRef O (instanceRef NTSC_DAC_pad_3))
(portRef (member ntsc_dac 0))
))
(net luma_c (joined
(portRef luma_c (instanceRef apple_module))
(portRef I (instanceRef luma_pad))
))
(net luma (joined
(portRef O (instanceRef luma_pad))
(portRef luma)
))
(net sync (joined
(portRef O (instanceRef sync_pad))
(portRef sync)
))
(net User_PB1_c (joined
(portRef O (instanceRef User_PB1_pad))
(portRef User_PB1_c (instanceRef apple_module))
))
(net User_PB1 (joined
(portRef User_PB1)
(portRef I (instanceRef User_PB1_pad))
))
(net SRAM_n_cs (joined
(portRef O (instanceRef SRAM_n_cs_pad))
(portRef SRAM_n_cs)
))
(net Audio_l (joined
(portRef O (instanceRef Audio_l_pad))
(portRef Audio_l)
))
(net Audio_r (joined
(portRef O (instanceRef Audio_r_pad))
(portRef Audio_r)
))
(net spi1_cs (joined
(portRef O (instanceRef spi1_cs_pad))
(portRef spi1_cs)
))
(net slave_rx_i_c (joined
(portRef O (instanceRef slave_rx_i_pad))
(portRef D (instanceRef uart_module_r_RX_Data_Rio))
))
(net slave_rx_i (joined
(portRef slave_rx_i)
(portRef I (instanceRef slave_rx_i_pad))
))
(net (rename da_fb_0 "da.fb_0") (joined
(portRef Z (instanceRef da_fb))
(portRef D (instanceRef da))
))
(net (rename apple_module_C13_states_i_1 "apple_module.C13.states_i[1]") (joined
(portRef states_i_0 (instanceRef apple_module))
(portRef I (instanceRef NTSC_DAC_pad_3))
(portRef I (instanceRef sync_pad))
))
(net N_1 (joined
(portRef CIN (instanceRef un6_flash_count_cry_0_0))
))
)
(property orig_inst_of (string "FleaFPGA_Uno_E1"))
)
)
)
(design FleaFPGA_Uno_E1 (cellRef FleaFPGA_Uno_E1 (libraryRef work))
(property PART (string "lcmxo2_7000hc-4") ))
)