From 076e8f48c609c6a86d390173cb21d790cda21a0b Mon Sep 17 00:00:00 2001 From: nino-porcino Date: Tue, 15 Mar 2022 17:05:23 +0100 Subject: [PATCH] fix SDRAM download of files --- rtl/apple1_mist.sv | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) diff --git a/rtl/apple1_mist.sv b/rtl/apple1_mist.sv index 71076c3..7db93e4 100644 --- a/rtl/apple1_mist.sv +++ b/rtl/apple1_mist.sv @@ -170,8 +170,8 @@ downloader .ROM_done ( ROM_loaded ), // external ram interface - .clk ( sys_clock ), - .clk_ena ( cpu_clken_noRF ), + .clk ( cpu_clock ), // does not work with sys_clock+cpu_clken_noRF and SDRAM + .clk_ena ( 1 ), // most likely because ioctl_wr isn't 1 for all the 8 sdram cycles .wr ( download_wr ), .addr ( download_addr ), .data ( download_data ) @@ -277,7 +277,7 @@ wire sdram_wr; wire sdram_rd; wire [7:0] sdram_dout; -always @(*) begin +always @(posedge sys_clock) begin if(is_downloading && download_wr) begin sdram_addr <= download_addr; sdram_din <= download_data;