diff --git a/rtl/apple1_mist.sv b/rtl/apple1_mist.sv index 905bf49..9cd25d3 100644 --- a/rtl/apple1_mist.sv +++ b/rtl/apple1_mist.sv @@ -12,7 +12,6 @@ // TODO ACI: wav tools // TODO A-F chip selection banks? // TODO check diff with updated data_io.v and other modules -// TODO why F5 (reset) can't be pressed twice // TODO keyboard: use a PIA // TODO keyboard: isolate ps2 keyboard from apple1 // TODO keyboard: check ps2 clock @@ -27,7 +26,6 @@ // TODO display: emulate PIA registers // TODO tms9918: fix video sync on composite and mist_video // TODO tms9918: make it selectable / use include in code -// TODO tms9918: connect /INT // TODO sid: implement 6581 // *TODO make it work with SDRAM diff --git a/rtl/ps2keyboard.v b/rtl/ps2keyboard.v index 70c096a..72f954d 100644 --- a/rtl/ps2keyboard.v +++ b/rtl/ps2keyboard.v @@ -124,6 +124,11 @@ module ps2keyboard ( ascii_rdy <= 0; shift <= 0; cur_state <= S_KEYNORMAL; + + cls_key <= 0; + reset_key <= 0; + poweroff_key <= 0; + end else begin