diff --git a/RC6502 RIOT/RC6502 RIOT-cache.lib b/RC6502 RIOT/RC6502 RIOT-cache.lib new file mode 100644 index 0000000..8ce0fa2 --- /dev/null +++ b/RC6502 RIOT/RC6502 RIOT-cache.lib @@ -0,0 +1,486 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# 74LS138 +# +DEF 74LS138 U 0 40 Y Y 1 F N +F0 "U" 100 500 50 H V C CNN +F1 "74LS138" 150 -549 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -300 450 300 -450 0 1 0 N +X A0 1 -600 350 300 R 50 50 1 1 I +X A1 2 -600 250 300 R 50 50 1 1 I +X A2 3 -600 150 300 R 50 50 1 1 I +X E1 4 -600 -350 300 R 50 50 1 1 I L +X E2 5 -600 -250 300 R 50 50 1 1 I L +X E3 6 -600 -150 300 R 50 50 1 1 I +X O7 7 600 -350 300 L 50 50 1 1 O V +X GND 8 0 -450 0 U 50 50 1 1 W N +X O6 9 600 -250 300 L 50 50 1 1 O V +X O5 10 600 -150 300 L 50 50 1 1 O V +X O4 11 600 -50 300 L 50 50 1 1 O V +X O3 12 600 50 300 L 50 50 1 1 O V +X O2 13 600 150 300 L 50 50 1 1 O V +X O1 14 600 250 300 L 50 50 1 1 O V +X O0 15 600 350 300 L 50 50 1 1 O V +X VCC 16 0 450 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# Atari_Joystick +# +DEF Atari_Joystick J 0 40 Y N 1 F N +F0 "J" 0 550 50 H V C CNN +F1 "Atari_Joystick" 0 -575 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + DB*M* +$ENDFPLIST +DRAW +T 0 175 -100 60 0 0 0 +5v Normal 0 L C +T 0 175 -200 60 0 0 0 Down Normal 0 L C +T 0 175 -300 60 0 0 0 Fire Normal 0 L C +T 0 175 100 60 0 0 0 Ground Normal 0 L C +T 0 175 0 60 0 0 0 Left Normal 0 L C +T 0 175 300 60 0 0 0 Paddle~A Normal 0 L C +T 0 175 400 60 0 0 0 Paddle~B Normal 0 L C +T 0 175 200 60 0 0 0 Right Normal 0 L C +T 0 175 -400 60 0 0 0 Up Normal 0 L C +C -70 -400 30 0 1 0 F +C -70 -200 30 0 1 0 F +C -70 0 30 0 1 0 F +C -70 200 30 0 1 0 F +C -70 400 30 0 1 0 F +C 50 -300 30 0 1 0 F +C 50 -100 30 0 1 0 F +C 50 100 30 0 1 0 F +C 50 300 30 0 1 0 F +P 2 0 1 0 -150 -400 -100 -400 N +P 2 0 1 0 -150 -300 20 -300 N +P 2 0 1 0 -150 -200 -100 -200 N +P 2 0 1 0 -150 -100 20 -100 N +P 2 0 1 0 -150 0 -100 0 N +P 2 0 1 0 -150 100 20 100 N +P 2 0 1 0 -150 200 -100 200 N +P 2 0 1 0 -150 300 20 300 N +P 2 0 1 0 -150 400 -100 400 N +P 5 0 1 10 -150 -525 -150 525 150 375 150 -375 -150 -525 f +X 1 1 -300 -400 150 R 50 50 1 1 P +X 2 2 -300 -200 150 R 50 50 1 1 P +X 3 3 -300 0 150 R 50 50 1 1 P +X 4 4 -300 200 150 R 50 50 1 1 P +X 5 5 -300 400 150 R 50 50 1 1 P +X 6 6 -300 -300 150 R 50 50 1 1 P +X 7 7 -300 -100 150 R 50 50 1 1 W +X 8 8 -300 100 150 R 50 50 1 1 W +X 9 9 -300 300 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# C +# +DEF C C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "C" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 20 -80 -30 80 -30 N +P 2 0 1 20 -80 30 80 30 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Conn_01x03 +# +DEF Conn_01x03 J 0 40 Y N 1 F N +F0 "J" 0 200 50 H V C CNN +F1 "Conn_01x03" 0 -200 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_??x*mm* + Connector*:*1x??x*mm* + Pin?Header?Straight?1X* + Pin?Header?Angled?1X* + Socket?Strip?Straight?1X* + Socket?Strip?Angled?1X* +$ENDFPLIST +DRAW +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 150 50 -150 1 1 10 f +X Pin_1 1 -200 100 150 R 50 50 1 1 P +X Pin_2 2 -200 0 150 R 50 50 1 1 P +X Pin_3 3 -200 -100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Conn_01x04 +# +DEF Conn_01x04 J 0 40 Y N 1 F N +F0 "J" 0 200 50 H V C CNN +F1 "Conn_01x04" 0 -300 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_??x*mm* + Connector*:*1x??x*mm* + Pin?Header?Straight?1X* + Pin?Header?Angled?1X* + Socket?Strip?Straight?1X* + Socket?Strip?Angled?1X* +$ENDFPLIST +DRAW +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 150 50 -250 1 1 10 f +X Pin_1 1 -200 100 150 R 50 50 1 1 P +X Pin_2 2 -200 0 150 R 50 50 1 1 P +X Pin_3 3 -200 -100 150 R 50 50 1 1 P +X Pin_4 4 -200 -200 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Conn_02x07_Odd_Even +# +DEF Conn_02x07_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 400 50 H V C CNN +F1 "Conn_02x07_Odd_Even" 50 -400 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*2x??x*mm* + Connector*:*2x???Pitch* + Pin_Header_Straight_2X* + Pin_Header_Angled_2X* + Socket_Strip_Straight_2X* + Socket_Strip_Angled_2X* +$ENDFPLIST +DRAW +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 350 150 -350 1 1 10 f +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +X Pin_1 1 -200 300 150 R 50 50 1 1 P +X Pin_2 2 300 300 150 L 50 50 1 1 P +X Pin_3 3 -200 200 150 R 50 50 1 1 P +X Pin_4 4 300 200 150 L 50 50 1 1 P +X Pin_5 5 -200 100 150 R 50 50 1 1 P +X Pin_6 6 300 100 150 L 50 50 1 1 P +X Pin_7 7 -200 0 150 R 50 50 1 1 P +X Pin_8 8 300 0 150 L 50 50 1 1 P +X Pin_9 9 -200 -100 150 R 50 50 1 1 P +X Pin_10 10 300 -100 150 L 50 50 1 1 P +X Pin_11 11 -200 -200 150 R 50 50 1 1 P +X Pin_12 12 300 -200 150 L 50 50 1 1 P +X Pin_13 13 -200 -300 150 R 50 50 1 1 P +X Pin_14 14 300 -300 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GND +# +DEF GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# Jumper_NC_Dual +# +DEF Jumper_NC_Dual JP 0 30 Y N 1 F N +F0 "JP" 50 -100 50 H V L CNN +F1 "Jumper_NC_Dual" 0 100 50 H V C BNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +A -60 10 64 386 1413 0 1 0 N -10 50 -110 50 +A 60 10 64 386 1413 0 1 0 N 110 50 10 50 +C -120 0 35 0 1 0 N +C 0 0 36 0 1 0 N +C 120 0 35 0 1 0 N +X 1 1 -250 0 95 R 50 50 0 1 P +X 2 2 0 -100 60 U 50 50 0 1 P +X 3 3 250 0 95 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# Jumper_NO_Small +# +DEF Jumper_NO_Small JP 0 30 N N 1 F N +F0 "JP" 0 80 50 H V C CNN +F1 "Jumper_NO_Small" 10 -60 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C -40 0 20 0 1 0 N +C 40 0 20 0 1 0 N +X 1 1 -100 0 40 R 50 50 0 1 P +X 2 2 100 0 40 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# R +# +DEF R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "R" 0 0 50 V V C CNN +F2 "" -70 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* + R_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 50 50 1 1 P +X ~ 2 0 -150 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# RC6502_Backplane_Extra +# +DEF RC6502_Backplane_Extra J 0 40 Y N 1 F N +F0 "J" 0 2000 50 H V C CNN +F1 "RC6502_Backplane_Extra" 450 -50 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +T 0 100 400 60 0 0 0 A0 Normal 0 L C +T 0 100 500 60 0 0 0 A1 Normal 0 L C +T 0 100 1400 60 0 0 0 A10 Normal 0 L C +T 0 100 1500 60 0 0 0 A11 Normal 0 L C +T 0 100 1600 60 0 0 0 A12 Normal 0 L C +T 0 100 1700 60 0 0 0 A13 Normal 0 L C +T 0 100 1800 60 0 0 0 A14 Normal 0 L C +T 0 100 1900 60 0 0 0 A15 Normal 0 L C +T 0 100 600 60 0 0 0 A2 Normal 0 L C +T 0 100 700 60 0 0 0 A3 Normal 0 L C +T 0 100 800 60 0 0 0 A4 Normal 0 L C +T 0 100 900 60 0 0 0 A5 Normal 0 L C +T 0 100 1000 60 0 0 0 A6 Normal 0 L C +T 0 100 1100 60 0 0 0 A7 Normal 0 L C +T 0 100 1200 60 0 0 0 A8 Normal 0 L C +T 0 100 1300 60 0 0 0 A9 Normal 0 L C +T 0 100 -700 60 0 0 0 D0 Normal 0 L C +T 0 100 -800 60 0 0 0 D1 Normal 0 L C +T 0 100 -900 60 0 0 0 D2 Normal 0 L C +T 0 100 -1000 60 0 0 0 D3 Normal 0 L C +T 0 100 -1100 60 0 0 0 D4 Normal 0 L C +T 0 100 -1200 60 0 0 0 D5 Normal 0 L C +T 0 100 -1300 60 0 0 0 D6 Normal 0 L C +T 0 100 -1400 60 0 0 0 D7 Normal 0 L C +T 0 100 -300 60 0 0 0 EX0 Normal 0 L C +T 0 100 -1800 60 0 0 0 EX1 Normal 0 L C +T 0 100 -1900 60 0 0 0 EX2 Normal 0 L C +T 0 100 300 60 0 0 0 GND Normal 0 L C +T 0 100 -200 60 0 0 0 IRQ Normal 0 L C +T 0 100 -1700 60 0 0 0 NMI Normal 0 L C +T 0 100 -100 60 0 0 0 PHI0 Normal 0 L C +T 0 100 100 60 0 0 0 PHI2 Normal 0 L C +T 0 100 -500 60 0 0 0 RDY Normal 0 L C +T 0 100 0 60 0 0 0 RESET Normal 0 L C +T 0 100 -400 60 0 0 0 RW Normal 0 L C +T 0 100 -1600 60 0 0 0 RX Normal 0 L C +T 0 100 -600 60 0 0 0 SYNC Normal 0 L C +T 0 100 -1500 60 0 0 0 TX Normal 0 L C +T 0 100 200 60 0 0 0 VCC Normal 0 L C +S -50 -1950 50 1950 0 1 0 N +S -50 -1895 10 -1905 0 1 0 N +S -50 -1795 10 -1805 0 1 0 N +S -50 -1695 10 -1705 0 1 0 N +S -50 -1595 10 -1605 0 1 0 N +S -50 -1495 10 -1505 0 1 0 N +S -50 -1395 10 -1405 0 1 0 N +S -50 -1295 10 -1305 0 1 0 N +S -50 -1195 10 -1205 0 1 0 N +S -50 -1095 10 -1105 0 1 0 N +S -50 -995 10 -1005 0 1 0 N +S -50 -895 10 -905 0 1 0 N +S -50 -795 10 -805 0 1 0 N +S -50 -695 10 -705 0 1 0 N +S -50 -595 10 -605 0 1 0 N +S -50 -495 10 -505 0 1 0 N +S -50 -395 10 -405 0 1 0 N +S -50 -295 10 -305 0 1 0 N +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 305 10 295 0 1 0 N +S -50 405 10 395 0 1 0 N +S -50 505 10 495 0 1 0 N +S -50 605 10 595 0 1 0 N +S -50 705 10 695 0 1 0 N +S -50 805 10 795 0 1 0 N +S -50 905 10 895 0 1 0 N +S -50 1005 10 995 0 1 0 N +S -50 1105 10 1095 0 1 0 N +S -50 1205 10 1195 0 1 0 N +S -50 1305 10 1295 0 1 0 N +S -50 1405 10 1395 0 1 0 N +S -50 1505 10 1495 0 1 0 N +S -50 1605 10 1595 0 1 0 N +S -50 1705 10 1695 0 1 0 N +S -50 1805 10 1795 0 1 0 N +S -50 1905 10 1895 0 1 0 N +X A15 1 -200 1900 150 R 50 50 1 1 B +X A14 2 -200 1800 150 R 50 50 1 1 B +X A13 3 -200 1700 150 R 50 50 1 1 B +X A12 4 -200 1600 150 R 50 50 1 1 B +X A11 5 -200 1500 150 R 50 50 1 1 B +X A10 6 -200 1400 150 R 50 50 1 1 B +X A9 7 -200 1300 150 R 50 50 1 1 B +X A8 8 -200 1200 150 R 50 50 1 1 B +X A7 9 -200 1100 150 R 50 50 1 1 B +X A6 10 -200 1000 150 R 50 50 1 1 B +X RESET 20 -200 0 150 R 50 50 1 1 B +X D3 30 -200 -1000 150 R 50 50 1 1 B +X A5 11 -200 900 150 R 50 50 1 1 B +X PHI0 21 -200 -100 150 R 50 50 1 1 B C +X D4 31 -200 -1100 150 R 50 50 1 1 B +X A4 12 -200 800 150 R 50 50 1 1 B +X IRQ 22 -200 -200 150 R 50 50 1 1 B +X D5 32 -200 -1200 150 R 50 50 1 1 B +X A3 13 -200 700 150 R 50 50 1 1 B +X EX0 23 -200 -300 150 R 50 50 1 1 B +X D6 33 -200 -1300 150 R 50 50 1 1 B +X A2 14 -200 600 150 R 50 50 1 1 B +X RW 24 -200 -400 150 R 50 50 1 1 B +X D7 34 -200 -1400 150 R 50 50 1 1 B +X A1 15 -200 500 150 R 50 50 1 1 B +X READY 25 -200 -500 150 R 50 50 1 1 B +X TX 35 -200 -1500 150 R 50 50 1 1 B +X A0 16 -200 400 150 R 50 50 1 1 B +X SYNC 26 -200 -600 150 R 50 50 1 1 B +X RX 36 -200 -1600 150 R 50 50 1 1 B +X P17 17 -200 300 150 R 50 50 1 1 w +X D0 27 -200 -700 150 R 50 50 1 1 B +X NMI 37 -200 -1700 150 R 50 50 1 1 B +X P18 18 -200 200 150 R 50 50 1 1 w +X D1 28 -200 -800 150 R 50 50 1 1 B +X EX1 38 -200 -1800 150 R 50 50 1 1 B +X PHI2 19 -200 100 150 R 50 50 1 1 B C +X D2 29 -200 -900 150 R 50 50 1 1 B +X EX2 39 -200 -1900 150 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +# RIOT +# +DEF RIOT U 0 40 Y Y 1 F N +F0 "U" 0 250 60 H V C CNN +F1 "RIOT" 0 -100 60 V V C CNN +F2 "" -500 200 60 H V C CNN +F3 "" -500 200 60 H V C CNN +DRAW +S -350 1000 350 -1050 0 1 0 N +X GND 1 0 -1350 300 U 50 50 1 1 W +X A5 2 650 -400 300 L 50 50 1 1 I +X A4 3 650 -300 300 L 50 50 1 1 I +X A3 4 650 -200 300 L 50 50 1 1 I +X A2 5 650 -100 300 L 50 50 1 1 I +X A1 6 650 0 300 L 50 50 1 1 I +X A0 7 650 100 300 L 50 50 1 1 I +X PA0 8 -650 900 300 R 50 50 1 1 B +X PA1 9 -650 800 300 R 50 50 1 1 B +X PA2 10 -650 700 300 R 50 50 1 1 B +X VCC 20 0 1300 300 D 50 50 1 1 W +X D3 30 650 600 300 L 50 50 1 1 I +X A6 40 650 -500 300 L 50 50 1 1 I +X PA3 11 -650 600 300 R 50 50 1 1 B +X PB3 21 -650 -300 300 R 50 50 1 1 B +X D2 31 650 700 300 L 50 50 1 1 I +X PA4 12 -650 500 300 R 50 50 1 1 B +X PB2 22 -650 -200 300 R 50 50 1 1 B +X D1 32 650 800 300 L 50 50 1 1 I +X PA5 13 -650 400 300 R 50 50 1 1 B +X PB1 23 -650 -100 300 R 50 50 1 1 B +X D0 33 650 900 300 L 50 50 1 1 I +X PA6 14 -650 300 300 R 50 50 1 1 B +X PB0 24 -650 0 300 R 50 50 1 1 B +X ~RES 34 650 -1000 300 L 50 50 1 1 I +X PA7 15 -650 200 300 R 50 50 1 1 B +X ~IRQ 25 -650 -1000 300 R 50 50 1 1 I +X R/~W 35 650 -600 300 L 50 50 1 1 I +X PB7 16 -650 -700 300 R 50 50 1 1 B +X D7 26 650 200 300 L 50 50 1 1 I +X ~RS 36 650 -900 300 L 50 50 1 1 I +X PB6 17 -650 -600 300 R 50 50 1 1 B +X D6 27 650 300 300 L 50 50 1 1 I +X ~CS2 37 650 -700 300 L 50 50 1 1 I +X PB5 18 -650 -500 300 R 50 50 1 1 B +X D5 28 650 400 300 L 50 50 1 1 I +X CS1 38 650 -800 300 L 50 50 1 1 I +X PB4 19 -650 -400 300 R 50 50 1 1 B +X D4 29 650 500 300 L 50 50 1 1 I +X PHI2 39 -650 -900 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# SW_Push +# +DEF SW_Push SW 0 40 N N 1 F N +F0 "SW" 50 100 50 H V L CNN +F1 "SW_Push" 0 -60 50 H V C CNN +F2 "" 0 200 50 H I C CNN +F3 "" 0 200 50 H I C CNN +DRAW +C -80 0 20 0 1 0 N +C 80 0 20 0 1 0 N +P 2 0 1 0 0 50 0 120 N +P 2 0 1 0 100 50 -100 50 N +X 1 1 -200 0 100 R 50 50 0 1 P +X 2 2 200 0 100 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# VCC +# +DEF VCC #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "VCC" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C 0 75 25 0 1 0 N +P 2 0 1 0 0 0 0 50 N +X VCC 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/RC6502 RIOT/RC6502 RIOT.kicad_pcb b/RC6502 RIOT/RC6502 RIOT.kicad_pcb new file mode 100644 index 0000000..7a59281 --- /dev/null +++ b/RC6502 RIOT/RC6502 RIOT.kicad_pcb @@ -0,0 +1,4405 @@ +(kicad_pcb (version 4) (host pcbnew 4.0.7) + + (general + (links 132) + (no_connects 0) + (area 49.19 44.45 164.0554 156.924763) + (thickness 1.6) + (drawings 105) + (tracks 776) + (zones 0) + (modules 45) + (nets 62) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.25) + (trace_clearance 0.2) + (zone_clearance 0.508) + (zone_45_only no) + (trace_min 0.2) + (segment_width 0.2) + (edge_width 0.15) + (via_size 0.6) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.2) + (aux_axis_origin 0 0) + (visible_elements 7FFFFFFF) + (pcbplotparams + (layerselection 0x011fc_80000001) + (usegerberextensions true) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory export/)) + ) + + (net 0 "") + (net 1 VCC) + (net 2 "Net-(J2-Pad1)") + (net 3 "Net-(J2-Pad3)") + (net 4 "Net-(J2-Pad5)") + (net 5 "Net-(J2-Pad7)") + (net 6 "Net-(J2-Pad9)") + (net 7 "Net-(J8-Pad2)") + (net 8 GND) + (net 9 "Net-(J2-Pad11)") + (net 10 "Net-(J2-Pad13)") + (net 11 /P0) + (net 12 /P1) + (net 13 /P2) + (net 14 /P3) + (net 15 "Net-(C7-Pad1)") + (net 16 VIDEO) + (net 17 /COLOR) + (net 18 "Net-(C8-Pad2)") + (net 19 /T0_IN) + (net 20 /T1_IN) + (net 21 AUDIO) + (net 22 /AUD0) + (net 23 "Net-(C12-Pad2)") + (net 24 "Net-(J1-Pad2)") + (net 25 TIA_BASE) + (net 26 /A7) + (net 27 /A5) + (net 28 /A4) + (net 29 /A3) + (net 30 /A2) + (net 31 /A1) + (net 32 /A0) + (net 33 /PHI2) + (net 34 /PHI0) + (net 35 /R/~W) + (net 36 /RDY) + (net 37 /D0) + (net 38 /D1) + (net 39 /D2) + (net 40 /D3) + (net 41 /D4) + (net 42 /D5) + (net 43 /D6) + (net 44 /D7) + (net 45 "Net-(J9-Pad38)") + (net 46 "Net-(J9-Pad39)") + (net 47 "Net-(JP1-Pad1)") + (net 48 /CADJ) + (net 49 /~CS0) + (net 50 /~CS3) + (net 51 /CSYNC) + (net 52 /LUM1) + (net 53 /LUM2) + (net 54 /LUM0) + (net 55 /OSC_IN) + (net 56 /T1) + (net 57 /T0) + (net 58 /A15) + (net 59 /A14) + (net 60 /A13) + (net 61 /A12) + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.25) + (via_dia 0.6) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net /A0) + (add_net /A1) + (add_net /A12) + (add_net /A13) + (add_net /A14) + (add_net /A15) + (add_net /A2) + (add_net /A3) + (add_net /A4) + (add_net /A5) + (add_net /A7) + (add_net /AUD0) + (add_net /CADJ) + (add_net /COLOR) + (add_net /CSYNC) + (add_net /D0) + (add_net /D1) + (add_net /D2) + (add_net /D3) + (add_net /D4) + (add_net /D5) + (add_net /D6) + (add_net /D7) + (add_net /LUM0) + (add_net /LUM1) + (add_net /LUM2) + (add_net /OSC_IN) + (add_net /P0) + (add_net /P1) + (add_net /P2) + (add_net /P3) + (add_net /PHI0) + (add_net /PHI2) + (add_net /R/~W) + (add_net /RDY) + (add_net /T0) + (add_net /T0_IN) + (add_net /T1) + (add_net /T1_IN) + (add_net /~CS0) + (add_net /~CS3) + (add_net AUDIO) + (add_net GND) + (add_net "Net-(C12-Pad2)") + (add_net "Net-(C7-Pad1)") + (add_net "Net-(C8-Pad2)") + (add_net "Net-(J1-Pad2)") + (add_net "Net-(J2-Pad1)") + (add_net "Net-(J2-Pad11)") + (add_net "Net-(J2-Pad13)") + (add_net "Net-(J2-Pad3)") + (add_net "Net-(J2-Pad5)") + (add_net "Net-(J2-Pad7)") + (add_net "Net-(J2-Pad9)") + (add_net "Net-(J8-Pad2)") + (add_net "Net-(J9-Pad38)") + (add_net "Net-(J9-Pad39)") + (add_net "Net-(JP1-Pad1)") + (add_net TIA_BASE) + (add_net VCC) + (add_net VIDEO) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C641F8E) (tstamp 5C06E624) + (at 54.61 132.715 90) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C04594D) + (fp_text reference C1 (at 2.54 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at -1.905 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C641F88) (tstamp 5C06E62A) + (at 139.7 111.125 180) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C04594C) + (fp_text reference C2 (at 2.54 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 2.54 2.54 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 2 thru_hole circle (at 5 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Housings_DIP:DIP-16_W7.62mm_Socket (layer F.Cu) (tedit 5C289D51) (tstamp 5C06E7CB) + (at 133.35 114.935) + (descr "16-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket") + (tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket") + (path /5C045924) + (fp_text reference U2 (at 3.81 2.54) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 74HCT138 (at 3.81 8.89 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_arc (start 3.81 -1.33) (end 2.81 -1.33) (angle -180) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.635 -1.27) (end 6.985 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 6.985 -1.27) (end 6.985 19.05) (layer F.Fab) (width 0.1)) + (fp_line (start 6.985 19.05) (end 0.635 19.05) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 19.05) (end 0.635 -0.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.635 -0.27) (end 1.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.33) (end -1.27 19.11) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 19.11) (end 8.89 19.11) (layer F.Fab) (width 0.1)) + (fp_line (start 8.89 19.11) (end 8.89 -1.33) (layer F.Fab) (width 0.1)) + (fp_line (start 8.89 -1.33) (end -1.27 -1.33) (layer F.Fab) (width 0.1)) + (fp_line (start 2.81 -1.33) (end 1.16 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.16 -1.33) (end 1.16 19.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.16 19.11) (end 6.46 19.11) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.46 19.11) (end 6.46 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 6.46 -1.33) (end 4.81 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.39) (end -1.33 19.17) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 19.17) (end 8.95 19.17) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.95 19.17) (end 8.95 -1.39) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.95 -1.39) (end -1.33 -1.39) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.55 -1.6) (end -1.55 19.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.55 19.4) (end 9.15 19.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9.15 19.4) (end 9.15 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 9.15 -1.6) (end -1.55 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 3.81 2.54) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 61 /A12)) + (pad 9 thru_hole oval (at 7.62 17.78) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 10 "Net-(J2-Pad13)")) + (pad 2 thru_hole oval (at 0 2.54) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 60 /A13)) + (pad 10 thru_hole oval (at 7.62 15.24) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 9 "Net-(J2-Pad11)")) + (pad 3 thru_hole oval (at 0 5.08) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 59 /A14)) + (pad 11 thru_hole oval (at 7.62 12.7) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 6 "Net-(J2-Pad9)")) + (pad 4 thru_hole oval (at 0 7.62) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 12 thru_hole oval (at 7.62 10.16) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 5 "Net-(J2-Pad7)")) + (pad 5 thru_hole oval (at 0 10.16) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 13 thru_hole oval (at 7.62 7.62) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 4 "Net-(J2-Pad5)")) + (pad 6 thru_hole oval (at 0 12.7) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 58 /A15)) + (pad 14 thru_hole oval (at 7.62 5.08) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 3 "Net-(J2-Pad3)")) + (pad 7 thru_hole oval (at 0 15.24) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 15 thru_hole oval (at 7.62 2.54) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 2 "Net-(J2-Pad1)")) + (pad 8 thru_hole oval (at 0 17.78) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 16 thru_hole oval (at 7.62 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (model ${KISYS3DMOD}/Housings_DIP.3dshapes/DIP-16_W7.62mm_Socket.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Oscillator:Oscillator_DIP-14 (layer F.Cu) (tedit 5C2770BD) (tstamp 5C2773DB) + (at 95.25 85.725 180) + (descr "Oscillator, DIP14, http://cdn-reichelt.de/documents/datenblatt/B400/OSZI.pdf") + (tags oscillator) + (path /5C04593A) + (fp_text reference X1 (at 7.62 -5.08 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value "3.579545 MHz" (at 7.62 -3.175 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user %R (at 7.62 -5.08 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 18.22 2.79) (end 18.22 -10.41) (layer F.CrtYd) (width 0.05)) + (fp_line (start 18.22 -10.41) (end -2.98 -10.41) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.98 -10.41) (end -2.98 2.79) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.98 2.79) (end 18.22 2.79) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.97 1.19) (end 16.97 -8.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.38 -9.16) (end 16.62 -9.16) (layer F.Fab) (width 0.1)) + (fp_line (start -1.73 1.54) (end -1.73 -8.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.73 1.54) (end 16.62 1.54) (layer F.Fab) (width 0.1)) + (fp_line (start -2.83 -9.51) (end -2.83 2.64) (layer F.SilkS) (width 0.12)) + (fp_line (start 17.32 -10.26) (end -2.08 -10.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 18.07 1.89) (end 18.07 -9.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.83 2.64) (end 17.32 2.64) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.73 2.54) (end 17.32 2.54) (layer F.Fab) (width 0.1)) + (fp_line (start 17.97 -9.51) (end 17.97 1.89) (layer F.Fab) (width 0.1)) + (fp_line (start -2.08 -10.16) (end 17.32 -10.16) (layer F.Fab) (width 0.1)) + (fp_line (start -2.73 2.54) (end -2.73 -9.51) (layer F.Fab) (width 0.1)) + (fp_arc (start 16.62 1.19) (end 16.97 1.19) (angle 90) (layer F.Fab) (width 0.1)) + (fp_arc (start 16.62 -8.81) (end 16.62 -9.16) (angle 90) (layer F.Fab) (width 0.1)) + (fp_arc (start -1.38 -8.81) (end -1.73 -8.81) (angle 90) (layer F.Fab) (width 0.1)) + (fp_arc (start 17.32 1.89) (end 18.07 1.89) (angle 90) (layer F.SilkS) (width 0.12)) + (fp_arc (start 17.32 -9.51) (end 17.32 -10.26) (angle 90) (layer F.SilkS) (width 0.12)) + (fp_arc (start -2.08 -9.51) (end -2.83 -9.51) (angle 90) (layer F.SilkS) (width 0.12)) + (fp_arc (start 17.32 1.89) (end 17.97 1.89) (angle 90) (layer F.Fab) (width 0.1)) + (fp_arc (start 17.32 -9.51) (end 17.32 -10.16) (angle 90) (layer F.Fab) (width 0.1)) + (fp_arc (start -2.08 -9.51) (end -2.73 -9.51) (angle 90) (layer F.Fab) (width 0.1)) + (pad 7 thru_hole circle (at 7.62 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 8 thru_hole circle (at 7.62 -7.62 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 55 /OSC_IN)) + (pad 1 thru_hole rect (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 14 thru_hole circle (at 0 -7.62 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 8 thru_hole circle (at 15.24 -7.62 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 55 /OSC_IN)) + (pad 7 thru_hole circle (at 15.24 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (model ${KISYS3DMOD}/Oscillators.3dshapes/Oscillator_DIP-14.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.3937 0.3937 0.3937)) + (rotate (xyz 0 0 0)) + ) + ) + + (module vdu_amplifier:Amplifier (layer F.Cu) (tedit 5C128446) (tstamp 5C277568) + (at 119.38 95.25) + (path /5C12C79F) + (fp_text reference U3 (at 0 1.27) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value VDU_Port (at 0 -1.905) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 16.51 -10.16) (end 16.51 -12.065) (layer F.SilkS) (width 0.15)) + (fp_line (start 16.51 -12.065) (end -20.32 -12.065) (layer F.SilkS) (width 0.15)) + (fp_line (start -20.32 -12.065) (end -20.32 -10.16) (layer F.SilkS) (width 0.15)) + (fp_line (start -16.51 5.715) (end -20.32 5.715) (layer F.SilkS) (width 0.15)) + (fp_line (start -20.32 5.715) (end -20.32 -10.16) (layer F.SilkS) (width 0.15)) + (fp_line (start -14.605 1.27) (end -10.795 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -10.795 -1.27) (end -14.605 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -16.51 5.715) (end 16.51 5.715) (layer F.SilkS) (width 0.15)) + (fp_line (start 16.51 5.715) (end 16.51 5.08) (layer F.SilkS) (width 0.15)) + (fp_line (start 16.51 5.08) (end 16.51 -10.16) (layer F.SilkS) (width 0.15)) + (fp_line (start -10.795 1.27) (end -10.16 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -10.16 -1.27) (end -10.795 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -10.16 1.27) (end -7.62 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.62 3.81) (end 0 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start -10.16 -1.27) (end -7.62 -3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start -7.62 -3.81) (end 0 -3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 3.81) (end 9.525 4.445) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 3.81) (end 9.525 3.175) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 -3.81) (end 9.525 -3.175) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 -3.81) (end 9.525 -4.445) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 3.81) (end 8.89 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 0 3.81) (end 10.16 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 -3.81) (end 0 -3.81) (layer F.SilkS) (width 0.15)) + (fp_text user GND (at 12.7 -1.27) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user GND (at 12.7 1.27) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user AUD (at 12.7 3.81) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user VID (at 12.7 -3.81) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user AUD (at -16.51 1.27) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user VID (at -16.51 -1.27) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user GND (at -16.51 3.81) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text user VCC (at -16.51 -3.81) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at -19.05 -3.81) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 2 thru_hole circle (at -19.05 -1.27) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask) + (net 16 VIDEO)) + (pad 4 thru_hole circle (at -19.05 1.27) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask) + (net 21 AUDIO)) + (pad 6 thru_hole circle (at -19.05 3.81) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 3 thru_hole circle (at 15.24 -3.81) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask) + (net 7 "Net-(J8-Pad2)")) + (pad 6 thru_hole circle (at 15.24 -1.27) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 6 thru_hole circle (at 15.24 1.27) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 5 thru_hole circle (at 15.24 3.81) (size 1.524 1.524) (drill 0.762) (layers *.Cu *.Mask) + (net 24 "Net-(J1-Pad2)")) + ) + + (module Pin_Headers:Pin_Header_Straight_2x07_Pitch2.54mm (layer F.Cu) (tedit 5C632F99) (tstamp 5C2898D1) + (at 146.05 117.475) + (descr "Through hole straight pin header, 2x07, 2.54mm pitch, double rows") + (tags "Through hole pin header THT 2x07 2.54mm double row") + (path /5C0413AA) + (fp_text reference J2 (at 8.255 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value VDU_BASE (at 1.27 17.57) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0 -1.27) (end 3.81 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 -1.27) (end 3.81 16.51) (layer F.Fab) (width 0.1)) + (fp_line (start 3.81 16.51) (end -1.27 16.51) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 16.51) (end -1.27 0) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 0) (end 0 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 16.57) (end 3.87 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.87 -1.33) (end 3.87 16.57) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 1.27) (end 1.27 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.27 -1.33) (end 3.87 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 17.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 17.05) (end 4.35 17.05) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.35 17.05) (end 4.35 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.35 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 1.27 7.62 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 2 "Net-(J2-Pad1)")) + (pad 2 thru_hole oval (at 2.54 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 TIA_BASE)) + (pad 3 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 3 "Net-(J2-Pad3)")) + (pad 4 thru_hole oval (at 2.54 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 TIA_BASE)) + (pad 5 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 4 "Net-(J2-Pad5)")) + (pad 6 thru_hole oval (at 2.54 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 TIA_BASE)) + (pad 7 thru_hole oval (at 0 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 5 "Net-(J2-Pad7)")) + (pad 8 thru_hole oval (at 2.54 7.62) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 TIA_BASE)) + (pad 9 thru_hole oval (at 0 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 6 "Net-(J2-Pad9)")) + (pad 10 thru_hole oval (at 2.54 10.16) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 TIA_BASE)) + (pad 11 thru_hole oval (at 0 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 9 "Net-(J2-Pad11)")) + (pad 12 thru_hole oval (at 2.54 12.7) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 TIA_BASE)) + (pad 13 thru_hole oval (at 0 15.24) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 10 "Net-(J2-Pad13)")) + (pad 14 thru_hole oval (at 2.54 15.24) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 TIA_BASE)) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_2x07_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C64119A) (tstamp 5C632C68) + (at 83.82 81.28 90) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C688EFB) + (fp_text reference C3 (at 2.54 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 68nF (at 8.255 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 11 /P0)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C641195) (tstamp 5C632C6E) + (at 80.645 81.28 90) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C6899DB) + (fp_text reference C4 (at 2.54 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 68nF (at 6.985 0 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 12 /P1)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C64118D) (tstamp 5C632C74) + (at 62.865 81.28 90) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C68A08D) + (fp_text reference C5 (at 2.54 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 68nF (at 8.255 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 13 /P2)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C641187) (tstamp 5C632C7A) + (at 59.69 81.28 90) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C68A13E) + (fp_text reference C6 (at 2.54 0 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 68nF (at 6.985 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 2 thru_hole circle (at 5 0 90) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 14 /P3)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C641286) (tstamp 5C632C80) + (at 111.76 113.792 180) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C6606E8) + (fp_text reference C7 (at 2.54 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 22pF (at 2.5 2.56 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 15 "Net-(C7-Pad1)")) + (pad 2 thru_hole circle (at 5 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 16 VIDEO)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C641282) (tstamp 5C632C86) + (at 123.952 113.792 180) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C65DBC9) + (fp_text reference C8 (at 2.54 0.127 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 47pF (at 2.5 2.56 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 17 /COLOR)) + (pad 2 thru_hole circle (at 5 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 18 "Net-(C8-Pad2)")) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C6411A9) (tstamp 5C632C8C) + (at 99.695 80.645) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C64CEE0) + (fp_text reference C9 (at 2.54 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470pF (at 2.54 1.905) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 19 /T0_IN)) + (pad 2 thru_hole circle (at 5 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C6411A5) (tstamp 5C632C92) + (at 99.695 76.835) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C651562) + (fp_text reference C10 (at 2.54 0) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 470pF (at 2.54 -2.54) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 20 /T1_IN)) + (pad 2 thru_hole circle (at 5 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C64128E) (tstamp 5C632C98) + (at 103.632 106.68 180) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C642603) + (fp_text reference C11 (at 2.54 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 820pF (at 2.5 2.56 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 2 thru_hole circle (at 5 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 21 AUDIO)) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm (layer F.Cu) (tedit 5C64128A) (tstamp 5C632C9E) + (at 103.632 113.792 180) + (descr "C, Disc series, Radial, pin pitch=5.00mm, , diameter*width=4.7*2.5mm^2, Capacitor, http://www.vishay.com/docs/45233/krseries.pdf") + (tags "C Disc series Radial pin pitch 5.00mm diameter 4.7mm width 2.5mm Capacitor") + (path /5C6421D7) + (fp_text reference C12 (at 2.54 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 100nF (at 2.5 2.56 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 0.15 -1.25) (end 0.15 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.15 1.25) (end 4.85 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 1.25) (end 4.85 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 4.85 -1.25) (end 0.15 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0.09 -1.31) (end 4.91 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 1.31) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 -1.31) (end 0.09 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.09 0.996) (end 0.09 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 -1.31) (end 4.91 -0.996) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.91 0.996) (end 4.91 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 6.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 1.6) (end 6.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.05 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.5 0 180) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 22 /AUD0)) + (pad 2 thru_hole circle (at 5 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 23 "Net-(C12-Pad2)")) + (model ${KISYS3DMOD}/Capacitors_THT.3dshapes/C_Disc_D4.7mm_W2.5mm_P5.00mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module RCA:rca_yellow (layer F.Cu) (tedit 593D80CA) (tstamp 5C632CA5) + (at 146.685 100.965 90) + (descr "RCA Audio connector, yellow, Pro Signal p/n PSG01547") + (tags "rca, audio") + (path /5C623180) + (fp_text reference J1 (at 0 15.7988 90) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value Audio (at 0 -8.89 90) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_circle (center -3.2512 -5.79882) (end -3.79984 -5.79882) (layer F.SilkS) (width 0.381)) + (fp_circle (center 3.2512 -5.79882) (end 2.70002 -5.84962) (layer F.SilkS) (width 0.381)) + (fp_circle (center 3.2512 4.0005) (end 2.70002 4.04876) (layer F.SilkS) (width 0.381)) + (fp_circle (center -3.2512 4.0005) (end -3.79984 3.9497) (layer F.SilkS) (width 0.381)) + (fp_circle (center -3.2512 0) (end -3.79984 0) (layer F.SilkS) (width 0.381)) + (fp_circle (center 3.2512 0) (end 2.70002 -0.0508) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.30022 5.00126) (end -4.30022 14.00048) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.30022 14.00048) (end 4.30022 14.00048) (layer F.SilkS) (width 0.381)) + (fp_line (start 4.30022 14.00048) (end 4.30022 5.00126) (layer F.SilkS) (width 0.381)) + (fp_line (start 4.8006 -4.89966) (end 5.40004 -4.89966) (layer F.SilkS) (width 0.381)) + (fp_line (start 5.40004 -4.89966) (end 5.40004 -3.0988) (layer F.SilkS) (width 0.381)) + (fp_line (start 5.40004 -3.0988) (end 4.8006 -3.0988) (layer F.SilkS) (width 0.381)) + (fp_line (start -5.40004 -4.89966) (end -4.8006 -4.89966) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.8006 -3.0988) (end -5.40004 -3.0988) (layer F.SilkS) (width 0.381)) + (fp_line (start -5.40004 -3.0988) (end -5.40004 -4.89966) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.8006 -6.79958) (end -1.80086 -6.79958) (layer F.SilkS) (width 0.381)) + (fp_line (start -1.80086 -6.79958) (end -1.80086 -5.99948) (layer F.SilkS) (width 0.381)) + (fp_line (start -1.80086 -5.99948) (end 1.80086 -5.99948) (layer F.SilkS) (width 0.381)) + (fp_line (start 1.80086 -5.99948) (end 1.80086 -6.79958) (layer F.SilkS) (width 0.381)) + (fp_line (start 1.80086 -6.79958) (end 4.8006 -6.79958) (layer F.SilkS) (width 0.381)) + (fp_line (start 4.8006 5.00126) (end -4.8006 5.00126) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.8006 4.99872) (end -4.8006 -6.80212) (layer F.SilkS) (width 0.381)) + (fp_line (start 4.8006 -6.79958) (end 4.8006 5.00126) (layer F.SilkS) (width 0.381)) + (pad 2 thru_hole oval (at 3.81 -3.81 90) (size 1.99898 3.19786) (drill oval 0.99568 2.1971) (layers *.Cu *.Mask F.SilkS) + (net 24 "Net-(J1-Pad2)")) + (pad 1 thru_hole oval (at 0 -1.27 90) (size 3.19786 1.99898) (drill oval 2.1971 0.99568) (layers *.Cu *.Mask F.SilkS) + (net 8 GND)) + (pad 2 thru_hole oval (at -3.81 -3.81 90) (size 1.99898 3.19786) (drill oval 0.99568 2.1971) (layers *.Cu *.Mask F.SilkS) + (net 24 "Net-(J1-Pad2)")) + (model walter/conn_av/rca_yellow.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module RCA:rca_yellow (layer F.Cu) (tedit 593D80CA) (tstamp 5C632CAE) + (at 146.685 88.265 90) + (descr "RCA Audio connector, yellow, Pro Signal p/n PSG01547") + (tags "rca, audio") + (path /5C0DE558) + (fp_text reference J8 (at 0 15.7988 90) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_text value Composite (at 0 -8.89 90) (layer F.SilkS) + (effects (font (thickness 0.3048))) + ) + (fp_circle (center -3.2512 -5.79882) (end -3.79984 -5.79882) (layer F.SilkS) (width 0.381)) + (fp_circle (center 3.2512 -5.79882) (end 2.70002 -5.84962) (layer F.SilkS) (width 0.381)) + (fp_circle (center 3.2512 4.0005) (end 2.70002 4.04876) (layer F.SilkS) (width 0.381)) + (fp_circle (center -3.2512 4.0005) (end -3.79984 3.9497) (layer F.SilkS) (width 0.381)) + (fp_circle (center -3.2512 0) (end -3.79984 0) (layer F.SilkS) (width 0.381)) + (fp_circle (center 3.2512 0) (end 2.70002 -0.0508) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.30022 5.00126) (end -4.30022 14.00048) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.30022 14.00048) (end 4.30022 14.00048) (layer F.SilkS) (width 0.381)) + (fp_line (start 4.30022 14.00048) (end 4.30022 5.00126) (layer F.SilkS) (width 0.381)) + (fp_line (start 4.8006 -4.89966) (end 5.40004 -4.89966) (layer F.SilkS) (width 0.381)) + (fp_line (start 5.40004 -4.89966) (end 5.40004 -3.0988) (layer F.SilkS) (width 0.381)) + (fp_line (start 5.40004 -3.0988) (end 4.8006 -3.0988) (layer F.SilkS) (width 0.381)) + (fp_line (start -5.40004 -4.89966) (end -4.8006 -4.89966) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.8006 -3.0988) (end -5.40004 -3.0988) (layer F.SilkS) (width 0.381)) + (fp_line (start -5.40004 -3.0988) (end -5.40004 -4.89966) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.8006 -6.79958) (end -1.80086 -6.79958) (layer F.SilkS) (width 0.381)) + (fp_line (start -1.80086 -6.79958) (end -1.80086 -5.99948) (layer F.SilkS) (width 0.381)) + (fp_line (start -1.80086 -5.99948) (end 1.80086 -5.99948) (layer F.SilkS) (width 0.381)) + (fp_line (start 1.80086 -5.99948) (end 1.80086 -6.79958) (layer F.SilkS) (width 0.381)) + (fp_line (start 1.80086 -6.79958) (end 4.8006 -6.79958) (layer F.SilkS) (width 0.381)) + (fp_line (start 4.8006 5.00126) (end -4.8006 5.00126) (layer F.SilkS) (width 0.381)) + (fp_line (start -4.8006 4.99872) (end -4.8006 -6.80212) (layer F.SilkS) (width 0.381)) + (fp_line (start 4.8006 -6.79958) (end 4.8006 5.00126) (layer F.SilkS) (width 0.381)) + (pad 2 thru_hole oval (at 3.81 -3.81 90) (size 1.99898 3.19786) (drill oval 0.99568 2.1971) (layers *.Cu *.Mask F.SilkS) + (net 7 "Net-(J8-Pad2)")) + (pad 1 thru_hole oval (at 0 -1.27 90) (size 3.19786 1.99898) (drill oval 2.1971 0.99568) (layers *.Cu *.Mask F.SilkS) + (net 8 GND)) + (pad 2 thru_hole oval (at -3.81 -3.81 90) (size 1.99898 3.19786) (drill oval 0.99568 2.1971) (layers *.Cu *.Mask F.SilkS) + (net 7 "Net-(J8-Pad2)")) + (model walter/conn_av/rca_yellow.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm (layer F.Cu) (tedit 5C6330B9) (tstamp 5C632CDE) + (at 150.495 142.875 270) + (descr "Through hole angled pin header, 1x39, 2.54mm pitch, 6mm pin length, single row") + (tags "Through hole angled pin header THT 1x39 2.54mm single row") + (path /5C62AABD) + (fp_text reference J9 (at 4.385 -2.27 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value RC6502_Backplane_Extra (at 4.445 100.33 270) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 2.135 -1.27) (end 4.04 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 -1.27) (end 4.04 97.79) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 97.79) (end 1.5 97.79) (layer F.Fab) (width 0.1)) + (fp_line (start 1.5 97.79) (end 1.5 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start 1.5 -0.635) (end 2.135 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 -0.32) (end 1.5 -0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 -0.32) (end -0.32 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 0.32) (end 1.5 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 -0.32) (end 10.04 -0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 -0.32) (end 10.04 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 0.32) (end 10.04 0.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.22) (end 1.5 2.22) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.22) (end -0.32 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 2.86) (end 1.5 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 2.22) (end 10.04 2.22) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 2.22) (end 10.04 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 2.86) (end 10.04 2.86) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 4.76) (end 1.5 4.76) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 4.76) (end -0.32 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 5.4) (end 1.5 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 4.76) (end 10.04 4.76) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 4.76) (end 10.04 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 5.4) (end 10.04 5.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.3) (end 1.5 7.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.3) (end -0.32 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 7.94) (end 1.5 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 7.3) (end 10.04 7.3) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 7.3) (end 10.04 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 7.94) (end 10.04 7.94) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 9.84) (end 1.5 9.84) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 9.84) (end -0.32 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 10.48) (end 1.5 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 9.84) (end 10.04 9.84) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 9.84) (end 10.04 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 10.48) (end 10.04 10.48) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 12.38) (end 1.5 12.38) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 12.38) (end -0.32 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 13.02) (end 1.5 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 12.38) (end 10.04 12.38) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 12.38) (end 10.04 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 13.02) (end 10.04 13.02) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 14.92) (end 1.5 14.92) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 14.92) (end -0.32 15.56) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 15.56) (end 1.5 15.56) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 14.92) (end 10.04 14.92) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 14.92) (end 10.04 15.56) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 15.56) (end 10.04 15.56) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 17.46) (end 1.5 17.46) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 17.46) (end -0.32 18.1) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 18.1) (end 1.5 18.1) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 17.46) (end 10.04 17.46) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 17.46) (end 10.04 18.1) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 18.1) (end 10.04 18.1) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 20) (end 1.5 20) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 20) (end -0.32 20.64) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 20.64) (end 1.5 20.64) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 20) (end 10.04 20) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 20) (end 10.04 20.64) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 20.64) (end 10.04 20.64) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 22.54) (end 1.5 22.54) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 22.54) (end -0.32 23.18) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 23.18) (end 1.5 23.18) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 22.54) (end 10.04 22.54) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 22.54) (end 10.04 23.18) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 23.18) (end 10.04 23.18) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 25.08) (end 1.5 25.08) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 25.08) (end -0.32 25.72) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 25.72) (end 1.5 25.72) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 25.08) (end 10.04 25.08) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 25.08) (end 10.04 25.72) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 25.72) (end 10.04 25.72) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 27.62) (end 1.5 27.62) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 27.62) (end -0.32 28.26) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 28.26) (end 1.5 28.26) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 27.62) (end 10.04 27.62) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 27.62) (end 10.04 28.26) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 28.26) (end 10.04 28.26) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 30.16) (end 1.5 30.16) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 30.16) (end -0.32 30.8) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 30.8) (end 1.5 30.8) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 30.16) (end 10.04 30.16) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 30.16) (end 10.04 30.8) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 30.8) (end 10.04 30.8) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 32.7) (end 1.5 32.7) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 32.7) (end -0.32 33.34) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 33.34) (end 1.5 33.34) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 32.7) (end 10.04 32.7) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 32.7) (end 10.04 33.34) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 33.34) (end 10.04 33.34) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 35.24) (end 1.5 35.24) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 35.24) (end -0.32 35.88) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 35.88) (end 1.5 35.88) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 35.24) (end 10.04 35.24) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 35.24) (end 10.04 35.88) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 35.88) (end 10.04 35.88) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 37.78) (end 1.5 37.78) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 37.78) (end -0.32 38.42) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 38.42) (end 1.5 38.42) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 37.78) (end 10.04 37.78) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 37.78) (end 10.04 38.42) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 38.42) (end 10.04 38.42) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 40.32) (end 1.5 40.32) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 40.32) (end -0.32 40.96) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 40.96) (end 1.5 40.96) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 40.32) (end 10.04 40.32) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 40.32) (end 10.04 40.96) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 40.96) (end 10.04 40.96) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 42.86) (end 1.5 42.86) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 42.86) (end -0.32 43.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 43.5) (end 1.5 43.5) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 42.86) (end 10.04 42.86) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 42.86) (end 10.04 43.5) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 43.5) (end 10.04 43.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 45.4) (end 1.5 45.4) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 45.4) (end -0.32 46.04) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 46.04) (end 1.5 46.04) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 45.4) (end 10.04 45.4) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 45.4) (end 10.04 46.04) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 46.04) (end 10.04 46.04) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 47.94) (end 1.5 47.94) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 47.94) (end -0.32 48.58) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 48.58) (end 1.5 48.58) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 47.94) (end 10.04 47.94) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 47.94) (end 10.04 48.58) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 48.58) (end 10.04 48.58) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 50.48) (end 1.5 50.48) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 50.48) (end -0.32 51.12) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 51.12) (end 1.5 51.12) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 50.48) (end 10.04 50.48) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 50.48) (end 10.04 51.12) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 51.12) (end 10.04 51.12) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 53.02) (end 1.5 53.02) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 53.02) (end -0.32 53.66) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 53.66) (end 1.5 53.66) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 53.02) (end 10.04 53.02) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 53.02) (end 10.04 53.66) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 53.66) (end 10.04 53.66) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 55.56) (end 1.5 55.56) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 55.56) (end -0.32 56.2) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 56.2) (end 1.5 56.2) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 55.56) (end 10.04 55.56) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 55.56) (end 10.04 56.2) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 56.2) (end 10.04 56.2) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 58.1) (end 1.5 58.1) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 58.1) (end -0.32 58.74) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 58.74) (end 1.5 58.74) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 58.1) (end 10.04 58.1) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 58.1) (end 10.04 58.74) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 58.74) (end 10.04 58.74) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 60.64) (end 1.5 60.64) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 60.64) (end -0.32 61.28) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 61.28) (end 1.5 61.28) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 60.64) (end 10.04 60.64) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 60.64) (end 10.04 61.28) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 61.28) (end 10.04 61.28) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 63.18) (end 1.5 63.18) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 63.18) (end -0.32 63.82) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 63.82) (end 1.5 63.82) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 63.18) (end 10.04 63.18) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 63.18) (end 10.04 63.82) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 63.82) (end 10.04 63.82) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 65.72) (end 1.5 65.72) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 65.72) (end -0.32 66.36) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 66.36) (end 1.5 66.36) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 65.72) (end 10.04 65.72) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 65.72) (end 10.04 66.36) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 66.36) (end 10.04 66.36) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 68.26) (end 1.5 68.26) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 68.26) (end -0.32 68.9) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 68.9) (end 1.5 68.9) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 68.26) (end 10.04 68.26) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 68.26) (end 10.04 68.9) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 68.9) (end 10.04 68.9) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 70.8) (end 1.5 70.8) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 70.8) (end -0.32 71.44) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 71.44) (end 1.5 71.44) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 70.8) (end 10.04 70.8) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 70.8) (end 10.04 71.44) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 71.44) (end 10.04 71.44) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 73.34) (end 1.5 73.34) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 73.34) (end -0.32 73.98) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 73.98) (end 1.5 73.98) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 73.34) (end 10.04 73.34) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 73.34) (end 10.04 73.98) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 73.98) (end 10.04 73.98) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 75.88) (end 1.5 75.88) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 75.88) (end -0.32 76.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 76.52) (end 1.5 76.52) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 75.88) (end 10.04 75.88) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 75.88) (end 10.04 76.52) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 76.52) (end 10.04 76.52) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 78.42) (end 1.5 78.42) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 78.42) (end -0.32 79.06) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 79.06) (end 1.5 79.06) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 78.42) (end 10.04 78.42) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 78.42) (end 10.04 79.06) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 79.06) (end 10.04 79.06) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 80.96) (end 1.5 80.96) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 80.96) (end -0.32 81.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 81.6) (end 1.5 81.6) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 80.96) (end 10.04 80.96) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 80.96) (end 10.04 81.6) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 81.6) (end 10.04 81.6) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 83.5) (end 1.5 83.5) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 83.5) (end -0.32 84.14) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 84.14) (end 1.5 84.14) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 83.5) (end 10.04 83.5) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 83.5) (end 10.04 84.14) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 84.14) (end 10.04 84.14) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 86.04) (end 1.5 86.04) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 86.04) (end -0.32 86.68) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 86.68) (end 1.5 86.68) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 86.04) (end 10.04 86.04) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 86.04) (end 10.04 86.68) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 86.68) (end 10.04 86.68) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 88.58) (end 1.5 88.58) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 88.58) (end -0.32 89.22) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 89.22) (end 1.5 89.22) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 88.58) (end 10.04 88.58) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 88.58) (end 10.04 89.22) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 89.22) (end 10.04 89.22) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 91.12) (end 1.5 91.12) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 91.12) (end -0.32 91.76) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 91.76) (end 1.5 91.76) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 91.12) (end 10.04 91.12) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 91.12) (end 10.04 91.76) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 91.76) (end 10.04 91.76) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 93.66) (end 1.5 93.66) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 93.66) (end -0.32 94.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 94.3) (end 1.5 94.3) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 93.66) (end 10.04 93.66) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 93.66) (end 10.04 94.3) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 94.3) (end 10.04 94.3) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 96.2) (end 1.5 96.2) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 96.2) (end -0.32 96.84) (layer F.Fab) (width 0.1)) + (fp_line (start -0.32 96.84) (end 1.5 96.84) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 96.2) (end 10.04 96.2) (layer F.Fab) (width 0.1)) + (fp_line (start 10.04 96.2) (end 10.04 96.84) (layer F.Fab) (width 0.1)) + (fp_line (start 4.04 96.84) (end 10.04 96.84) (layer F.Fab) (width 0.1)) + (fp_line (start 1.44 -1.33) (end 1.44 97.85) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 97.85) (end 4.1 97.85) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 97.85) (end 4.1 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 -1.33) (end 1.44 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 -0.38) (end 10.1 -0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 -0.38) (end 10.1 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 0.38) (end 4.1 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 -0.32) (end 10.1 -0.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 -0.2) (end 10.1 -0.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 -0.08) (end 10.1 -0.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 0.04) (end 10.1 0.04) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 0.16) (end 10.1 0.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 0.28) (end 10.1 0.28) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.11 -0.38) (end 1.44 -0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.11 0.38) (end 1.44 0.38) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 1.27) (end 4.1 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 2.16) (end 10.1 2.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 2.16) (end 10.1 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 2.92) (end 4.1 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 2.16) (end 1.44 2.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 2.92) (end 1.44 2.92) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 3.81) (end 4.1 3.81) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 4.7) (end 10.1 4.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 4.7) (end 10.1 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 5.46) (end 4.1 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 4.7) (end 1.44 4.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 5.46) (end 1.44 5.46) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 6.35) (end 4.1 6.35) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 7.24) (end 10.1 7.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 7.24) (end 10.1 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 8) (end 4.1 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 7.24) (end 1.44 7.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 8) (end 1.44 8) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 8.89) (end 4.1 8.89) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 9.78) (end 10.1 9.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 9.78) (end 10.1 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 10.54) (end 4.1 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 9.78) (end 1.44 9.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 10.54) (end 1.44 10.54) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 11.43) (end 4.1 11.43) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 12.32) (end 10.1 12.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 12.32) (end 10.1 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 13.08) (end 4.1 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 12.32) (end 1.44 12.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 13.08) (end 1.44 13.08) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 13.97) (end 4.1 13.97) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 14.86) (end 10.1 14.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 14.86) (end 10.1 15.62) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 15.62) (end 4.1 15.62) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 14.86) (end 1.44 14.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 15.62) (end 1.44 15.62) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 16.51) (end 4.1 16.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 17.4) (end 10.1 17.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 17.4) (end 10.1 18.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 18.16) (end 4.1 18.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 17.4) (end 1.44 17.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 18.16) (end 1.44 18.16) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 19.05) (end 4.1 19.05) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 19.94) (end 10.1 19.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 19.94) (end 10.1 20.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 20.7) (end 4.1 20.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 19.94) (end 1.44 19.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 20.7) (end 1.44 20.7) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 21.59) (end 4.1 21.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 22.48) (end 10.1 22.48) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 22.48) (end 10.1 23.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 23.24) (end 4.1 23.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 22.48) (end 1.44 22.48) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 23.24) (end 1.44 23.24) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 24.13) (end 4.1 24.13) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 25.02) (end 10.1 25.02) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 25.02) (end 10.1 25.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 25.78) (end 4.1 25.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 25.02) (end 1.44 25.02) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 25.78) (end 1.44 25.78) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 26.67) (end 4.1 26.67) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 27.56) (end 10.1 27.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 27.56) (end 10.1 28.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 28.32) (end 4.1 28.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 27.56) (end 1.44 27.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 28.32) (end 1.44 28.32) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 29.21) (end 4.1 29.21) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 30.1) (end 10.1 30.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 30.1) (end 10.1 30.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 30.86) (end 4.1 30.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 30.1) (end 1.44 30.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 30.86) (end 1.44 30.86) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 31.75) (end 4.1 31.75) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 32.64) (end 10.1 32.64) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 32.64) (end 10.1 33.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 33.4) (end 4.1 33.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 32.64) (end 1.44 32.64) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 33.4) (end 1.44 33.4) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 34.29) (end 4.1 34.29) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 35.18) (end 10.1 35.18) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 35.18) (end 10.1 35.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 35.94) (end 4.1 35.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 35.18) (end 1.44 35.18) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 35.94) (end 1.44 35.94) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 36.83) (end 4.1 36.83) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 37.72) (end 10.1 37.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 37.72) (end 10.1 38.48) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 38.48) (end 4.1 38.48) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 37.72) (end 1.44 37.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 38.48) (end 1.44 38.48) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 39.37) (end 4.1 39.37) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 40.26) (end 10.1 40.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 40.26) (end 10.1 41.02) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 41.02) (end 4.1 41.02) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 40.26) (end 1.44 40.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 41.02) (end 1.44 41.02) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 41.91) (end 4.1 41.91) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 42.8) (end 10.1 42.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 42.8) (end 10.1 43.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 43.56) (end 4.1 43.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 42.8) (end 1.44 42.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 43.56) (end 1.44 43.56) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 44.45) (end 4.1 44.45) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 45.34) (end 10.1 45.34) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 45.34) (end 10.1 46.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 46.1) (end 4.1 46.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 45.34) (end 1.44 45.34) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 46.1) (end 1.44 46.1) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 46.99) (end 4.1 46.99) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 47.88) (end 10.1 47.88) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 47.88) (end 10.1 48.64) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 48.64) (end 4.1 48.64) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 47.88) (end 1.44 47.88) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 48.64) (end 1.44 48.64) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 49.53) (end 4.1 49.53) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 50.42) (end 10.1 50.42) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 50.42) (end 10.1 51.18) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 51.18) (end 4.1 51.18) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 50.42) (end 1.44 50.42) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 51.18) (end 1.44 51.18) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 52.07) (end 4.1 52.07) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 52.96) (end 10.1 52.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 52.96) (end 10.1 53.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 53.72) (end 4.1 53.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 52.96) (end 1.44 52.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 53.72) (end 1.44 53.72) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 54.61) (end 4.1 54.61) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 55.5) (end 10.1 55.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 55.5) (end 10.1 56.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 56.26) (end 4.1 56.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 55.5) (end 1.44 55.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 56.26) (end 1.44 56.26) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 57.15) (end 4.1 57.15) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 58.04) (end 10.1 58.04) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 58.04) (end 10.1 58.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 58.8) (end 4.1 58.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 58.04) (end 1.44 58.04) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 58.8) (end 1.44 58.8) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 59.69) (end 4.1 59.69) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 60.58) (end 10.1 60.58) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 60.58) (end 10.1 61.34) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 61.34) (end 4.1 61.34) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 60.58) (end 1.44 60.58) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 61.34) (end 1.44 61.34) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 62.23) (end 4.1 62.23) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 63.12) (end 10.1 63.12) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 63.12) (end 10.1 63.88) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 63.88) (end 4.1 63.88) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 63.12) (end 1.44 63.12) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 63.88) (end 1.44 63.88) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 64.77) (end 4.1 64.77) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 65.66) (end 10.1 65.66) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 65.66) (end 10.1 66.42) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 66.42) (end 4.1 66.42) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 65.66) (end 1.44 65.66) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 66.42) (end 1.44 66.42) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 67.31) (end 4.1 67.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 68.2) (end 10.1 68.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 68.2) (end 10.1 68.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 68.96) (end 4.1 68.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 68.2) (end 1.44 68.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 68.96) (end 1.44 68.96) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 69.85) (end 4.1 69.85) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 70.74) (end 10.1 70.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 70.74) (end 10.1 71.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 71.5) (end 4.1 71.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 70.74) (end 1.44 70.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 71.5) (end 1.44 71.5) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 72.39) (end 4.1 72.39) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 73.28) (end 10.1 73.28) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 73.28) (end 10.1 74.04) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 74.04) (end 4.1 74.04) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 73.28) (end 1.44 73.28) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 74.04) (end 1.44 74.04) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 74.93) (end 4.1 74.93) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 75.82) (end 10.1 75.82) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 75.82) (end 10.1 76.58) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 76.58) (end 4.1 76.58) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 75.82) (end 1.44 75.82) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 76.58) (end 1.44 76.58) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 77.47) (end 4.1 77.47) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 78.36) (end 10.1 78.36) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 78.36) (end 10.1 79.12) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 79.12) (end 4.1 79.12) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 78.36) (end 1.44 78.36) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 79.12) (end 1.44 79.12) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 80.01) (end 4.1 80.01) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 80.9) (end 10.1 80.9) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 80.9) (end 10.1 81.66) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 81.66) (end 4.1 81.66) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 80.9) (end 1.44 80.9) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 81.66) (end 1.44 81.66) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 82.55) (end 4.1 82.55) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 83.44) (end 10.1 83.44) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 83.44) (end 10.1 84.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 84.2) (end 4.1 84.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 83.44) (end 1.44 83.44) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 84.2) (end 1.44 84.2) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 85.09) (end 4.1 85.09) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 85.98) (end 10.1 85.98) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 85.98) (end 10.1 86.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 86.74) (end 4.1 86.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 85.98) (end 1.44 85.98) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 86.74) (end 1.44 86.74) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 87.63) (end 4.1 87.63) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 88.52) (end 10.1 88.52) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 88.52) (end 10.1 89.28) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 89.28) (end 4.1 89.28) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 88.52) (end 1.44 88.52) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 89.28) (end 1.44 89.28) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 90.17) (end 4.1 90.17) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 91.06) (end 10.1 91.06) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 91.06) (end 10.1 91.82) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 91.82) (end 4.1 91.82) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 91.06) (end 1.44 91.06) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 91.82) (end 1.44 91.82) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 92.71) (end 4.1 92.71) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 93.6) (end 10.1 93.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 93.6) (end 10.1 94.36) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 94.36) (end 4.1 94.36) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 93.6) (end 1.44 93.6) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 94.36) (end 1.44 94.36) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.44 95.25) (end 4.1 95.25) (layer F.SilkS) (width 0.12)) + (fp_line (start 4.1 96.14) (end 10.1 96.14) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 96.14) (end 10.1 96.9) (layer F.SilkS) (width 0.12)) + (fp_line (start 10.1 96.9) (end 4.1 96.9) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 96.14) (end 1.44 96.14) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.042929 96.9) (end 1.44 96.9) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 0) (end -1.27 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.27 -1.27) (end 0 -1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 98.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 98.3) (end 10.55 98.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 10.55 98.3) (end 10.55 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 10.55 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 2.77 48.26 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 58 /A15)) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 59 /A14)) + (pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 60 /A13)) + (pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 61 /A12)) + (pad 5 thru_hole oval (at 0 10.16 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 6 thru_hole oval (at 0 12.7 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 7 thru_hole oval (at 0 15.24 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 8 thru_hole oval (at 0 17.78 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 9 thru_hole oval (at 0 20.32 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 26 /A7)) + (pad 10 thru_hole oval (at 0 22.86 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 11 thru_hole oval (at 0 25.4 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 27 /A5)) + (pad 12 thru_hole oval (at 0 27.94 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 28 /A4)) + (pad 13 thru_hole oval (at 0 30.48 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 29 /A3)) + (pad 14 thru_hole oval (at 0 33.02 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 30 /A2)) + (pad 15 thru_hole oval (at 0 35.56 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 31 /A1)) + (pad 16 thru_hole oval (at 0 38.1 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 32 /A0)) + (pad 17 thru_hole oval (at 0 40.64 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 18 thru_hole oval (at 0 43.18 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 19 thru_hole oval (at 0 45.72 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 33 /PHI2)) + (pad 20 thru_hole oval (at 0 48.26 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 21 thru_hole oval (at 0 50.8 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 34 /PHI0)) + (pad 22 thru_hole oval (at 0 53.34 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 23 thru_hole oval (at 0 55.88 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 24 thru_hole oval (at 0 58.42 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 35 /R/~W)) + (pad 25 thru_hole oval (at 0 60.96 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 36 /RDY)) + (pad 26 thru_hole oval (at 0 63.5 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 27 thru_hole oval (at 0 66.04 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 37 /D0)) + (pad 28 thru_hole oval (at 0 68.58 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 38 /D1)) + (pad 29 thru_hole oval (at 0 71.12 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 39 /D2)) + (pad 30 thru_hole oval (at 0 73.66 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 40 /D3)) + (pad 31 thru_hole oval (at 0 76.2 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 41 /D4)) + (pad 32 thru_hole oval (at 0 78.74 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 42 /D5)) + (pad 33 thru_hole oval (at 0 81.28 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 43 /D6)) + (pad 34 thru_hole oval (at 0 83.82 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 44 /D7)) + (pad 35 thru_hole oval (at 0 86.36 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 36 thru_hole oval (at 0 88.9 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 37 thru_hole oval (at 0 91.44 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)) + (pad 38 thru_hole oval (at 0 93.98 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 45 "Net-(J9-Pad38)")) + (pad 39 thru_hole oval (at 0 96.52 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 46 "Net-(J9-Pad39)")) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Angled_1x39_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm (layer F.Cu) (tedit 5C641F6C) (tstamp 5C632CE4) + (at 56.261 87.376) + (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x02 2.54mm single row") + (path /5C682A3C) + (fp_text reference JP1 (at 0 1.27 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value CADJ (at -2.54 1.27 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 3.81) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 4.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 4.35) (end 1.8 4.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 4.35) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 1.27 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 47 "Net-(JP1-Pad1)")) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 48 /CADJ)) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x02_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm (layer F.Cu) (tedit 5C641F46) (tstamp 5C632CEB) + (at 128.905 114.935) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5C63AB60) + (fp_text reference JP2 (at 0 -2.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value CS0_SEL (at -2.54 2.54 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 2.54 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 61 /A12)) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 49 /~CS0)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 25 TIA_BASE)) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x03_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm (layer F.Cu) (tedit 5C641F3D) (tstamp 5C632CF2) + (at 128.905 127.635) + (descr "Through hole straight pin header, 1x03, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x03 2.54mm single row") + (path /5C63ADD6) + (fp_text reference JP3 (at 0 -2.33) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value CS3_SEL (at -2.54 2.54 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 6.35) (end -1.27 6.35) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 6.35) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 6.41) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 6.41) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 6.85) (end 1.8 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 6.85) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 2.54 90) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 26 /A7)) + (pad 2 thru_hole oval (at 0 2.54) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 50 /~CS3)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 8 GND)) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x03_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm (layer F.Cu) (tedit 5C641F20) (tstamp 5C632CF8) + (at 90.17 76.835 270) + (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x02 2.54mm single row") + (path /5C6341DF) + (fp_text reference JP4 (at 0 1.27 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value T1_EN (at 0 -4.445 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 3.81) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 4.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 4.35) (end 1.8 4.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 4.35) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 1.27 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 20 /T1_IN)) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 46 "Net-(J9-Pad39)")) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x02_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm (layer F.Cu) (tedit 5C641F26) (tstamp 5C632CFE) + (at 90.17 80.645 270) + (descr "Through hole straight pin header, 1x02, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x02 2.54mm single row") + (path /5C634111) + (fp_text reference JP5 (at 0 1.27 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value T0_EN (at 0 -4.445 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 3.81) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 4.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 4.35) (end 1.8 4.35) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 4.35) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 1.27 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 19 /T0_IN)) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 45 "Net-(J9-Pad38)")) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x02_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6413D2) (tstamp 5C632D04) + (at 82.804 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C657F65) + (fp_text reference R1 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 27k (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 51 /CSYNC)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 16 VIDEO)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6413D8) (tstamp 5C632D0A) + (at 86.868 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C658200) + (fp_text reference R2 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3K3 (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 51 /CSYNC)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6413DD) (tstamp 5C632D10) + (at 90.932 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65A77B) + (fp_text reference R3 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 56k (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 52 /LUM1)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 16 VIDEO)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6413E4) (tstamp 5C632D16) + (at 94.996 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65A781) + (fp_text reference R4 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3K3 (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 52 /LUM1)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6413E9) (tstamp 5C632D1C) + (at 99.06 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65BB40) + (fp_text reference R5 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 27K (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 53 /LUM2)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 16 VIDEO)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6413ED) (tstamp 5C632D22) + (at 103.124 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65BB46) + (fp_text reference R6 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3K3 (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 53 /LUM2)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6413F4) (tstamp 5C632D28) + (at 107.188 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65CC09) + (fp_text reference R7 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 110k (at 5.715 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 54 /LUM0)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 16 VIDEO)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6413FA) (tstamp 5C632D2E) + (at 111.252 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65CC0F) + (fp_text reference R8 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 3K3 (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 54 /LUM0)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C641409) (tstamp 5C632D34) + (at 115.316 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65F2FE) + (fp_text reference R9 (at 3.048 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 1k (at 6.096 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 18 "Net-(C8-Pad2)")) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C641416) (tstamp 5C632D3A) + (at 119.38 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65FC86) + (fp_text reference R10 (at 3.048 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 6k8 (at 6.096 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 18 "Net-(C8-Pad2)")) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 15 "Net-(C7-Pad1)")) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C641411) (tstamp 5C632D40) + (at 123.444 117.856 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65E4DC) + (fp_text reference R11 (at 3.048 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 1k (at 6.096 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 17 /COLOR)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C633C6D) (tstamp 5C632D46) + (at 86.868 104.14 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C64140A) + (fp_text reference R12 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 1k (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 22 /AUD0)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C633CA1) (tstamp 5C632D4C) + (at 94.996 104.14 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C6434FB) + (fp_text reference R13 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 18k (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 21 AUDIO)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 23 "Net-(C12-Pad2)")) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C633CAB) (tstamp 5C632D52) + (at 90.932 104.14 270) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C64155C) + (fp_text reference R14 (at 3.175 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 9k1 (at 6.35 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 21 AUDIO)) + (pad 2 thru_hole oval (at 10.16 0 270) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6359B9) (tstamp 5C632D58) + (at 117.475 80.645 180) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C64CB26) + (fp_text reference R15 (at 6.985 0 450) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 220 (at 3.81 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 57 /T0)) + (pad 2 thru_hole oval (at 10.16 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 19 /T0_IN)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6359B5) (tstamp 5C632D5E) + (at 117.475 76.835 180) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C65155C) + (fp_text reference R16 (at 6.985 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 220 (at 3.81 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 56 /T1)) + (pad 2 thru_hole oval (at 10.16 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 20 /T1_IN)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6359C2) (tstamp 5C632D64) + (at 130.175 80.645 180) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C64C923) + (fp_text reference R17 (at 6.985 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10k (at 3.81 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 2 thru_hole oval (at 10.16 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 57 /T0)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal (layer F.Cu) (tedit 5C6359BD) (tstamp 5C632D6A) + (at 130.175 76.835 180) + (descr "Resistor, Axial_DIN0207 series, Axial, Horizontal, pin pitch=10.16mm, 0.25W = 1/4W, length*diameter=6.3*2.5mm^2, http://cdn-reichelt.de/documents/datenblatt/B400/1_4W%23YAG.pdf") + (tags "Resistor Axial_DIN0207 series Axial Horizontal pin pitch 10.16mm 0.25W = 1/4W length 6.3mm diameter 2.5mm") + (path /5C651556) + (fp_text reference R18 (at 6.985 0 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 10k (at 3.81 0 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start 1.93 -1.25) (end 1.93 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 1.93 1.25) (end 8.23 1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 1.25) (end 8.23 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 8.23 -1.25) (end 1.93 -1.25) (layer F.Fab) (width 0.1)) + (fp_line (start 0 0) (end 1.93 0) (layer F.Fab) (width 0.1)) + (fp_line (start 10.16 0) (end 8.23 0) (layer F.Fab) (width 0.1)) + (fp_line (start 1.87 -1.31) (end 1.87 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.87 1.31) (end 8.29 1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 1.31) (end 8.29 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 8.29 -1.31) (end 1.87 -1.31) (layer F.SilkS) (width 0.12)) + (fp_line (start 0.98 0) (end 1.87 0) (layer F.SilkS) (width 0.12)) + (fp_line (start 9.18 0) (end 8.29 0) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.05 -1.6) (end -1.05 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.05 1.6) (end 11.25 1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 1.6) (end 11.25 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 11.25 -1.6) (end -1.05 -1.6) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 2 thru_hole oval (at 10.16 0 180) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 56 /T1)) + (model ${KISYS3DMOD}/Resistors_THT.3dshapes/R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal.wrl + (at (xyz 0 0 0)) + (scale (xyz 0.393701 0.393701 0.393701)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Potentiometers:Potentiometer_Trimmer_Bourns_3296W (layer F.Cu) (tedit 5C6410B5) (tstamp 5C632D71) + (at 55.372 77.724 90) + (descr "Spindle Trimmer Potentiometer, Bourns 3296W, https://www.bourns.com/pdfs/3296.pdf") + (tags "Spindle Trimmer Potentiometer Bourns 3296W") + (path /5C67AAE3) + (fp_text reference RV1 (at 0.254 -1.397 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value 500k (at -5.461 -1.397 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_arc (start 0.955 1.15) (end 0.955 2.305) (angle -182) (layer F.SilkS) (width 0.12)) + (fp_arc (start 0.955 1.15) (end -0.174 0.91) (angle -103) (layer F.SilkS) (width 0.12)) + (fp_circle (center 0.955 1.15) (end 2.05 1.15) (layer F.Fab) (width 0.1)) + (fp_line (start -7.305 -2.41) (end -7.305 2.42) (layer F.Fab) (width 0.1)) + (fp_line (start -7.305 2.42) (end 2.225 2.42) (layer F.Fab) (width 0.1)) + (fp_line (start 2.225 2.42) (end 2.225 -2.41) (layer F.Fab) (width 0.1)) + (fp_line (start 2.225 -2.41) (end -7.305 -2.41) (layer F.Fab) (width 0.1)) + (fp_line (start 1.786 0.454) (end 0.259 1.981) (layer F.Fab) (width 0.1)) + (fp_line (start 1.652 0.32) (end 0.125 1.847) (layer F.Fab) (width 0.1)) + (fp_line (start -7.365 -2.47) (end 2.285 -2.47) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.365 2.481) (end 2.285 2.481) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.365 -2.47) (end -7.365 2.481) (layer F.SilkS) (width 0.12)) + (fp_line (start 2.285 -2.47) (end 2.285 2.481) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.831 0.416) (end 0.22 2.026) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.691 0.275) (end 0.079 1.885) (layer F.SilkS) (width 0.12)) + (fp_line (start -7.6 -2.7) (end -7.6 2.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.6 2.7) (end 2.5 2.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.5 2.7) (end 2.5 -2.7) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.5 -2.7) (end -7.6 -2.7) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 90) (size 1.44 1.44) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 2 thru_hole circle (at -2.54 0 90) (size 1.44 1.44) (drill 0.8) (layers *.Cu *.Mask) + (net 47 "Net-(JP1-Pad1)")) + (pad 3 thru_hole circle (at -5.08 0 90) (size 1.44 1.44) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (model Potentiometers.3dshapes/Potentiometer_Trimmer_Bourns_3296W.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 -90)) + ) + ) + + (module Housings_DIP:DIP-40_W15.24mm_Socket (layer F.Cu) (tedit 5C641E03) (tstamp 5C632D9D) + (at 59.69 84.455) + (descr "40-lead though-hole mounted DIP package, row spacing 15.24 mm (600 mils), Socket") + (tags "THT DIP DIL PDIP 2.54mm 15.24mm 600mil Socket") + (path /5C627812) + (fp_text reference U1 (at 7.62 2.54) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TIA_NTSC (at 6.35 24.13 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_arc (start 7.62 -1.33) (end 6.62 -1.33) (angle -180) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.255 -1.27) (end 14.985 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 14.985 -1.27) (end 14.985 49.53) (layer F.Fab) (width 0.1)) + (fp_line (start 14.985 49.53) (end 0.255 49.53) (layer F.Fab) (width 0.1)) + (fp_line (start 0.255 49.53) (end 0.255 -0.27) (layer F.Fab) (width 0.1)) + (fp_line (start 0.255 -0.27) (end 1.255 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -1.33) (end -1.27 49.59) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 49.59) (end 16.51 49.59) (layer F.Fab) (width 0.1)) + (fp_line (start 16.51 49.59) (end 16.51 -1.33) (layer F.Fab) (width 0.1)) + (fp_line (start 16.51 -1.33) (end -1.27 -1.33) (layer F.Fab) (width 0.1)) + (fp_line (start 6.62 -1.33) (end 1.16 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.16 -1.33) (end 1.16 49.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.16 49.59) (end 14.08 49.59) (layer F.SilkS) (width 0.12)) + (fp_line (start 14.08 49.59) (end 14.08 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start 14.08 -1.33) (end 8.62 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.39) (end -1.33 49.65) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 49.65) (end 16.57 49.65) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.57 49.65) (end 16.57 -1.39) (layer F.SilkS) (width 0.12)) + (fp_line (start 16.57 -1.39) (end -1.33 -1.39) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.55 -1.6) (end -1.55 49.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.55 49.85) (end 16.8 49.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.8 49.85) (end 16.8 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_line (start 16.8 -1.6) (end -1.55 -1.6) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 7.62 2.54) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 21 thru_hole oval (at 15.24 48.26) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 50 /~CS3)) + (pad 2 thru_hole oval (at 0 2.54) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 51 /CSYNC)) + (pad 22 thru_hole oval (at 15.24 45.72) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 8 GND)) + (pad 3 thru_hole oval (at 0 5.08) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 36 /RDY)) + (pad 23 thru_hole oval (at 15.24 43.18) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 4 thru_hole oval (at 0 7.62) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 34 /PHI0)) + (pad 24 thru_hole oval (at 15.24 40.64) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 49 /~CS0)) + (pad 5 thru_hole oval (at 0 10.16) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 52 /LUM1)) + (pad 25 thru_hole oval (at 15.24 38.1) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 35 /R/~W)) + (pad 6 thru_hole oval (at 0 12.7) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)) + (pad 26 thru_hole oval (at 15.24 35.56) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 33 /PHI2)) + (pad 7 thru_hole oval (at 0 15.24) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 53 /LUM2)) + (pad 27 thru_hole oval (at 15.24 33.02) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 27 /A5)) + (pad 8 thru_hole oval (at 0 17.78) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 54 /LUM0)) + (pad 28 thru_hole oval (at 15.24 30.48) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 28 /A4)) + (pad 9 thru_hole oval (at 0 20.32) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 17 /COLOR)) + (pad 29 thru_hole oval (at 15.24 27.94) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 29 /A3)) + (pad 10 thru_hole oval (at 0 22.86) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 48 /CADJ)) + (pad 30 thru_hole oval (at 15.24 25.4) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 30 /A2)) + (pad 11 thru_hole oval (at 0 25.4) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 55 /OSC_IN)) + (pad 31 thru_hole oval (at 15.24 22.86) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 31 /A1)) + (pad 12 thru_hole oval (at 0 27.94) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 22 /AUD0)) + (pad 32 thru_hole oval (at 15.24 20.32) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 32 /A0)) + (pad 13 thru_hole oval (at 0 30.48) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 22 /AUD0)) + (pad 33 thru_hole oval (at 15.24 17.78) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 43 /D6)) + (pad 14 thru_hole oval (at 0 33.02) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 37 /D0)) + (pad 34 thru_hole oval (at 15.24 15.24) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 44 /D7)) + (pad 15 thru_hole oval (at 0 35.56) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 38 /D1)) + (pad 35 thru_hole oval (at 15.24 12.7) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 56 /T1)) + (pad 16 thru_hole oval (at 0 38.1) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 39 /D2)) + (pad 36 thru_hole oval (at 15.24 10.16) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 57 /T0)) + (pad 17 thru_hole oval (at 0 40.64) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 40 /D3)) + (pad 37 thru_hole oval (at 15.24 7.62) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 14 /P3)) + (pad 18 thru_hole oval (at 0 43.18) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 41 /D4)) + (pad 38 thru_hole oval (at 15.24 5.08) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 13 /P2)) + (pad 19 thru_hole oval (at 0 45.72) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 42 /D5)) + (pad 39 thru_hole oval (at 15.24 2.54) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 12 /P1)) + (pad 20 thru_hole oval (at 0 48.26) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 1 VCC)) + (pad 40 thru_hole oval (at 15.24 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask) + (net 11 /P0)) + (model ${KISYS3DMOD}/Housings_DIP.3dshapes/DIP-40_W15.24mm_Socket.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm (layer F.Cu) (tedit 5C641F2E) (tstamp 5C64114C) + (at 75.565 78.105 270) + (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row") + (tags "Through hole pin header THT 1x04 2.54mm single row") + (path /5C66D220) + (fp_text reference J3 (at 0 3.81 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value P (at 2.54 0 360) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1)) + (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1)) + (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1)) + (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12)) + (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 3.81 360) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 11 /P0)) + (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 12 /P1)) + (pad 3 thru_hole oval (at 0 5.08 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 13 /P2)) + (pad 4 thru_hole oval (at 0 7.62 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask) + (net 14 /P3)) + (model ${KISYS3DMOD}/Pin_Headers.3dshapes/Pin_Header_Straight_1x04_Pitch2.54mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_text "PIN 1" (at 150.495 139.065 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_line (start 149.225 135.89) (end 151.765 135.89) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 149.225 144.145) (end 149.225 135.89) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text "3.579545 MHz" (at 87.63 95.885) (layer B.SilkS) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror)) + ) + (gr_text "NTSC Oscillator" (at 87.63 88.265) (layer B.SilkS) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror)) + ) + (gr_line (start 98.425 100.965) (end 98.425 83.185) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 76.835 100.965) (end 98.425 100.965) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 76.835 83.185) (end 76.835 100.965) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 98.425 83.185) (end 76.835 83.185) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 86.36) (end 139.7 83.185) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 140.97 86.36) (end 139.7 86.36) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 140.97 90.17) (end 140.97 86.36) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 90.17) (end 140.97 90.17) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 93.345) (end 139.7 90.17) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 99.06) (end 139.7 95.885) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 140.97 99.06) (end 139.7 99.06) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 140.97 102.87) (end 140.97 99.06) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 102.87) (end 140.97 102.87) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 106.045) (end 139.7 102.87) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 106.045) (end 151.765 106.045) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 95.885) (end 151.765 95.885) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 93.345) (end 151.765 93.345) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 139.7 83.185) (end 151.765 83.185) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text "Composite Video Amplifier" (at 117.475 86.36) (layer B.SilkS) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror)) + ) + (gr_line (start 99.06 100.965) (end 135.89 100.965) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 99.06 83.185) (end 99.06 100.965) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 135.89 83.185) (end 99.06 83.185) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 135.89 100.965) (end 135.89 83.185) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text VIDEO (at 137.795 88.265 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text AUDIO (at 137.795 100.965 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text CO10444 (at 67.945 108.585 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "Television Interface Adapter (TIA)\nCO10444\n" (at 67.31 108.585 270) (layer B.SilkS) + (effects (font (size 1.5 1.5) (thickness 0.3)) (justify mirror)) + ) + (gr_line (start 76.2 83.185) (end 58.42 83.185) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 76.2 133.985) (end 76.2 83.185) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 58.42 133.985) (end 76.2 133.985) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 58.42 83.185) (end 58.42 133.985) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text "Composite Video Amplifier" (at 117.475 86.36) (layer F.SilkS) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_text "BUS EN" (at 93.345 79.375 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_line (start 87.63 80.645) (end 90.17 80.645) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 87.63 76.835) (end 90.17 76.835) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 92.075 82.55) (end 92.075 78.74) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 85.725 82.55) (end 92.075 82.55) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 85.725 78.74) (end 85.725 82.55) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 85.725 78.74) (end 85.725 74.93) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 92.075 78.74) (end 85.725 78.74) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 92.075 74.93) (end 92.075 78.74) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 85.725 74.93) (end 92.075 74.93) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text "COLOR DELAY\nADJUST" (at 55.88 93.345 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify right mirror)) + ) + (gr_line (start 58.42 74.93) (end 58.42 92.71) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 52.705 74.93) (end 58.42 74.93) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 52.705 92.71) (end 52.705 74.93) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 58.42 92.71) (end 52.705 92.71) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text "ANALOG INPUT" (at 71.755 81.28) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_line (start 66.04 80.01) (end 66.04 76.2) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 77.47 80.01) (end 66.04 80.01) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 77.47 76.2) (end 77.47 80.01) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 66.04 76.2) (end 77.47 76.2) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text ~CS3 (at 128.905 124.46) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text ~CS0 (at 128.905 111.76) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (gr_text "VDU BASE" (at 149.86 114.935) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left mirror)) + ) + (gr_text "1-2 Atari 2600\n2-3 RC6502" (at 126.365 120.65) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left mirror)) + ) + (gr_text "1-2 Atari 2600\n2-3 RC6502" (at 126.365 133.35) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify left mirror)) + ) + (gr_line (start 128.905 130.175) (end 128.905 132.715) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 128.905 117.475) (end 128.905 120.015) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 127 128.905) (end 130.81 128.905) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 127 116.205) (end 130.81 116.205) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 127 113.03) (end 127 121.92) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 130.81 113.03) (end 127 113.03) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 130.81 121.92) (end 130.81 113.03) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 127 121.92) (end 130.81 121.92) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 127 134.62) (end 127 125.73) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 130.81 134.62) (end 127 134.62) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 130.81 125.73) (end 130.81 134.62) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 127 125.73) (end 130.81 125.73) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 56.261 87.376) (end 56.261 89.916) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 57.912 91.948) (end 54.356 91.948) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 57.912 85.344) (end 57.912 91.948) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 57.912 85.344) (end 54.356 85.344) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 54.356 91.948) (end 54.356 85.344) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text T0 (at 92.71 80.645 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text T1 (at 92.71 76.835 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "2x 68nF" (at 64.77 78.74 270) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "2x 68nF" (at 78.74 78.74 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_text "2x 470pF" (at 98.044 78.74 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (gr_line (start 151.765 83.185) (end 151.765 144.145) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 132.08 74.93) (end 54.61 74.93) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 138.43 81.28) (end 149.86 81.28) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 132.08 74.93) (end 138.43 81.28) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_text "RC6502 TIA (NTSC)\nRevision A" (at 102.235 134.62) (layer F.SilkS) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_line (start 52.705 144.145) (end 52.705 76.835) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_arc (start 149.86 83.185) (end 149.86 81.28) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_arc (start 54.61 76.835) (end 52.705 76.835) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 149.86 133.985) (end 134.62 133.985) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 149.86 116.205) (end 134.62 116.205) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 149.86 133.985) (end 149.86 116.205) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_line (start 134.62 116.205) (end 134.62 133.985) (angle 90) (layer B.SilkS) (width 0.2)) + (gr_text https://hvetebolle.blogspot.com (at 102.235 139.7) (layer F.SilkS) + (effects (font (size 1.5 1.5) (thickness 0.3))) + ) + (gr_text $E000 (at 137.16 132.715) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) + ) + (gr_text $D000 (at 137.16 130.175) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) + ) + (gr_text $C000 (at 137.16 127.635) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) + ) + (gr_text $A000 (at 137.16 122.555) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) + ) + (gr_text $9000 (at 137.16 120.015) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) + ) + (gr_text $8000 (at 137.16 117.475) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) + ) + (gr_text $B000 (at 137.16 125.095) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.1)) (justify mirror)) + ) + (gr_line (start 151.765 144.145) (end 52.705 144.145) (angle 90) (layer Edge.Cuts) (width 0.15)) + + (segment (start 132.08 76.835) (end 132.715 76.835) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 149.86 81.915) (end 150.495 82.55) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C640F9A)) + (segment (start 137.795 81.915) (end 149.86 81.915) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C640F98)) + (segment (start 132.715 76.835) (end 137.795 81.915) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C640F97)) + (segment (start 130.175 76.835) (end 132.08 76.835) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 150.495 82.55) (end 151.13 83.185) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C640F8D)) + (segment (start 151.13 111.76) (end 151.13 83.185) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 67.945 132.08) (end 67.945 134.62) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 68.58 127.635) (end 67.945 128.27) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C634E02)) + (via (at 67.945 128.27) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 67.945 128.27) (end 67.945 130.81) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C634E06)) + (segment (start 67.945 130.81) (end 67.945 132.08) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C634E07)) + (segment (start 74.93 127.635) (end 68.58 127.635) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 67.945 140.335) (end 67.31 140.97) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C640E86)) + (segment (start 67.945 134.62) (end 67.945 140.335) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C640E85)) + (via (at 67.945 134.62) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 54.61 132.715) (end 53.34 131.445) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 54.356 77.724) (end 55.372 77.724) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C640C4A)) + (segment (start 53.34 78.74) (end 54.356 77.724) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C640C40)) + (segment (start 53.34 131.445) (end 53.34 78.74) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C640C3E)) + (segment (start 103.632 106.68) (end 103.632 107.823) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 88.9 107.95) (end 88.9 96.52) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635799)) + (segment (start 89.535 108.585) (end 88.9 107.95) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635798)) + (segment (start 102.87 108.585) (end 89.535 108.585) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635794)) + (segment (start 103.632 107.823) (end 102.87 108.585) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635793)) + (segment (start 86.868 114.3) (end 84.328 111.76) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 95.25 96.012) (end 95.25 93.345) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635777)) + (segment (start 94.742 96.52) (end 95.25 96.012) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635770)) + (segment (start 85.344 96.52) (end 88.9 96.52) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C63576D)) + (segment (start 88.9 96.52) (end 94.742 96.52) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6357A1)) + (segment (start 84.328 97.536) (end 85.344 96.52) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C63576C)) + (via (at 84.328 97.536) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 84.328 99.568) (end 84.328 97.536) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C635769)) + (via (at 84.328 99.568) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 84.328 111.76) (end 84.328 99.568) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C63575D)) + (segment (start 86.868 128.016) (end 86.868 122.428) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 88.9 116.332) (end 86.868 114.3) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635746)) + (segment (start 88.9 120.396) (end 88.9 116.332) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635743)) + (segment (start 88.392 120.904) (end 88.9 120.396) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635742)) + (segment (start 87.376 120.904) (end 88.392 120.904) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635741)) + (segment (start 86.868 121.412) (end 87.376 120.904) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C635740)) + (segment (start 86.868 122.428) (end 86.868 121.412) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C63573F)) + (via (at 86.868 122.428) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 104.14 140.97) (end 104.14 140.716) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 103.632 134.62) (end 103.632 129.54) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C63534B)) + (via (at 103.632 134.62) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 103.632 136.144) (end 103.632 134.62) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C635344)) + (segment (start 105.156 137.668) (end 103.632 136.144) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C635341)) + (segment (start 105.156 139.7) (end 105.156 137.668) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C635340)) + (segment (start 104.14 140.716) (end 105.156 139.7) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C63533C)) + (segment (start 95.504 129.54) (end 87.376 129.54) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 86.868 129.032) (end 86.868 128.016) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352E5)) + (segment (start 87.376 129.54) (end 86.868 129.032) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352E3)) + (segment (start 103.632 129.54) (end 95.504 129.54) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 94.996 129.032) (end 94.996 128.016) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352DF)) + (segment (start 95.504 129.54) (end 94.996 129.032) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352DC)) + (segment (start 103.124 128.016) (end 103.124 129.032) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 103.124 129.032) (end 103.632 129.54) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352CD)) + (segment (start 103.632 129.54) (end 111.76 129.54) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352CF)) + (segment (start 115.316 128.016) (end 115.316 129.54) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 123.444 128.016) (end 123.444 129.032) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 111.252 129.032) (end 111.252 128.016) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352C6)) + (segment (start 111.76 129.54) (end 111.252 129.032) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352C5)) + (segment (start 122.936 129.54) (end 115.316 129.54) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352C1)) + (segment (start 115.316 129.54) (end 111.76 129.54) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352CB)) + (segment (start 123.444 129.032) (end 122.936 129.54) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6352BF)) + (segment (start 100.33 91.44) (end 129.54 91.44) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 130.175 90.805) (end 130.175 80.645) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6346F8)) + (segment (start 129.54 91.44) (end 130.175 90.805) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6346EA)) + (segment (start 130.175 80.645) (end 130.175 76.835) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 95.25 93.345) (end 95.25 92.075) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 95.25 92.075) (end 95.885 91.44) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C633DC1)) + (segment (start 95.885 91.44) (end 100.33 91.44) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C633DC4)) + (segment (start 104.14 140.97) (end 105.41 140.97) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 55.245 140.97) (end 54.61 140.335) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C633391)) + (segment (start 67.31 140.97) (end 55.245 140.97) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 54.61 132.715) (end 54.61 140.335) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C633395)) + (via (at 67.31 140.97) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 86.995 140.97) (end 70.485 140.97) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 99.06 140.97) (end 104.14 140.97) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C06FBB0)) + (segment (start 86.995 140.97) (end 99.06 140.97) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C6332AA)) + (segment (start 70.485 140.97) (end 67.31 140.97) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C633340)) + (via (at 70.485 140.97) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (via (at 104.14 140.97) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 105.41 140.97) (end 107.315 142.875) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C6338BE)) + (segment (start 74.295 127.635) (end 74.93 127.635) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C6337BF)) + (segment (start 151.13 139.7) (end 151.13 111.76) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 151.13 111.76) (end 150.495 111.125) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C633780)) + (segment (start 150.495 111.125) (end 139.7 111.125) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C633785)) + (segment (start 118.745 140.97) (end 112.395 140.97) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 149.86 140.97) (end 118.745 140.97) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C06FBB9)) + (segment (start 112.395 140.97) (end 109.22 140.97) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C070496)) + (via (at 112.395 140.97) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 107.315 142.875) (end 109.22 140.97) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C06FBCA)) + (segment (start 151.13 139.7) (end 149.86 140.97) (width 0.25) (layer B.Cu) (net 1) (tstamp 5C06FBB8)) + (segment (start 54.61 132.715) (end 59.69 132.715) (width 0.25) (layer B.Cu) (net 1)) + (segment (start 140.97 114.935) (end 140.97 112.395) (width 0.25) (layer F.Cu) (net 1)) + (segment (start 140.97 112.395) (end 139.7 111.125) (width 0.25) (layer F.Cu) (net 1) (tstamp 5C632E4D)) + (segment (start 140.97 117.475) (end 146.05 117.475) (width 0.25) (layer F.Cu) (net 2)) + (segment (start 140.97 120.015) (end 146.05 120.015) (width 0.25) (layer F.Cu) (net 3)) + (segment (start 140.97 122.555) (end 146.05 122.555) (width 0.25) (layer F.Cu) (net 4)) + (segment (start 140.97 125.095) (end 146.05 125.095) (width 0.25) (layer F.Cu) (net 5)) + (segment (start 140.97 127.635) (end 146.05 127.635) (width 0.25) (layer F.Cu) (net 6)) + (segment (start 142.875 84.455) (end 142.875 90.805) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 142.875 90.805) (end 142.24 91.44) (width 0.25) (layer F.Cu) (net 7) (tstamp 5C633166)) + (segment (start 142.24 91.44) (end 142.875 92.075) (width 0.25) (layer F.Cu) (net 7) (tstamp 5C633168)) + (segment (start 134.62 91.44) (end 142.24 91.44) (width 0.25) (layer F.Cu) (net 7)) + (segment (start 142.24 91.44) (end 142.875 92.075) (width 0.25) (layer F.Cu) (net 7) (tstamp 5C633163)) + (segment (start 140.97 130.175) (end 146.05 130.175) (width 0.25) (layer F.Cu) (net 9)) + (segment (start 140.97 132.715) (end 146.05 132.715) (width 0.25) (layer F.Cu) (net 10)) + (segment (start 75.565 78.105) (end 73.66 80.01) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 72.39 84.455) (end 74.93 84.455) (width 0.25) (layer F.Cu) (net 11) (tstamp 5C6416B1)) + (segment (start 71.755 83.82) (end 72.39 84.455) (width 0.25) (layer F.Cu) (net 11) (tstamp 5C6416B0)) + (segment (start 71.755 80.645) (end 71.755 83.82) (width 0.25) (layer F.Cu) (net 11) (tstamp 5C6416AF)) + (segment (start 72.39 80.01) (end 71.755 80.645) (width 0.25) (layer F.Cu) (net 11) (tstamp 5C6416AE)) + (segment (start 73.66 80.01) (end 72.39 80.01) (width 0.25) (layer F.Cu) (net 11) (tstamp 5C6416AD)) + (segment (start 76.2 77.47) (end 77.47 77.47) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 82.63 77.47) (end 83.82 76.28) (width 0.25) (layer F.Cu) (net 11) (tstamp 5C634D5F)) + (segment (start 77.47 77.47) (end 81.915 77.47) (width 0.25) (layer F.Cu) (net 11) (tstamp 5C641655)) + (segment (start 81.915 77.47) (end 82.63 77.47) (width 0.25) (layer F.Cu) (net 11)) + (segment (start 76.2 77.47) (end 75.565 78.105) (width 0.25) (layer F.Cu) (net 11) (tstamp 5C64167F)) + (segment (start 73.025 78.105) (end 71.12 80.01) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 71.755 86.995) (end 74.93 86.995) (width 0.25) (layer F.Cu) (net 12) (tstamp 5C6416A7)) + (segment (start 71.12 86.36) (end 71.755 86.995) (width 0.25) (layer F.Cu) (net 12) (tstamp 5C6416A6)) + (segment (start 71.12 80.01) (end 71.12 86.36) (width 0.25) (layer F.Cu) (net 12) (tstamp 5C6416A5)) + (segment (start 79.375 76.28) (end 73.58 76.28) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 80.645 76.28) (end 79.375 76.28) (width 0.25) (layer F.Cu) (net 12)) + (segment (start 73.025 76.835) (end 73.025 78.105) (width 0.25) (layer F.Cu) (net 12) (tstamp 5C641673)) + (segment (start 73.58 76.28) (end 73.025 76.835) (width 0.25) (layer F.Cu) (net 12) (tstamp 5C641672)) + (segment (start 70.485 78.105) (end 70.485 88.9) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 71.12 89.535) (end 74.93 89.535) (width 0.25) (layer F.Cu) (net 13) (tstamp 5C6416A2)) + (segment (start 70.485 88.9) (end 71.12 89.535) (width 0.25) (layer F.Cu) (net 13) (tstamp 5C6416A1)) + (segment (start 69.215 76.28) (end 69.93 76.28) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 70.485 76.835) (end 70.485 78.105) (width 0.25) (layer F.Cu) (net 13) (tstamp 5C641679)) + (segment (start 69.93 76.28) (end 70.485 76.835) (width 0.25) (layer F.Cu) (net 13) (tstamp 5C641678)) + (segment (start 69.295 76.28) (end 69.215 76.28) (width 0.25) (layer F.Cu) (net 13)) + (segment (start 69.215 76.28) (end 62.865 76.28) (width 0.25) (layer F.Cu) (net 13) (tstamp 5C641676)) + (segment (start 67.945 80.645) (end 67.945 91.44) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 67.945 91.44) (end 68.58 92.075) (width 0.25) (layer F.Cu) (net 14) (tstamp 5C641696)) + (segment (start 74.93 92.075) (end 68.58 92.075) (width 0.25) (layer F.Cu) (net 14) (tstamp 5C633A34)) + (segment (start 67.945 80.645) (end 67.945 78.105) (width 0.25) (layer F.Cu) (net 14) (tstamp 5C641693)) + (segment (start 67.945 78.105) (end 64.77 78.105) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 59.69 77.47) (end 60.325 78.105) (width 0.25) (layer F.Cu) (net 14) (tstamp 5C633E99)) + (segment (start 60.325 78.105) (end 64.77 78.105) (width 0.25) (layer F.Cu) (net 14) (tstamp 5C633E9A)) + (segment (start 59.69 77.47) (end 59.69 76.28) (width 0.25) (layer F.Cu) (net 14)) + (segment (start 114.3 126.492) (end 113.792 126.492) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 113.284 125.984) (end 113.284 125.476) (width 0.25) (layer F.Cu) (net 15) (tstamp 5C6352B7)) + (segment (start 113.792 126.492) (end 113.284 125.984) (width 0.25) (layer F.Cu) (net 15) (tstamp 5C6352B6)) + (segment (start 111.76 113.792) (end 112.268 113.792) (width 0.25) (layer F.Cu) (net 15)) + (segment (start 112.268 113.792) (end 113.284 114.808) (width 0.25) (layer F.Cu) (net 15) (tstamp 5C6352A6)) + (segment (start 113.284 114.808) (end 113.284 125.476) (width 0.25) (layer F.Cu) (net 15) (tstamp 5C6352A8)) + (segment (start 114.3 126.492) (end 118.872 126.492) (width 0.25) (layer F.Cu) (net 15) (tstamp 5C6352B0)) + (segment (start 118.872 126.492) (end 119.38 127) (width 0.25) (layer F.Cu) (net 15) (tstamp 5C6352B2)) + (segment (start 119.38 127) (end 119.38 128.016) (width 0.25) (layer F.Cu) (net 15) (tstamp 5C6352B3)) + (segment (start 106.76 113.792) (end 106.76 94.568) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 106.172 93.98) (end 100.33 93.98) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C63539D)) + (segment (start 106.76 94.568) (end 106.172 93.98) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C635398)) + (segment (start 105.664 126.492) (end 105.156 125.984) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 105.156 115.396) (end 106.76 113.792) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C635389)) + (segment (start 105.156 125.984) (end 105.156 115.396) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C635388)) + (segment (start 98.552 126.492) (end 105.664 126.492) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 105.664 126.492) (end 106.68 126.492) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C635386)) + (segment (start 107.188 127) (end 107.188 128.016) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C63531D)) + (segment (start 106.68 126.492) (end 107.188 127) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C63531B)) + (segment (start 90.424 126.492) (end 98.552 126.492) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 99.06 127) (end 99.06 128.016) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C635316)) + (segment (start 98.552 126.492) (end 99.06 127) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C635314)) + (segment (start 82.804 128.016) (end 82.804 127) (width 0.25) (layer F.Cu) (net 16)) + (segment (start 90.932 127) (end 90.932 128.016) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C635311)) + (segment (start 90.424 126.492) (end 90.932 127) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C63530F)) + (segment (start 83.312 126.492) (end 90.424 126.492) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C63530C)) + (segment (start 82.804 127) (end 83.312 126.492) (width 0.25) (layer F.Cu) (net 16) (tstamp 5C635307)) + (segment (start 78.105 111.125) (end 78.74 111.76) (width 0.25) (layer F.Cu) (net 17)) + (via (at 64.77 104.775) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 17)) + (segment (start 64.77 104.775) (end 66.675 104.775) (width 0.25) (layer B.Cu) (net 17) (tstamp 5C634917)) + (segment (start 70.485 109.22) (end 71.12 109.855) (width 0.25) (layer B.Cu) (net 17) (tstamp 5C634BD7)) + (segment (start 71.12 109.855) (end 72.39 111.125) (width 0.25) (layer B.Cu) (net 17) (tstamp 5C63491D)) + (via (at 72.39 111.125) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 17)) + (segment (start 72.39 111.125) (end 78.105 111.125) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C634923)) + (segment (start 59.69 104.775) (end 62.865 104.775) (width 0.25) (layer F.Cu) (net 17)) + (segment (start 62.865 104.775) (end 63.5 104.775) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C63481F)) + (segment (start 63.5 104.775) (end 64.77 104.775) (width 0.25) (layer F.Cu) (net 17)) + (segment (start 67.945 104.775) (end 70.485 107.315) (width 0.25) (layer B.Cu) (net 17) (tstamp 5C634BCF)) + (segment (start 70.485 107.315) (end 70.485 109.22) (width 0.25) (layer B.Cu) (net 17) (tstamp 5C634BD3)) + (segment (start 66.675 104.775) (end 67.945 104.775) (width 0.25) (layer B.Cu) (net 17)) + (segment (start 123.444 124.841) (end 123.444 117.856) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C635457)) + (segment (start 123.19 125.095) (end 123.444 124.841) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C635450)) + (segment (start 122.555 125.095) (end 123.19 125.095) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C63544F)) + (segment (start 114.3 125.095) (end 122.555 125.095) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C63544E)) + (via (at 114.3 125.095) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 17)) + (segment (start 112.395 125.095) (end 114.3 125.095) (width 0.25) (layer B.Cu) (net 17) (tstamp 5C63544B)) + (via (at 112.395 125.095) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 17)) + (segment (start 106.68 125.095) (end 112.395 125.095) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C635448)) + (via (at 106.68 125.095) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 17)) + (segment (start 104.14 125.095) (end 106.68 125.095) (width 0.25) (layer B.Cu) (net 17) (tstamp 5C635445)) + (via (at 104.14 125.095) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 17)) + (segment (start 79.375 125.095) (end 104.14 125.095) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C63543B)) + (segment (start 78.74 124.46) (end 79.375 125.095) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C635437)) + (segment (start 78.74 111.76) (end 78.74 124.46) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C635435)) + (segment (start 123.444 117.856) (end 123.444 114.3) (width 0.25) (layer F.Cu) (net 17)) + (segment (start 123.444 114.3) (end 123.952 113.792) (width 0.25) (layer F.Cu) (net 17) (tstamp 5C6351E7)) + (segment (start 115.316 117.856) (end 119.38 117.856) (width 0.25) (layer F.Cu) (net 18)) + (segment (start 119.38 117.856) (end 119.38 114.22) (width 0.25) (layer F.Cu) (net 18)) + (segment (start 119.38 114.22) (end 118.952 113.792) (width 0.25) (layer F.Cu) (net 18) (tstamp 5C6351EB)) + (segment (start 90.17 80.645) (end 95.25 80.645) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 95.25 80.645) (end 99.695 80.645) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 99.695 80.645) (end 100.965 81.915) (width 0.25) (layer F.Cu) (net 19)) + (segment (start 105.41 82.55) (end 107.315 80.645) (width 0.25) (layer F.Cu) (net 19) (tstamp 5C6344BA)) + (segment (start 101.6 82.55) (end 105.41 82.55) (width 0.25) (layer F.Cu) (net 19) (tstamp 5C6344B7)) + (segment (start 100.965 81.915) (end 101.6 82.55) (width 0.25) (layer F.Cu) (net 19) (tstamp 5C6344B2)) + (segment (start 90.17 76.835) (end 95.25 76.835) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 95.25 76.835) (end 99.695 76.835) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 99.695 76.835) (end 101.6 78.74) (width 0.25) (layer F.Cu) (net 20)) + (segment (start 105.41 78.74) (end 107.315 76.835) (width 0.25) (layer F.Cu) (net 20) (tstamp 5C63450B)) + (segment (start 101.6 78.74) (end 105.41 78.74) (width 0.25) (layer F.Cu) (net 20) (tstamp 5C634505)) + (segment (start 98.632 106.68) (end 95.504 106.68) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 94.996 106.172) (end 94.996 104.14) (width 0.25) (layer F.Cu) (net 21) (tstamp 5C6356F4)) + (segment (start 95.504 106.68) (end 94.996 106.172) (width 0.25) (layer F.Cu) (net 21) (tstamp 5C6356EC)) + (segment (start 94.996 104.14) (end 97.155 104.14) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 99.06 96.52) (end 100.33 96.52) (width 0.25) (layer F.Cu) (net 21) (tstamp 5C6356D7)) + (segment (start 98.425 97.155) (end 99.06 96.52) (width 0.25) (layer F.Cu) (net 21) (tstamp 5C6356D6)) + (segment (start 98.425 102.87) (end 98.425 97.155) (width 0.25) (layer F.Cu) (net 21) (tstamp 5C6356D2)) + (segment (start 97.155 104.14) (end 98.425 102.87) (width 0.25) (layer F.Cu) (net 21) (tstamp 5C6356CC)) + (segment (start 90.932 104.14) (end 94.996 104.14) (width 0.25) (layer F.Cu) (net 21)) + (segment (start 86.868 104.14) (end 86.868 108.458) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 103.632 110.617) (end 103.632 113.792) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C635712)) + (segment (start 102.87 109.855) (end 103.632 110.617) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C635711)) + (segment (start 88.265 109.855) (end 102.87 109.855) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C63570C)) + (segment (start 86.868 108.458) (end 88.265 109.855) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C635708)) + (segment (start 76.835 98.425) (end 86.36 98.425) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 57.15 99.06) (end 57.785 98.425) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C63478F)) + (segment (start 57.785 98.425) (end 64.77 98.425) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C634797)) + (via (at 64.77 98.425) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 22)) + (segment (start 64.77 98.425) (end 67.945 98.425) (width 0.25) (layer B.Cu) (net 22) (tstamp 5C63479C)) + (via (at 67.945 98.425) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 22)) + (segment (start 67.945 98.425) (end 76.835 98.425) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C63479F)) + (segment (start 57.15 112.395) (end 57.15 99.06) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 86.868 98.933) (end 86.868 104.14) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C635610)) + (segment (start 86.36 98.425) (end 86.868 98.933) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C63560D)) + (segment (start 59.69 114.935) (end 57.15 114.935) (width 0.25) (layer F.Cu) (net 22)) + (segment (start 57.15 112.395) (end 59.69 112.395) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C633F23)) + (segment (start 56.515 113.03) (end 57.15 112.395) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C633F21)) + (segment (start 56.515 114.3) (end 56.515 113.03) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C633F20)) + (segment (start 57.15 114.935) (end 56.515 114.3) (width 0.25) (layer F.Cu) (net 22) (tstamp 5C633F1E)) + (segment (start 94.996 114.3) (end 98.124 114.3) (width 0.25) (layer F.Cu) (net 23)) + (segment (start 98.124 114.3) (end 98.632 113.792) (width 0.25) (layer F.Cu) (net 23) (tstamp 5C6355EC)) + (segment (start 140.97 99.06) (end 142.875 100.965) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 142.875 100.965) (end 142.875 104.775) (width 0.25) (layer F.Cu) (net 24) (tstamp 5C633171)) + (segment (start 134.62 99.06) (end 140.97 99.06) (width 0.25) (layer F.Cu) (net 24)) + (segment (start 140.97 99.06) (end 142.875 97.155) (width 0.25) (layer F.Cu) (net 24) (tstamp 5C63316C)) + (segment (start 128.905 120.015) (end 127.635 120.015) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 148.59 109.855) (end 148.59 117.475) (width 0.25) (layer F.Cu) (net 25) (tstamp 5C633774)) + (segment (start 147.955 109.22) (end 148.59 109.855) (width 0.25) (layer F.Cu) (net 25) (tstamp 5C633772)) + (segment (start 127.635 109.22) (end 147.955 109.22) (width 0.25) (layer F.Cu) (net 25) (tstamp 5C63376E)) + (segment (start 127 109.855) (end 127.635 109.22) (width 0.25) (layer F.Cu) (net 25) (tstamp 5C63376D)) + (segment (start 127 119.38) (end 127 109.855) (width 0.25) (layer F.Cu) (net 25) (tstamp 5C63376A)) + (segment (start 127.635 120.015) (end 127 119.38) (width 0.25) (layer F.Cu) (net 25) (tstamp 5C633766)) + (segment (start 148.59 130.175) (end 148.59 132.715) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 148.59 127.635) (end 148.59 130.175) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 148.59 120.015) (end 148.59 122.555) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 148.59 117.475) (end 148.59 120.015) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 148.59 125.095) (end 148.59 122.555) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 148.59 127.635) (end 148.59 125.095) (width 0.25) (layer F.Cu) (net 25)) + (segment (start 130.175 142.875) (end 130.175 137.795) (width 0.25) (layer F.Cu) (net 26)) + (segment (start 130.175 127.635) (end 128.905 127.635) (width 0.25) (layer F.Cu) (net 26) (tstamp 5C633753)) + (segment (start 130.81 128.27) (end 130.175 127.635) (width 0.25) (layer F.Cu) (net 26) (tstamp 5C63374E)) + (segment (start 130.81 130.81) (end 130.81 128.27) (width 0.25) (layer F.Cu) (net 26) (tstamp 5C63374D)) + (segment (start 130.81 137.16) (end 130.81 130.81) (width 0.25) (layer F.Cu) (net 26) (tstamp 5C63374B)) + (segment (start 130.175 137.795) (end 130.81 137.16) (width 0.25) (layer F.Cu) (net 26) (tstamp 5C633743)) + (segment (start 97.155 135.89) (end 99.695 135.89) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 125.095 142.875) (end 125.095 141.605) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 73.025 134.62) (end 73.66 135.255) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 73.66 117.475) (end 74.93 117.475) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 73.025 118.11) (end 73.025 134.62) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C6331A3)) + (segment (start 73.66 117.475) (end 73.025 118.11) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C6331A2)) + (segment (start 90.17 135.89) (end 90.805 135.89) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C63367B)) + (segment (start 89.535 135.255) (end 90.17 135.89) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C633676)) + (segment (start 73.66 135.255) (end 89.535 135.255) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C633675)) + (segment (start 97.155 135.89) (end 90.805 135.89) (width 0.25) (layer F.Cu) (net 27)) + (segment (start 125.095 137.795) (end 125.095 141.605) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C6338DB)) + (segment (start 124.46 137.16) (end 125.095 137.795) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C6338DA)) + (segment (start 104.14 137.16) (end 124.46 137.16) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C6338D5)) + (segment (start 103.505 136.525) (end 104.14 137.16) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C6338D4)) + (segment (start 100.33 136.525) (end 103.505 136.525) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C6338D3)) + (segment (start 99.695 135.89) (end 100.33 136.525) (width 0.25) (layer F.Cu) (net 27) (tstamp 5C6338D0)) + (segment (start 100.33 137.795) (end 103.505 137.795) (width 0.25) (layer B.Cu) (net 28)) + (segment (start 122.555 142.875) (end 122.555 140.97) (width 0.25) (layer F.Cu) (net 28)) + (via (at 72.39 135.255) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 28)) + (segment (start 72.39 135.255) (end 72.39 137.16) (width 0.25) (layer B.Cu) (net 28) (tstamp 5C63363C)) + (segment (start 72.39 137.16) (end 73.025 137.795) (width 0.25) (layer B.Cu) (net 28) (tstamp 5C63363D)) + (segment (start 73.025 137.795) (end 100.33 137.795) (width 0.25) (layer B.Cu) (net 28) (tstamp 5C63363E)) + (segment (start 73.025 114.935) (end 74.93 114.935) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 72.39 115.57) (end 72.39 134.62) (width 0.25) (layer F.Cu) (net 28) (tstamp 5C6331A7)) + (segment (start 73.025 114.935) (end 72.39 115.57) (width 0.25) (layer F.Cu) (net 28) (tstamp 5C6331A6)) + (segment (start 72.39 134.62) (end 72.39 135.255) (width 0.25) (layer F.Cu) (net 28)) + (segment (start 122.555 138.43) (end 122.555 140.97) (width 0.25) (layer F.Cu) (net 28) (tstamp 5C6338A5)) + (segment (start 121.92 137.795) (end 122.555 138.43) (width 0.25) (layer F.Cu) (net 28) (tstamp 5C63389F)) + (segment (start 103.505 137.795) (end 121.92 137.795) (width 0.25) (layer F.Cu) (net 28) (tstamp 5C63389E)) + (via (at 103.505 137.795) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 28)) + (segment (start 100.33 138.43) (end 104.14 138.43) (width 0.25) (layer B.Cu) (net 29)) + (segment (start 120.015 142.875) (end 120.015 140.97) (width 0.25) (layer F.Cu) (net 29)) + (segment (start 71.755 134.62) (end 71.755 137.795) (width 0.25) (layer B.Cu) (net 29) (tstamp 5C6335FB)) + (segment (start 71.755 137.795) (end 72.39 138.43) (width 0.25) (layer B.Cu) (net 29) (tstamp 5C6335FC)) + (segment (start 72.39 138.43) (end 100.33 138.43) (width 0.25) (layer B.Cu) (net 29) (tstamp 5C6335FD)) + (segment (start 72.39 112.395) (end 74.93 112.395) (width 0.25) (layer F.Cu) (net 29)) + (segment (start 71.755 113.03) (end 71.755 134.62) (width 0.25) (layer F.Cu) (net 29) (tstamp 5C6331AB)) + (segment (start 72.39 112.395) (end 71.755 113.03) (width 0.25) (layer F.Cu) (net 29) (tstamp 5C6331AA)) + (via (at 71.755 134.62) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 29)) + (segment (start 120.015 139.065) (end 120.015 140.97) (width 0.25) (layer F.Cu) (net 29) (tstamp 5C633896)) + (segment (start 119.38 138.43) (end 120.015 139.065) (width 0.25) (layer F.Cu) (net 29) (tstamp 5C633894)) + (segment (start 104.14 138.43) (end 119.38 138.43) (width 0.25) (layer F.Cu) (net 29) (tstamp 5C633893)) + (via (at 104.14 138.43) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 29)) + (segment (start 100.33 139.065) (end 103.505 139.065) (width 0.25) (layer B.Cu) (net 30)) + (segment (start 117.475 142.875) (end 117.475 140.97) (width 0.25) (layer F.Cu) (net 30)) + (via (at 71.12 135.255) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 30)) + (segment (start 71.12 135.255) (end 71.12 138.43) (width 0.25) (layer B.Cu) (net 30) (tstamp 5C6335EF)) + (segment (start 71.12 138.43) (end 71.755 139.065) (width 0.25) (layer B.Cu) (net 30) (tstamp 5C6335F0)) + (segment (start 71.755 139.065) (end 100.33 139.065) (width 0.25) (layer B.Cu) (net 30) (tstamp 5C6335F1)) + (segment (start 71.755 109.855) (end 74.93 109.855) (width 0.25) (layer F.Cu) (net 30)) + (segment (start 71.12 110.49) (end 71.12 134.62) (width 0.25) (layer F.Cu) (net 30) (tstamp 5C6331AF)) + (segment (start 71.755 109.855) (end 71.12 110.49) (width 0.25) (layer F.Cu) (net 30) (tstamp 5C6331AE)) + (segment (start 71.12 134.62) (end 71.12 135.255) (width 0.25) (layer F.Cu) (net 30)) + (segment (start 117.475 139.7) (end 117.475 140.97) (width 0.25) (layer F.Cu) (net 30) (tstamp 5C63388A)) + (segment (start 116.84 139.065) (end 117.475 139.7) (width 0.25) (layer F.Cu) (net 30) (tstamp 5C633888)) + (segment (start 103.505 139.065) (end 116.84 139.065) (width 0.25) (layer F.Cu) (net 30) (tstamp 5C633887)) + (via (at 103.505 139.065) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 30)) + (segment (start 100.965 139.7) (end 104.14 139.7) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 114.935 142.875) (end 114.935 140.97) (width 0.25) (layer F.Cu) (net 31)) + (via (at 70.485 134.62) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 31)) + (segment (start 71.12 107.315) (end 70.485 107.95) (width 0.25) (layer F.Cu) (net 31) (tstamp 5C6331B2)) + (segment (start 70.485 107.95) (end 70.485 134.62) (width 0.25) (layer F.Cu) (net 31) (tstamp 5C6331B3)) + (segment (start 71.12 107.315) (end 74.93 107.315) (width 0.25) (layer F.Cu) (net 31)) + (segment (start 71.12 139.7) (end 93.345 139.7) (width 0.25) (layer B.Cu) (net 31) (tstamp 5C6335CE)) + (segment (start 70.485 139.065) (end 71.12 139.7) (width 0.25) (layer B.Cu) (net 31) (tstamp 5C6335CD)) + (segment (start 70.485 134.62) (end 70.485 139.065) (width 0.25) (layer B.Cu) (net 31) (tstamp 5C6335CC)) + (segment (start 100.965 139.7) (end 93.345 139.7) (width 0.25) (layer B.Cu) (net 31)) + (segment (start 114.935 140.335) (end 114.935 140.97) (width 0.25) (layer F.Cu) (net 31) (tstamp 5C633879)) + (segment (start 114.3 139.7) (end 114.935 140.335) (width 0.25) (layer F.Cu) (net 31) (tstamp 5C633877)) + (segment (start 104.14 139.7) (end 114.3 139.7) (width 0.25) (layer F.Cu) (net 31) (tstamp 5C633876)) + (via (at 104.14 139.7) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 31)) + (segment (start 113.03 140.335) (end 113.665 140.97) (width 0.25) (layer F.Cu) (net 32)) + (segment (start 112.395 142.875) (end 113.665 141.605) (width 0.25) (layer F.Cu) (net 32) (tstamp 5C27B271)) + (segment (start 113.665 140.97) (end 113.665 141.605) (width 0.25) (layer F.Cu) (net 32) (tstamp 5C27B26F)) + (via (at 103.505 140.335) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 32)) + (segment (start 103.505 140.335) (end 113.03 140.335) (width 0.25) (layer F.Cu) (net 32) (tstamp 5C633855)) + (segment (start 101.6 140.335) (end 90.17 140.335) (width 0.25) (layer B.Cu) (net 32)) + (via (at 69.85 135.255) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 32)) + (segment (start 69.85 135.255) (end 69.85 139.7) (width 0.25) (layer B.Cu) (net 32) (tstamp 5C633447)) + (segment (start 69.85 139.7) (end 70.485 140.335) (width 0.25) (layer B.Cu) (net 32) (tstamp 5C633448)) + (segment (start 70.485 140.335) (end 90.17 140.335) (width 0.25) (layer B.Cu) (net 32) (tstamp 5C633449)) + (segment (start 70.485 104.775) (end 74.93 104.775) (width 0.25) (layer F.Cu) (net 32)) + (segment (start 69.85 105.41) (end 69.85 134.62) (width 0.25) (layer F.Cu) (net 32) (tstamp 5C6331B7)) + (segment (start 70.485 104.775) (end 69.85 105.41) (width 0.25) (layer F.Cu) (net 32) (tstamp 5C6331B6)) + (segment (start 69.85 134.62) (end 69.85 135.255) (width 0.25) (layer F.Cu) (net 32)) + (segment (start 101.6 140.335) (end 103.505 140.335) (width 0.25) (layer B.Cu) (net 32)) + (segment (start 102.87 137.16) (end 102.87 135.255) (width 0.25) (layer B.Cu) (net 33)) + (segment (start 91.44 132.715) (end 84.455 132.715) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C63395D)) + (segment (start 74.93 120.015) (end 77.47 120.015) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 78.74 132.715) (end 84.455 132.715) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C6337F4)) + (segment (start 78.105 132.08) (end 78.74 132.715) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C6337F3)) + (segment (start 78.105 120.65) (end 78.105 132.08) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C6337F0)) + (segment (start 77.47 120.015) (end 78.105 120.65) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C6337EF)) + (segment (start 102.87 140.97) (end 102.87 137.16) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C633833)) + (via (at 102.87 137.16) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 33)) + (segment (start 102.87 140.97) (end 104.775 142.875) (width 0.25) (layer F.Cu) (net 33)) + (segment (start 92.075 132.715) (end 91.44 132.715) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C633967)) + (segment (start 93.345 133.985) (end 92.075 132.715) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C633966)) + (segment (start 101.6 133.985) (end 93.345 133.985) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C633965)) + (segment (start 102.235 134.62) (end 101.6 133.985) (width 0.25) (layer F.Cu) (net 33) (tstamp 5C633964)) + (via (at 102.235 134.62) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 33)) + (segment (start 102.87 135.255) (end 102.235 134.62) (width 0.25) (layer B.Cu) (net 33) (tstamp 5C633961)) + (segment (start 87.63 136.525) (end 99.06 136.525) (width 0.25) (layer F.Cu) (net 34)) + (segment (start 71.755 136.525) (end 66.04 136.525) (width 0.25) (layer F.Cu) (net 34)) + (segment (start 65.405 92.71) (end 65.405 135.89) (width 0.25) (layer F.Cu) (net 34) (tstamp 5C63352D)) + (segment (start 65.405 135.89) (end 66.04 136.525) (width 0.25) (layer F.Cu) (net 34) (tstamp 5C63352E)) + (segment (start 59.69 92.075) (end 64.77 92.075) (width 0.25) (layer F.Cu) (net 34)) + (segment (start 64.77 92.075) (end 65.405 92.71) (width 0.25) (layer F.Cu) (net 34)) + (segment (start 87.63 136.525) (end 71.755 136.525) (width 0.25) (layer F.Cu) (net 34)) + (segment (start 99.695 137.16) (end 99.695 142.875) (width 0.25) (layer F.Cu) (net 34) (tstamp 5C633580)) + (segment (start 99.06 136.525) (end 99.695 137.16) (width 0.25) (layer F.Cu) (net 34) (tstamp 5C63357D)) + (segment (start 92.075 136.525) (end 92.075 133.985) (width 0.25) (layer B.Cu) (net 35)) + (segment (start 92.075 140.97) (end 92.075 137.16) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 92.075 142.875) (end 92.075 140.97) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 92.075 137.16) (end 92.075 136.525) (width 0.25) (layer B.Cu) (net 35) (tstamp 5C63359C)) + (via (at 92.075 137.16) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 35)) + (segment (start 76.835 122.555) (end 74.93 122.555) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 77.47 132.715) (end 78.105 133.35) (width 0.25) (layer F.Cu) (net 35) (tstamp 5C6337E8)) + (segment (start 77.47 123.19) (end 77.47 132.715) (width 0.25) (layer F.Cu) (net 35) (tstamp 5C6337E5)) + (segment (start 76.835 122.555) (end 77.47 123.19) (width 0.25) (layer F.Cu) (net 35) (tstamp 5C6337E4)) + (segment (start 85.09 133.35) (end 78.105 133.35) (width 0.25) (layer F.Cu) (net 35)) + (segment (start 91.44 133.35) (end 85.09 133.35) (width 0.25) (layer F.Cu) (net 35) (tstamp 5C6338FF)) + (via (at 91.44 133.35) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 35)) + (segment (start 92.075 133.985) (end 91.44 133.35) (width 0.25) (layer B.Cu) (net 35) (tstamp 5C6338FC)) + (segment (start 71.755 135.89) (end 88.9 135.89) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 64.77 89.535) (end 65.405 89.535) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 59.69 89.535) (end 64.77 89.535) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 66.04 135.255) (end 66.675 135.89) (width 0.25) (layer F.Cu) (net 36) (tstamp 5C63353E)) + (segment (start 66.04 90.17) (end 66.04 135.255) (width 0.25) (layer F.Cu) (net 36) (tstamp 5C63353D)) + (segment (start 65.405 89.535) (end 66.04 90.17) (width 0.25) (layer F.Cu) (net 36) (tstamp 5C63353C)) + (segment (start 71.755 135.89) (end 66.675 135.89) (width 0.25) (layer F.Cu) (net 36)) + (segment (start 89.535 137.16) (end 89.535 142.875) (width 0.25) (layer F.Cu) (net 36) (tstamp 5C633591)) + (via (at 89.535 137.16) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 36)) + (segment (start 89.535 136.525) (end 89.535 137.16) (width 0.25) (layer B.Cu) (net 36) (tstamp 5C63358E)) + (segment (start 88.9 135.89) (end 89.535 136.525) (width 0.25) (layer B.Cu) (net 36) (tstamp 5C63358D)) + (via (at 88.9 135.89) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 36)) + (segment (start 64.77 133.35) (end 64.77 136.525) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 64.135 117.475) (end 64.77 118.11) (width 0.25) (layer F.Cu) (net 37) (tstamp 5C6331D6)) + (segment (start 64.77 118.11) (end 64.77 133.35) (width 0.25) (layer F.Cu) (net 37) (tstamp 5C6331D7)) + (segment (start 59.69 117.475) (end 64.135 117.475) (width 0.25) (layer F.Cu) (net 37)) + (segment (start 84.455 137.795) (end 84.455 142.875) (width 0.25) (layer F.Cu) (net 37) (tstamp 5C633312)) + (segment (start 83.82 137.16) (end 84.455 137.795) (width 0.25) (layer F.Cu) (net 37) (tstamp 5C633311)) + (segment (start 65.405 137.16) (end 83.82 137.16) (width 0.25) (layer F.Cu) (net 37) (tstamp 5C63330D)) + (segment (start 64.77 136.525) (end 65.405 137.16) (width 0.25) (layer F.Cu) (net 37) (tstamp 5C63330C)) + (segment (start 64.135 133.35) (end 64.135 137.16) (width 0.25) (layer F.Cu) (net 38)) + (segment (start 81.915 142.875) (end 81.915 141.605) (width 0.25) (layer F.Cu) (net 38)) + (segment (start 81.915 141.605) (end 81.915 140.97) (width 0.25) (layer F.Cu) (net 38) (tstamp 5C0707A4)) + (segment (start 63.5 120.015) (end 64.135 120.65) (width 0.25) (layer F.Cu) (net 38) (tstamp 5C6331D2)) + (segment (start 64.135 120.65) (end 64.135 133.35) (width 0.25) (layer F.Cu) (net 38) (tstamp 5C6331D3)) + (segment (start 63.5 120.015) (end 59.69 120.015) (width 0.25) (layer F.Cu) (net 38)) + (segment (start 81.915 138.43) (end 81.915 140.97) (width 0.25) (layer F.Cu) (net 38) (tstamp 5C633307)) + (segment (start 81.28 137.795) (end 81.915 138.43) (width 0.25) (layer F.Cu) (net 38) (tstamp 5C633306)) + (segment (start 64.77 137.795) (end 81.28 137.795) (width 0.25) (layer F.Cu) (net 38) (tstamp 5C633302)) + (segment (start 64.135 137.16) (end 64.77 137.795) (width 0.25) (layer F.Cu) (net 38) (tstamp 5C633301)) + (segment (start 63.5 133.35) (end 63.5 137.795) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 79.375 142.875) (end 79.375 140.97) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 62.865 122.555) (end 63.5 123.19) (width 0.25) (layer F.Cu) (net 39) (tstamp 5C6331CE)) + (segment (start 63.5 123.19) (end 63.5 133.35) (width 0.25) (layer F.Cu) (net 39) (tstamp 5C6331CF)) + (segment (start 62.865 122.555) (end 59.69 122.555) (width 0.25) (layer F.Cu) (net 39)) + (segment (start 79.375 139.065) (end 79.375 140.97) (width 0.25) (layer F.Cu) (net 39) (tstamp 5C6332FE)) + (segment (start 78.74 138.43) (end 79.375 139.065) (width 0.25) (layer F.Cu) (net 39) (tstamp 5C6332FD)) + (segment (start 64.135 138.43) (end 78.74 138.43) (width 0.25) (layer F.Cu) (net 39) (tstamp 5C6332FA)) + (segment (start 63.5 137.795) (end 64.135 138.43) (width 0.25) (layer F.Cu) (net 39) (tstamp 5C6332F8)) + (segment (start 67.945 139.065) (end 69.85 139.065) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 62.865 138.43) (end 63.5 139.065) (width 0.25) (layer F.Cu) (net 40) (tstamp 5C633279)) + (segment (start 63.5 139.065) (end 67.945 139.065) (width 0.25) (layer F.Cu) (net 40) (tstamp 5C63327B)) + (segment (start 69.85 139.065) (end 76.2 139.065) (width 0.25) (layer F.Cu) (net 40) (tstamp 5C633280)) + (segment (start 76.2 139.065) (end 76.835 139.7) (width 0.25) (layer F.Cu) (net 40) (tstamp 5C633281)) + (segment (start 76.835 139.7) (end 76.835 140.97) (width 0.25) (layer F.Cu) (net 40) (tstamp 5C633283)) + (segment (start 59.69 125.095) (end 62.23 125.095) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 62.865 125.73) (end 62.865 133.35) (width 0.25) (layer F.Cu) (net 40) (tstamp 5C6331CB)) + (segment (start 62.23 125.095) (end 62.865 125.73) (width 0.25) (layer F.Cu) (net 40) (tstamp 5C6331CA)) + (segment (start 76.835 140.97) (end 76.835 142.875) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 62.865 133.35) (end 62.865 138.43) (width 0.25) (layer F.Cu) (net 40)) + (segment (start 70.485 139.7) (end 67.31 139.7) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 62.23 139.065) (end 62.865 139.7) (width 0.25) (layer F.Cu) (net 41) (tstamp 5C63326B)) + (segment (start 62.865 139.7) (end 67.31 139.7) (width 0.25) (layer F.Cu) (net 41) (tstamp 5C63326C)) + (segment (start 70.485 139.7) (end 73.66 139.7) (width 0.25) (layer F.Cu) (net 41) (tstamp 5C633273)) + (segment (start 73.66 139.7) (end 74.295 140.335) (width 0.25) (layer F.Cu) (net 41) (tstamp 5C633274)) + (segment (start 74.295 140.335) (end 74.295 140.97) (width 0.25) (layer F.Cu) (net 41) (tstamp 5C633275)) + (segment (start 59.69 127.635) (end 61.595 127.635) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 62.23 128.27) (end 62.23 133.35) (width 0.25) (layer F.Cu) (net 41) (tstamp 5C6331C7)) + (segment (start 61.595 127.635) (end 62.23 128.27) (width 0.25) (layer F.Cu) (net 41) (tstamp 5C6331C6)) + (segment (start 74.295 140.97) (end 74.295 142.875) (width 0.25) (layer F.Cu) (net 41) (tstamp 5C070937)) + (segment (start 62.23 133.35) (end 62.23 139.065) (width 0.25) (layer F.Cu) (net 41)) + (segment (start 67.945 140.335) (end 69.85 140.335) (width 0.25) (layer F.Cu) (net 42)) + (segment (start 61.595 139.7) (end 62.23 140.335) (width 0.25) (layer F.Cu) (net 42) (tstamp 5C63325B)) + (segment (start 62.23 140.335) (end 67.945 140.335) (width 0.25) (layer F.Cu) (net 42) (tstamp 5C633260)) + (segment (start 69.85 140.335) (end 71.12 140.335) (width 0.25) (layer F.Cu) (net 42) (tstamp 5C633267)) + (segment (start 71.12 140.335) (end 71.755 140.97) (width 0.25) (layer F.Cu) (net 42) (tstamp 5C633268)) + (segment (start 59.69 130.175) (end 60.96 130.175) (width 0.25) (layer F.Cu) (net 42)) + (segment (start 61.595 130.81) (end 61.595 133.35) (width 0.25) (layer F.Cu) (net 42) (tstamp 5C6331C3)) + (segment (start 60.96 130.175) (end 61.595 130.81) (width 0.25) (layer F.Cu) (net 42) (tstamp 5C6331C2)) + (segment (start 71.755 140.97) (end 71.755 142.875) (width 0.25) (layer F.Cu) (net 42) (tstamp 5C070949)) + (segment (start 61.595 133.35) (end 61.595 139.7) (width 0.25) (layer F.Cu) (net 42)) + (via (at 69.215 134.62) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 43)) + (segment (start 74.93 102.235) (end 69.85 102.235) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 69.215 102.87) (end 69.215 134.62) (width 0.25) (layer F.Cu) (net 43) (tstamp 5C6331BB)) + (segment (start 69.85 102.235) (end 69.215 102.87) (width 0.25) (layer F.Cu) (net 43) (tstamp 5C6331BA)) + (segment (start 69.215 134.62) (end 69.215 134.62) (width 0.25) (layer F.Cu) (net 43)) + (segment (start 69.215 134.62) (end 69.215 142.875) (width 0.25) (layer B.Cu) (net 43) (tstamp 5C6332C9)) + (segment (start 66.675 142.875) (end 68.58 140.97) (width 0.25) (layer B.Cu) (net 44)) + (segment (start 69.215 99.695) (end 74.93 99.695) (width 0.25) (layer F.Cu) (net 44)) + (segment (start 68.58 100.33) (end 68.58 134.62) (width 0.25) (layer F.Cu) (net 44) (tstamp 5C6331BF)) + (segment (start 69.215 99.695) (end 68.58 100.33) (width 0.25) (layer F.Cu) (net 44) (tstamp 5C6331BE)) + (segment (start 68.58 134.62) (end 68.58 135.255) (width 0.25) (layer F.Cu) (net 44)) + (via (at 68.58 135.255) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 44)) + (segment (start 68.58 140.97) (end 68.58 135.255) (width 0.25) (layer B.Cu) (net 44) (tstamp 5C6332C3)) + (segment (start 72.39 80.645) (end 73.025 80.645) (width 0.25) (layer B.Cu) (net 45)) + (segment (start 57.785 77.47) (end 57.785 78.105) (width 0.25) (layer B.Cu) (net 45)) + (via (at 53.975 79.375) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 45)) + (segment (start 55.245 76.2) (end 54.61 76.2) (width 0.25) (layer B.Cu) (net 45)) + (segment (start 53.975 76.835) (end 53.975 77.47) (width 0.25) (layer B.Cu) (net 45) (tstamp 5C640D24)) + (segment (start 54.61 76.2) (end 53.975 76.835) (width 0.25) (layer B.Cu) (net 45) (tstamp 5C640D23)) + (segment (start 54.61 93.98) (end 53.975 93.345) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C640CDB)) + (segment (start 54.61 94.615) (end 54.61 93.98) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 54.61 99.06) (end 54.61 94.615) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 54.61 123.19) (end 54.61 99.06) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C633F94)) + (segment (start 56.515 135.255) (end 57.15 134.62) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C6333AD)) + (segment (start 57.15 134.62) (end 57.15 126.365) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C6333B1)) + (segment (start 56.515 142.875) (end 56.515 135.255) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 57.15 126.365) (end 57.15 125.73) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 53.975 79.375) (end 53.975 77.47) (width 0.25) (layer B.Cu) (net 45) (tstamp 5C640D61)) + (segment (start 57.785 76.835) (end 57.785 77.47) (width 0.25) (layer B.Cu) (net 45) (tstamp 5C640CEB)) + (segment (start 57.15 76.2) (end 57.785 76.835) (width 0.25) (layer B.Cu) (net 45) (tstamp 5C640CEA)) + (segment (start 55.245 76.2) (end 57.15 76.2) (width 0.25) (layer B.Cu) (net 45) (tstamp 5C640CE9)) + (segment (start 53.975 79.375) (end 53.975 93.345) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 57.785 78.105) (end 59.055 79.375) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C640E39)) + (via (at 57.785 78.105) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 45)) + (segment (start 85.725 79.375) (end 86.995 80.645) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C634344)) + (segment (start 85.09 78.74) (end 80.645 78.74) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C634C86)) + (segment (start 85.725 79.375) (end 85.09 78.74) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 65.405 80.645) (end 66.04 80.645) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 59.69 79.375) (end 64.135 79.375) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C640E3E)) + (segment (start 64.135 79.375) (end 65.405 80.645) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C633FD6)) + (segment (start 66.04 80.645) (end 72.39 80.645) (width 0.25) (layer B.Cu) (net 45) (tstamp 5C633FF6)) + (via (at 66.04 80.645) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 45)) + (segment (start 59.69 79.375) (end 59.055 79.375) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 54.61 124.46) (end 55.245 125.095) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C640E61)) + (segment (start 55.245 125.095) (end 56.515 125.095) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C640E62)) + (segment (start 56.515 125.095) (end 57.15 125.73) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C640E63)) + (segment (start 54.61 123.19) (end 54.61 124.46) (width 0.25) (layer F.Cu) (net 45)) + (segment (start 79.375 78.74) (end 80.645 78.74) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C6416C3)) + (segment (start 78.74 79.375) (end 79.375 78.74) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C6416C2)) + (segment (start 78.74 80.01) (end 78.74 79.375) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C6416C1)) + (segment (start 78.105 80.645) (end 78.74 80.01) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C6416C0)) + (segment (start 73.025 80.645) (end 78.105 80.645) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C6416BF)) + (via (at 73.025 80.645) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 45)) + (segment (start 86.995 80.645) (end 87.63 80.645) (width 0.25) (layer F.Cu) (net 45) (tstamp 5C634345)) + (segment (start 74.295 80.01) (end 74.93 80.01) (width 0.25) (layer B.Cu) (net 46)) + (segment (start 57.277 75.565) (end 57.912 76.2) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640D10)) + (segment (start 57.912 77.216) (end 57.912 76.2) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640E11)) + (segment (start 86.36 78.105) (end 80.01 78.105) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 87.63 76.835) (end 86.36 78.105) (width 0.25) (layer F.Cu) (net 46)) + (via (at 66.675 80.01) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 46)) + (segment (start 59.436 78.74) (end 64.585002 78.74) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640E16)) + (segment (start 64.585002 78.74) (end 65.855002 80.01) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C633FE1)) + (segment (start 65.855002 80.01) (end 66.675 80.01) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C633FE3)) + (segment (start 66.675 80.01) (end 74.295 80.01) (width 0.25) (layer B.Cu) (net 46) (tstamp 5C633FED)) + (segment (start 53.34 76.2) (end 53.34 76.835) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 53.975 75.565) (end 54.61 75.565) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640CFC)) + (segment (start 53.34 76.2) (end 53.975 75.565) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640CFB)) + (segment (start 57.15 75.565) (end 54.61 75.565) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 57.15 75.565) (end 57.277 75.565) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 57.912 77.216) (end 59.436 78.74) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 78.74 78.105) (end 80.01 78.105) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6416BA)) + (segment (start 78.105 78.74) (end 78.74 78.105) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6416B9)) + (segment (start 78.105 79.375) (end 78.105 78.74) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6416B8)) + (segment (start 77.47 80.01) (end 78.105 79.375) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6416B7)) + (segment (start 74.93 80.01) (end 77.47 80.01) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6416B6)) + (via (at 74.93 80.01) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 46)) + (segment (start 56.515 126.365) (end 55.88 125.73) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 53.975 125.095) (end 53.975 123.825) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640E5D)) + (segment (start 54.61 125.73) (end 53.975 125.095) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640E5C)) + (segment (start 55.88 125.73) (end 54.61 125.73) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640E5B)) + (via (at 53.975 94.615) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 46)) + (segment (start 53.975 94.615) (end 53.34 93.98) (width 0.25) (layer B.Cu) (net 46) (tstamp 5C640CCF)) + (segment (start 53.34 93.98) (end 53.34 93.345) (width 0.25) (layer B.Cu) (net 46) (tstamp 5C640CD0)) + (segment (start 53.975 94.615) (end 53.975 121.92) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640BF9)) + (segment (start 53.975 123.825) (end 53.975 121.92) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C633F86)) + (segment (start 53.975 135.255) (end 54.61 134.62) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6333A1)) + (segment (start 54.61 134.62) (end 55.88 134.62) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6333A4)) + (segment (start 55.88 134.62) (end 56.515 133.985) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6333A5)) + (segment (start 56.515 133.985) (end 56.515 126.365) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C6333A6)) + (segment (start 53.975 142.875) (end 53.975 135.255) (width 0.25) (layer F.Cu) (net 46)) + (segment (start 53.34 77.724) (end 53.34 93.345) (width 0.25) (layer B.Cu) (net 46) (tstamp 5C640CB4)) + (segment (start 53.34 93.345) (end 53.34 93.472) (width 0.25) (layer B.Cu) (net 46) (tstamp 5C640CD4)) + (via (at 53.34 77.724) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 46)) + (segment (start 53.34 76.708) (end 53.34 76.835) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640CB0)) + (segment (start 53.34 76.835) (end 53.34 77.724) (width 0.25) (layer F.Cu) (net 46) (tstamp 5C640CF9)) + (segment (start 56.261 87.376) (end 56.261 85.979) (width 0.25) (layer F.Cu) (net 47)) + (segment (start 57.15 82.042) (end 55.372 80.264) (width 0.25) (layer F.Cu) (net 47) (tstamp 5C640C63)) + (segment (start 57.15 85.09) (end 57.15 82.042) (width 0.25) (layer F.Cu) (net 47) (tstamp 5C640C62)) + (segment (start 56.261 85.979) (end 57.15 85.09) (width 0.25) (layer F.Cu) (net 47) (tstamp 5C640C60)) + (via (at 55.88 106.68) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 48)) + (segment (start 56.261 90.424) (end 55.88 90.805) (width 0.25) (layer F.Cu) (net 48) (tstamp 5C640DDD)) + (segment (start 55.88 90.805) (end 55.88 106.68) (width 0.25) (layer F.Cu) (net 48) (tstamp 5C640DDC)) + (segment (start 56.261 90.424) (end 56.261 89.916) (width 0.25) (layer F.Cu) (net 48) (tstamp 5C640DDE)) + (segment (start 59.69 107.315) (end 56.515 107.315) (width 0.25) (layer B.Cu) (net 48) (tstamp 5C634B50)) + (segment (start 55.88 106.68) (end 56.515 107.315) (width 0.25) (layer B.Cu) (net 48) (tstamp 5C640DD9)) + (segment (start 128.905 117.475) (end 130.175 117.475) (width 0.25) (layer F.Cu) (net 49)) + (segment (start 101.6 135.255) (end 100.965 134.62) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C633945)) + (segment (start 104.775 135.255) (end 101.6 135.255) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C633943)) + (segment (start 105.41 135.89) (end 104.775 135.255) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C633942)) + (segment (start 125.73 135.89) (end 105.41 135.89) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C63393C)) + (segment (start 126.365 135.255) (end 125.73 135.89) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C63393B)) + (segment (start 126.365 125.73) (end 126.365 135.255) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C633937)) + (segment (start 127 125.095) (end 126.365 125.73) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C63392E)) + (segment (start 130.175 125.095) (end 127 125.095) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C63392D)) + (segment (start 130.81 124.46) (end 130.175 125.095) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C63392C)) + (segment (start 130.81 118.11) (end 130.81 124.46) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C633929)) + (segment (start 130.175 117.475) (end 130.81 118.11) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C633928)) + (segment (start 85.725 133.985) (end 90.805 133.985) (width 0.25) (layer F.Cu) (net 49)) + (segment (start 76.2 125.095) (end 76.835 125.73) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C6337D6)) + (segment (start 76.835 125.73) (end 76.835 133.35) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C6337D8)) + (segment (start 76.835 133.35) (end 77.47 133.985) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C6337DC)) + (segment (start 77.47 133.985) (end 85.725 133.985) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C6337DD)) + (segment (start 74.93 125.095) (end 76.2 125.095) (width 0.25) (layer F.Cu) (net 49)) + (segment (start 91.44 134.62) (end 100.965 134.62) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C63390C)) + (segment (start 90.805 133.985) (end 91.44 134.62) (width 0.25) (layer F.Cu) (net 49) (tstamp 5C63390B)) + (segment (start 94.615 135.255) (end 100.33 135.255) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 90.17 134.62) (end 90.805 135.255) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633906)) + (segment (start 90.805 135.255) (end 94.615 135.255) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633907)) + (segment (start 74.93 132.715) (end 74.93 133.985) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 75.565 134.62) (end 88.265 134.62) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C6337D1)) + (segment (start 74.93 133.985) (end 75.565 134.62) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C6337CF)) + (segment (start 88.265 134.62) (end 90.17 134.62) (width 0.25) (layer F.Cu) (net 50)) + (segment (start 127.635 130.175) (end 128.905 130.175) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633924)) + (segment (start 127 130.81) (end 127.635 130.175) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633923)) + (segment (start 127 135.89) (end 127 130.81) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633920)) + (segment (start 126.365 136.525) (end 127 135.89) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C63391F)) + (segment (start 104.775 136.525) (end 126.365 136.525) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633919)) + (segment (start 104.14 135.89) (end 104.775 136.525) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633917)) + (segment (start 100.965 135.89) (end 104.14 135.89) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633915)) + (segment (start 100.33 135.255) (end 100.965 135.89) (width 0.25) (layer F.Cu) (net 50) (tstamp 5C633914)) + (segment (start 67.31 95.25) (end 67.31 99.06) (width 0.25) (layer F.Cu) (net 51)) + (via (at 67.945 99.695) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 51)) + (segment (start 67.31 99.06) (end 67.945 99.695) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C641BE9)) + (segment (start 80.01 100.965) (end 80.645 100.965) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 80.645 100.965) (end 81.28 101.6) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C6354FF)) + (segment (start 81.28 101.6) (end 81.28 117.475) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C635501)) + (segment (start 81.28 117.475) (end 81.661 117.856) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C635506)) + (segment (start 81.661 117.856) (end 82.804 117.856) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C63550A)) + (via (at 69.215 100.965) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 51)) + (segment (start 69.215 100.965) (end 80.01 100.965) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C634875)) + (segment (start 67.945 99.695) (end 69.215 100.965) (width 0.25) (layer B.Cu) (net 51) (tstamp 5C63489C)) + (segment (start 82.804 117.856) (end 86.868 117.856) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 66.675 93.345) (end 66.675 94.615) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 66.675 94.615) (end 67.31 95.25) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C634C12)) + (segment (start 66.04 86.995) (end 66.675 87.63) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C633EFE)) + (segment (start 66.675 87.63) (end 66.675 92.075) (width 0.25) (layer F.Cu) (net 51) (tstamp 5C633F00)) + (segment (start 59.69 86.995) (end 66.04 86.995) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 66.675 92.075) (end 66.675 93.345) (width 0.25) (layer F.Cu) (net 51)) + (segment (start 79.375 103.505) (end 80.01 103.505) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 66.675 99.695) (end 66.675 95.885) (width 0.25) (layer F.Cu) (net 52)) + (via (at 66.675 99.695) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 66.675 99.695) (end 70.485 103.505) (width 0.25) (layer B.Cu) (net 52) (tstamp 5C6348B3)) + (via (at 70.485 103.505) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 77.47 103.505) (end 70.485 103.505) (width 0.25) (layer F.Cu) (net 52) (tstamp 5C6348B7)) + (via (at 64.77 94.615) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 59.69 94.615) (end 64.77 94.615) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 65.405 94.615) (end 64.77 94.615) (width 0.25) (layer B.Cu) (net 52) (tstamp 5C634BF9)) + (segment (start 66.675 95.885) (end 65.405 94.615) (width 0.25) (layer B.Cu) (net 52) (tstamp 5C634BF8)) + (via (at 66.675 95.885) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 52)) + (segment (start 77.47 103.505) (end 79.375 103.505) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 90.932 122.428) (end 90.932 117.856) (width 0.25) (layer F.Cu) (net 52) (tstamp 5C6354F8)) + (segment (start 90.17 123.19) (end 90.932 122.428) (width 0.25) (layer F.Cu) (net 52) (tstamp 5C6354F7)) + (segment (start 81.28 123.19) (end 90.17 123.19) (width 0.25) (layer F.Cu) (net 52) (tstamp 5C6354F3)) + (segment (start 80.645 122.555) (end 81.28 123.19) (width 0.25) (layer F.Cu) (net 52) (tstamp 5C6354F2)) + (segment (start 80.645 104.14) (end 80.645 122.555) (width 0.25) (layer F.Cu) (net 52) (tstamp 5C6354EC)) + (segment (start 80.01 103.505) (end 80.645 104.14) (width 0.25) (layer F.Cu) (net 52) (tstamp 5C6354EB)) + (segment (start 90.932 117.856) (end 94.996 117.856) (width 0.25) (layer F.Cu) (net 52)) + (segment (start 77.47 106.045) (end 79.375 106.045) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 66.675 100.965) (end 67.945 102.235) (width 0.25) (layer B.Cu) (net 53) (tstamp 5C634969)) + (segment (start 64.77 99.695) (end 65.405 99.695) (width 0.25) (layer B.Cu) (net 53) (tstamp 5C634764)) + (via (at 64.77 99.695) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 53)) + (segment (start 59.69 99.695) (end 64.77 99.695) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 77.47 106.045) (end 71.755 106.045) (width 0.25) (layer F.Cu) (net 53) (tstamp 5C6348C9)) + (segment (start 71.12 105.41) (end 71.755 106.045) (width 0.25) (layer F.Cu) (net 53) (tstamp 5C6348C8)) + (via (at 71.12 105.41) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 53)) + (segment (start 67.945 102.235) (end 71.12 105.41) (width 0.25) (layer B.Cu) (net 53) (tstamp 5C6348C5)) + (via (at 67.945 102.235) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 53)) + (segment (start 66.675 100.965) (end 65.405 99.695) (width 0.25) (layer B.Cu) (net 53)) + (segment (start 99.06 123.19) (end 99.06 117.856) (width 0.25) (layer F.Cu) (net 53) (tstamp 5C63549E)) + (segment (start 98.425 123.825) (end 99.06 123.19) (width 0.25) (layer F.Cu) (net 53) (tstamp 5C63549D)) + (segment (start 80.645 123.825) (end 98.425 123.825) (width 0.25) (layer F.Cu) (net 53) (tstamp 5C635493)) + (segment (start 80.01 123.19) (end 80.645 123.825) (width 0.25) (layer F.Cu) (net 53) (tstamp 5C63548F)) + (segment (start 80.01 106.68) (end 80.01 123.19) (width 0.25) (layer F.Cu) (net 53) (tstamp 5C63548C)) + (segment (start 79.375 106.045) (end 80.01 106.68) (width 0.25) (layer F.Cu) (net 53) (tstamp 5C63548A)) + (segment (start 99.06 117.856) (end 103.124 117.856) (width 0.25) (layer F.Cu) (net 53)) + (segment (start 106.045 124.46) (end 106.68 124.46) (width 0.25) (layer F.Cu) (net 54)) + (segment (start 78.74 108.585) (end 79.375 109.22) (width 0.25) (layer F.Cu) (net 54) (tstamp 5C63546A)) + (segment (start 79.375 109.22) (end 79.375 123.825) (width 0.25) (layer F.Cu) (net 54) (tstamp 5C63546B)) + (segment (start 79.375 123.825) (end 80.01 124.46) (width 0.25) (layer F.Cu) (net 54) (tstamp 5C63546F)) + (segment (start 80.01 124.46) (end 103.505 124.46) (width 0.25) (layer F.Cu) (net 54) (tstamp 5C635470)) + (via (at 103.505 124.46) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 54)) + (segment (start 103.505 124.46) (end 106.045 124.46) (width 0.25) (layer B.Cu) (net 54) (tstamp 5C635479)) + (via (at 106.045 124.46) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 54)) + (segment (start 66.675 102.235) (end 71.12 106.68) (width 0.25) (layer B.Cu) (net 54)) + (via (at 64.77 102.235) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 54)) + (segment (start 64.77 102.235) (end 66.675 102.235) (width 0.25) (layer B.Cu) (net 54) (tstamp 5C6348ED)) + (segment (start 59.69 102.235) (end 63.5 102.235) (width 0.25) (layer F.Cu) (net 54)) + (segment (start 63.5 102.235) (end 64.77 102.235) (width 0.25) (layer F.Cu) (net 54)) + (via (at 71.755 108.585) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 54)) + (segment (start 71.12 107.95) (end 71.755 108.585) (width 0.25) (layer B.Cu) (net 54) (tstamp 5C634985)) + (segment (start 71.12 106.68) (end 71.12 107.95) (width 0.25) (layer B.Cu) (net 54) (tstamp 5C63497F)) + (segment (start 71.755 108.585) (end 77.47 108.585) (width 0.25) (layer F.Cu) (net 54) (tstamp 5C63498B)) + (segment (start 77.47 108.585) (end 78.74 108.585) (width 0.25) (layer F.Cu) (net 54)) + (segment (start 107.188 123.952) (end 107.188 117.856) (width 0.25) (layer F.Cu) (net 54) (tstamp 5C6354B8)) + (segment (start 106.68 124.46) (end 107.188 123.952) (width 0.25) (layer F.Cu) (net 54) (tstamp 5C6354B6)) + (segment (start 111.125 117.983) (end 111.252 117.856) (width 0.25) (layer F.Cu) (net 54) (tstamp 5C635483)) + (segment (start 107.188 117.856) (end 111.252 117.856) (width 0.25) (layer F.Cu) (net 54)) + (segment (start 56.515 109.22) (end 56.515 93.98) (width 0.25) (layer F.Cu) (net 55)) + (segment (start 56.515 93.98) (end 57.15 93.345) (width 0.25) (layer F.Cu) (net 55) (tstamp 5C640DCE)) + (segment (start 59.69 109.855) (end 57.15 109.855) (width 0.25) (layer B.Cu) (net 55)) + (segment (start 73.66 93.345) (end 80.01 93.345) (width 0.25) (layer B.Cu) (net 55) (tstamp 5C634853)) + (via (at 73.66 93.345) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 55)) + (segment (start 67.31 93.345) (end 73.66 93.345) (width 0.25) (layer F.Cu) (net 55) (tstamp 5C63484E)) + (via (at 67.31 93.345) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 55)) + (segment (start 64.77 93.345) (end 67.31 93.345) (width 0.25) (layer B.Cu) (net 55) (tstamp 5C63484B)) + (via (at 64.77 93.345) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 55)) + (segment (start 57.15 93.345) (end 64.77 93.345) (width 0.25) (layer F.Cu) (net 55) (tstamp 5C640DD4)) + (via (at 56.515 109.22) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 55)) + (segment (start 57.15 109.855) (end 56.515 109.22) (width 0.25) (layer B.Cu) (net 55) (tstamp 5C634834)) + (segment (start 87.63 93.345) (end 80.01 93.345) (width 0.25) (layer F.Cu) (net 55)) + (segment (start 84.455 83.185) (end 85.09 83.82) (width 0.25) (layer F.Cu) (net 56)) + (segment (start 85.09 83.82) (end 85.725 83.82) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C634DD9)) + (segment (start 88.265 83.82) (end 88.9 83.185) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C634DC9)) + (segment (start 85.725 83.82) (end 88.265 83.82) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C634DC8)) + (segment (start 77.47 84.455) (end 78.74 83.185) (width 0.25) (layer F.Cu) (net 56)) + (segment (start 76.835 97.155) (end 77.47 96.52) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C634690)) + (segment (start 77.47 96.52) (end 77.47 84.455) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C634691)) + (segment (start 74.93 97.155) (end 76.835 97.155) (width 0.25) (layer F.Cu) (net 56)) + (segment (start 121.285 76.835) (end 120.015 76.835) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346D3)) + (segment (start 121.92 77.47) (end 121.285 76.835) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346D1)) + (segment (start 121.92 82.55) (end 121.92 77.47) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346D0)) + (segment (start 121.285 83.185) (end 121.92 82.55) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346CF)) + (segment (start 107.95 83.185) (end 121.285 83.185) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346C8)) + (segment (start 106.68 84.455) (end 107.95 83.185) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346C6)) + (segment (start 100.33 84.455) (end 106.68 84.455) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346C4)) + (segment (start 99.06 83.185) (end 100.33 84.455) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346BD)) + (segment (start 78.74 83.185) (end 84.455 83.185) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C6346BB)) + (segment (start 88.9 83.185) (end 99.06 83.185) (width 0.25) (layer F.Cu) (net 56) (tstamp 5C634DCC)) + (segment (start 117.475 76.835) (end 120.015 76.835) (width 0.25) (layer F.Cu) (net 56)) + (segment (start 85.09 82.55) (end 85.725 83.185) (width 0.25) (layer F.Cu) (net 57)) + (segment (start 85.725 83.185) (end 86.36 83.185) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C634DDE)) + (segment (start 88.265 82.55) (end 88.9 82.55) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C634DD2)) + (segment (start 87.63 83.185) (end 88.265 82.55) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C634DD1)) + (segment (start 86.36 83.185) (end 87.63 83.185) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C634DD0)) + (segment (start 76.835 84.455) (end 76.835 83.82) (width 0.25) (layer F.Cu) (net 57)) + (segment (start 76.2 94.615) (end 76.835 93.98) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C634689)) + (segment (start 76.835 93.98) (end 76.835 84.455) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C63468A)) + (segment (start 74.93 94.615) (end 76.2 94.615) (width 0.25) (layer F.Cu) (net 57)) + (segment (start 115.57 82.55) (end 117.475 80.645) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C6346B6)) + (segment (start 107.315 82.55) (end 115.57 82.55) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C6346AF)) + (segment (start 106.045 83.82) (end 107.315 82.55) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C6346A7)) + (segment (start 100.965 83.82) (end 106.045 83.82) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C6346A4)) + (segment (start 99.695 82.55) (end 100.965 83.82) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C6346A2)) + (segment (start 78.105 82.55) (end 85.09 82.55) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C634699)) + (segment (start 88.9 82.55) (end 99.695 82.55) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C634DD5)) + (segment (start 76.835 83.82) (end 78.105 82.55) (width 0.25) (layer F.Cu) (net 57) (tstamp 5C634697)) + (segment (start 117.475 80.645) (end 120.015 80.645) (width 0.25) (layer F.Cu) (net 57)) + (segment (start 141.605 134.62) (end 149.86 134.62) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 139.7 134.62) (end 137.795 134.62) (width 0.25) (layer B.Cu) (net 58) (tstamp 5C06FDA5)) + (via (at 139.7 134.62) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 58)) + (segment (start 141.605 134.62) (end 139.7 134.62) (width 0.25) (layer F.Cu) (net 58)) + (segment (start 133.35 127.635) (end 134.62 127.635) (width 0.25) (layer F.Cu) (net 58) (tstamp 5C27787C)) + (segment (start 135.255 128.27) (end 134.62 127.635) (width 0.25) (layer F.Cu) (net 58) (tstamp 5C27787B)) + (segment (start 135.255 133.985) (end 135.255 128.27) (width 0.25) (layer F.Cu) (net 58) (tstamp 5C27787A)) + (via (at 135.255 133.985) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 58)) + (segment (start 135.89 134.62) (end 135.255 133.985) (width 0.25) (layer B.Cu) (net 58) (tstamp 5C277877)) + (segment (start 137.795 134.62) (end 135.89 134.62) (width 0.25) (layer B.Cu) (net 58)) + (segment (start 150.495 135.255) (end 150.495 142.875) (width 0.25) (layer F.Cu) (net 58) (tstamp 5C633154)) + (segment (start 149.86 134.62) (end 150.495 135.255) (width 0.25) (layer F.Cu) (net 58) (tstamp 5C633153)) + (segment (start 147.955 140.97) (end 147.955 135.89) (width 0.25) (layer F.Cu) (net 59)) + (segment (start 140.335 135.255) (end 137.795 135.255) (width 0.25) (layer B.Cu) (net 59) (tstamp 5C06FDB5)) + (via (at 140.335 135.255) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 59)) + (segment (start 147.955 142.875) (end 147.955 140.97) (width 0.25) (layer F.Cu) (net 59)) + (segment (start 136.525 135.255) (end 137.795 135.255) (width 0.25) (layer B.Cu) (net 59) (tstamp 5C277887)) + (via (at 136.525 135.255) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 59)) + (segment (start 135.89 134.62) (end 136.525 135.255) (width 0.25) (layer F.Cu) (net 59) (tstamp 5C277884)) + (segment (start 135.89 120.65) (end 135.89 134.62) (width 0.25) (layer F.Cu) (net 59) (tstamp 5C277883)) + (segment (start 135.255 120.015) (end 135.89 120.65) (width 0.25) (layer F.Cu) (net 59) (tstamp 5C277882)) + (segment (start 135.255 120.015) (end 133.35 120.015) (width 0.25) (layer F.Cu) (net 59)) + (segment (start 147.32 135.255) (end 140.335 135.255) (width 0.25) (layer F.Cu) (net 59) (tstamp 5C633158)) + (segment (start 147.955 135.89) (end 147.32 135.255) (width 0.25) (layer F.Cu) (net 59) (tstamp 5C633157)) + (segment (start 145.415 140.97) (end 145.415 136.525) (width 0.25) (layer F.Cu) (net 60)) + (via (at 140.97 135.89) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 60)) + (segment (start 145.415 142.875) (end 145.415 140.97) (width 0.25) (layer F.Cu) (net 60)) + (segment (start 138.43 135.89) (end 140.97 135.89) (width 0.25) (layer B.Cu) (net 60) (tstamp 5C277897)) + (via (at 138.43 135.89) (size 0.6) (drill 0.4) (layers F.Cu B.Cu) (net 60)) + (segment (start 136.525 133.985) (end 138.43 135.89) (width 0.25) (layer F.Cu) (net 60) (tstamp 5C277894)) + (segment (start 136.525 118.11) (end 136.525 133.985) (width 0.25) (layer F.Cu) (net 60) (tstamp 5C277893)) + (segment (start 135.89 117.475) (end 136.525 118.11) (width 0.25) (layer F.Cu) (net 60) (tstamp 5C277892)) + (segment (start 135.89 117.475) (end 133.35 117.475) (width 0.25) (layer F.Cu) (net 60)) + (segment (start 144.78 135.89) (end 140.97 135.89) (width 0.25) (layer F.Cu) (net 60) (tstamp 5C63315C)) + (segment (start 145.415 136.525) (end 144.78 135.89) (width 0.25) (layer F.Cu) (net 60) (tstamp 5C63315B)) + (segment (start 128.905 114.935) (end 133.35 114.935) (width 0.25) (layer F.Cu) (net 61)) + (segment (start 139.7 136.525) (end 142.24 136.525) (width 0.25) (layer F.Cu) (net 61)) + (segment (start 137.16 115.57) (end 136.525 114.935) (width 0.25) (layer F.Cu) (net 61) (tstamp 5C632E06)) + (segment (start 133.35 114.935) (end 136.525 114.935) (width 0.25) (layer F.Cu) (net 61) (tstamp 5C632E07)) + (segment (start 139.065 135.255) (end 137.16 133.35) (width 0.25) (layer F.Cu) (net 61)) + (segment (start 139.065 135.255) (end 139.065 135.89) (width 0.25) (layer F.Cu) (net 61) (tstamp 5C2778A3)) + (segment (start 139.065 135.89) (end 139.7 136.525) (width 0.25) (layer F.Cu) (net 61) (tstamp 5C06FDCC)) + (segment (start 137.16 133.35) (end 137.16 115.57) (width 0.25) (layer F.Cu) (net 61)) + (segment (start 142.875 137.16) (end 142.875 142.875) (width 0.25) (layer F.Cu) (net 61) (tstamp 5C633160)) + (segment (start 142.24 136.525) (end 142.875 137.16) (width 0.25) (layer F.Cu) (net 61) (tstamp 5C63315F)) + + (zone (net 8) (net_name GND) (layer B.Cu) (tstamp 5C06F298) (hatch edge 0.508) + (connect_pads (clearance 0.508)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 151.765 144.145) (xy 52.705 144.145) (xy 52.705 44.45) (xy 151.765 44.45) + ) + ) + (filled_polygon + (pts + (xy 61.43025 75.993309) (xy 61.429752 76.564187) (xy 61.647757 77.0918) (xy 62.051077 77.495824) (xy 62.578309 77.71475) + (xy 63.149187 77.715248) (xy 63.6768 77.497243) (xy 64.080824 77.093923) (xy 64.29975 76.566691) (xy 64.300248 75.995813) + (xy 64.153229 75.64) (xy 79.356957 75.64) (xy 79.21025 75.993309) (xy 79.209752 76.564187) (xy 79.427757 77.0918) + (xy 79.831077 77.495824) (xy 80.358309 77.71475) (xy 80.929187 77.715248) (xy 81.4568 77.497243) (xy 81.860824 77.093923) + (xy 82.07975 76.566691) (xy 82.080248 75.995813) (xy 81.933229 75.64) (xy 82.531957 75.64) (xy 82.38525 75.993309) + (xy 82.384752 76.564187) (xy 82.602757 77.0918) (xy 83.006077 77.495824) (xy 83.533309 77.71475) (xy 84.104187 77.715248) + (xy 84.6318 77.497243) (xy 85.035824 77.093923) (xy 85.25475 76.566691) (xy 85.255248 75.995813) (xy 85.108229 75.64) + (xy 86.753333 75.64) (xy 86.579946 75.755853) (xy 86.258039 76.237622) (xy 86.145 76.805907) (xy 86.145 76.864093) + (xy 86.258039 77.432378) (xy 86.579946 77.914147) (xy 87.061715 78.236054) (xy 87.63 78.349093) (xy 88.198285 78.236054) + (xy 88.680054 77.914147) (xy 88.70785 77.872548) (xy 88.716838 77.920317) (xy 88.85591 78.136441) (xy 89.06811 78.281431) + (xy 89.32 78.33244) (xy 91.02 78.33244) (xy 91.255317 78.288162) (xy 91.471441 78.14909) (xy 91.616431 77.93689) + (xy 91.66744 77.685) (xy 91.66744 75.985) (xy 91.623162 75.749683) (xy 91.552583 75.64) (xy 98.860918 75.64) + (xy 98.479176 76.021077) (xy 98.26025 76.548309) (xy 98.259752 77.119187) (xy 98.477757 77.6468) (xy 98.881077 78.050824) + (xy 99.408309 78.26975) (xy 99.979187 78.270248) (xy 100.5068 78.052243) (xy 100.716663 77.842745) (xy 103.866861 77.842745) + (xy 103.940995 78.088864) (xy 104.478223 78.281965) (xy 105.048454 78.254778) (xy 105.449005 78.088864) (xy 105.523139 77.842745) + (xy 104.695 77.014605) (xy 103.866861 77.842745) (xy 100.716663 77.842745) (xy 100.910824 77.648923) (xy 101.12975 77.121691) + (xy 101.130189 76.618223) (xy 103.248035 76.618223) (xy 103.275222 77.188454) (xy 103.441136 77.589005) (xy 103.687255 77.663139) + (xy 104.515395 76.835) (xy 103.687255 76.006861) (xy 103.441136 76.080995) (xy 103.248035 76.618223) (xy 101.130189 76.618223) + (xy 101.130248 76.550813) (xy 100.912243 76.0232) (xy 100.529711 75.64) (xy 103.923264 75.64) (xy 103.866861 75.827255) + (xy 104.695 76.655395) (xy 105.523139 75.827255) (xy 105.466736 75.64) (xy 106.54203 75.64) (xy 106.272189 75.820302) + (xy 106.005936 76.218778) (xy 105.948864 76.080995) (xy 105.702745 76.006861) (xy 104.874605 76.835) (xy 105.702745 77.663139) + (xy 105.948864 77.589005) (xy 106.001028 77.443878) (xy 106.272189 77.849698) (xy 106.737736 78.160767) (xy 107.286887 78.27) + (xy 107.343113 78.27) (xy 107.892264 78.160767) (xy 108.357811 77.849698) (xy 108.66888 77.384151) (xy 108.778113 76.835) + (xy 108.66888 76.285849) (xy 108.357811 75.820302) (xy 108.08797 75.64) (xy 116.640918 75.64) (xy 116.259176 76.021077) + (xy 116.04025 76.548309) (xy 116.039752 77.119187) (xy 116.257757 77.6468) (xy 116.661077 78.050824) (xy 117.188309 78.26975) + (xy 117.759187 78.270248) (xy 118.2868 78.052243) (xy 118.690824 77.648923) (xy 118.747245 77.513046) (xy 118.972189 77.849698) + (xy 119.437736 78.160767) (xy 119.986887 78.27) (xy 120.043113 78.27) (xy 120.592264 78.160767) (xy 121.057811 77.849698) + (xy 121.36888 77.384151) (xy 121.478113 76.835) (xy 121.36888 76.285849) (xy 121.057811 75.820302) (xy 120.78797 75.64) + (xy 129.340918 75.64) (xy 128.959176 76.021077) (xy 128.74025 76.548309) (xy 128.739752 77.119187) (xy 128.957757 77.6468) + (xy 129.361077 78.050824) (xy 129.888309 78.26975) (xy 130.459187 78.270248) (xy 130.9868 78.052243) (xy 131.390824 77.648923) + (xy 131.413215 77.595) (xy 132.400198 77.595) (xy 137.257599 82.452401) (xy 137.504161 82.617148) (xy 137.795 82.675) + (xy 149.545198 82.675) (xy 150.37 83.499802) (xy 150.37 110.365) (xy 140.938646 110.365) (xy 140.917243 110.3132) + (xy 140.513923 109.909176) (xy 139.986691 109.69025) (xy 139.415813 109.689752) (xy 138.8882 109.907757) (xy 138.484176 110.311077) + (xy 138.26525 110.838309) (xy 138.264752 111.409187) (xy 138.482757 111.9368) (xy 138.886077 112.340824) (xy 139.413309 112.55975) + (xy 139.984187 112.560248) (xy 140.5118 112.342243) (xy 140.915824 111.938923) (xy 140.938215 111.885) (xy 150.180198 111.885) + (xy 150.37 112.074802) (xy 150.37 139.385198) (xy 149.545198 140.21) (xy 112.957463 140.21) (xy 112.925327 140.177808) + (xy 112.581799 140.035162) (xy 112.209833 140.034838) (xy 111.866057 140.176883) (xy 111.602808 140.439673) (xy 111.460162 140.783201) + (xy 111.459838 141.155167) (xy 111.601883 141.498943) (xy 111.676875 141.574066) (xy 111.344946 141.795853) (xy 111.117298 142.136553) + (xy 111.050183 141.993642) (xy 110.621924 141.603355) (xy 110.21189 141.433524) (xy 109.982 141.554845) (xy 109.982 142.748) + (xy 110.002 142.748) (xy 110.002 143.002) (xy 109.982 143.002) (xy 109.982 143.022) (xy 109.728 143.022) + (xy 109.728 143.002) (xy 109.708 143.002) (xy 109.708 142.748) (xy 109.728 142.748) (xy 109.728 141.554845) + (xy 109.49811 141.433524) (xy 109.088076 141.603355) (xy 108.659817 141.993642) (xy 108.592702 142.136553) (xy 108.365054 141.795853) + (xy 107.883285 141.473946) (xy 107.315 141.360907) (xy 106.746715 141.473946) (xy 106.264946 141.795853) (xy 106.045 142.125026) + (xy 105.825054 141.795853) (xy 105.343285 141.473946) (xy 104.973675 141.400426) (xy 105.074838 141.156799) (xy 105.0751 140.855702) + (xy 105.693401 140.237401) (xy 105.858148 139.990839) (xy 105.916 139.7) (xy 105.916 137.668) (xy 105.858148 137.377161) + (xy 105.858148 137.37716) (xy 105.693401 137.130599) (xy 104.392 135.829198) (xy 104.392 135.182463) (xy 104.424192 135.150327) + (xy 104.566838 134.806799) (xy 104.567162 134.434833) (xy 104.425117 134.091057) (xy 104.162327 133.827808) (xy 103.818799 133.685162) + (xy 103.446833 133.684838) (xy 103.103057 133.826883) (xy 102.933435 133.996209) (xy 102.765327 133.827808) (xy 102.421799 133.685162) + (xy 102.049833 133.684838) (xy 101.706057 133.826883) (xy 101.442808 134.089673) (xy 101.300162 134.433201) (xy 101.299838 134.805167) + (xy 101.441883 135.148943) (xy 101.704673 135.412192) (xy 102.048201 135.554838) (xy 102.095077 135.554879) (xy 102.11 135.569802) + (xy 102.11 136.597537) (xy 102.077808 136.629673) (xy 101.935162 136.973201) (xy 101.935108 137.035) (xy 93.01011 137.035) + (xy 93.010162 136.974833) (xy 92.868117 136.631057) (xy 92.835 136.597882) (xy 92.835 133.985) (xy 92.777148 133.694161) + (xy 92.612401 133.447599) (xy 92.375122 133.21032) (xy 92.375162 133.164833) (xy 92.336759 133.07189) (xy 127.463524 133.07189) + (xy 127.633355 133.481924) (xy 128.023642 133.910183) (xy 128.548108 134.156486) (xy 128.778 134.035819) (xy 128.778 132.842) + (xy 129.032 132.842) (xy 129.032 134.035819) (xy 129.261892 134.156486) (xy 129.786358 133.910183) (xy 130.176645 133.481924) + (xy 130.346476 133.07189) (xy 130.342333 133.064039) (xy 131.958096 133.064039) (xy 132.118959 133.452423) (xy 132.494866 133.867389) + (xy 133.000959 134.106914) (xy 133.223 133.985629) (xy 133.223 132.842) (xy 133.477 132.842) (xy 133.477 133.985629) + (xy 133.699041 134.106914) (xy 134.205134 133.867389) (xy 134.364469 133.691498) (xy 134.320162 133.798201) (xy 134.319838 134.170167) + (xy 134.461883 134.513943) (xy 134.724673 134.777192) (xy 135.068201 134.919838) (xy 135.115077 134.919879) (xy 135.352599 135.157401) + (xy 135.589946 135.315991) (xy 135.589838 135.440167) (xy 135.731883 135.783943) (xy 135.994673 136.047192) (xy 136.338201 136.189838) + (xy 136.710167 136.190162) (xy 137.053943 136.048117) (xy 137.087118 136.015) (xy 137.49489 136.015) (xy 137.494838 136.075167) + (xy 137.636883 136.418943) (xy 137.899673 136.682192) (xy 138.243201 136.824838) (xy 138.615167 136.825162) (xy 138.958943 136.683117) + (xy 138.992118 136.65) (xy 140.407537 136.65) (xy 140.439673 136.682192) (xy 140.783201 136.824838) (xy 141.155167 136.825162) + (xy 141.498943 136.683117) (xy 141.762192 136.420327) (xy 141.904838 136.076799) (xy 141.905162 135.704833) (xy 141.763117 135.361057) + (xy 141.500327 135.097808) (xy 141.236446 134.988235) (xy 141.128117 134.726057) (xy 140.865327 134.462808) (xy 140.601446 134.353235) + (xy 140.493117 134.091057) (xy 140.455347 134.053221) (xy 140.941887 134.15) (xy 140.998113 134.15) (xy 141.547264 134.040767) + (xy 142.012811 133.729698) (xy 142.32388 133.264151) (xy 142.433113 132.715) (xy 142.32388 132.165849) (xy 142.012811 131.700302) + (xy 141.630725 131.445) (xy 142.012811 131.189698) (xy 142.32388 130.724151) (xy 142.433113 130.175) (xy 142.32388 129.625849) + (xy 142.012811 129.160302) (xy 141.630725 128.905) (xy 142.012811 128.649698) (xy 142.32388 128.184151) (xy 142.433113 127.635) + (xy 142.32388 127.085849) (xy 142.012811 126.620302) (xy 141.630725 126.365) (xy 142.012811 126.109698) (xy 142.32388 125.644151) + (xy 142.433113 125.095) (xy 142.32388 124.545849) (xy 142.012811 124.080302) (xy 141.630725 123.825) (xy 142.012811 123.569698) + (xy 142.32388 123.104151) (xy 142.433113 122.555) (xy 142.32388 122.005849) (xy 142.012811 121.540302) (xy 141.630725 121.285) + (xy 142.012811 121.029698) (xy 142.32388 120.564151) (xy 142.433113 120.015) (xy 144.535907 120.015) (xy 144.648946 120.583285) + (xy 144.970853 121.065054) (xy 145.300026 121.285) (xy 144.970853 121.504946) (xy 144.648946 121.986715) (xy 144.535907 122.555) + (xy 144.648946 123.123285) (xy 144.970853 123.605054) (xy 145.300026 123.825) (xy 144.970853 124.044946) (xy 144.648946 124.526715) + (xy 144.535907 125.095) (xy 144.648946 125.663285) (xy 144.970853 126.145054) (xy 145.300026 126.365) (xy 144.970853 126.584946) + (xy 144.648946 127.066715) (xy 144.535907 127.635) (xy 144.648946 128.203285) (xy 144.970853 128.685054) (xy 145.300026 128.905) + (xy 144.970853 129.124946) (xy 144.648946 129.606715) (xy 144.535907 130.175) (xy 144.648946 130.743285) (xy 144.970853 131.225054) + (xy 145.300026 131.445) (xy 144.970853 131.664946) (xy 144.648946 132.146715) (xy 144.535907 132.715) (xy 144.648946 133.283285) + (xy 144.970853 133.765054) (xy 145.452622 134.086961) (xy 146.020907 134.2) (xy 146.079093 134.2) (xy 146.647378 134.086961) + (xy 147.129147 133.765054) (xy 147.32 133.479422) (xy 147.510853 133.765054) (xy 147.992622 134.086961) (xy 148.560907 134.2) + (xy 148.619093 134.2) (xy 149.187378 134.086961) (xy 149.669147 133.765054) (xy 149.991054 133.283285) (xy 150.104093 132.715) + (xy 149.991054 132.146715) (xy 149.669147 131.664946) (xy 149.339974 131.445) (xy 149.669147 131.225054) (xy 149.991054 130.743285) + (xy 150.104093 130.175) (xy 149.991054 129.606715) (xy 149.669147 129.124946) (xy 149.339974 128.905) (xy 149.669147 128.685054) + (xy 149.991054 128.203285) (xy 150.104093 127.635) (xy 149.991054 127.066715) (xy 149.669147 126.584946) (xy 149.339974 126.365) + (xy 149.669147 126.145054) (xy 149.991054 125.663285) (xy 150.104093 125.095) (xy 149.991054 124.526715) (xy 149.669147 124.044946) + (xy 149.339974 123.825) (xy 149.669147 123.605054) (xy 149.991054 123.123285) (xy 150.104093 122.555) (xy 149.991054 121.986715) + (xy 149.669147 121.504946) (xy 149.339974 121.285) (xy 149.669147 121.065054) (xy 149.991054 120.583285) (xy 150.104093 120.015) + (xy 149.991054 119.446715) (xy 149.669147 118.964946) (xy 149.339974 118.745) (xy 149.669147 118.525054) (xy 149.991054 118.043285) + (xy 150.104093 117.475) (xy 149.991054 116.906715) (xy 149.669147 116.424946) (xy 149.187378 116.103039) (xy 148.619093 115.99) + (xy 148.560907 115.99) (xy 147.992622 116.103039) (xy 147.510853 116.424946) (xy 147.510029 116.426179) (xy 147.503162 116.389683) + (xy 147.36409 116.173559) (xy 147.15189 116.028569) (xy 146.9 115.97756) (xy 145.2 115.97756) (xy 144.964683 116.021838) + (xy 144.748559 116.16091) (xy 144.603569 116.37311) (xy 144.55256 116.625) (xy 144.55256 118.325) (xy 144.596838 118.560317) + (xy 144.73591 118.776441) (xy 144.94811 118.921431) (xy 145.015541 118.935086) (xy 144.970853 118.964946) (xy 144.648946 119.446715) + (xy 144.535907 120.015) (xy 142.433113 120.015) (xy 142.32388 119.465849) (xy 142.012811 119.000302) (xy 141.630725 118.745) + (xy 142.012811 118.489698) (xy 142.32388 118.024151) (xy 142.433113 117.475) (xy 142.32388 116.925849) (xy 142.012811 116.460302) + (xy 141.630725 116.205) (xy 142.012811 115.949698) (xy 142.32388 115.484151) (xy 142.433113 114.935) (xy 142.32388 114.385849) + (xy 142.012811 113.920302) (xy 141.547264 113.609233) (xy 140.998113 113.5) (xy 140.941887 113.5) (xy 140.392736 113.609233) + (xy 139.927189 113.920302) (xy 139.61612 114.385849) (xy 139.506887 114.935) (xy 139.61612 115.484151) (xy 139.927189 115.949698) + (xy 140.309275 116.205) (xy 139.927189 116.460302) (xy 139.61612 116.925849) (xy 139.506887 117.475) (xy 139.61612 118.024151) + (xy 139.927189 118.489698) (xy 140.309275 118.745) (xy 139.927189 119.000302) (xy 139.61612 119.465849) (xy 139.506887 120.015) + (xy 139.61612 120.564151) (xy 139.927189 121.029698) (xy 140.309275 121.285) (xy 139.927189 121.540302) (xy 139.61612 122.005849) + (xy 139.506887 122.555) (xy 139.61612 123.104151) (xy 139.927189 123.569698) (xy 140.309275 123.825) (xy 139.927189 124.080302) + (xy 139.61612 124.545849) (xy 139.506887 125.095) (xy 139.61612 125.644151) (xy 139.927189 126.109698) (xy 140.309275 126.365) + (xy 139.927189 126.620302) (xy 139.61612 127.085849) (xy 139.506887 127.635) (xy 139.61612 128.184151) (xy 139.927189 128.649698) + (xy 140.309275 128.905) (xy 139.927189 129.160302) (xy 139.61612 129.625849) (xy 139.506887 130.175) (xy 139.61612 130.724151) + (xy 139.927189 131.189698) (xy 140.309275 131.445) (xy 139.927189 131.700302) (xy 139.61612 132.165849) (xy 139.506887 132.715) + (xy 139.61612 133.264151) (xy 139.901514 133.691272) (xy 139.886799 133.685162) (xy 139.514833 133.684838) (xy 139.171057 133.826883) + (xy 139.137882 133.86) (xy 136.204802 133.86) (xy 136.190122 133.84532) (xy 136.190162 133.799833) (xy 136.048117 133.456057) + (xy 135.785327 133.192808) (xy 135.441799 133.050162) (xy 135.069833 133.049838) (xy 134.726057 133.191883) (xy 134.662797 133.255032) + (xy 134.741904 133.064039) (xy 134.619915 132.842) (xy 133.477 132.842) (xy 133.223 132.842) (xy 132.080085 132.842) + (xy 131.958096 133.064039) (xy 130.342333 133.064039) (xy 130.225155 132.842) (xy 129.032 132.842) (xy 128.778 132.842) + (xy 127.584845 132.842) (xy 127.463524 133.07189) (xy 92.336759 133.07189) (xy 92.233117 132.821057) (xy 91.970327 132.557808) + (xy 91.626799 132.415162) (xy 91.254833 132.414838) (xy 90.911057 132.556883) (xy 90.647808 132.819673) (xy 90.505162 133.163201) + (xy 90.504838 133.535167) (xy 90.646883 133.878943) (xy 90.909673 134.142192) (xy 91.253201 134.284838) (xy 91.300077 134.284879) + (xy 91.315 134.299802) (xy 91.315 136.597537) (xy 91.282808 136.629673) (xy 91.140162 136.973201) (xy 91.140108 137.035) + (xy 90.47011 137.035) (xy 90.470162 136.974833) (xy 90.328117 136.631057) (xy 90.295 136.597882) (xy 90.295 136.525) + (xy 90.237148 136.234161) (xy 90.072401 135.987599) (xy 89.835122 135.75032) (xy 89.835162 135.704833) (xy 89.693117 135.361057) + (xy 89.430327 135.097808) (xy 89.086799 134.955162) (xy 88.714833 134.954838) (xy 88.371057 135.096883) (xy 88.107808 135.359673) + (xy 87.965162 135.703201) (xy 87.964838 136.075167) (xy 88.106883 136.418943) (xy 88.369673 136.682192) (xy 88.669332 136.806622) + (xy 88.600162 136.973201) (xy 88.600108 137.035) (xy 73.339802 137.035) (xy 73.15 136.845198) (xy 73.15 135.817463) + (xy 73.182192 135.785327) (xy 73.324838 135.441799) (xy 73.325162 135.069833) (xy 73.183117 134.726057) (xy 72.920327 134.462808) + (xy 72.656446 134.353235) (xy 72.548117 134.091057) (xy 72.285327 133.827808) (xy 71.941799 133.685162) (xy 71.569833 133.684838) + (xy 71.226057 133.826883) (xy 71.120046 133.93271) (xy 71.015327 133.827808) (xy 70.671799 133.685162) (xy 70.299833 133.684838) + (xy 69.956057 133.826883) (xy 69.850046 133.93271) (xy 69.745327 133.827808) (xy 69.401799 133.685162) (xy 69.029833 133.684838) + (xy 68.686057 133.826883) (xy 68.580046 133.93271) (xy 68.475327 133.827808) (xy 68.131799 133.685162) (xy 67.759833 133.684838) + (xy 67.416057 133.826883) (xy 67.152808 134.089673) (xy 67.010162 134.433201) (xy 67.009838 134.805167) (xy 67.151883 135.148943) + (xy 67.185 135.182118) (xy 67.185 140.020198) (xy 67.17032 140.034878) (xy 67.124833 140.034838) (xy 66.781057 140.176883) + (xy 66.747882 140.21) (xy 55.559802 140.21) (xy 55.37 140.020198) (xy 55.37 133.953646) (xy 55.4218 133.932243) + (xy 55.825824 133.528923) (xy 55.848215 133.475) (xy 58.477005 133.475) (xy 58.647189 133.729698) (xy 59.112736 134.040767) + (xy 59.661887 134.15) (xy 59.718113 134.15) (xy 60.267264 134.040767) (xy 60.732811 133.729698) (xy 61.04388 133.264151) + (xy 61.153113 132.715) (xy 73.466887 132.715) (xy 73.57612 133.264151) (xy 73.887189 133.729698) (xy 74.352736 134.040767) + (xy 74.901887 134.15) (xy 74.958113 134.15) (xy 75.507264 134.040767) (xy 75.972811 133.729698) (xy 76.28388 133.264151) + (xy 76.393113 132.715) (xy 76.28388 132.165849) (xy 75.972811 131.700302) (xy 75.568297 131.430014) (xy 75.785134 131.327389) + (xy 76.161041 130.912423) (xy 76.321904 130.524039) (xy 76.199915 130.302) (xy 75.057 130.302) (xy 75.057 130.322) + (xy 74.803 130.322) (xy 74.803 130.302) (xy 73.660085 130.302) (xy 73.538096 130.524039) (xy 73.698959 130.912423) + (xy 74.074866 131.327389) (xy 74.291703 131.430014) (xy 73.887189 131.700302) (xy 73.57612 132.165849) (xy 73.466887 132.715) + (xy 61.153113 132.715) (xy 61.04388 132.165849) (xy 60.732811 131.700302) (xy 60.350725 131.445) (xy 60.732811 131.189698) + (xy 61.04388 130.724151) (xy 61.153113 130.175) (xy 127.390907 130.175) (xy 127.503946 130.743285) (xy 127.825853 131.225054) + (xy 128.166553 131.452702) (xy 128.023642 131.519817) (xy 127.633355 131.948076) (xy 127.463524 132.35811) (xy 127.584845 132.588) + (xy 128.778 132.588) (xy 128.778 132.568) (xy 129.032 132.568) (xy 129.032 132.588) (xy 130.225155 132.588) + (xy 130.346476 132.35811) (xy 130.176645 131.948076) (xy 129.786358 131.519817) (xy 129.643447 131.452702) (xy 129.984147 131.225054) + (xy 130.306054 130.743285) (xy 130.419093 130.175) (xy 130.306054 129.606715) (xy 129.984147 129.124946) (xy 129.942548 129.09715) + (xy 129.990317 129.088162) (xy 130.206441 128.94909) (xy 130.351431 128.73689) (xy 130.40244 128.485) (xy 130.40244 127.635) + (xy 131.886887 127.635) (xy 131.99612 128.184151) (xy 132.307189 128.649698) (xy 132.689275 128.905) (xy 132.307189 129.160302) + (xy 131.99612 129.625849) (xy 131.886887 130.175) (xy 131.99612 130.724151) (xy 132.307189 131.189698) (xy 132.711703 131.459986) + (xy 132.494866 131.562611) (xy 132.118959 131.977577) (xy 131.958096 132.365961) (xy 132.080085 132.588) (xy 133.223 132.588) + (xy 133.223 132.568) (xy 133.477 132.568) (xy 133.477 132.588) (xy 134.619915 132.588) (xy 134.741904 132.365961) + (xy 134.581041 131.977577) (xy 134.205134 131.562611) (xy 133.988297 131.459986) (xy 134.392811 131.189698) (xy 134.70388 130.724151) + (xy 134.813113 130.175) (xy 134.70388 129.625849) (xy 134.392811 129.160302) (xy 134.010725 128.905) (xy 134.392811 128.649698) + (xy 134.70388 128.184151) (xy 134.813113 127.635) (xy 134.70388 127.085849) (xy 134.392811 126.620302) (xy 133.988297 126.350014) + (xy 134.205134 126.247389) (xy 134.581041 125.832423) (xy 134.741904 125.444039) (xy 134.619915 125.222) (xy 133.477 125.222) + (xy 133.477 125.242) (xy 133.223 125.242) (xy 133.223 125.222) (xy 132.080085 125.222) (xy 131.958096 125.444039) + (xy 132.118959 125.832423) (xy 132.494866 126.247389) (xy 132.711703 126.350014) (xy 132.307189 126.620302) (xy 131.99612 127.085849) + (xy 131.886887 127.635) (xy 130.40244 127.635) (xy 130.40244 126.785) (xy 130.358162 126.549683) (xy 130.21909 126.333559) + (xy 130.00689 126.188569) (xy 129.755 126.13756) (xy 128.055 126.13756) (xy 127.819683 126.181838) (xy 127.603559 126.32091) + (xy 127.458569 126.53311) (xy 127.40756 126.785) (xy 127.40756 128.485) (xy 127.451838 128.720317) (xy 127.59091 128.936441) + (xy 127.80311 129.081431) (xy 127.870541 129.095086) (xy 127.825853 129.124946) (xy 127.503946 129.606715) (xy 127.390907 130.175) + (xy 61.153113 130.175) (xy 61.04388 129.625849) (xy 60.732811 129.160302) (xy 60.350725 128.905) (xy 60.732811 128.649698) + (xy 60.862792 128.455167) (xy 67.009838 128.455167) (xy 67.151883 128.798943) (xy 67.414673 129.062192) (xy 67.758201 129.204838) + (xy 68.130167 129.205162) (xy 68.473943 129.063117) (xy 68.737192 128.800327) (xy 68.879838 128.456799) (xy 68.879879 128.409923) + (xy 68.894802 128.395) (xy 73.717005 128.395) (xy 73.887189 128.649698) (xy 74.291703 128.919986) (xy 74.074866 129.022611) + (xy 73.698959 129.437577) (xy 73.538096 129.825961) (xy 73.660085 130.048) (xy 74.803 130.048) (xy 74.803 130.028) + (xy 75.057 130.028) (xy 75.057 130.048) (xy 76.199915 130.048) (xy 76.321904 129.825961) (xy 76.161041 129.437577) + (xy 75.785134 129.022611) (xy 75.568297 128.919986) (xy 75.972811 128.649698) (xy 76.28388 128.184151) (xy 76.322919 127.987887) + (xy 81.369 127.987887) (xy 81.369 128.044113) (xy 81.478233 128.593264) (xy 81.789302 129.058811) (xy 82.254849 129.36988) + (xy 82.804 129.479113) (xy 83.353151 129.36988) (xy 83.818698 129.058811) (xy 84.129767 128.593264) (xy 84.239 128.044113) + (xy 84.239 127.987887) (xy 85.433 127.987887) (xy 85.433 128.044113) (xy 85.542233 128.593264) (xy 85.853302 129.058811) + (xy 86.318849 129.36988) (xy 86.868 129.479113) (xy 87.417151 129.36988) (xy 87.882698 129.058811) (xy 88.193767 128.593264) + (xy 88.303 128.044113) (xy 88.303 127.987887) (xy 89.497 127.987887) (xy 89.497 128.044113) (xy 89.606233 128.593264) + (xy 89.917302 129.058811) (xy 90.382849 129.36988) (xy 90.932 129.479113) (xy 91.481151 129.36988) (xy 91.946698 129.058811) + (xy 92.257767 128.593264) (xy 92.367 128.044113) (xy 92.367 127.987887) (xy 93.561 127.987887) (xy 93.561 128.044113) + (xy 93.670233 128.593264) (xy 93.981302 129.058811) (xy 94.446849 129.36988) (xy 94.996 129.479113) (xy 95.545151 129.36988) + (xy 96.010698 129.058811) (xy 96.321767 128.593264) (xy 96.431 128.044113) (xy 96.431 127.987887) (xy 97.625 127.987887) + (xy 97.625 128.044113) (xy 97.734233 128.593264) (xy 98.045302 129.058811) (xy 98.510849 129.36988) (xy 99.06 129.479113) + (xy 99.609151 129.36988) (xy 100.074698 129.058811) (xy 100.385767 128.593264) (xy 100.495 128.044113) (xy 100.495 127.987887) + (xy 101.689 127.987887) (xy 101.689 128.044113) (xy 101.798233 128.593264) (xy 102.109302 129.058811) (xy 102.574849 129.36988) + (xy 103.124 129.479113) (xy 103.673151 129.36988) (xy 104.138698 129.058811) (xy 104.449767 128.593264) (xy 104.559 128.044113) + (xy 104.559 127.987887) (xy 105.753 127.987887) (xy 105.753 128.044113) (xy 105.862233 128.593264) (xy 106.173302 129.058811) + (xy 106.638849 129.36988) (xy 107.188 129.479113) (xy 107.737151 129.36988) (xy 108.202698 129.058811) (xy 108.513767 128.593264) + (xy 108.623 128.044113) (xy 108.623 127.987887) (xy 109.817 127.987887) (xy 109.817 128.044113) (xy 109.926233 128.593264) + (xy 110.237302 129.058811) (xy 110.702849 129.36988) (xy 111.252 129.479113) (xy 111.801151 129.36988) (xy 112.266698 129.058811) + (xy 112.577767 128.593264) (xy 112.687 128.044113) (xy 112.687 127.987887) (xy 113.881 127.987887) (xy 113.881 128.044113) + (xy 113.990233 128.593264) (xy 114.301302 129.058811) (xy 114.766849 129.36988) (xy 115.316 129.479113) (xy 115.865151 129.36988) + (xy 116.330698 129.058811) (xy 116.641767 128.593264) (xy 116.751 128.044113) (xy 116.751 127.987887) (xy 117.945 127.987887) + (xy 117.945 128.044113) (xy 118.054233 128.593264) (xy 118.365302 129.058811) (xy 118.830849 129.36988) (xy 119.38 129.479113) + (xy 119.929151 129.36988) (xy 120.394698 129.058811) (xy 120.705767 128.593264) (xy 120.815 128.044113) (xy 120.815 127.987887) + (xy 122.009 127.987887) (xy 122.009 128.044113) (xy 122.118233 128.593264) (xy 122.429302 129.058811) (xy 122.894849 129.36988) + (xy 123.444 129.479113) (xy 123.993151 129.36988) (xy 124.458698 129.058811) (xy 124.769767 128.593264) (xy 124.879 128.044113) + (xy 124.879 127.987887) (xy 124.769767 127.438736) (xy 124.458698 126.973189) (xy 123.993151 126.66212) (xy 123.444 126.552887) + (xy 122.894849 126.66212) (xy 122.429302 126.973189) (xy 122.118233 127.438736) (xy 122.009 127.987887) (xy 120.815 127.987887) + (xy 120.705767 127.438736) (xy 120.394698 126.973189) (xy 119.929151 126.66212) (xy 119.38 126.552887) (xy 118.830849 126.66212) + (xy 118.365302 126.973189) (xy 118.054233 127.438736) (xy 117.945 127.987887) (xy 116.751 127.987887) (xy 116.641767 127.438736) + (xy 116.330698 126.973189) (xy 115.865151 126.66212) (xy 115.316 126.552887) (xy 114.766849 126.66212) (xy 114.301302 126.973189) + (xy 113.990233 127.438736) (xy 113.881 127.987887) (xy 112.687 127.987887) (xy 112.577767 127.438736) (xy 112.266698 126.973189) + (xy 111.801151 126.66212) (xy 111.252 126.552887) (xy 110.702849 126.66212) (xy 110.237302 126.973189) (xy 109.926233 127.438736) + (xy 109.817 127.987887) (xy 108.623 127.987887) (xy 108.513767 127.438736) (xy 108.202698 126.973189) (xy 107.737151 126.66212) + (xy 107.188 126.552887) (xy 106.638849 126.66212) (xy 106.173302 126.973189) (xy 105.862233 127.438736) (xy 105.753 127.987887) + (xy 104.559 127.987887) (xy 104.449767 127.438736) (xy 104.138698 126.973189) (xy 103.673151 126.66212) (xy 103.124 126.552887) + (xy 102.574849 126.66212) (xy 102.109302 126.973189) (xy 101.798233 127.438736) (xy 101.689 127.987887) (xy 100.495 127.987887) + (xy 100.385767 127.438736) (xy 100.074698 126.973189) (xy 99.609151 126.66212) (xy 99.06 126.552887) (xy 98.510849 126.66212) + (xy 98.045302 126.973189) (xy 97.734233 127.438736) (xy 97.625 127.987887) (xy 96.431 127.987887) (xy 96.321767 127.438736) + (xy 96.010698 126.973189) (xy 95.545151 126.66212) (xy 94.996 126.552887) (xy 94.446849 126.66212) (xy 93.981302 126.973189) + (xy 93.670233 127.438736) (xy 93.561 127.987887) (xy 92.367 127.987887) (xy 92.257767 127.438736) (xy 91.946698 126.973189) + (xy 91.481151 126.66212) (xy 90.932 126.552887) (xy 90.382849 126.66212) (xy 89.917302 126.973189) (xy 89.606233 127.438736) + (xy 89.497 127.987887) (xy 88.303 127.987887) (xy 88.193767 127.438736) (xy 87.882698 126.973189) (xy 87.628 126.803005) + (xy 87.628 124.645167) (xy 102.569838 124.645167) (xy 102.711883 124.988943) (xy 102.974673 125.252192) (xy 103.238554 125.361765) + (xy 103.346883 125.623943) (xy 103.609673 125.887192) (xy 103.953201 126.029838) (xy 104.325167 126.030162) (xy 104.668943 125.888117) + (xy 104.702118 125.855) (xy 106.117537 125.855) (xy 106.149673 125.887192) (xy 106.493201 126.029838) (xy 106.865167 126.030162) + (xy 107.208943 125.888117) (xy 107.472192 125.625327) (xy 107.614838 125.281799) (xy 107.614839 125.280167) (xy 111.459838 125.280167) + (xy 111.601883 125.623943) (xy 111.864673 125.887192) (xy 112.208201 126.029838) (xy 112.580167 126.030162) (xy 112.923943 125.888117) + (xy 112.957118 125.855) (xy 113.737537 125.855) (xy 113.769673 125.887192) (xy 114.113201 126.029838) (xy 114.485167 126.030162) + (xy 114.828943 125.888117) (xy 115.092192 125.625327) (xy 115.234838 125.281799) (xy 115.235162 124.909833) (xy 115.093117 124.566057) + (xy 114.830327 124.302808) (xy 114.486799 124.160162) (xy 114.114833 124.159838) (xy 113.771057 124.301883) (xy 113.737882 124.335) + (xy 112.957463 124.335) (xy 112.925327 124.302808) (xy 112.581799 124.160162) (xy 112.209833 124.159838) (xy 111.866057 124.301883) + (xy 111.602808 124.564673) (xy 111.460162 124.908201) (xy 111.459838 125.280167) (xy 107.614839 125.280167) (xy 107.615162 124.909833) + (xy 107.473117 124.566057) (xy 107.210327 124.302808) (xy 106.946446 124.193235) (xy 106.838117 123.931057) (xy 106.575327 123.667808) + (xy 106.231799 123.525162) (xy 105.859833 123.524838) (xy 105.516057 123.666883) (xy 105.482882 123.7) (xy 104.067463 123.7) + (xy 104.035327 123.667808) (xy 103.691799 123.525162) (xy 103.319833 123.524838) (xy 102.976057 123.666883) (xy 102.712808 123.929673) + (xy 102.570162 124.273201) (xy 102.569838 124.645167) (xy 87.628 124.645167) (xy 87.628 122.990463) (xy 87.660192 122.958327) + (xy 87.682734 122.904039) (xy 131.958096 122.904039) (xy 132.118959 123.292423) (xy 132.494866 123.707389) (xy 132.743367 123.825) + (xy 132.494866 123.942611) (xy 132.118959 124.357577) (xy 131.958096 124.745961) (xy 132.080085 124.968) (xy 133.223 124.968) + (xy 133.223 122.682) (xy 133.477 122.682) (xy 133.477 124.968) (xy 134.619915 124.968) (xy 134.741904 124.745961) + (xy 134.581041 124.357577) (xy 134.205134 123.942611) (xy 133.956633 123.825) (xy 134.205134 123.707389) (xy 134.581041 123.292423) + (xy 134.741904 122.904039) (xy 134.619915 122.682) (xy 133.477 122.682) (xy 133.223 122.682) (xy 132.080085 122.682) + (xy 131.958096 122.904039) (xy 87.682734 122.904039) (xy 87.802838 122.614799) (xy 87.803162 122.242833) (xy 87.661117 121.899057) + (xy 87.398327 121.635808) (xy 87.054799 121.493162) (xy 86.682833 121.492838) (xy 86.339057 121.634883) (xy 86.075808 121.897673) + (xy 85.933162 122.241201) (xy 85.932838 122.613167) (xy 86.074883 122.956943) (xy 86.108 122.990118) (xy 86.108 126.803005) + (xy 85.853302 126.973189) (xy 85.542233 127.438736) (xy 85.433 127.987887) (xy 84.239 127.987887) (xy 84.129767 127.438736) + (xy 83.818698 126.973189) (xy 83.353151 126.66212) (xy 82.804 126.552887) (xy 82.254849 126.66212) (xy 81.789302 126.973189) + (xy 81.478233 127.438736) (xy 81.369 127.987887) (xy 76.322919 127.987887) (xy 76.393113 127.635) (xy 76.28388 127.085849) + (xy 75.972811 126.620302) (xy 75.590725 126.365) (xy 75.972811 126.109698) (xy 76.28388 125.644151) (xy 76.393113 125.095) + (xy 76.28388 124.545849) (xy 75.972811 124.080302) (xy 75.590725 123.825) (xy 75.972811 123.569698) (xy 76.28388 123.104151) + (xy 76.393113 122.555) (xy 76.28388 122.005849) (xy 75.972811 121.540302) (xy 75.590725 121.285) (xy 75.972811 121.029698) + (xy 76.28388 120.564151) (xy 76.393113 120.015) (xy 76.28388 119.465849) (xy 75.972811 119.000302) (xy 75.590725 118.745) + (xy 75.972811 118.489698) (xy 76.206347 118.140187) (xy 81.368752 118.140187) (xy 81.586757 118.6678) (xy 81.990077 119.071824) + (xy 82.517309 119.29075) (xy 83.088187 119.291248) (xy 83.6158 119.073243) (xy 84.019824 118.669923) (xy 84.23875 118.142691) + (xy 84.238752 118.140187) (xy 85.432752 118.140187) (xy 85.650757 118.6678) (xy 86.054077 119.071824) (xy 86.581309 119.29075) + (xy 87.152187 119.291248) (xy 87.6798 119.073243) (xy 88.083824 118.669923) (xy 88.30275 118.142691) (xy 88.302752 118.140187) + (xy 89.496752 118.140187) (xy 89.714757 118.6678) (xy 90.118077 119.071824) (xy 90.645309 119.29075) (xy 91.216187 119.291248) + (xy 91.7438 119.073243) (xy 92.147824 118.669923) (xy 92.36675 118.142691) (xy 92.366752 118.140187) (xy 93.560752 118.140187) + (xy 93.778757 118.6678) (xy 94.182077 119.071824) (xy 94.709309 119.29075) (xy 95.280187 119.291248) (xy 95.8078 119.073243) + (xy 96.211824 118.669923) (xy 96.43075 118.142691) (xy 96.430752 118.140187) (xy 97.624752 118.140187) (xy 97.842757 118.6678) + (xy 98.246077 119.071824) (xy 98.773309 119.29075) (xy 99.344187 119.291248) (xy 99.8718 119.073243) (xy 100.275824 118.669923) + (xy 100.49475 118.142691) (xy 100.494752 118.140187) (xy 101.688752 118.140187) (xy 101.906757 118.6678) (xy 102.310077 119.071824) + (xy 102.837309 119.29075) (xy 103.408187 119.291248) (xy 103.9358 119.073243) (xy 104.339824 118.669923) (xy 104.55875 118.142691) + (xy 104.558752 118.140187) (xy 105.752752 118.140187) (xy 105.970757 118.6678) (xy 106.374077 119.071824) (xy 106.901309 119.29075) + (xy 107.472187 119.291248) (xy 107.9998 119.073243) (xy 108.403824 118.669923) (xy 108.62275 118.142691) (xy 108.622752 118.140187) + (xy 109.816752 118.140187) (xy 110.034757 118.6678) (xy 110.438077 119.071824) (xy 110.965309 119.29075) (xy 111.536187 119.291248) + (xy 112.0638 119.073243) (xy 112.467824 118.669923) (xy 112.68675 118.142691) (xy 112.686752 118.140187) (xy 113.880752 118.140187) + (xy 114.098757 118.6678) (xy 114.502077 119.071824) (xy 115.029309 119.29075) (xy 115.600187 119.291248) (xy 116.1278 119.073243) + (xy 116.531824 118.669923) (xy 116.75075 118.142691) (xy 116.750752 118.140187) (xy 117.944752 118.140187) (xy 118.162757 118.6678) + (xy 118.566077 119.071824) (xy 119.093309 119.29075) (xy 119.664187 119.291248) (xy 120.1918 119.073243) (xy 120.595824 118.669923) + (xy 120.81475 118.142691) (xy 120.814752 118.140187) (xy 122.008752 118.140187) (xy 122.226757 118.6678) (xy 122.630077 119.071824) + (xy 123.157309 119.29075) (xy 123.728187 119.291248) (xy 124.2558 119.073243) (xy 124.659824 118.669923) (xy 124.87875 118.142691) + (xy 124.879248 117.571813) (xy 124.839246 117.475) (xy 127.390907 117.475) (xy 127.503946 118.043285) (xy 127.825853 118.525054) + (xy 128.155026 118.745) (xy 127.825853 118.964946) (xy 127.503946 119.446715) (xy 127.390907 120.015) (xy 127.503946 120.583285) + (xy 127.825853 121.065054) (xy 128.307622 121.386961) (xy 128.875907 121.5) (xy 128.934093 121.5) (xy 129.502378 121.386961) + (xy 129.984147 121.065054) (xy 130.306054 120.583285) (xy 130.419093 120.015) (xy 130.306054 119.446715) (xy 129.984147 118.964946) + (xy 129.654974 118.745) (xy 129.984147 118.525054) (xy 130.306054 118.043285) (xy 130.419093 117.475) (xy 131.886887 117.475) + (xy 131.99612 118.024151) (xy 132.307189 118.489698) (xy 132.689275 118.745) (xy 132.307189 119.000302) (xy 131.99612 119.465849) + (xy 131.886887 120.015) (xy 131.99612 120.564151) (xy 132.307189 121.029698) (xy 132.711703 121.299986) (xy 132.494866 121.402611) + (xy 132.118959 121.817577) (xy 131.958096 122.205961) (xy 132.080085 122.428) (xy 133.223 122.428) (xy 133.223 122.408) + (xy 133.477 122.408) (xy 133.477 122.428) (xy 134.619915 122.428) (xy 134.741904 122.205961) (xy 134.581041 121.817577) + (xy 134.205134 121.402611) (xy 133.988297 121.299986) (xy 134.392811 121.029698) (xy 134.70388 120.564151) (xy 134.813113 120.015) + (xy 134.70388 119.465849) (xy 134.392811 119.000302) (xy 134.010725 118.745) (xy 134.392811 118.489698) (xy 134.70388 118.024151) + (xy 134.813113 117.475) (xy 134.70388 116.925849) (xy 134.392811 116.460302) (xy 134.248535 116.363899) (xy 134.385317 116.338162) + (xy 134.601441 116.19909) (xy 134.746431 115.98689) (xy 134.79744 115.735) (xy 134.79744 114.135) (xy 134.753162 113.899683) + (xy 134.61409 113.683559) (xy 134.40189 113.538569) (xy 134.15 113.48756) (xy 132.55 113.48756) (xy 132.314683 113.531838) + (xy 132.098559 113.67091) (xy 131.953569 113.88311) (xy 131.90256 114.135) (xy 131.90256 115.735) (xy 131.946838 115.970317) + (xy 132.08591 116.186441) (xy 132.29811 116.331431) (xy 132.453089 116.362815) (xy 132.307189 116.460302) (xy 131.99612 116.925849) + (xy 131.886887 117.475) (xy 130.419093 117.475) (xy 130.306054 116.906715) (xy 129.984147 116.424946) (xy 129.942548 116.39715) + (xy 129.990317 116.388162) (xy 130.206441 116.24909) (xy 130.351431 116.03689) (xy 130.40244 115.785) (xy 130.40244 114.085) + (xy 130.358162 113.849683) (xy 130.21909 113.633559) (xy 130.00689 113.488569) (xy 129.755 113.43756) (xy 128.055 113.43756) + (xy 127.819683 113.481838) (xy 127.603559 113.62091) (xy 127.458569 113.83311) (xy 127.40756 114.085) (xy 127.40756 115.785) + (xy 127.451838 116.020317) (xy 127.59091 116.236441) (xy 127.80311 116.381431) (xy 127.870541 116.395086) (xy 127.825853 116.424946) + (xy 127.503946 116.906715) (xy 127.390907 117.475) (xy 124.839246 117.475) (xy 124.661243 117.0442) (xy 124.257923 116.640176) + (xy 123.730691 116.42125) (xy 123.159813 116.420752) (xy 122.6322 116.638757) (xy 122.228176 117.042077) (xy 122.00925 117.569309) + (xy 122.008752 118.140187) (xy 120.814752 118.140187) (xy 120.815248 117.571813) (xy 120.597243 117.0442) (xy 120.193923 116.640176) + (xy 119.666691 116.42125) (xy 119.095813 116.420752) (xy 118.5682 116.638757) (xy 118.164176 117.042077) (xy 117.94525 117.569309) + (xy 117.944752 118.140187) (xy 116.750752 118.140187) (xy 116.751248 117.571813) (xy 116.533243 117.0442) (xy 116.129923 116.640176) + (xy 115.602691 116.42125) (xy 115.031813 116.420752) (xy 114.5042 116.638757) (xy 114.100176 117.042077) (xy 113.88125 117.569309) + (xy 113.880752 118.140187) (xy 112.686752 118.140187) (xy 112.687248 117.571813) (xy 112.469243 117.0442) (xy 112.065923 116.640176) + (xy 111.538691 116.42125) (xy 110.967813 116.420752) (xy 110.4402 116.638757) (xy 110.036176 117.042077) (xy 109.81725 117.569309) + (xy 109.816752 118.140187) (xy 108.622752 118.140187) (xy 108.623248 117.571813) (xy 108.405243 117.0442) (xy 108.001923 116.640176) + (xy 107.474691 116.42125) (xy 106.903813 116.420752) (xy 106.3762 116.638757) (xy 105.972176 117.042077) (xy 105.75325 117.569309) + (xy 105.752752 118.140187) (xy 104.558752 118.140187) (xy 104.559248 117.571813) (xy 104.341243 117.0442) (xy 103.937923 116.640176) + (xy 103.410691 116.42125) (xy 102.839813 116.420752) (xy 102.3122 116.638757) (xy 101.908176 117.042077) (xy 101.68925 117.569309) + (xy 101.688752 118.140187) (xy 100.494752 118.140187) (xy 100.495248 117.571813) (xy 100.277243 117.0442) (xy 99.873923 116.640176) + (xy 99.346691 116.42125) (xy 98.775813 116.420752) (xy 98.2482 116.638757) (xy 97.844176 117.042077) (xy 97.62525 117.569309) + (xy 97.624752 118.140187) (xy 96.430752 118.140187) (xy 96.431248 117.571813) (xy 96.213243 117.0442) (xy 95.809923 116.640176) + (xy 95.282691 116.42125) (xy 94.711813 116.420752) (xy 94.1842 116.638757) (xy 93.780176 117.042077) (xy 93.56125 117.569309) + (xy 93.560752 118.140187) (xy 92.366752 118.140187) (xy 92.367248 117.571813) (xy 92.149243 117.0442) (xy 91.745923 116.640176) + (xy 91.218691 116.42125) (xy 90.647813 116.420752) (xy 90.1202 116.638757) (xy 89.716176 117.042077) (xy 89.49725 117.569309) + (xy 89.496752 118.140187) (xy 88.302752 118.140187) (xy 88.303248 117.571813) (xy 88.085243 117.0442) (xy 87.681923 116.640176) + (xy 87.154691 116.42125) (xy 86.583813 116.420752) (xy 86.0562 116.638757) (xy 85.652176 117.042077) (xy 85.43325 117.569309) + (xy 85.432752 118.140187) (xy 84.238752 118.140187) (xy 84.239248 117.571813) (xy 84.021243 117.0442) (xy 83.617923 116.640176) + (xy 83.090691 116.42125) (xy 82.519813 116.420752) (xy 81.9922 116.638757) (xy 81.588176 117.042077) (xy 81.36925 117.569309) + (xy 81.368752 118.140187) (xy 76.206347 118.140187) (xy 76.28388 118.024151) (xy 76.393113 117.475) (xy 76.28388 116.925849) + (xy 75.972811 116.460302) (xy 75.590725 116.205) (xy 75.972811 115.949698) (xy 76.28388 115.484151) (xy 76.393113 114.935) + (xy 76.28388 114.385849) (xy 76.207733 114.271887) (xy 85.433 114.271887) (xy 85.433 114.328113) (xy 85.542233 114.877264) + (xy 85.853302 115.342811) (xy 86.318849 115.65388) (xy 86.868 115.763113) (xy 87.417151 115.65388) (xy 87.882698 115.342811) + (xy 88.193767 114.877264) (xy 88.239163 114.649041) (xy 89.540086 114.649041) (xy 89.779611 115.155134) (xy 90.194577 115.531041) + (xy 90.582961 115.691904) (xy 90.805 115.569915) (xy 90.805 114.427) (xy 91.059 114.427) (xy 91.059 115.569915) + (xy 91.281039 115.691904) (xy 91.669423 115.531041) (xy 92.084389 115.155134) (xy 92.323914 114.649041) (xy 92.202629 114.427) + (xy 91.059 114.427) (xy 90.805 114.427) (xy 89.661371 114.427) (xy 89.540086 114.649041) (xy 88.239163 114.649041) + (xy 88.303 114.328113) (xy 88.303 114.271887) (xy 93.561 114.271887) (xy 93.561 114.328113) (xy 93.670233 114.877264) + (xy 93.981302 115.342811) (xy 94.446849 115.65388) (xy 94.996 115.763113) (xy 95.545151 115.65388) (xy 96.010698 115.342811) + (xy 96.321767 114.877264) (xy 96.431 114.328113) (xy 96.431 114.271887) (xy 96.392073 114.076187) (xy 97.196752 114.076187) + (xy 97.414757 114.6038) (xy 97.818077 115.007824) (xy 98.345309 115.22675) (xy 98.916187 115.227248) (xy 99.4438 115.009243) + (xy 99.847824 114.605923) (xy 100.06675 114.078691) (xy 100.066752 114.076187) (xy 102.196752 114.076187) (xy 102.414757 114.6038) + (xy 102.818077 115.007824) (xy 103.345309 115.22675) (xy 103.916187 115.227248) (xy 104.4438 115.009243) (xy 104.847824 114.605923) + (xy 105.06675 114.078691) (xy 105.066752 114.076187) (xy 105.324752 114.076187) (xy 105.542757 114.6038) (xy 105.946077 115.007824) + (xy 106.473309 115.22675) (xy 107.044187 115.227248) (xy 107.5718 115.009243) (xy 107.975824 114.605923) (xy 108.19475 114.078691) + (xy 108.194752 114.076187) (xy 110.324752 114.076187) (xy 110.542757 114.6038) (xy 110.946077 115.007824) (xy 111.473309 115.22675) + (xy 112.044187 115.227248) (xy 112.5718 115.009243) (xy 112.975824 114.605923) (xy 113.19475 114.078691) (xy 113.194752 114.076187) + (xy 117.516752 114.076187) (xy 117.734757 114.6038) (xy 118.138077 115.007824) (xy 118.665309 115.22675) (xy 119.236187 115.227248) + (xy 119.7638 115.009243) (xy 120.167824 114.605923) (xy 120.38675 114.078691) (xy 120.386752 114.076187) (xy 122.516752 114.076187) + (xy 122.734757 114.6038) (xy 123.138077 115.007824) (xy 123.665309 115.22675) (xy 124.236187 115.227248) (xy 124.7638 115.009243) + (xy 125.167824 114.605923) (xy 125.38675 114.078691) (xy 125.387248 113.507813) (xy 125.169243 112.9802) (xy 124.765923 112.576176) + (xy 124.238691 112.35725) (xy 123.667813 112.356752) (xy 123.1402 112.574757) (xy 122.736176 112.978077) (xy 122.51725 113.505309) + (xy 122.516752 114.076187) (xy 120.386752 114.076187) (xy 120.387248 113.507813) (xy 120.169243 112.9802) (xy 119.765923 112.576176) + (xy 119.238691 112.35725) (xy 118.667813 112.356752) (xy 118.1402 112.574757) (xy 117.736176 112.978077) (xy 117.51725 113.505309) + (xy 117.516752 114.076187) (xy 113.194752 114.076187) (xy 113.195248 113.507813) (xy 112.977243 112.9802) (xy 112.573923 112.576176) + (xy 112.046691 112.35725) (xy 111.475813 112.356752) (xy 110.9482 112.574757) (xy 110.544176 112.978077) (xy 110.32525 113.505309) + (xy 110.324752 114.076187) (xy 108.194752 114.076187) (xy 108.195248 113.507813) (xy 107.977243 112.9802) (xy 107.573923 112.576176) + (xy 107.046691 112.35725) (xy 106.475813 112.356752) (xy 105.9482 112.574757) (xy 105.544176 112.978077) (xy 105.32525 113.505309) + (xy 105.324752 114.076187) (xy 105.066752 114.076187) (xy 105.067248 113.507813) (xy 104.849243 112.9802) (xy 104.445923 112.576176) + (xy 103.918691 112.35725) (xy 103.347813 112.356752) (xy 102.8202 112.574757) (xy 102.416176 112.978077) (xy 102.19725 113.505309) + (xy 102.196752 114.076187) (xy 100.066752 114.076187) (xy 100.067248 113.507813) (xy 99.849243 112.9802) (xy 99.445923 112.576176) + (xy 98.918691 112.35725) (xy 98.347813 112.356752) (xy 97.8202 112.574757) (xy 97.416176 112.978077) (xy 97.19725 113.505309) + (xy 97.196752 114.076187) (xy 96.392073 114.076187) (xy 96.321767 113.722736) (xy 96.010698 113.257189) (xy 95.545151 112.94612) + (xy 94.996 112.836887) (xy 94.446849 112.94612) (xy 93.981302 113.257189) (xy 93.670233 113.722736) (xy 93.561 114.271887) + (xy 88.303 114.271887) (xy 88.239164 113.950959) (xy 89.540086 113.950959) (xy 89.661371 114.173) (xy 90.805 114.173) + (xy 90.805 113.030085) (xy 91.059 113.030085) (xy 91.059 114.173) (xy 92.202629 114.173) (xy 92.323914 113.950959) + (xy 92.084389 113.444866) (xy 91.669423 113.068959) (xy 91.281039 112.908096) (xy 91.059 113.030085) (xy 90.805 113.030085) + (xy 90.582961 112.908096) (xy 90.194577 113.068959) (xy 89.779611 113.444866) (xy 89.540086 113.950959) (xy 88.239164 113.950959) + (xy 88.193767 113.722736) (xy 87.882698 113.257189) (xy 87.417151 112.94612) (xy 86.868 112.836887) (xy 86.318849 112.94612) + (xy 85.853302 113.257189) (xy 85.542233 113.722736) (xy 85.433 114.271887) (xy 76.207733 114.271887) (xy 75.972811 113.920302) + (xy 75.590725 113.665) (xy 75.972811 113.409698) (xy 76.28388 112.944151) (xy 76.393113 112.395) (xy 76.340948 112.132745) + (xy 133.871861 112.132745) (xy 133.945995 112.378864) (xy 134.483223 112.571965) (xy 135.053454 112.544778) (xy 135.454005 112.378864) + (xy 135.528139 112.132745) (xy 134.7 111.304605) (xy 133.871861 112.132745) (xy 76.340948 112.132745) (xy 76.28388 111.845849) + (xy 75.972811 111.380302) (xy 75.590725 111.125) (xy 75.915154 110.908223) (xy 133.253035 110.908223) (xy 133.280222 111.478454) + (xy 133.446136 111.879005) (xy 133.692255 111.953139) (xy 134.520395 111.125) (xy 134.879605 111.125) (xy 135.707745 111.953139) + (xy 135.953864 111.879005) (xy 136.146965 111.341777) (xy 136.119778 110.771546) (xy 135.953864 110.370995) (xy 135.707745 110.296861) + (xy 134.879605 111.125) (xy 134.520395 111.125) (xy 133.692255 110.296861) (xy 133.446136 110.370995) (xy 133.253035 110.908223) + (xy 75.915154 110.908223) (xy 75.972811 110.869698) (xy 76.28388 110.404151) (xy 76.340947 110.117255) (xy 133.871861 110.117255) + (xy 134.7 110.945395) (xy 135.528139 110.117255) (xy 135.454005 109.871136) (xy 134.916777 109.678035) (xy 134.346546 109.705222) + (xy 133.945995 109.871136) (xy 133.871861 110.117255) (xy 76.340947 110.117255) (xy 76.393113 109.855) (xy 76.28388 109.305849) + (xy 75.972811 108.840302) (xy 75.590725 108.585) (xy 75.972811 108.329698) (xy 76.28388 107.864151) (xy 76.393113 107.315) + (xy 76.323332 106.964187) (xy 97.196752 106.964187) (xy 97.414757 107.4918) (xy 97.818077 107.895824) (xy 98.345309 108.11475) + (xy 98.916187 108.115248) (xy 99.4438 107.897243) (xy 99.847824 107.493923) (xy 100.06675 106.966691) (xy 100.066752 106.964187) + (xy 102.196752 106.964187) (xy 102.414757 107.4918) (xy 102.818077 107.895824) (xy 103.345309 108.11475) (xy 103.916187 108.115248) + (xy 104.4438 107.897243) (xy 104.847824 107.493923) (xy 105.06675 106.966691) (xy 105.067248 106.395813) (xy 104.849243 105.8682) + (xy 104.445923 105.464176) (xy 103.918691 105.24525) (xy 103.347813 105.244752) (xy 102.8202 105.462757) (xy 102.416176 105.866077) + (xy 102.19725 106.393309) (xy 102.196752 106.964187) (xy 100.066752 106.964187) (xy 100.067248 106.395813) (xy 99.849243 105.8682) + (xy 99.445923 105.464176) (xy 98.918691 105.24525) (xy 98.347813 105.244752) (xy 97.8202 105.462757) (xy 97.416176 105.866077) + (xy 97.19725 106.393309) (xy 97.196752 106.964187) (xy 76.323332 106.964187) (xy 76.28388 106.765849) (xy 75.972811 106.300302) + (xy 75.590725 106.045) (xy 75.972811 105.789698) (xy 76.28388 105.324151) (xy 76.393113 104.775) (xy 76.323332 104.424187) + (xy 85.432752 104.424187) (xy 85.650757 104.9518) (xy 86.054077 105.355824) (xy 86.581309 105.57475) (xy 87.152187 105.575248) + (xy 87.6798 105.357243) (xy 88.083824 104.953923) (xy 88.30275 104.426691) (xy 88.302752 104.424187) (xy 89.496752 104.424187) + (xy 89.714757 104.9518) (xy 90.118077 105.355824) (xy 90.645309 105.57475) (xy 91.216187 105.575248) (xy 91.7438 105.357243) + (xy 92.147824 104.953923) (xy 92.36675 104.426691) (xy 92.366752 104.424187) (xy 93.560752 104.424187) (xy 93.778757 104.9518) + (xy 94.182077 105.355824) (xy 94.709309 105.57475) (xy 95.280187 105.575248) (xy 95.8078 105.357243) (xy 96.211824 104.953923) + (xy 96.286119 104.775) (xy 140.597305 104.775) (xy 140.721723 105.400492) (xy 141.076036 105.930759) (xy 141.606303 106.285072) + (xy 142.231795 106.40949) (xy 143.518205 106.40949) (xy 144.143697 106.285072) (xy 144.673964 105.930759) (xy 145.028277 105.400492) + (xy 145.152695 104.775) (xy 145.028277 104.149508) (xy 144.673964 103.619241) (xy 144.143697 103.264928) (xy 143.518205 103.14051) + (xy 142.231795 103.14051) (xy 141.606303 103.264928) (xy 141.076036 103.619241) (xy 140.721723 104.149508) (xy 140.597305 104.775) + (xy 96.286119 104.775) (xy 96.43075 104.426691) (xy 96.431248 103.855813) (xy 96.213243 103.3282) (xy 95.809923 102.924176) + (xy 95.282691 102.70525) (xy 94.711813 102.704752) (xy 94.1842 102.922757) (xy 93.780176 103.326077) (xy 93.56125 103.853309) + (xy 93.560752 104.424187) (xy 92.366752 104.424187) (xy 92.367248 103.855813) (xy 92.149243 103.3282) (xy 91.745923 102.924176) + (xy 91.218691 102.70525) (xy 90.647813 102.704752) (xy 90.1202 102.922757) (xy 89.716176 103.326077) (xy 89.49725 103.853309) + (xy 89.496752 104.424187) (xy 88.302752 104.424187) (xy 88.303248 103.855813) (xy 88.085243 103.3282) (xy 87.681923 102.924176) + (xy 87.154691 102.70525) (xy 86.583813 102.704752) (xy 86.0562 102.922757) (xy 85.652176 103.326077) (xy 85.43325 103.853309) + (xy 85.432752 104.424187) (xy 76.323332 104.424187) (xy 76.28388 104.225849) (xy 75.972811 103.760302) (xy 75.590725 103.505) + (xy 75.972811 103.249698) (xy 76.28388 102.784151) (xy 76.393113 102.235) (xy 76.28388 101.685849) (xy 75.972811 101.220302) + (xy 75.780794 101.092) (xy 143.78051 101.092) (xy 143.78051 101.69144) (xy 143.953529 102.307265) (xy 144.349044 102.810002) + (xy 144.906841 103.123113) (xy 145.034646 103.154059) (xy 145.288 103.034705) (xy 145.288 101.092) (xy 145.542 101.092) + (xy 145.542 103.034705) (xy 145.795354 103.154059) (xy 145.923159 103.123113) (xy 146.480956 102.810002) (xy 146.876471 102.307265) + (xy 147.04949 101.69144) (xy 147.04949 101.092) (xy 145.542 101.092) (xy 145.288 101.092) (xy 143.78051 101.092) + (xy 75.780794 101.092) (xy 75.590725 100.965) (xy 75.972811 100.709698) (xy 76.28388 100.244151) (xy 76.393113 99.695) + (xy 76.28388 99.145849) (xy 75.972811 98.680302) (xy 75.590725 98.425) (xy 75.972811 98.169698) (xy 76.27251 97.721167) + (xy 83.392838 97.721167) (xy 83.534883 98.064943) (xy 83.568 98.098118) (xy 83.568 99.005537) (xy 83.535808 99.037673) + (xy 83.393162 99.381201) (xy 83.392838 99.753167) (xy 83.534883 100.096943) (xy 83.797673 100.360192) (xy 84.141201 100.502838) + (xy 84.513167 100.503162) (xy 84.856943 100.361117) (xy 85.120192 100.098327) (xy 85.144323 100.040213) (xy 99.529392 100.040213) + (xy 99.598857 100.282397) (xy 100.122302 100.469144) (xy 100.677368 100.441362) (xy 101.061143 100.282397) (xy 101.130608 100.040213) + (xy 100.33 99.239605) (xy 99.529392 100.040213) (xy 85.144323 100.040213) (xy 85.262838 99.754799) (xy 85.263162 99.382833) + (xy 85.121117 99.039057) (xy 85.088 99.005882) (xy 85.088 98.852302) (xy 98.920856 98.852302) (xy 98.948638 99.407368) + (xy 99.107603 99.791143) (xy 99.349787 99.860608) (xy 100.150395 99.06) (xy 100.509605 99.06) (xy 101.310213 99.860608) + (xy 101.552397 99.791143) (xy 101.71454 99.336661) (xy 133.222758 99.336661) (xy 133.43499 99.850303) (xy 133.82763 100.243629) + (xy 134.3409 100.456757) (xy 134.896661 100.457242) (xy 135.410303 100.24501) (xy 135.416764 100.23856) (xy 143.78051 100.23856) + (xy 143.78051 100.838) (xy 145.288 100.838) (xy 145.288 98.895295) (xy 145.542 98.895295) (xy 145.542 100.838) + (xy 147.04949 100.838) (xy 147.04949 100.23856) (xy 146.876471 99.622735) (xy 146.480956 99.119998) (xy 145.923159 98.806887) + (xy 145.795354 98.775941) (xy 145.542 98.895295) (xy 145.288 98.895295) (xy 145.034646 98.775941) (xy 144.906841 98.806887) + (xy 144.349044 99.119998) (xy 143.953529 99.622735) (xy 143.78051 100.23856) (xy 135.416764 100.23856) (xy 135.803629 99.85237) + (xy 136.016757 99.3391) (xy 136.017242 98.783339) (xy 135.80501 98.269697) (xy 135.41237 97.876371) (xy 135.220273 97.796605) + (xy 135.351143 97.742397) (xy 135.420608 97.500213) (xy 134.62 96.699605) (xy 133.819392 97.500213) (xy 133.888857 97.742397) + (xy 134.029318 97.792509) (xy 133.829697 97.87499) (xy 133.436371 98.26763) (xy 133.223243 98.7809) (xy 133.222758 99.336661) + (xy 101.71454 99.336661) (xy 101.739144 99.267698) (xy 101.711362 98.712632) (xy 101.552397 98.328857) (xy 101.310213 98.259392) + (xy 100.509605 99.06) (xy 100.150395 99.06) (xy 99.349787 98.259392) (xy 99.107603 98.328857) (xy 98.920856 98.852302) + (xy 85.088 98.852302) (xy 85.088 98.098463) (xy 85.120192 98.066327) (xy 85.262838 97.722799) (xy 85.263162 97.350833) + (xy 85.121117 97.007057) (xy 84.858327 96.743808) (xy 84.514799 96.601162) (xy 84.142833 96.600838) (xy 83.799057 96.742883) + (xy 83.535808 97.005673) (xy 83.393162 97.349201) (xy 83.392838 97.721167) (xy 76.27251 97.721167) (xy 76.28388 97.704151) + (xy 76.393113 97.155) (xy 76.28388 96.605849) (xy 75.972811 96.140302) (xy 75.590725 95.885) (xy 75.972811 95.629698) + (xy 76.28388 95.164151) (xy 76.393113 94.615) (xy 76.291668 94.105) (xy 78.771354 94.105) (xy 78.792757 94.1568) + (xy 79.196077 94.560824) (xy 79.723309 94.77975) (xy 80.294187 94.780248) (xy 80.8218 94.562243) (xy 81.225824 94.158923) + (xy 81.44475 93.631691) (xy 81.444752 93.629187) (xy 86.194752 93.629187) (xy 86.412757 94.1568) (xy 86.816077 94.560824) + (xy 87.343309 94.77975) (xy 87.914187 94.780248) (xy 88.4418 94.562243) (xy 88.845824 94.158923) (xy 89.06475 93.631691) + (xy 89.064752 93.629187) (xy 93.814752 93.629187) (xy 94.032757 94.1568) (xy 94.436077 94.560824) (xy 94.963309 94.77975) + (xy 95.534187 94.780248) (xy 96.0618 94.562243) (xy 96.465824 94.158923) (xy 96.68475 93.631691) (xy 96.685248 93.060813) + (xy 96.467243 92.5332) (xy 96.063923 92.129176) (xy 95.536691 91.91025) (xy 94.965813 91.909752) (xy 94.4382 92.127757) + (xy 94.034176 92.531077) (xy 93.81525 93.058309) (xy 93.814752 93.629187) (xy 89.064752 93.629187) (xy 89.065248 93.060813) + (xy 88.847243 92.5332) (xy 88.443923 92.129176) (xy 87.916691 91.91025) (xy 87.345813 91.909752) (xy 86.8182 92.127757) + (xy 86.414176 92.531077) (xy 86.19525 93.058309) (xy 86.194752 93.629187) (xy 81.444752 93.629187) (xy 81.445248 93.060813) + (xy 81.227243 92.5332) (xy 80.823923 92.129176) (xy 80.296691 91.91025) (xy 79.725813 91.909752) (xy 79.1982 92.127757) + (xy 78.794176 92.531077) (xy 78.771785 92.585) (xy 76.291668 92.585) (xy 76.393113 92.075) (xy 76.321835 91.716661) + (xy 98.932758 91.716661) (xy 99.14499 92.230303) (xy 99.53763 92.623629) (xy 99.745512 92.709949) (xy 99.539697 92.79499) + (xy 99.146371 93.18763) (xy 98.933243 93.7009) (xy 98.932758 94.256661) (xy 99.14499 94.770303) (xy 99.53763 95.163629) + (xy 99.745512 95.249949) (xy 99.539697 95.33499) (xy 99.146371 95.72763) (xy 98.933243 96.2409) (xy 98.932758 96.796661) + (xy 99.14499 97.310303) (xy 99.53763 97.703629) (xy 99.729727 97.783395) (xy 99.598857 97.837603) (xy 99.529392 98.079787) + (xy 100.33 98.880395) (xy 101.130608 98.079787) (xy 101.061143 97.837603) (xy 100.920682 97.787491) (xy 101.120303 97.70501) + (xy 101.513629 97.31237) (xy 101.726757 96.7991) (xy 101.727181 96.312302) (xy 133.210856 96.312302) (xy 133.238638 96.867368) + (xy 133.397603 97.251143) (xy 133.639787 97.320608) (xy 134.440395 96.52) (xy 134.799605 96.52) (xy 135.600213 97.320608) + (xy 135.842397 97.251143) (xy 135.876697 97.155) (xy 140.597305 97.155) (xy 140.721723 97.780492) (xy 141.076036 98.310759) + (xy 141.606303 98.665072) (xy 142.231795 98.78949) (xy 143.518205 98.78949) (xy 144.143697 98.665072) (xy 144.673964 98.310759) + (xy 145.028277 97.780492) (xy 145.152695 97.155) (xy 145.028277 96.529508) (xy 144.673964 95.999241) (xy 144.143697 95.644928) + (xy 143.518205 95.52051) (xy 142.231795 95.52051) (xy 141.606303 95.644928) (xy 141.076036 95.999241) (xy 140.721723 96.529508) + (xy 140.597305 97.155) (xy 135.876697 97.155) (xy 136.029144 96.727698) (xy 136.001362 96.172632) (xy 135.842397 95.788857) + (xy 135.600213 95.719392) (xy 134.799605 96.52) (xy 134.440395 96.52) (xy 133.639787 95.719392) (xy 133.397603 95.788857) + (xy 133.210856 96.312302) (xy 101.727181 96.312302) (xy 101.727242 96.243339) (xy 101.51501 95.729697) (xy 101.12237 95.336371) + (xy 100.914488 95.250051) (xy 101.120303 95.16501) (xy 101.325457 94.960213) (xy 133.819392 94.960213) (xy 133.888857 95.202397) + (xy 134.012344 95.246453) (xy 133.888857 95.297603) (xy 133.819392 95.539787) (xy 134.62 96.340395) (xy 135.420608 95.539787) + (xy 135.351143 95.297603) (xy 135.227656 95.253547) (xy 135.351143 95.202397) (xy 135.420608 94.960213) (xy 134.62 94.159605) + (xy 133.819392 94.960213) (xy 101.325457 94.960213) (xy 101.513629 94.77237) (xy 101.726757 94.2591) (xy 101.727181 93.772302) + (xy 133.210856 93.772302) (xy 133.238638 94.327368) (xy 133.397603 94.711143) (xy 133.639787 94.780608) (xy 134.440395 93.98) + (xy 134.799605 93.98) (xy 135.600213 94.780608) (xy 135.842397 94.711143) (xy 136.029144 94.187698) (xy 136.001362 93.632632) + (xy 135.842397 93.248857) (xy 135.600213 93.179392) (xy 134.799605 93.98) (xy 134.440395 93.98) (xy 133.639787 93.179392) + (xy 133.397603 93.248857) (xy 133.210856 93.772302) (xy 101.727181 93.772302) (xy 101.727242 93.703339) (xy 101.51501 93.189697) + (xy 101.12237 92.796371) (xy 100.914488 92.710051) (xy 101.120303 92.62501) (xy 101.513629 92.23237) (xy 101.726757 91.7191) + (xy 101.726759 91.716661) (xy 133.222758 91.716661) (xy 133.43499 92.230303) (xy 133.82763 92.623629) (xy 134.019727 92.703395) + (xy 133.888857 92.757603) (xy 133.819392 92.999787) (xy 134.62 93.800395) (xy 135.420608 92.999787) (xy 135.351143 92.757603) + (xy 135.210682 92.707491) (xy 135.410303 92.62501) (xy 135.803629 92.23237) (xy 135.868974 92.075) (xy 140.597305 92.075) + (xy 140.721723 92.700492) (xy 141.076036 93.230759) (xy 141.606303 93.585072) (xy 142.231795 93.70949) (xy 143.518205 93.70949) + (xy 144.143697 93.585072) (xy 144.673964 93.230759) (xy 145.028277 92.700492) (xy 145.152695 92.075) (xy 145.028277 91.449508) + (xy 144.673964 90.919241) (xy 144.143697 90.564928) (xy 143.518205 90.44051) (xy 142.231795 90.44051) (xy 141.606303 90.564928) + (xy 141.076036 90.919241) (xy 140.721723 91.449508) (xy 140.597305 92.075) (xy 135.868974 92.075) (xy 136.016757 91.7191) + (xy 136.017242 91.163339) (xy 135.80501 90.649697) (xy 135.41237 90.256371) (xy 134.8991 90.043243) (xy 134.343339 90.042758) + (xy 133.829697 90.25499) (xy 133.436371 90.64763) (xy 133.223243 91.1609) (xy 133.222758 91.716661) (xy 101.726759 91.716661) + (xy 101.727242 91.163339) (xy 101.51501 90.649697) (xy 101.12237 90.256371) (xy 100.6091 90.043243) (xy 100.053339 90.042758) + (xy 99.539697 90.25499) (xy 99.146371 90.64763) (xy 98.933243 91.1609) (xy 98.932758 91.716661) (xy 76.321835 91.716661) + (xy 76.28388 91.525849) (xy 75.972811 91.060302) (xy 75.590725 90.805) (xy 75.972811 90.549698) (xy 76.28388 90.084151) + (xy 76.393113 89.535) (xy 76.28388 88.985849) (xy 75.972811 88.520302) (xy 75.780794 88.392) (xy 143.78051 88.392) + (xy 143.78051 88.99144) (xy 143.953529 89.607265) (xy 144.349044 90.110002) (xy 144.906841 90.423113) (xy 145.034646 90.454059) + (xy 145.288 90.334705) (xy 145.288 88.392) (xy 145.542 88.392) (xy 145.542 90.334705) (xy 145.795354 90.454059) + (xy 145.923159 90.423113) (xy 146.480956 90.110002) (xy 146.876471 89.607265) (xy 147.04949 88.99144) (xy 147.04949 88.392) + (xy 145.542 88.392) (xy 145.288 88.392) (xy 143.78051 88.392) (xy 75.780794 88.392) (xy 75.590725 88.265) + (xy 75.972811 88.009698) (xy 76.28388 87.544151) (xy 76.284992 87.53856) (xy 143.78051 87.53856) (xy 143.78051 88.138) + (xy 145.288 88.138) (xy 145.288 86.195295) (xy 145.542 86.195295) (xy 145.542 88.138) (xy 147.04949 88.138) + (xy 147.04949 87.53856) (xy 146.876471 86.922735) (xy 146.480956 86.419998) (xy 145.923159 86.106887) (xy 145.795354 86.075941) + (xy 145.542 86.195295) (xy 145.288 86.195295) (xy 145.034646 86.075941) (xy 144.906841 86.106887) (xy 144.349044 86.419998) + (xy 143.953529 86.922735) (xy 143.78051 87.53856) (xy 76.284992 87.53856) (xy 76.393113 86.995) (xy 76.340948 86.732745) + (xy 79.181861 86.732745) (xy 79.255995 86.978864) (xy 79.793223 87.171965) (xy 80.363454 87.144778) (xy 80.764005 86.978864) + (xy 80.838139 86.732745) (xy 86.801861 86.732745) (xy 86.875995 86.978864) (xy 87.413223 87.171965) (xy 87.983454 87.144778) + (xy 88.384005 86.978864) (xy 88.458139 86.732745) (xy 87.63 85.904605) (xy 86.801861 86.732745) (xy 80.838139 86.732745) + (xy 80.01 85.904605) (xy 79.181861 86.732745) (xy 76.340948 86.732745) (xy 76.28388 86.445849) (xy 75.972811 85.980302) + (xy 75.590725 85.725) (xy 75.915154 85.508223) (xy 78.563035 85.508223) (xy 78.590222 86.078454) (xy 78.756136 86.479005) + (xy 79.002255 86.553139) (xy 79.830395 85.725) (xy 80.189605 85.725) (xy 81.017745 86.553139) (xy 81.263864 86.479005) + (xy 81.456965 85.941777) (xy 81.436295 85.508223) (xy 86.183035 85.508223) (xy 86.210222 86.078454) (xy 86.376136 86.479005) + (xy 86.622255 86.553139) (xy 87.450395 85.725) (xy 87.809605 85.725) (xy 88.637745 86.553139) (xy 88.883864 86.479005) + (xy 89.076965 85.941777) (xy 89.049778 85.371546) (xy 88.883864 84.970995) (xy 88.731165 84.925) (xy 93.80256 84.925) + (xy 93.80256 86.525) (xy 93.846838 86.760317) (xy 93.98591 86.976441) (xy 94.19811 87.121431) (xy 94.45 87.17244) + (xy 96.05 87.17244) (xy 96.285317 87.128162) (xy 96.501441 86.98909) (xy 96.646431 86.77689) (xy 96.69744 86.525) + (xy 96.69744 84.925) (xy 96.653162 84.689683) (xy 96.51409 84.473559) (xy 96.486928 84.455) (xy 140.597305 84.455) + (xy 140.721723 85.080492) (xy 141.076036 85.610759) (xy 141.606303 85.965072) (xy 142.231795 86.08949) (xy 143.518205 86.08949) + (xy 144.143697 85.965072) (xy 144.673964 85.610759) (xy 145.028277 85.080492) (xy 145.152695 84.455) (xy 145.028277 83.829508) + (xy 144.673964 83.299241) (xy 144.143697 82.944928) (xy 143.518205 82.82051) (xy 142.231795 82.82051) (xy 141.606303 82.944928) + (xy 141.076036 83.299241) (xy 140.721723 83.829508) (xy 140.597305 84.455) (xy 96.486928 84.455) (xy 96.30189 84.328569) + (xy 96.05 84.27756) (xy 94.45 84.27756) (xy 94.214683 84.321838) (xy 93.998559 84.46091) (xy 93.853569 84.67311) + (xy 93.80256 84.925) (xy 88.731165 84.925) (xy 88.637745 84.896861) (xy 87.809605 85.725) (xy 87.450395 85.725) + (xy 86.622255 84.896861) (xy 86.376136 84.970995) (xy 86.183035 85.508223) (xy 81.436295 85.508223) (xy 81.429778 85.371546) + (xy 81.263864 84.970995) (xy 81.017745 84.896861) (xy 80.189605 85.725) (xy 79.830395 85.725) (xy 79.002255 84.896861) + (xy 78.756136 84.970995) (xy 78.563035 85.508223) (xy 75.915154 85.508223) (xy 75.972811 85.469698) (xy 76.28388 85.004151) + (xy 76.340947 84.717255) (xy 79.181861 84.717255) (xy 80.01 85.545395) (xy 80.838139 84.717255) (xy 86.801861 84.717255) + (xy 87.63 85.545395) (xy 88.458139 84.717255) (xy 88.384005 84.471136) (xy 87.846777 84.278035) (xy 87.276546 84.305222) + (xy 86.875995 84.471136) (xy 86.801861 84.717255) (xy 80.838139 84.717255) (xy 80.764005 84.471136) (xy 80.226777 84.278035) + (xy 79.656546 84.305222) (xy 79.255995 84.471136) (xy 79.181861 84.717255) (xy 76.340947 84.717255) (xy 76.393113 84.455) + (xy 76.28388 83.905849) (xy 75.972811 83.440302) (xy 75.507264 83.129233) (xy 74.958113 83.02) (xy 74.901887 83.02) + (xy 74.352736 83.129233) (xy 73.887189 83.440302) (xy 73.57612 83.905849) (xy 73.466887 84.455) (xy 73.57612 85.004151) + (xy 73.887189 85.469698) (xy 74.269275 85.725) (xy 73.887189 85.980302) (xy 73.57612 86.445849) (xy 73.466887 86.995) + (xy 73.57612 87.544151) (xy 73.887189 88.009698) (xy 74.269275 88.265) (xy 73.887189 88.520302) (xy 73.57612 88.985849) + (xy 73.466887 89.535) (xy 73.57612 90.084151) (xy 73.887189 90.549698) (xy 74.269275 90.805) (xy 73.887189 91.060302) + (xy 73.57612 91.525849) (xy 73.466887 92.075) (xy 73.533501 92.409889) (xy 73.474833 92.409838) (xy 73.131057 92.551883) + (xy 72.867808 92.814673) (xy 72.725162 93.158201) (xy 72.724838 93.530167) (xy 72.866883 93.873943) (xy 73.129673 94.137192) + (xy 73.473201 94.279838) (xy 73.533544 94.279891) (xy 73.466887 94.615) (xy 73.57612 95.164151) (xy 73.887189 95.629698) + (xy 74.269275 95.885) (xy 73.887189 96.140302) (xy 73.57612 96.605849) (xy 73.466887 97.155) (xy 73.57612 97.704151) + (xy 73.887189 98.169698) (xy 74.269275 98.425) (xy 73.887189 98.680302) (xy 73.57612 99.145849) (xy 73.466887 99.695) + (xy 73.57612 100.244151) (xy 73.887189 100.709698) (xy 74.269275 100.965) (xy 73.887189 101.220302) (xy 73.57612 101.685849) + (xy 73.466887 102.235) (xy 73.57612 102.784151) (xy 73.887189 103.249698) (xy 74.269275 103.505) (xy 73.887189 103.760302) + (xy 73.57612 104.225849) (xy 73.466887 104.775) (xy 73.57612 105.324151) (xy 73.887189 105.789698) (xy 74.269275 106.045) + (xy 73.887189 106.300302) (xy 73.57612 106.765849) (xy 73.466887 107.315) (xy 73.57612 107.864151) (xy 73.887189 108.329698) + (xy 74.269275 108.585) (xy 73.887189 108.840302) (xy 73.57612 109.305849) (xy 73.466887 109.855) (xy 73.57612 110.404151) + (xy 73.887189 110.869698) (xy 74.269275 111.125) (xy 73.887189 111.380302) (xy 73.57612 111.845849) (xy 73.466887 112.395) + (xy 73.57612 112.944151) (xy 73.887189 113.409698) (xy 74.269275 113.665) (xy 73.887189 113.920302) (xy 73.57612 114.385849) + (xy 73.466887 114.935) (xy 73.57612 115.484151) (xy 73.887189 115.949698) (xy 74.269275 116.205) (xy 73.887189 116.460302) + (xy 73.57612 116.925849) (xy 73.466887 117.475) (xy 73.57612 118.024151) (xy 73.887189 118.489698) (xy 74.269275 118.745) + (xy 73.887189 119.000302) (xy 73.57612 119.465849) (xy 73.466887 120.015) (xy 73.57612 120.564151) (xy 73.887189 121.029698) + (xy 74.269275 121.285) (xy 73.887189 121.540302) (xy 73.57612 122.005849) (xy 73.466887 122.555) (xy 73.57612 123.104151) + (xy 73.887189 123.569698) (xy 74.269275 123.825) (xy 73.887189 124.080302) (xy 73.57612 124.545849) (xy 73.466887 125.095) + (xy 73.57612 125.644151) (xy 73.887189 126.109698) (xy 74.269275 126.365) (xy 73.887189 126.620302) (xy 73.717005 126.875) + (xy 68.58 126.875) (xy 68.289161 126.932852) (xy 68.042599 127.097599) (xy 67.80532 127.334878) (xy 67.759833 127.334838) + (xy 67.416057 127.476883) (xy 67.152808 127.739673) (xy 67.010162 128.083201) (xy 67.009838 128.455167) (xy 60.862792 128.455167) + (xy 61.04388 128.184151) (xy 61.153113 127.635) (xy 61.04388 127.085849) (xy 60.732811 126.620302) (xy 60.350725 126.365) + (xy 60.732811 126.109698) (xy 61.04388 125.644151) (xy 61.153113 125.095) (xy 61.04388 124.545849) (xy 60.732811 124.080302) + (xy 60.350725 123.825) (xy 60.732811 123.569698) (xy 61.04388 123.104151) (xy 61.153113 122.555) (xy 61.04388 122.005849) + (xy 60.732811 121.540302) (xy 60.350725 121.285) (xy 60.732811 121.029698) (xy 61.04388 120.564151) (xy 61.153113 120.015) + (xy 61.04388 119.465849) (xy 60.732811 119.000302) (xy 60.350725 118.745) (xy 60.732811 118.489698) (xy 61.04388 118.024151) + (xy 61.153113 117.475) (xy 61.04388 116.925849) (xy 60.732811 116.460302) (xy 60.350725 116.205) (xy 60.732811 115.949698) + (xy 61.04388 115.484151) (xy 61.153113 114.935) (xy 61.04388 114.385849) (xy 60.732811 113.920302) (xy 60.350725 113.665) + (xy 60.732811 113.409698) (xy 61.04388 112.944151) (xy 61.153113 112.395) (xy 61.04388 111.845849) (xy 60.732811 111.380302) + (xy 60.350725 111.125) (xy 60.732811 110.869698) (xy 61.04388 110.404151) (xy 61.153113 109.855) (xy 61.04388 109.305849) + (xy 60.732811 108.840302) (xy 60.350725 108.585) (xy 60.732811 108.329698) (xy 61.04388 107.864151) (xy 61.153113 107.315) + (xy 61.04388 106.765849) (xy 60.732811 106.300302) (xy 60.350725 106.045) (xy 60.732811 105.789698) (xy 61.04388 105.324151) + (xy 61.153113 104.775) (xy 61.04388 104.225849) (xy 60.732811 103.760302) (xy 60.350725 103.505) (xy 60.732811 103.249698) + (xy 61.04388 102.784151) (xy 61.153113 102.235) (xy 61.04388 101.685849) (xy 60.732811 101.220302) (xy 60.350725 100.965) + (xy 60.732811 100.709698) (xy 61.04388 100.244151) (xy 61.153113 99.695) (xy 61.04388 99.145849) (xy 60.732811 98.680302) + (xy 60.627847 98.610167) (xy 63.834838 98.610167) (xy 63.976883 98.953943) (xy 64.08271 99.059954) (xy 63.977808 99.164673) + (xy 63.835162 99.508201) (xy 63.834838 99.880167) (xy 63.976883 100.223943) (xy 64.239673 100.487192) (xy 64.583201 100.629838) + (xy 64.955167 100.630162) (xy 65.174665 100.539467) (xy 66.110198 101.475) (xy 65.332463 101.475) (xy 65.300327 101.442808) + (xy 64.956799 101.300162) (xy 64.584833 101.299838) (xy 64.241057 101.441883) (xy 63.977808 101.704673) (xy 63.835162 102.048201) + (xy 63.834838 102.420167) (xy 63.976883 102.763943) (xy 64.239673 103.027192) (xy 64.583201 103.169838) (xy 64.955167 103.170162) + (xy 65.298943 103.028117) (xy 65.332118 102.995) (xy 66.360198 102.995) (xy 67.380198 104.015) (xy 65.332463 104.015) + (xy 65.300327 103.982808) (xy 64.956799 103.840162) (xy 64.584833 103.839838) (xy 64.241057 103.981883) (xy 63.977808 104.244673) + (xy 63.835162 104.588201) (xy 63.834838 104.960167) (xy 63.976883 105.303943) (xy 64.239673 105.567192) (xy 64.583201 105.709838) + (xy 64.955167 105.710162) (xy 65.298943 105.568117) (xy 65.332118 105.535) (xy 67.630198 105.535) (xy 69.725 107.629802) + (xy 69.725 109.22) (xy 69.782852 109.510839) (xy 69.947599 109.757401) (xy 71.454878 111.26468) (xy 71.454838 111.310167) + (xy 71.596883 111.653943) (xy 71.859673 111.917192) (xy 72.203201 112.059838) (xy 72.575167 112.060162) (xy 72.918943 111.918117) + (xy 73.182192 111.655327) (xy 73.324838 111.311799) (xy 73.325162 110.939833) (xy 73.183117 110.596057) (xy 72.920327 110.332808) + (xy 72.576799 110.190162) (xy 72.529923 110.190121) (xy 71.859894 109.520092) (xy 71.940167 109.520162) (xy 72.283943 109.378117) + (xy 72.547192 109.115327) (xy 72.689838 108.771799) (xy 72.690162 108.399833) (xy 72.548117 108.056057) (xy 72.285327 107.792808) + (xy 71.941799 107.650162) (xy 71.894923 107.650121) (xy 71.88 107.635198) (xy 71.88 106.68) (xy 71.822148 106.389161) + (xy 71.822148 106.38916) (xy 71.678274 106.173837) (xy 71.912192 105.940327) (xy 72.054838 105.596799) (xy 72.055162 105.224833) + (xy 71.913117 104.881057) (xy 71.650327 104.617808) (xy 71.306799 104.475162) (xy 71.259923 104.475121) (xy 71.048461 104.263659) + (xy 71.277192 104.035327) (xy 71.419838 103.691799) (xy 71.420162 103.319833) (xy 71.278117 102.976057) (xy 71.015327 102.712808) + (xy 70.671799 102.570162) (xy 70.624923 102.570121) (xy 69.778461 101.723659) (xy 70.007192 101.495327) (xy 70.149838 101.151799) + (xy 70.150162 100.779833) (xy 70.008117 100.436057) (xy 69.745327 100.172808) (xy 69.401799 100.030162) (xy 69.354923 100.030121) + (xy 68.880122 99.55532) (xy 68.880162 99.509833) (xy 68.738117 99.166057) (xy 68.63229 99.060046) (xy 68.737192 98.955327) + (xy 68.879838 98.611799) (xy 68.880162 98.239833) (xy 68.738117 97.896057) (xy 68.475327 97.632808) (xy 68.131799 97.490162) + (xy 67.759833 97.489838) (xy 67.416057 97.631883) (xy 67.382882 97.665) (xy 65.332463 97.665) (xy 65.300327 97.632808) + (xy 64.956799 97.490162) (xy 64.584833 97.489838) (xy 64.241057 97.631883) (xy 63.977808 97.894673) (xy 63.835162 98.238201) + (xy 63.834838 98.610167) (xy 60.627847 98.610167) (xy 60.350725 98.425) (xy 60.732811 98.169698) (xy 61.04388 97.704151) + (xy 61.153113 97.155) (xy 61.04388 96.605849) (xy 60.732811 96.140302) (xy 60.350725 95.885) (xy 60.732811 95.629698) + (xy 61.04388 95.164151) (xy 61.153113 94.615) (xy 61.04388 94.065849) (xy 60.732811 93.600302) (xy 60.627847 93.530167) + (xy 63.834838 93.530167) (xy 63.976883 93.873943) (xy 64.08271 93.979954) (xy 63.977808 94.084673) (xy 63.835162 94.428201) + (xy 63.834838 94.800167) (xy 63.976883 95.143943) (xy 64.239673 95.407192) (xy 64.583201 95.549838) (xy 64.955167 95.550162) + (xy 65.174665 95.459467) (xy 65.739878 96.02468) (xy 65.739838 96.070167) (xy 65.881883 96.413943) (xy 66.144673 96.677192) + (xy 66.488201 96.819838) (xy 66.860167 96.820162) (xy 67.203943 96.678117) (xy 67.467192 96.415327) (xy 67.609838 96.071799) + (xy 67.610162 95.699833) (xy 67.468117 95.356057) (xy 67.205327 95.092808) (xy 66.861799 94.950162) (xy 66.814923 94.950121) + (xy 65.969802 94.105) (xy 66.747537 94.105) (xy 66.779673 94.137192) (xy 67.123201 94.279838) (xy 67.495167 94.280162) + (xy 67.838943 94.138117) (xy 68.102192 93.875327) (xy 68.244838 93.531799) (xy 68.245162 93.159833) (xy 68.103117 92.816057) + (xy 67.840327 92.552808) (xy 67.496799 92.410162) (xy 67.124833 92.409838) (xy 66.781057 92.551883) (xy 66.747882 92.585) + (xy 65.332463 92.585) (xy 65.300327 92.552808) (xy 64.956799 92.410162) (xy 64.584833 92.409838) (xy 64.241057 92.551883) + (xy 63.977808 92.814673) (xy 63.835162 93.158201) (xy 63.834838 93.530167) (xy 60.627847 93.530167) (xy 60.350725 93.345) + (xy 60.732811 93.089698) (xy 61.04388 92.624151) (xy 61.153113 92.075) (xy 61.04388 91.525849) (xy 60.732811 91.060302) + (xy 60.350725 90.805) (xy 60.732811 90.549698) (xy 61.04388 90.084151) (xy 61.153113 89.535) (xy 61.04388 88.985849) + (xy 60.732811 88.520302) (xy 60.350725 88.265) (xy 60.732811 88.009698) (xy 61.04388 87.544151) (xy 61.153113 86.995) + (xy 61.04388 86.445849) (xy 60.732811 85.980302) (xy 60.597665 85.89) (xy 60.616309 85.89) (xy 60.849698 85.793327) + (xy 61.028327 85.614699) (xy 61.125 85.38131) (xy 61.125 84.74075) (xy 60.96625 84.582) (xy 59.817 84.582) + (xy 59.817 84.602) (xy 59.563 84.602) (xy 59.563 84.582) (xy 58.41375 84.582) (xy 58.255 84.74075) + (xy 58.255 85.38131) (xy 58.351673 85.614699) (xy 58.530302 85.793327) (xy 58.763691 85.89) (xy 58.782335 85.89) + (xy 58.647189 85.980302) (xy 58.33612 86.445849) (xy 58.226887 86.995) (xy 58.33612 87.544151) (xy 58.647189 88.009698) + (xy 59.029275 88.265) (xy 58.647189 88.520302) (xy 58.33612 88.985849) (xy 58.226887 89.535) (xy 58.33612 90.084151) + (xy 58.647189 90.549698) (xy 59.029275 90.805) (xy 58.647189 91.060302) (xy 58.33612 91.525849) (xy 58.226887 92.075) + (xy 58.33612 92.624151) (xy 58.647189 93.089698) (xy 59.029275 93.345) (xy 58.647189 93.600302) (xy 58.33612 94.065849) + (xy 58.226887 94.615) (xy 58.33612 95.164151) (xy 58.647189 95.629698) (xy 59.029275 95.885) (xy 58.647189 96.140302) + (xy 58.33612 96.605849) (xy 58.226887 97.155) (xy 58.33612 97.704151) (xy 58.647189 98.169698) (xy 59.029275 98.425) + (xy 58.647189 98.680302) (xy 58.33612 99.145849) (xy 58.226887 99.695) (xy 58.33612 100.244151) (xy 58.647189 100.709698) + (xy 59.029275 100.965) (xy 58.647189 101.220302) (xy 58.33612 101.685849) (xy 58.226887 102.235) (xy 58.33612 102.784151) + (xy 58.647189 103.249698) (xy 59.029275 103.505) (xy 58.647189 103.760302) (xy 58.33612 104.225849) (xy 58.226887 104.775) + (xy 58.33612 105.324151) (xy 58.647189 105.789698) (xy 59.029275 106.045) (xy 58.647189 106.300302) (xy 58.477005 106.555) + (xy 56.829802 106.555) (xy 56.815122 106.54032) (xy 56.815162 106.494833) (xy 56.673117 106.151057) (xy 56.410327 105.887808) + (xy 56.066799 105.745162) (xy 55.694833 105.744838) (xy 55.351057 105.886883) (xy 55.087808 106.149673) (xy 54.945162 106.493201) + (xy 54.944838 106.865167) (xy 55.086883 107.208943) (xy 55.349673 107.472192) (xy 55.693201 107.614838) (xy 55.740077 107.614879) + (xy 55.977599 107.852401) (xy 56.224161 108.017148) (xy 56.515 108.075) (xy 58.477005 108.075) (xy 58.647189 108.329698) + (xy 59.029275 108.585) (xy 58.647189 108.840302) (xy 58.477005 109.095) (xy 57.464802 109.095) (xy 57.450122 109.08032) + (xy 57.450162 109.034833) (xy 57.308117 108.691057) (xy 57.045327 108.427808) (xy 56.701799 108.285162) (xy 56.329833 108.284838) + (xy 55.986057 108.426883) (xy 55.722808 108.689673) (xy 55.580162 109.033201) (xy 55.579838 109.405167) (xy 55.721883 109.748943) + (xy 55.984673 110.012192) (xy 56.328201 110.154838) (xy 56.375077 110.154879) (xy 56.612599 110.392401) (xy 56.859161 110.557148) + (xy 57.15 110.615) (xy 58.477005 110.615) (xy 58.647189 110.869698) (xy 59.029275 111.125) (xy 58.647189 111.380302) + (xy 58.33612 111.845849) (xy 58.226887 112.395) (xy 58.33612 112.944151) (xy 58.647189 113.409698) (xy 59.029275 113.665) + (xy 58.647189 113.920302) (xy 58.33612 114.385849) (xy 58.226887 114.935) (xy 58.33612 115.484151) (xy 58.647189 115.949698) + (xy 59.029275 116.205) (xy 58.647189 116.460302) (xy 58.33612 116.925849) (xy 58.226887 117.475) (xy 58.33612 118.024151) + (xy 58.647189 118.489698) (xy 59.029275 118.745) (xy 58.647189 119.000302) (xy 58.33612 119.465849) (xy 58.226887 120.015) + (xy 58.33612 120.564151) (xy 58.647189 121.029698) (xy 59.029275 121.285) (xy 58.647189 121.540302) (xy 58.33612 122.005849) + (xy 58.226887 122.555) (xy 58.33612 123.104151) (xy 58.647189 123.569698) (xy 59.029275 123.825) (xy 58.647189 124.080302) + (xy 58.33612 124.545849) (xy 58.226887 125.095) (xy 58.33612 125.644151) (xy 58.647189 126.109698) (xy 59.029275 126.365) + (xy 58.647189 126.620302) (xy 58.33612 127.085849) (xy 58.226887 127.635) (xy 58.33612 128.184151) (xy 58.647189 128.649698) + (xy 59.029275 128.905) (xy 58.647189 129.160302) (xy 58.33612 129.625849) (xy 58.226887 130.175) (xy 58.33612 130.724151) + (xy 58.647189 131.189698) (xy 59.029275 131.445) (xy 58.647189 131.700302) (xy 58.477005 131.955) (xy 55.848646 131.955) + (xy 55.827243 131.9032) (xy 55.423923 131.499176) (xy 54.896691 131.28025) (xy 54.325813 131.279752) (xy 53.7982 131.497757) + (xy 53.415 131.880289) (xy 53.415 128.722745) (xy 53.781861 128.722745) (xy 53.855995 128.968864) (xy 54.393223 129.161965) + (xy 54.963454 129.134778) (xy 55.364005 128.968864) (xy 55.438139 128.722745) (xy 54.61 127.894605) (xy 53.781861 128.722745) + (xy 53.415 128.722745) (xy 53.415 128.486736) (xy 53.602255 128.543139) (xy 54.430395 127.715) (xy 54.789605 127.715) + (xy 55.617745 128.543139) (xy 55.863864 128.469005) (xy 56.056965 127.931777) (xy 56.029778 127.361546) (xy 55.863864 126.960995) + (xy 55.617745 126.886861) (xy 54.789605 127.715) (xy 54.430395 127.715) (xy 53.602255 126.886861) (xy 53.415 126.943264) + (xy 53.415 126.707255) (xy 53.781861 126.707255) (xy 54.61 127.535395) (xy 55.438139 126.707255) (xy 55.364005 126.461136) + (xy 54.826777 126.268035) (xy 54.256546 126.295222) (xy 53.855995 126.461136) (xy 53.781861 126.707255) (xy 53.415 126.707255) + (xy 53.415 95.377467) (xy 53.444673 95.407192) (xy 53.788201 95.549838) (xy 54.160167 95.550162) (xy 54.503943 95.408117) + (xy 54.767192 95.145327) (xy 54.909838 94.801799) (xy 54.910162 94.429833) (xy 54.768117 94.086057) (xy 54.505327 93.822808) + (xy 54.161799 93.680162) (xy 54.114923 93.680121) (xy 54.1 93.665198) (xy 54.1 89.916) (xy 54.746907 89.916) + (xy 54.859946 90.484285) (xy 55.181853 90.966054) (xy 55.663622 91.287961) (xy 56.231907 91.401) (xy 56.290093 91.401) + (xy 56.858378 91.287961) (xy 57.340147 90.966054) (xy 57.662054 90.484285) (xy 57.775093 89.916) (xy 57.662054 89.347715) + (xy 57.340147 88.865946) (xy 57.298548 88.83815) (xy 57.346317 88.829162) (xy 57.562441 88.69009) (xy 57.707431 88.47789) + (xy 57.75844 88.226) (xy 57.75844 86.526) (xy 57.714162 86.290683) (xy 57.57509 86.074559) (xy 57.36289 85.929569) + (xy 57.111 85.87856) (xy 55.411 85.87856) (xy 55.175683 85.922838) (xy 54.959559 86.06191) (xy 54.814569 86.27411) + (xy 54.76356 86.526) (xy 54.76356 88.226) (xy 54.807838 88.461317) (xy 54.94691 88.677441) (xy 55.15911 88.822431) + (xy 55.226541 88.836086) (xy 55.181853 88.865946) (xy 54.859946 89.347715) (xy 54.746907 89.916) (xy 54.1 89.916) + (xy 54.1 83.753774) (xy 54.601831 83.753774) (xy 54.666131 83.991611) (xy 55.174342 84.171333) (xy 55.712644 84.142892) + (xy 56.077869 83.991611) (xy 56.142169 83.753774) (xy 55.372 82.983605) (xy 54.601831 83.753774) (xy 54.1 83.753774) + (xy 54.1 83.306136) (xy 54.184389 83.509869) (xy 54.422226 83.574169) (xy 55.192395 82.804) (xy 55.551605 82.804) + (xy 56.321774 83.574169) (xy 56.489994 83.52869) (xy 58.255 83.52869) (xy 58.255 84.16925) (xy 58.41375 84.328) + (xy 59.563 84.328) (xy 59.563 83.17875) (xy 59.817 83.17875) (xy 59.817 84.328) (xy 60.96625 84.328) + (xy 61.125 84.16925) (xy 61.125 83.52869) (xy 61.028327 83.295301) (xy 60.849698 83.116673) (xy 60.616309 83.02) + (xy 59.97575 83.02) (xy 59.817 83.17875) (xy 59.563 83.17875) (xy 59.40425 83.02) (xy 58.763691 83.02) + (xy 58.530302 83.116673) (xy 58.351673 83.295301) (xy 58.255 83.52869) (xy 56.489994 83.52869) (xy 56.559611 83.509869) + (xy 56.739333 83.001658) (xy 56.710892 82.463356) (xy 56.638152 82.287745) (xy 58.861861 82.287745) (xy 58.935995 82.533864) + (xy 59.473223 82.726965) (xy 60.043454 82.699778) (xy 60.444005 82.533864) (xy 60.518139 82.287745) (xy 62.036861 82.287745) + (xy 62.110995 82.533864) (xy 62.648223 82.726965) (xy 63.218454 82.699778) (xy 63.619005 82.533864) (xy 63.693139 82.287745) + (xy 79.816861 82.287745) (xy 79.890995 82.533864) (xy 80.428223 82.726965) (xy 80.998454 82.699778) (xy 81.399005 82.533864) + (xy 81.473139 82.287745) (xy 82.991861 82.287745) (xy 83.065995 82.533864) (xy 83.603223 82.726965) (xy 84.173454 82.699778) + (xy 84.574005 82.533864) (xy 84.648139 82.287745) (xy 83.82 81.459605) (xy 82.991861 82.287745) (xy 81.473139 82.287745) + (xy 80.645 81.459605) (xy 79.816861 82.287745) (xy 63.693139 82.287745) (xy 62.865 81.459605) (xy 62.036861 82.287745) + (xy 60.518139 82.287745) (xy 59.69 81.459605) (xy 58.861861 82.287745) (xy 56.638152 82.287745) (xy 56.559611 82.098131) + (xy 56.321774 82.033831) (xy 55.551605 82.804) (xy 55.192395 82.804) (xy 54.422226 82.033831) (xy 54.184389 82.098131) + (xy 54.1 82.336763) (xy 54.1 80.733788) (xy 54.222617 81.030543) (xy 54.603452 81.412043) (xy 54.881116 81.527339) + (xy 54.666131 81.616389) (xy 54.601831 81.854226) (xy 55.372 82.624395) (xy 56.142169 81.854226) (xy 56.077869 81.616389) + (xy 55.845828 81.534331) (xy 56.138543 81.413383) (xy 56.489314 81.063223) (xy 58.243035 81.063223) (xy 58.270222 81.633454) + (xy 58.436136 82.034005) (xy 58.682255 82.108139) (xy 59.510395 81.28) (xy 59.869605 81.28) (xy 60.697745 82.108139) + (xy 60.943864 82.034005) (xy 61.136965 81.496777) (xy 61.116295 81.063223) (xy 61.418035 81.063223) (xy 61.445222 81.633454) + (xy 61.611136 82.034005) (xy 61.857255 82.108139) (xy 62.685395 81.28) (xy 63.044605 81.28) (xy 63.872745 82.108139) + (xy 64.118864 82.034005) (xy 64.311965 81.496777) (xy 64.284778 80.926546) (xy 64.244857 80.830167) (xy 65.104838 80.830167) + (xy 65.246883 81.173943) (xy 65.509673 81.437192) (xy 65.853201 81.579838) (xy 66.225167 81.580162) (xy 66.568943 81.438117) + (xy 66.602118 81.405) (xy 72.462537 81.405) (xy 72.494673 81.437192) (xy 72.838201 81.579838) (xy 73.210167 81.580162) + (xy 73.553943 81.438117) (xy 73.817192 81.175327) (xy 73.863741 81.063223) (xy 79.198035 81.063223) (xy 79.225222 81.633454) + (xy 79.391136 82.034005) (xy 79.637255 82.108139) (xy 80.465395 81.28) (xy 80.824605 81.28) (xy 81.652745 82.108139) + (xy 81.898864 82.034005) (xy 82.091965 81.496777) (xy 82.071295 81.063223) (xy 82.373035 81.063223) (xy 82.400222 81.633454) + (xy 82.566136 82.034005) (xy 82.812255 82.108139) (xy 83.640395 81.28) (xy 83.999605 81.28) (xy 84.827745 82.108139) + (xy 85.073864 82.034005) (xy 85.266965 81.496777) (xy 85.239778 80.926546) (xy 85.111107 80.615907) (xy 86.145 80.615907) + (xy 86.145 80.674093) (xy 86.258039 81.242378) (xy 86.579946 81.724147) (xy 87.061715 82.046054) (xy 87.63 82.159093) + (xy 88.198285 82.046054) (xy 88.680054 81.724147) (xy 88.70785 81.682548) (xy 88.716838 81.730317) (xy 88.85591 81.946441) + (xy 89.06811 82.091431) (xy 89.32 82.14244) (xy 91.02 82.14244) (xy 91.255317 82.098162) (xy 91.471441 81.95909) + (xy 91.616431 81.74689) (xy 91.66744 81.495) (xy 91.66744 80.929187) (xy 98.259752 80.929187) (xy 98.477757 81.4568) + (xy 98.881077 81.860824) (xy 99.408309 82.07975) (xy 99.979187 82.080248) (xy 100.5068 81.862243) (xy 100.716663 81.652745) + (xy 103.866861 81.652745) (xy 103.940995 81.898864) (xy 104.478223 82.091965) (xy 105.048454 82.064778) (xy 105.449005 81.898864) + (xy 105.523139 81.652745) (xy 104.695 80.824605) (xy 103.866861 81.652745) (xy 100.716663 81.652745) (xy 100.910824 81.458923) + (xy 101.12975 80.931691) (xy 101.130189 80.428223) (xy 103.248035 80.428223) (xy 103.275222 80.998454) (xy 103.441136 81.399005) + (xy 103.687255 81.473139) (xy 104.515395 80.645) (xy 104.874605 80.645) (xy 105.702745 81.473139) (xy 105.948864 81.399005) + (xy 106.001028 81.253878) (xy 106.272189 81.659698) (xy 106.737736 81.970767) (xy 107.286887 82.08) (xy 107.343113 82.08) + (xy 107.892264 81.970767) (xy 108.357811 81.659698) (xy 108.66888 81.194151) (xy 108.721584 80.929187) (xy 116.039752 80.929187) + (xy 116.257757 81.4568) (xy 116.661077 81.860824) (xy 117.188309 82.07975) (xy 117.759187 82.080248) (xy 118.2868 81.862243) + (xy 118.690824 81.458923) (xy 118.747245 81.323046) (xy 118.972189 81.659698) (xy 119.437736 81.970767) (xy 119.986887 82.08) + (xy 120.043113 82.08) (xy 120.592264 81.970767) (xy 121.057811 81.659698) (xy 121.36888 81.194151) (xy 121.421584 80.929187) + (xy 128.739752 80.929187) (xy 128.957757 81.4568) (xy 129.361077 81.860824) (xy 129.888309 82.07975) (xy 130.459187 82.080248) + (xy 130.9868 81.862243) (xy 131.390824 81.458923) (xy 131.60975 80.931691) (xy 131.610248 80.360813) (xy 131.392243 79.8332) + (xy 130.988923 79.429176) (xy 130.461691 79.21025) (xy 129.890813 79.209752) (xy 129.3632 79.427757) (xy 128.959176 79.831077) + (xy 128.74025 80.358309) (xy 128.739752 80.929187) (xy 121.421584 80.929187) (xy 121.478113 80.645) (xy 121.36888 80.095849) + (xy 121.057811 79.630302) (xy 120.592264 79.319233) (xy 120.043113 79.21) (xy 119.986887 79.21) (xy 119.437736 79.319233) + (xy 118.972189 79.630302) (xy 118.747408 79.96671) (xy 118.692243 79.8332) (xy 118.288923 79.429176) (xy 117.761691 79.21025) + (xy 117.190813 79.209752) (xy 116.6632 79.427757) (xy 116.259176 79.831077) (xy 116.04025 80.358309) (xy 116.039752 80.929187) + (xy 108.721584 80.929187) (xy 108.778113 80.645) (xy 108.66888 80.095849) (xy 108.357811 79.630302) (xy 107.892264 79.319233) + (xy 107.343113 79.21) (xy 107.286887 79.21) (xy 106.737736 79.319233) (xy 106.272189 79.630302) (xy 106.005936 80.028778) + (xy 105.948864 79.890995) (xy 105.702745 79.816861) (xy 104.874605 80.645) (xy 104.515395 80.645) (xy 103.687255 79.816861) + (xy 103.441136 79.890995) (xy 103.248035 80.428223) (xy 101.130189 80.428223) (xy 101.130248 80.360813) (xy 100.912243 79.8332) + (xy 100.71664 79.637255) (xy 103.866861 79.637255) (xy 104.695 80.465395) (xy 105.523139 79.637255) (xy 105.449005 79.391136) + (xy 104.911777 79.198035) (xy 104.341546 79.225222) (xy 103.940995 79.391136) (xy 103.866861 79.637255) (xy 100.71664 79.637255) + (xy 100.508923 79.429176) (xy 99.981691 79.21025) (xy 99.410813 79.209752) (xy 98.8832 79.427757) (xy 98.479176 79.831077) + (xy 98.26025 80.358309) (xy 98.259752 80.929187) (xy 91.66744 80.929187) (xy 91.66744 79.795) (xy 91.623162 79.559683) + (xy 91.48409 79.343559) (xy 91.27189 79.198569) (xy 91.02 79.14756) (xy 89.32 79.14756) (xy 89.084683 79.191838) + (xy 88.868559 79.33091) (xy 88.723569 79.54311) (xy 88.709914 79.610541) (xy 88.680054 79.565853) (xy 88.198285 79.243946) + (xy 87.63 79.130907) (xy 87.061715 79.243946) (xy 86.579946 79.565853) (xy 86.258039 80.047622) (xy 86.145 80.615907) + (xy 85.111107 80.615907) (xy 85.073864 80.525995) (xy 84.827745 80.451861) (xy 83.999605 81.28) (xy 83.640395 81.28) + (xy 82.812255 80.451861) (xy 82.566136 80.525995) (xy 82.373035 81.063223) (xy 82.071295 81.063223) (xy 82.064778 80.926546) + (xy 81.898864 80.525995) (xy 81.652745 80.451861) (xy 80.824605 81.28) (xy 80.465395 81.28) (xy 79.637255 80.451861) + (xy 79.391136 80.525995) (xy 79.198035 81.063223) (xy 73.863741 81.063223) (xy 73.959838 80.831799) (xy 73.959892 80.77) + (xy 74.367537 80.77) (xy 74.399673 80.802192) (xy 74.743201 80.944838) (xy 75.115167 80.945162) (xy 75.458943 80.803117) + (xy 75.722192 80.540327) (xy 75.833505 80.272255) (xy 79.816861 80.272255) (xy 80.645 81.100395) (xy 81.473139 80.272255) + (xy 82.991861 80.272255) (xy 83.82 81.100395) (xy 84.648139 80.272255) (xy 84.574005 80.026136) (xy 84.036777 79.833035) + (xy 83.466546 79.860222) (xy 83.065995 80.026136) (xy 82.991861 80.272255) (xy 81.473139 80.272255) (xy 81.399005 80.026136) + (xy 80.861777 79.833035) (xy 80.291546 79.860222) (xy 79.890995 80.026136) (xy 79.816861 80.272255) (xy 75.833505 80.272255) + (xy 75.864838 80.196799) (xy 75.865162 79.824833) (xy 75.773271 79.60244) (xy 76.415 79.60244) (xy 76.650317 79.558162) + (xy 76.866441 79.41909) (xy 77.011431 79.20689) (xy 77.06244 78.955) (xy 77.06244 77.255) (xy 77.018162 77.019683) + (xy 76.87909 76.803559) (xy 76.66689 76.658569) (xy 76.415 76.60756) (xy 74.715 76.60756) (xy 74.479683 76.651838) + (xy 74.263559 76.79091) (xy 74.118569 77.00311) (xy 74.104914 77.070541) (xy 74.075054 77.025853) (xy 73.593285 76.703946) + (xy 73.025 76.590907) (xy 72.456715 76.703946) (xy 71.974946 77.025853) (xy 71.755 77.355026) (xy 71.535054 77.025853) + (xy 71.053285 76.703946) (xy 70.485 76.590907) (xy 69.916715 76.703946) (xy 69.434946 77.025853) (xy 69.215 77.355026) + (xy 68.995054 77.025853) (xy 68.513285 76.703946) (xy 67.945 76.590907) (xy 67.376715 76.703946) (xy 66.894946 77.025853) + (xy 66.573039 77.507622) (xy 66.46 78.075907) (xy 66.46 78.134093) (xy 66.573039 78.702378) (xy 66.822102 79.075127) + (xy 66.489833 79.074838) (xy 66.146057 79.216883) (xy 65.882808 79.479673) (xy 65.773235 79.743554) (xy 65.511057 79.851883) + (xy 65.247808 80.114673) (xy 65.105162 80.458201) (xy 65.104838 80.830167) (xy 64.244857 80.830167) (xy 64.118864 80.525995) + (xy 63.872745 80.451861) (xy 63.044605 81.28) (xy 62.685395 81.28) (xy 61.857255 80.451861) (xy 61.611136 80.525995) + (xy 61.418035 81.063223) (xy 61.116295 81.063223) (xy 61.109778 80.926546) (xy 60.943864 80.525995) (xy 60.697745 80.451861) + (xy 59.869605 81.28) (xy 59.510395 81.28) (xy 58.682255 80.451861) (xy 58.436136 80.525995) (xy 58.243035 81.063223) + (xy 56.489314 81.063223) (xy 56.520043 81.032548) (xy 56.726764 80.534709) (xy 56.726993 80.272255) (xy 58.861861 80.272255) + (xy 59.69 81.100395) (xy 60.518139 80.272255) (xy 62.036861 80.272255) (xy 62.865 81.100395) (xy 63.693139 80.272255) + (xy 63.619005 80.026136) (xy 63.081777 79.833035) (xy 62.511546 79.860222) (xy 62.110995 80.026136) (xy 62.036861 80.272255) + (xy 60.518139 80.272255) (xy 60.444005 80.026136) (xy 59.906777 79.833035) (xy 59.336546 79.860222) (xy 58.935995 80.026136) + (xy 58.861861 80.272255) (xy 56.726993 80.272255) (xy 56.727235 79.995656) (xy 56.521383 79.497457) (xy 56.140548 79.115957) + (xy 55.846736 78.993955) (xy 56.138543 78.873383) (xy 56.520043 78.492548) (xy 56.726764 77.994709) (xy 56.727235 77.455656) + (xy 56.522434 76.96) (xy 56.835198 76.96) (xy 57.025 77.149802) (xy 57.025 77.542537) (xy 56.992808 77.574673) + (xy 56.850162 77.918201) (xy 56.849838 78.290167) (xy 56.991883 78.633943) (xy 57.254673 78.897192) (xy 57.598201 79.039838) + (xy 57.970167 79.040162) (xy 58.313943 78.898117) (xy 58.577192 78.635327) (xy 58.719838 78.291799) (xy 58.720162 77.919833) + (xy 58.578117 77.576057) (xy 58.545 77.542882) (xy 58.545 77.164169) (xy 58.876077 77.495824) (xy 59.403309 77.71475) + (xy 59.974187 77.715248) (xy 60.5018 77.497243) (xy 60.905824 77.093923) (xy 61.12475 76.566691) (xy 61.125248 75.995813) + (xy 60.978229 75.64) (xy 61.576957 75.64) + ) + ) + ) +) diff --git a/RC6502 RIOT/RC6502 RIOT.net b/RC6502 RIOT/RC6502 RIOT.net new file mode 100644 index 0000000..59d154e --- /dev/null +++ b/RC6502 RIOT/RC6502 RIOT.net @@ -0,0 +1,840 @@ +(export (version D) + (design + (source "D:/owncloud/Documents/Projects/RC6502/RC6502 TIA NTSC/RC6502 TIA NTSC.sch") + (date "13/02/2019 13:41:52") + (tool "Eeschema 4.0.7") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title) + (company) + (rev) + (date) + (source "RC6502 TIA NTSC.sch") + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref U2) + (value 74HCT138) + (footprint Housings_DIP:DIP-16_W7.62mm_Socket) + (libsource (lib 74xx) (part 74LS138)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C045924)) + (comp (ref X1) + (value "3.579545 MHz") + (footprint Oscillator:Oscillator_DIP-14) + (libsource (lib oscillator) (part Oscillator)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C04593A)) + (comp (ref C2) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C04594C)) + (comp (ref C1) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C04594D)) + (comp (ref J2) + (value VDU_BASE) + (footprint Pin_Headers:Pin_Header_Straight_2x07_Pitch2.54mm) + (libsource (lib conn) (part Conn_02x07_Odd_Even)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C0413AA)) + (comp (ref J8) + (value Composite) + (footprint RCA:rca_yellow) + (libsource (lib rca_plug) (part RCA_PLUG)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C0DE558)) + (comp (ref U3) + (value VDU_Port) + (footprint vdu_amplifier:Amplifier) + (libsource (lib vdu_port) (part VDU_Port)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C12C79F)) + (comp (ref J1) + (value Audio) + (footprint RCA:rca_yellow) + (libsource (lib rca_plug) (part RCA_PLUG)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C623180)) + (comp (ref U1) + (value TIA_NTSC) + (footprint Housings_DIP:DIP-40_W15.24mm_Socket) + (libsource (lib atari_tia) (part TIA_NTSC)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C627812)) + (comp (ref J9) + (value RC6502_Backplane_Extra) + (footprint Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm) + (libsource (lib rc6502_backplane) (part RC6502_Backplane_Extra)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C62AABD)) + (comp (ref JP5) + (value T0_EN) + (footprint Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm) + (libsource (lib device) (part Jumper_NO_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C634111)) + (comp (ref JP4) + (value T1_EN) + (footprint Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm) + (libsource (lib device) (part Jumper_NO_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C6341DF)) + (comp (ref JP2) + (value CS0_SEL) + (footprint Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C63AB60)) + (comp (ref JP3) + (value CS3_SEL) + (footprint Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C63ADD6)) + (comp (ref R12) + (value 1k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C64140A)) + (comp (ref R14) + (value 9k1) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C64155C)) + (comp (ref C12) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C6421D7)) + (comp (ref C11) + (value 820pF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C642603)) + (comp (ref R13) + (value 18k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C6434FB)) + (comp (ref R17) + (value 10k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C64C923)) + (comp (ref R15) + (value 220) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C64CB26)) + (comp (ref C9) + (value 470pF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C64CEE0)) + (comp (ref R18) + (value 10k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C651556)) + (comp (ref R16) + (value 220) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65155C)) + (comp (ref C10) + (value 470pF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C651562)) + (comp (ref R1) + (value 27k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C657F65)) + (comp (ref R2) + (value 3K3) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C658200)) + (comp (ref R3) + (value 56k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65A77B)) + (comp (ref R4) + (value 3K3) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65A781)) + (comp (ref R5) + (value 27K) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65BB40)) + (comp (ref R6) + (value 3K3) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65BB46)) + (comp (ref R7) + (value 110k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65CC09)) + (comp (ref R8) + (value 3K3) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65CC0F)) + (comp (ref C8) + (value 47pF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65DBC9)) + (comp (ref R11) + (value 1k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65E4DC)) + (comp (ref R9) + (value 1k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65F2FE)) + (comp (ref R10) + (value 6k8) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C65FC86)) + (comp (ref C7) + (value 22pF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C6606E8)) + (comp (ref J3) + (value P) + (footprint Pin_Headers:Pin_Header_Straight_1x04_Pitch2.54mm) + (libsource (lib conn) (part Conn_01x04)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C66D220)) + (comp (ref RV1) + (value 500k) + (footprint Potentiometers:Potentiometer_Trimmer_Bourns_3296W) + (libsource (lib device) (part POT)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C67AAE3)) + (comp (ref JP1) + (value CADJ) + (footprint Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm) + (libsource (lib device) (part Jumper_NC_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C682A3C)) + (comp (ref C3) + (value 68nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C688EFB)) + (comp (ref C4) + (value 68nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C6899DB)) + (comp (ref C5) + (value 68nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C68A08D)) + (comp (ref C6) + (value 68nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C68A13E))) + (libparts + (libpart (lib 74xx) (part 74LS138) + (description "Decoder 3 to 8 (active low outputs)") + (fields + (field (name Reference) U) + (field (name Value) 74LS138)) + (pins + (pin (num 1) (name A0) (type input)) + (pin (num 2) (name A1) (type input)) + (pin (num 3) (name A2) (type input)) + (pin (num 4) (name E1) (type input)) + (pin (num 5) (name E2) (type input)) + (pin (num 6) (name E3) (type input)) + (pin (num 7) (name O7) (type output)) + (pin (num 8) (name GND) (type power_in)) + (pin (num 9) (name O6) (type output)) + (pin (num 10) (name O5) (type output)) + (pin (num 11) (name O4) (type output)) + (pin (num 12) (name O3) (type output)) + (pin (num 13) (name O2) (type output)) + (pin (num 14) (name O1) (type output)) + (pin (num 15) (name O0) (type output)) + (pin (num 16) (name VCC) (type power_in)))) + (libpart (lib device) (part C) + (description "Unpolarized capacitor") + (footprints + (fp C_*)) + (fields + (field (name Reference) C) + (field (name Value) C)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib conn) (part Conn_01x04) + (description "Generic connector, single row, 01x04") + (docs ~) + (footprints + (fp Connector*:*_??x*mm*) + (fp Connector*:*1x??x*mm*) + (fp Pin?Header?Straight?1X*) + (fp Pin?Header?Angled?1X*) + (fp Socket?Strip?Straight?1X*) + (fp Socket?Strip?Angled?1X*)) + (fields + (field (name Reference) J) + (field (name Value) Conn_01x04)) + (pins + (pin (num 1) (name Pin_1) (type passive)) + (pin (num 2) (name Pin_2) (type passive)) + (pin (num 3) (name Pin_3) (type passive)) + (pin (num 4) (name Pin_4) (type passive)))) + (libpart (lib conn) (part Conn_02x07_Odd_Even) + (description "Generic connector, double row, 02x07, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers)") + (docs ~) + (footprints + (fp Connector*:*2x??x*mm*) + (fp Connector*:*2x???Pitch*) + (fp Pin_Header_Straight_2X*) + (fp Pin_Header_Angled_2X*) + (fp Socket_Strip_Straight_2X*) + (fp Socket_Strip_Angled_2X*)) + (fields + (field (name Reference) J) + (field (name Value) Conn_02x07_Odd_Even)) + (pins + (pin (num 1) (name Pin_1) (type passive)) + (pin (num 2) (name Pin_2) (type passive)) + (pin (num 3) (name Pin_3) (type passive)) + (pin (num 4) (name Pin_4) (type passive)) + (pin (num 5) (name Pin_5) (type passive)) + (pin (num 6) (name Pin_6) (type passive)) + (pin (num 7) (name Pin_7) (type passive)) + (pin (num 8) (name Pin_8) (type passive)) + (pin (num 9) (name Pin_9) (type passive)) + (pin (num 10) (name Pin_10) (type passive)) + (pin (num 11) (name Pin_11) (type passive)) + (pin (num 12) (name Pin_12) (type passive)) + (pin (num 13) (name Pin_13) (type passive)) + (pin (num 14) (name Pin_14) (type passive)))) + (libpart (lib device) (part Jumper_NC_Dual) + (description "Dual Jumper, normally closed") + (fields + (field (name Reference) JP) + (field (name Value) Jumper_NC_Dual)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)) + (pin (num 3) (name 3) (type passive)))) + (libpart (lib device) (part Jumper_NC_Small) + (description "Jumper, normally closed") + (fields + (field (name Reference) JP) + (field (name Value) Jumper_NC_Small)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)))) + (libpart (lib device) (part Jumper_NO_Small) + (description "Jumper, normally open") + (fields + (field (name Reference) JP) + (field (name Value) Jumper_NO_Small)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)))) + (libpart (lib oscillator) (part Oscillator) + (footprints + (fp Oscillator*DIP*14*)) + (fields + (field (name Reference) X) + (field (name Value) Oscillator) + (field (name Footprint) Oscillators:Oscillator_DIP-14)) + (pins + (pin (num 1) (name NC) (type NotConnected)) + (pin (num 7) (name GND) (type power_in)) + (pin (num 8) (name OUT) (type output)) + (pin (num 14) (name Vcc) (type power_in)))) + (libpart (lib device) (part POT) + (description Potentiometer) + (footprints + (fp Potentiometer*)) + (fields + (field (name Reference) RV) + (field (name Value) POT)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)) + (pin (num 3) (name 3) (type passive)))) + (libpart (lib device) (part R) + (description Resistor) + (footprints + (fp R_*) + (fp R_*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib rc6502_backplane) (part RC6502_Backplane_Extra) + (footprints + (fp Pin_Header_Straight_1X*) + (fp Pin_Header_Angled_1X*) + (fp Socket_Strip_Straight_1X*) + (fp Socket_Strip_Angled_1X*)) + (fields + (field (name Reference) J) + (field (name Value) RC6502_Backplane_Extra)) + (pins + (pin (num 1) (name A15) (type BiDi)) + (pin (num 2) (name A14) (type BiDi)) + (pin (num 3) (name A13) (type BiDi)) + (pin (num 4) (name A12) (type BiDi)) + (pin (num 5) (name A11) (type BiDi)) + (pin (num 6) (name A10) (type BiDi)) + (pin (num 7) (name A9) (type BiDi)) + (pin (num 8) (name A8) (type BiDi)) + (pin (num 9) (name A7) (type BiDi)) + (pin (num 10) (name A6) (type BiDi)) + (pin (num 11) (name A5) (type BiDi)) + (pin (num 12) (name A4) (type BiDi)) + (pin (num 13) (name A3) (type BiDi)) + (pin (num 14) (name A2) (type BiDi)) + (pin (num 15) (name A1) (type BiDi)) + (pin (num 16) (name A0) (type BiDi)) + (pin (num 17) (name P17) (type power_out)) + (pin (num 18) (name P18) (type power_out)) + (pin (num 19) (name PHI2) (type BiDi)) + (pin (num 20) (name RESET) (type BiDi)) + (pin (num 21) (name PHI0) (type BiDi)) + (pin (num 22) (name IRQ) (type BiDi)) + (pin (num 23) (name EX0) (type BiDi)) + (pin (num 24) (name RW) (type BiDi)) + (pin (num 25) (name READY) (type BiDi)) + (pin (num 26) (name SYNC) (type BiDi)) + (pin (num 27) (name D0) (type BiDi)) + (pin (num 28) (name D1) (type BiDi)) + (pin (num 29) (name D2) (type BiDi)) + (pin (num 30) (name D3) (type BiDi)) + (pin (num 31) (name D4) (type BiDi)) + (pin (num 32) (name D5) (type BiDi)) + (pin (num 33) (name D6) (type BiDi)) + (pin (num 34) (name D7) (type BiDi)) + (pin (num 35) (name TX) (type BiDi)) + (pin (num 36) (name RX) (type BiDi)) + (pin (num 37) (name NMI) (type BiDi)) + (pin (num 38) (name EX1) (type BiDi)) + (pin (num 39) (name EX2) (type BiDi)))) + (libpart (lib rca_plug) (part RCA_PLUG) + (description "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, ...)") + (footprints + (fp *BNC*) + (fp *SMA*) + (fp *SMB*) + (fp *SMC*) + (fp *Cinch*)) + (fields + (field (name Reference) J) + (field (name Value) RCA_PLUG)) + (pins + (pin (num 1) (name Ext) (type passive)) + (pin (num 2) (name In) (type passive)))) + (libpart (lib atari_tia) (part TIA_NTSC) + (fields + (field (name Reference) U) + (field (name Value) TIA_NTSC)) + (pins + (pin (num 1) (name GND) (type power_in)) + (pin (num 2) (name CSYNC) (type 3state)) + (pin (num 3) (name RDY) (type 3state)) + (pin (num 4) (name Phi0) (type output)) + (pin (num 5) (name LUM1) (type output)) + (pin (num 6) (name ~BLK) (type input)) + (pin (num 7) (name LUM2) (type output)) + (pin (num 8) (name LUM0) (type output)) + (pin (num 9) (name COLOR) (type 3state)) + (pin (num 10) (name CADJ) (type input)) + (pin (num 11) (name OSC) (type input)) + (pin (num 12) (name AUD1) (type passive)) + (pin (num 13) (name AUD0) (type passive)) + (pin (num 14) (name D0) (type input)) + (pin (num 15) (name D1) (type input)) + (pin (num 16) (name D2) (type input)) + (pin (num 17) (name D3) (type input)) + (pin (num 18) (name D4) (type input)) + (pin (num 19) (name D5) (type input)) + (pin (num 20) (name VCC) (type power_in)) + (pin (num 21) (name ~CS3) (type input)) + (pin (num 22) (name ~CS2) (type input)) + (pin (num 23) (name CS1) (type input)) + (pin (num 24) (name ~CS0) (type input)) + (pin (num 25) (name R/~W) (type 3state)) + (pin (num 26) (name Phi2) (type input)) + (pin (num 27) (name A5) (type input)) + (pin (num 28) (name A4) (type input)) + (pin (num 29) (name A3) (type input)) + (pin (num 30) (name A2) (type input)) + (pin (num 31) (name A1) (type input)) + (pin (num 32) (name A0) (type input)) + (pin (num 33) (name D6) (type input)) + (pin (num 34) (name D7) (type input)) + (pin (num 35) (name T1) (type output)) + (pin (num 36) (name T0) (type output)) + (pin (num 37) (name P3) (type output)) + (pin (num 38) (name P2) (type input)) + (pin (num 39) (name P1) (type 3state)) + (pin (num 40) (name P0) (type 3state)))) + (libpart (lib vdu_port) (part VDU_Port) + (fields + (field (name Reference) U) + (field (name Value) VDU_Port)) + (pins + (pin (num 1) (name VCC) (type power_in)) + (pin (num 2) (name VID_IN) (type input)) + (pin (num 3) (name VID_OUT) (type power_out)) + (pin (num 4) (name AUD_IN) (type power_out)) + (pin (num 5) (name AUD_OUT) (type power_out)) + (pin (num 6) (name GND) (type power_in))))) + (libraries + (library (logical 74xx) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\74xx.lib")) + (library (logical conn) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\conn.lib")) + (library (logical rc6502_backplane) + (uri D:\ownCloud\Documents\Projects\RC6502\Templates\rc6502_backplane.lib)) + (library (logical vdu_port) + (uri "D:\\owncloud\\Documents\\Projects\\RC6502\\RC6502 TIA NTSC\\vdu_port.lib")) + (library (logical oscillator) + (uri D:\ownCloud\Documents\Projects\RC6502\Templates\oscillator.lib)) + (library (logical atari_tia) + (uri D:\owncloud\Documents\Projects\RC6502\Templates\atari_tia.lib)) + (library (logical rca_plug) + (uri D:\ownCloud\Documents\Projects\RC6502\Templates\rca_plug.lib)) + (library (logical device) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib"))) + (nets + (net (code 1) (name GND) + (node (ref C6) (pin 1)) + (node (ref C2) (pin 2)) + (node (ref JP3) (pin 3)) + (node (ref C5) (pin 1)) + (node (ref C1) (pin 2)) + (node (ref RV1) (pin 3)) + (node (ref X1) (pin 7)) + (node (ref U1) (pin 1)) + (node (ref R14) (pin 2)) + (node (ref U2) (pin 8)) + (node (ref U2) (pin 5)) + (node (ref U2) (pin 4)) + (node (ref C4) (pin 1)) + (node (ref C3) (pin 1)) + (node (ref C9) (pin 2)) + (node (ref C10) (pin 2)) + (node (ref U3) (pin 6)) + (node (ref J1) (pin 1)) + (node (ref J9) (pin 17)) + (node (ref U1) (pin 22)) + (node (ref J8) (pin 1))) + (net (code 2) (name VCC) + (node (ref J9) (pin 18)) + (node (ref R8) (pin 2)) + (node (ref C11) (pin 1)) + (node (ref U1) (pin 23)) + (node (ref C1) (pin 1)) + (node (ref U1) (pin 20)) + (node (ref R12) (pin 2)) + (node (ref C2) (pin 1)) + (node (ref X1) (pin 14)) + (node (ref R11) (pin 2)) + (node (ref R9) (pin 2)) + (node (ref R6) (pin 2)) + (node (ref U3) (pin 1)) + (node (ref RV1) (pin 1)) + (node (ref R17) (pin 1)) + (node (ref R2) (pin 2)) + (node (ref U2) (pin 16)) + (node (ref R18) (pin 1)) + (node (ref R4) (pin 2))) + (net (code 3) (name /T1_IN) + (node (ref JP4) (pin 1)) + (node (ref R16) (pin 2)) + (node (ref C10) (pin 1))) + (net (code 4) (name "Net-(J9-Pad39)") + (node (ref JP4) (pin 2)) + (node (ref J9) (pin 39))) + (net (code 5) (name /T0_IN) + (node (ref C9) (pin 1)) + (node (ref R15) (pin 2)) + (node (ref JP5) (pin 1))) + (net (code 6) (name /LUM0) + (node (ref R7) (pin 1)) + (node (ref R8) (pin 1)) + (node (ref U1) (pin 8))) + (net (code 7) (name /CSYNC) + (node (ref U1) (pin 2)) + (node (ref R2) (pin 1)) + (node (ref R1) (pin 1))) + (net (code 8) (name /RDY) + (node (ref J9) (pin 25)) + (node (ref U1) (pin 3))) + (net (code 9) (name /LUM1) + (node (ref R3) (pin 1)) + (node (ref R4) (pin 1)) + (node (ref U1) (pin 5))) + (net (code 10) (name /LUM2) + (node (ref R6) (pin 1)) + (node (ref U1) (pin 7)) + (node (ref R5) (pin 1))) + (net (code 11) (name /COLOR) + (node (ref C8) (pin 1)) + (node (ref U1) (pin 9)) + (node (ref R11) (pin 1))) + (net (code 12) (name /~CS0) + (node (ref JP2) (pin 2)) + (node (ref U1) (pin 24))) + (net (code 13) (name /A7) + (node (ref J9) (pin 9)) + (node (ref JP3) (pin 1))) + (net (code 14) (name /A12) + (node (ref U2) (pin 1)) + (node (ref J9) (pin 4)) + (node (ref JP2) (pin 1))) + (net (code 15) (name /~CS3) + (node (ref JP3) (pin 2)) + (node (ref U1) (pin 21))) + (net (code 16) (name TIA_BASE) + (node (ref J2) (pin 12)) + (node (ref J2) (pin 8)) + (node (ref J2) (pin 14)) + (node (ref J2) (pin 10)) + (node (ref J2) (pin 4)) + (node (ref J2) (pin 2)) + (node (ref J2) (pin 6)) + (node (ref JP2) (pin 3))) + (net (code 17) (name /AUD0) + (node (ref U1) (pin 12)) + (node (ref U1) (pin 13)) + (node (ref R12) (pin 1)) + (node (ref C12) (pin 1))) + (net (code 18) (name "Net-(C12-Pad2)") + (node (ref C12) (pin 2)) + (node (ref R13) (pin 2))) + (net (code 19) (name AUDIO) + (node (ref C11) (pin 2)) + (node (ref R13) (pin 1)) + (node (ref R14) (pin 1)) + (node (ref U3) (pin 4))) + (net (code 20) (name /CADJ) + (node (ref U1) (pin 10)) + (node (ref JP1) (pin 2))) + (net (code 21) (name "Net-(JP1-Pad1)") + (node (ref RV1) (pin 2)) + (node (ref JP1) (pin 1))) + (net (code 22) (name /A15) + (node (ref U2) (pin 6)) + (node (ref J9) (pin 1))) + (net (code 23) (name /A13) + (node (ref U2) (pin 2)) + (node (ref J9) (pin 3))) + (net (code 24) (name /A14) + (node (ref U2) (pin 3)) + (node (ref J9) (pin 2))) + (net (code 25) (name /T0) + (node (ref U1) (pin 36)) + (node (ref R15) (pin 1)) + (node (ref R17) (pin 2))) + (net (code 26) (name /T1) + (node (ref R16) (pin 1)) + (node (ref R18) (pin 2)) + (node (ref U1) (pin 35))) + (net (code 27) (name "Net-(J9-Pad5)") + (node (ref J9) (pin 5))) + (net (code 28) (name "Net-(J9-Pad6)") + (node (ref J9) (pin 6))) + (net (code 29) (name "Net-(J9-Pad7)") + (node (ref J9) (pin 7))) + (net (code 30) (name "Net-(J9-Pad8)") + (node (ref J9) (pin 8))) + (net (code 31) (name "Net-(J9-Pad10)") + (node (ref J9) (pin 10))) + (net (code 32) (name "Net-(C8-Pad2)") + (node (ref R9) (pin 1)) + (node (ref C8) (pin 2)) + (node (ref R10) (pin 1))) + (net (code 33) (name /P0) + (node (ref J3) (pin 1)) + (node (ref U1) (pin 40)) + (node (ref C3) (pin 2))) + (net (code 34) (name /P1) + (node (ref C4) (pin 2)) + (node (ref U1) (pin 39)) + (node (ref J3) (pin 2))) + (net (code 35) (name /P2) + (node (ref J3) (pin 3)) + (node (ref C5) (pin 2)) + (node (ref U1) (pin 38))) + (net (code 36) (name /P3) + (node (ref C6) (pin 2)) + (node (ref U1) (pin 37)) + (node (ref J3) (pin 4))) + (net (code 37) (name "Net-(C7-Pad1)") + (node (ref R10) (pin 2)) + (node (ref C7) (pin 1))) + (net (code 38) (name VIDEO) + (node (ref R5) (pin 2)) + (node (ref C7) (pin 2)) + (node (ref R1) (pin 2)) + (node (ref R3) (pin 2)) + (node (ref U3) (pin 2)) + (node (ref R7) (pin 2))) + (net (code 39) (name "Net-(U1-Pad6)") + (node (ref U1) (pin 6))) + (net (code 40) (name "Net-(J8-Pad2)") + (node (ref U3) (pin 3)) + (node (ref J8) (pin 2))) + (net (code 41) (name "Net-(J2-Pad11)") + (node (ref J2) (pin 11)) + (node (ref U2) (pin 10))) + (net (code 42) (name "Net-(J2-Pad13)") + (node (ref J2) (pin 13)) + (node (ref U2) (pin 9))) + (net (code 43) (name "Net-(J9-Pad20)") + (node (ref J9) (pin 20))) + (net (code 44) (name "Net-(J1-Pad2)") + (node (ref U3) (pin 5)) + (node (ref J1) (pin 2))) + (net (code 45) (name "Net-(X1-Pad1)") + (node (ref X1) (pin 1))) + (net (code 46) (name "Net-(J9-Pad35)") + (node (ref J9) (pin 35))) + (net (code 47) (name "Net-(J9-Pad36)") + (node (ref J9) (pin 36))) + (net (code 48) (name "Net-(J9-Pad37)") + (node (ref J9) (pin 37))) + (net (code 49) (name "Net-(J9-Pad22)") + (node (ref J9) (pin 22))) + (net (code 50) (name "Net-(U2-Pad7)") + (node (ref U2) (pin 7))) + (net (code 51) (name "Net-(J9-Pad23)") + (node (ref J9) (pin 23))) + (net (code 52) (name "Net-(J2-Pad9)") + (node (ref U2) (pin 11)) + (node (ref J2) (pin 9))) + (net (code 53) (name "Net-(J2-Pad7)") + (node (ref J2) (pin 7)) + (node (ref U2) (pin 12))) + (net (code 54) (name "Net-(J2-Pad5)") + (node (ref U2) (pin 13)) + (node (ref J2) (pin 5))) + (net (code 55) (name "Net-(J2-Pad3)") + (node (ref U2) (pin 14)) + (node (ref J2) (pin 3))) + (net (code 56) (name "Net-(J2-Pad1)") + (node (ref U2) (pin 15)) + (node (ref J2) (pin 1))) + (net (code 57) (name /D6) + (node (ref J9) (pin 33)) + (node (ref U1) (pin 33))) + (net (code 58) (name /D7) + (node (ref J9) (pin 34)) + (node (ref U1) (pin 34))) + (net (code 59) (name /D5) + (node (ref U1) (pin 19)) + (node (ref J9) (pin 32))) + (net (code 60) (name /D0) + (node (ref U1) (pin 14)) + (node (ref J9) (pin 27))) + (net (code 61) (name /D1) + (node (ref J9) (pin 28)) + (node (ref U1) (pin 15))) + (net (code 62) (name /D2) + (node (ref J9) (pin 29)) + (node (ref U1) (pin 16))) + (net (code 63) (name /D3) + (node (ref J9) (pin 30)) + (node (ref U1) (pin 17))) + (net (code 64) (name /D4) + (node (ref U1) (pin 18)) + (node (ref J9) (pin 31))) + (net (code 65) (name /A4) + (node (ref U1) (pin 28)) + (node (ref J9) (pin 12))) + (net (code 66) (name /A5) + (node (ref U1) (pin 27)) + (node (ref J9) (pin 11))) + (net (code 67) (name /OSC_IN) + (node (ref X1) (pin 8)) + (node (ref U1) (pin 11))) + (net (code 68) (name "Net-(J9-Pad38)") + (node (ref J9) (pin 38)) + (node (ref JP5) (pin 2))) + (net (code 69) (name /R/~W) + (node (ref J9) (pin 24)) + (node (ref U1) (pin 25))) + (net (code 70) (name "Net-(J9-Pad26)") + (node (ref J9) (pin 26))) + (net (code 71) (name /PHI2) + (node (ref J9) (pin 19)) + (node (ref U1) (pin 26))) + (net (code 72) (name /A3) + (node (ref J9) (pin 13)) + (node (ref U1) (pin 29))) + (net (code 73) (name /PHI0) + (node (ref J9) (pin 21)) + (node (ref U1) (pin 4))) + (net (code 74) (name /A0) + (node (ref J9) (pin 16)) + (node (ref U1) (pin 32))) + (net (code 75) (name /A1) + (node (ref U1) (pin 31)) + (node (ref J9) (pin 15))) + (net (code 76) (name /A2) + (node (ref U1) (pin 30)) + (node (ref J9) (pin 14))))) \ No newline at end of file diff --git a/RC6502 RIOT/RC6502 RIOT.pro b/RC6502 RIOT/RC6502 RIOT.pro new file mode 100644 index 0000000..347c61b --- /dev/null +++ b/RC6502 RIOT/RC6502 RIOT.pro @@ -0,0 +1,77 @@ +update=13/02/2019 16:50:16 +version=1 +last_client=kicad +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName=export +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName= +SpiceForceRefPrefix=0 +SpiceUseNetNumbers=0 +LabSize=60 +[general] +version=1 +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +LibName1=power +LibName2=device +LibName3=switches +LibName4=relays +LibName5=motors +LibName6=transistors +LibName7=conn +LibName8=linear +LibName9=regul +LibName10=74xx +LibName11=cmos4000 +LibName12=adc-dac +LibName13=memory +LibName14=xilinx +LibName15=microcontrollers +LibName16=dsp +LibName17=microchip +LibName18=analog_switches +LibName19=motorola +LibName20=texas +LibName21=intel +LibName22=audio +LibName23=interface +LibName24=digital-audio +LibName25=philips +LibName26=display +LibName27=cypress +LibName28=siliconi +LibName29=opto +LibName30=atmel +LibName31=contrib +LibName32=valves +LibName33=D:/ownCloud/Documents/Projects/RC6502/Templates/rc6502_backplane +LibName34=D:/ownCloud/Documents/Projects/RC6502/Templates/mounting +LibName35=D:/owncloud/Documents/Projects/RC6502/Templates/atari_riot +LibName36=D:/owncloud/Documents/Projects/RC6502/Templates/atari_joystick diff --git a/RC6502 RIOT/RC6502 RIOT.sch b/RC6502 RIOT/RC6502 RIOT.sch new file mode 100644 index 0000000..6281aaf --- /dev/null +++ b/RC6502 RIOT/RC6502 RIOT.sch @@ -0,0 +1,1413 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:rc6502_backplane +LIBS:mounting +LIBS:atari_riot +LIBS:atari_joystick +LIBS:RC6502 RIOT-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Text Notes 7975 7500 2 60 ~ 0 +RC6502 RIOT +Text Notes 10650 7650 2 60 ~ 0 +A +$Comp +L 74LS138 U2 +U 1 1 5C045924 +P 2050 1350 +F 0 "U2" H 2050 1850 50 0000 C CNN +F 1 "74HCT138" V 2050 1350 50 0000 C CNN +F 2 "Housings_DIP:DIP-16_W7.62mm_Socket" H 2050 1350 50 0001 C CNN +F 3 "" H 2050 1350 50 0001 C CNN + 1 2050 1350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1450 1600 1150 1600 +Wire Wire Line + 1150 1600 1150 1850 +Wire Wire Line + 1450 1700 1150 1700 +Connection ~ 1150 1700 +$Comp +L C C2 +U 1 1 5C04594C +P 6475 7125 +F 0 "C2" H 6500 7225 50 0000 L CNN +F 1 "100nF" H 6500 7025 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 6513 6975 50 0001 C CNN +F 3 "" H 6475 7125 50 0001 C CNN + 1 6475 7125 + 1 0 0 -1 +$EndComp +$Comp +L C C1 +U 1 1 5C04594D +P 6175 7125 +F 0 "C1" H 6200 7225 50 0000 L CNN +F 1 "100nF" H 6200 7025 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 6213 6975 50 0001 C CNN +F 3 "" H 6175 7125 50 0001 C CNN + 1 6175 7125 + 1 0 0 -1 +$EndComp +NoConn ~ 10400 5850 +NoConn ~ 10400 5950 +Wire Wire Line + 6175 7275 6175 7350 +$Comp +L VCC #PWR01 +U 1 1 5C04B53B +P 10000 4150 +F 0 "#PWR01" H 10000 4000 50 0001 C CNN +F 1 "VCC" H 10125 4200 50 0000 C CNN +F 2 "" H 10000 4150 50 0001 C CNN +F 3 "" H 10000 4150 50 0001 C CNN + 1 10000 4150 + 1 0 0 -1 +$EndComp +NoConn ~ 10400 4650 +Wire Wire Line + 9700 3750 10400 3750 +Wire Wire Line + 9700 3850 10400 3850 +Wire Wire Line + 9700 3950 10400 3950 +$Comp +L Conn_02x07_Odd_Even J2 +U 1 1 5C0413AA +P 3150 1300 +F 0 "J2" H 3200 1600 50 0000 C CNN +F 1 "VDU_BASE" H 3200 900 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_2x07_Pitch2.54mm" H 3150 1300 50 0001 C CNN +F 3 "" H 3150 1300 50 0001 C CNN + 1 3150 1300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2650 1000 2950 1000 +Wire Wire Line + 2650 1100 2950 1100 +Wire Wire Line + 2650 1200 2950 1200 +Wire Wire Line + 2650 1300 2950 1300 +Wire Wire Line + 2650 1400 2950 1400 +NoConn ~ 2650 1700 +Wire Wire Line + 3450 1000 3600 1000 +Wire Wire Line + 3525 1000 3525 1600 +Wire Wire Line + 3525 1400 3450 1400 +Wire Wire Line + 3450 1300 3525 1300 +Connection ~ 3525 1300 +Wire Wire Line + 3450 1200 3525 1200 +Connection ~ 3525 1200 +Wire Wire Line + 3450 1100 3525 1100 +Connection ~ 3525 1100 +Text Notes 2675 1700 0 60 ~ 0 +ROM +Text Notes 2675 1400 0 60 ~ 0 +$C000 +Text Notes 2675 1300 0 60 ~ 0 +$B000 +Text Notes 2675 1200 0 60 ~ 0 +$A000 +Text Notes 2675 1100 0 60 ~ 0 +$9000 +Text Notes 2675 1000 0 60 ~ 0 +$8000 +Connection ~ 3525 1000 +$Comp +L GND #PWR02 +U 1 1 5C046BC0 +P 6475 7425 +F 0 "#PWR02" H 6475 7175 50 0001 C CNN +F 1 "GND" H 6475 7275 50 0000 C CNN +F 2 "" H 6475 7425 50 0001 C CNN +F 3 "" H 6475 7425 50 0001 C CNN + 1 6475 7425 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR03 +U 1 1 5C04D9C7 +P 1150 1850 +F 0 "#PWR03" H 1150 1600 50 0001 C CNN +F 1 "GND" H 1150 1700 50 0000 C CNN +F 2 "" H 1150 1850 50 0001 C CNN +F 3 "" H 1150 1850 50 0001 C CNN + 1 1150 1850 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR04 +U 1 1 5C0636E6 +P 6300 3375 +F 0 "#PWR04" H 6300 3125 50 0001 C CNN +F 1 "GND" H 6300 3225 50 0000 C CNN +F 2 "" H 6300 3375 50 0001 C CNN +F 3 "" H 6300 3375 50 0001 C CNN + 1 6300 3375 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR05 +U 1 1 5C06AFB8 +P 6300 725 +F 0 "#PWR05" H 6300 575 50 0001 C CNN +F 1 "VCC" H 6300 875 50 0000 C CNN +F 2 "" H 6300 725 50 0001 C CNN +F 3 "" H 6300 725 50 0001 C CNN + 1 6300 725 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR06 +U 1 1 5C0EE352 +P 9875 4050 +F 0 "#PWR06" H 9875 3800 50 0001 C CNN +F 1 "GND" H 9775 4050 50 0000 C CNN +F 2 "" H 9875 4050 50 0001 C CNN +F 3 "" H 9875 4050 50 0001 C CNN + 1 9875 4050 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2650 1500 2950 1500 +Wire Wire Line + 2650 1600 2950 1600 +Text Notes 2675 1500 0 60 ~ 0 +$D000 +Text Notes 2675 1600 0 60 ~ 0 +$E000 +Wire Wire Line + 3525 1500 3450 1500 +Connection ~ 3525 1400 +Wire Wire Line + 3525 1600 3450 1600 +Connection ~ 3525 1500 +$Comp +L RC6502_Backplane_Extra J9 +U 1 1 5C62AABD +P 10600 4350 +F 0 "J9" H 10600 6350 50 0000 C CNN +F 1 "RC6502_Backplane_Extra" V 11050 4300 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm" H 10600 4350 50 0001 C CNN +F 3 "" H 10600 4350 50 0001 C CNN + 1 10600 4350 + 1 0 0 -1 +$EndComp +Text Label 10375 2450 2 60 ~ 0 +A15 +Text Label 10375 2550 2 60 ~ 0 +A14 +Text Label 10375 3950 2 60 ~ 0 +A0 +Text Label 10375 3850 2 60 ~ 0 +A1 +Text Label 10375 3750 2 60 ~ 0 +A2 +Text Label 10375 3650 2 60 ~ 0 +A3 +Text Label 10375 3550 2 60 ~ 0 +A4 +Text Label 10375 3450 2 60 ~ 0 +A5 +Text Label 10375 3250 2 60 ~ 0 +A7 +Text Label 10375 2750 2 60 ~ 0 +A12 +Text Label 10375 2650 2 60 ~ 0 +A13 +Wire Wire Line + 9700 3450 10400 3450 +Wire Wire Line + 9700 3550 10400 3550 +Wire Wire Line + 9700 3650 10400 3650 +Wire Wire Line + 9700 3250 10400 3250 +Wire Wire Line + 9700 2650 10400 2650 +Wire Wire Line + 9700 2750 10400 2750 +Wire Wire Line + 9700 2450 10400 2450 +Wire Wire Line + 9700 2550 10400 2550 +Entry Wire Line + 9600 2350 9700 2450 +Entry Wire Line + 9600 2450 9700 2550 +Entry Wire Line + 9600 2550 9700 2650 +Entry Wire Line + 9600 2650 9700 2750 +Entry Wire Line + 9600 3150 9700 3250 +Entry Wire Line + 9600 3350 9700 3450 +Entry Wire Line + 9600 3450 9700 3550 +Entry Wire Line + 9600 3550 9700 3650 +Entry Wire Line + 9600 3650 9700 3750 +Entry Wire Line + 9600 3750 9700 3850 +Entry Wire Line + 9600 3850 9700 3950 +Wire Wire Line + 7375 2125 6950 2125 +Wire Wire Line + 7375 2025 6950 2025 +Wire Wire Line + 7375 1925 6950 1925 +Text Label 6975 1925 0 60 ~ 0 +A0 +Text Label 6975 2025 0 60 ~ 0 +A1 +Text Label 6975 2125 0 60 ~ 0 +A2 +Text Label 6975 2225 0 60 ~ 0 +A3 +Text Label 6975 2325 0 60 ~ 0 +A4 +Text Label 6975 2425 0 60 ~ 0 +A5 +Wire Wire Line + 7375 2425 6950 2425 +Wire Wire Line + 7375 2325 6950 2325 +Wire Wire Line + 7375 2225 6950 2225 +Entry Wire Line + 7475 2525 7375 2425 +Entry Wire Line + 7475 2425 7375 2325 +Entry Wire Line + 7475 2325 7375 2225 +Entry Wire Line + 7475 2225 7375 2125 +Entry Wire Line + 7475 2125 7375 2025 +Entry Wire Line + 7475 2025 7375 1925 +Wire Wire Line + 9700 5550 10400 5550 +Wire Wire Line + 9700 5650 10400 5650 +Wire Wire Line + 9700 5750 10400 5750 +Wire Wire Line + 9700 5250 10400 5250 +Wire Wire Line + 9700 5350 10400 5350 +Wire Wire Line + 9700 5450 10400 5450 +Wire Wire Line + 9700 5050 10400 5050 +Wire Wire Line + 9700 5150 10400 5150 +Entry Wire Line + 9600 4950 9700 5050 +Entry Wire Line + 9600 5050 9700 5150 +Entry Wire Line + 9600 5150 9700 5250 +Entry Wire Line + 9600 5250 9700 5350 +Entry Wire Line + 9600 5350 9700 5450 +Entry Wire Line + 9600 5450 9700 5550 +Entry Wire Line + 9600 5550 9700 5650 +Entry Wire Line + 9600 5650 9700 5750 +Text Label 10375 5050 2 60 ~ 0 +D0 +Text Label 10375 5150 2 60 ~ 0 +D1 +Text Label 10375 5250 2 60 ~ 0 +D2 +Text Label 10375 5350 2 60 ~ 0 +D3 +Text Label 10375 5450 2 60 ~ 0 +D4 +Text Label 10375 5550 2 60 ~ 0 +D5 +Text Label 10375 5650 2 60 ~ 0 +D6 +Text Label 10375 5750 2 60 ~ 0 +D7 +Wire Wire Line + 7375 1325 6950 1325 +Wire Wire Line + 7375 1225 6950 1225 +Wire Wire Line + 7375 1125 6950 1125 +Wire Wire Line + 7375 1625 6950 1625 +Wire Wire Line + 7375 1525 6950 1525 +Wire Wire Line + 7375 1425 6950 1425 +Wire Wire Line + 7375 1825 6950 1825 +Wire Wire Line + 7375 1725 6950 1725 +Entry Wire Line + 7475 1925 7375 1825 +Entry Wire Line + 7475 1825 7375 1725 +Entry Wire Line + 7475 1725 7375 1625 +Entry Wire Line + 7475 1625 7375 1525 +Entry Wire Line + 7475 1525 7375 1425 +Entry Wire Line + 7475 1425 7375 1325 +Entry Wire Line + 7475 1325 7375 1225 +Entry Wire Line + 7475 1225 7375 1125 +Text Label 6975 1125 0 60 ~ 0 +D0 +Text Label 6975 1225 0 60 ~ 0 +D1 +Text Label 6975 1325 0 60 ~ 0 +D2 +Text Label 6975 1425 0 60 ~ 0 +D3 +Text Label 6975 1525 0 60 ~ 0 +D4 +Text Label 6975 1625 0 60 ~ 0 +D5 +Text Label 6975 1725 0 60 ~ 0 +D6 +Text Label 6975 1825 0 60 ~ 0 +D7 +Wire Wire Line + 7375 2625 6950 2625 +Entry Wire Line + 7475 2725 7375 2625 +Text Label 6975 2625 0 60 ~ 0 +R/~W +Wire Wire Line + 9700 4750 10400 4750 +Entry Wire Line + 9700 4750 9600 4650 +Text Label 10375 4750 2 60 ~ 0 +R/~W +NoConn ~ 10400 4950 +Wire Wire Line + 9700 4250 10400 4250 +Entry Wire Line + 9700 4250 9600 4150 +Text Label 10375 4250 2 60 ~ 0 +PHI2 +Wire Wire Line + 5650 3025 5225 3025 +Entry Wire Line + 5225 3025 5125 2925 +Wire Wire Line + 5650 2925 5225 2925 +Wire Wire Line + 5225 2725 5650 2725 +Entry Wire Line + 5225 2725 5125 2625 +Wire Wire Line + 5650 2625 5225 2625 +Entry Wire Line + 5225 2625 5125 2525 +Wire Wire Line + 10400 4150 10000 4150 +$Comp +L Jumper_NO_Small JP5 +U 1 1 5C634111 +P 10300 6150 +F 0 "JP5" H 10300 6200 50 0000 C CNN +F 1 "T0_EN" H 10310 6090 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm" H 10300 6150 50 0001 C CNN +F 3 "" H 10300 6150 50 0001 C CNN + 1 10300 6150 + 1 0 0 -1 +$EndComp +$Comp +L Jumper_NO_Small JP4 +U 1 1 5C6341DF +P 10075 6300 +F 0 "JP4" H 10075 6350 50 0000 C CNN +F 1 "T1_EN" H 10085 6240 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm" H 10075 6300 50 0001 C CNN +F 3 "" H 10075 6300 50 0001 C CNN + 1 10075 6300 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9700 6150 10200 6150 +Entry Wire Line + 9600 6050 9700 6150 +Wire Wire Line + 9700 6300 9975 6300 +Entry Wire Line + 9600 6200 9700 6300 +Text Label 9725 6150 0 60 ~ 0 +T0 +Text Label 9725 6300 0 60 ~ 0 +T1 +Wire Wire Line + 9875 4050 9875 4025 +Wire Wire Line + 9875 4025 10125 4025 +Wire Wire Line + 10125 4025 10125 4050 +Wire Wire Line + 10125 4050 10400 4050 +$Comp +L Jumper_NC_Dual JP2 +U 1 1 5C63AB60 +P 8800 1000 +F 0 "JP2" H 8850 900 50 0000 L CNN +F 1 "CS1_SEL" H 8800 1100 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 8800 1000 50 0001 C CNN +F 3 "" H 8800 1000 50 0001 C CNN + 1 8800 1000 + 0 1 1 0 +$EndComp +$Comp +L Jumper_NC_Dual JP3 +U 1 1 5C63ADD6 +P 9125 1825 +F 0 "JP3" H 9175 1725 50 0000 L CNN +F 1 "CS2_SEL" H 9125 1925 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 9125 1825 50 0001 C CNN +F 3 "" H 9125 1825 50 0001 C CNN + 1 9125 1825 + 0 1 1 0 +$EndComp +Entry Wire Line + 9500 675 9600 775 +Entry Wire Line + 9500 1500 9600 1600 +Wire Wire Line + 8800 675 9500 675 +Wire Wire Line + 8800 750 8800 675 +Wire Wire Line + 9125 1575 9125 1500 +Text Label 9350 675 2 60 ~ 0 +A7 +Wire Wire Line + 9125 1500 9500 1500 +Entry Wire Line + 8375 1825 8275 1725 +Text Label 8400 1000 0 60 ~ 0 +CS1 +Text Label 8400 1825 0 60 ~ 0 +~CS2 +Entry Wire Line + 8375 1000 8275 900 +Wire Wire Line + 8375 1000 8700 1000 +Wire Wire Line + 8375 1825 9025 1825 +Wire Bus Line + 9600 6200 9600 525 +Wire Wire Line + 5225 2025 5650 2025 +Entry Wire Line + 5225 2025 5125 1925 +Wire Wire Line + 5650 2125 5225 2125 +Entry Wire Line + 5225 2125 5125 2025 +Wire Wire Line + 5225 1825 5650 1825 +Entry Wire Line + 5225 1825 5125 1725 +Wire Wire Line + 5650 1725 5225 1725 +Entry Wire Line + 5225 1725 5125 1625 +Wire Wire Line + 5225 1225 5650 1225 +Entry Wire Line + 5225 1225 5125 1125 +Wire Wire Line + 5650 1125 5225 1125 +Entry Wire Line + 5225 1125 5125 1025 +Wire Wire Line + 5225 1425 5650 1425 +Entry Wire Line + 5225 1425 5125 1325 +Wire Wire Line + 5225 1625 5650 1625 +Entry Wire Line + 5225 1625 5125 1525 +Wire Wire Line + 5650 1525 5225 1525 +Entry Wire Line + 5225 1525 5125 1425 +Wire Wire Line + 10175 6300 10300 6300 +Wire Wire Line + 10300 6300 10300 6250 +Wire Wire Line + 10300 6250 10400 6250 +Wire Notes Line + 9375 575 9375 3475 +Wire Notes Line + 9375 575 8125 575 +Wire Notes Line + 8125 575 8125 3475 +Wire Wire Line + 5650 2525 5225 2525 +Entry Wire Line + 5225 2525 5125 2425 +Wire Wire Line + 5225 2325 5650 2325 +Entry Wire Line + 5225 2325 5125 2225 +Wire Wire Line + 5650 2225 5225 2225 +Entry Wire Line + 5225 2225 5125 2125 +Wire Wire Line + 5650 2425 5225 2425 +Entry Wire Line + 5225 2425 5125 2325 +Text Notes 8100 2075 1 60 ~ 0 +Adressing +Wire Bus Line + 5125 525 5125 2925 +Wire Bus Line + 9600 525 925 525 +Wire Wire Line + 6475 7275 6475 7425 +Wire Wire Line + 6175 7350 6475 7350 +Connection ~ 6475 7350 +Wire Wire Line + 1450 1000 1025 1000 +Entry Wire Line + 1025 1000 925 900 +Text Label 1425 1000 2 60 ~ 0 +A12 +Wire Wire Line + 1450 1100 1025 1100 +Entry Wire Line + 1025 1100 925 1000 +Text Label 1425 1100 2 60 ~ 0 +A13 +Wire Wire Line + 1450 1200 1025 1200 +Entry Wire Line + 1025 1200 925 1100 +Text Label 1425 1200 2 60 ~ 0 +A14 +Wire Wire Line + 1450 1500 1025 1500 +Entry Wire Line + 1025 1500 925 1400 +Text Label 1425 1500 2 60 ~ 0 +A15 +Wire Bus Line + 925 525 925 1400 +NoConn ~ 10400 2950 +NoConn ~ 10400 3150 +Wire Wire Line + 6475 6975 6475 6900 +Wire Wire Line + 6475 6900 6175 6900 +Wire Wire Line + 6175 6825 6175 6975 +Connection ~ 6175 6900 +$Comp +L VCC #PWR07 +U 1 1 5C642E0C +P 6175 6825 +F 0 "#PWR07" H 6175 6675 50 0001 C CNN +F 1 "VCC" H 6175 6975 50 0000 C CNN +F 2 "" H 6175 6825 50 0001 C CNN +F 3 "" H 6175 6825 50 0001 C CNN + 1 6175 6825 + 1 0 0 -1 +$EndComp +NoConn ~ 10400 4450 +NoConn ~ 10400 4850 +Wire Bus Line + 8275 525 8275 2625 +$Comp +L RIOT U1 +U 1 1 5C6443AB +P 6300 2025 +F 0 "U1" H 6300 2275 60 0000 C CNN +F 1 "RIOT" V 6300 1925 60 0000 C CNN +F 2 "" H 5800 2225 60 0000 C CNN +F 3 "" H 5800 2225 60 0000 C CNN + 1 6300 2025 + 1 0 0 -1 +$EndComp +Text Label 6975 2525 0 60 ~ 0 +A6 +Wire Wire Line + 7375 2525 6950 2525 +Entry Wire Line + 7475 2625 7375 2525 +Entry Wire Line + 5225 2925 5125 2825 +Wire Wire Line + 5225 1325 5650 1325 +Entry Wire Line + 5225 1325 5125 1225 +Text Label 5625 1125 2 60 ~ 0 +PA0 +Text Label 5625 1225 2 60 ~ 0 +PA1 +Text Label 5625 1325 2 60 ~ 0 +PA2 +Text Label 5625 1425 2 60 ~ 0 +PA3 +Text Label 5625 1525 2 60 ~ 0 +PA4 +Text Label 5625 1625 2 60 ~ 0 +PA5 +Text Label 5625 1725 2 60 ~ 0 +PA6 +Text Label 5625 1825 2 60 ~ 0 +PA7 +Text Label 5625 2025 2 60 ~ 0 +PB0 +Text Label 5625 2125 2 60 ~ 0 +PB1 +Text Label 5625 2225 2 60 ~ 0 +PB2 +Text Label 5625 2325 2 60 ~ 0 +PB3 +Text Label 5625 2425 2 60 ~ 0 +PB4 +Text Label 5625 2525 2 60 ~ 0 +PB5 +Text Label 5625 2625 2 60 ~ 0 +PB6 +Text Label 5625 2725 2 60 ~ 0 +PB7 +Text Label 5625 2925 2 60 ~ 0 +PHI2 +$Comp +L R R1 +U 1 1 5C6454FA +P 8675 3825 +F 0 "R1" V 8755 3825 50 0000 C CNN +F 1 "3k3" V 8675 3825 50 0000 C CNN +F 2 "" V 8605 3825 50 0001 C CNN +F 3 "" H 8675 3825 50 0001 C CNN + 1 8675 3825 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9700 4350 10400 4350 +Entry Wire Line + 9700 4350 9600 4250 +Text Label 10375 4350 2 60 ~ 0 +~RES +Text Label 6975 3025 0 60 ~ 0 +~RES +Wire Wire Line + 7375 3025 6950 3025 +Entry Wire Line + 7475 3125 7375 3025 +$Comp +L VCC #PWR08 +U 1 1 5C646FE5 +P 8675 3675 +F 0 "#PWR08" H 8675 3525 50 0001 C CNN +F 1 "VCC" H 8675 3825 50 0000 C CNN +F 2 "" H 8675 3675 50 0001 C CNN +F 3 "" H 8675 3675 50 0001 C CNN + 1 8675 3675 + 1 0 0 -1 +$EndComp +$Comp +L Jumper_NC_Dual JP1 +U 1 1 5C64756E +P 8675 4225 +F 0 "JP1" H 8725 4125 50 0000 L CNN +F 1 "IRQ_EN" H 8675 4325 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 8675 4225 50 0001 C CNN +F 3 "" H 8675 4225 50 0001 C CNN + 1 8675 4225 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 8775 4225 9500 4225 +Entry Wire Line + 9500 4225 9600 4325 +Text Label 5625 3025 2 60 ~ 0 +~IRQ +Text Label 9350 4225 2 60 ~ 0 +~IRQ +Wire Wire Line + 8675 4475 8675 4875 +$Comp +L Jumper_NC_Dual JP6 +U 1 1 5C64A264 +P 8800 2725 +F 0 "JP6" H 8850 2625 50 0000 L CNN +F 1 "RS_SEL" H 8800 2825 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 8800 2725 50 0001 C CNN +F 3 "" H 8800 2725 50 0001 C CNN + 1 8800 2725 + 0 1 1 0 +$EndComp +Entry Wire Line + 9500 2400 9600 2500 +Wire Wire Line + 8800 2400 9500 2400 +Wire Wire Line + 8800 2475 8800 2400 +Text Label 8400 2725 0 60 ~ 0 +~RS +Entry Wire Line + 8375 2725 8275 2625 +Wire Wire Line + 8375 2725 8700 2725 +Wire Notes Line + 8125 3475 9375 3475 +Text Label 9350 1500 2 60 ~ 0 +A12 +Text Label 9350 2400 2 60 ~ 0 +A9 +Wire Wire Line + 7375 2825 6950 2825 +Entry Wire Line + 7475 2925 7375 2825 +Wire Wire Line + 7375 2925 6950 2925 +Entry Wire Line + 7475 3025 7375 2925 +Wire Wire Line + 7375 2725 6950 2725 +Entry Wire Line + 7475 2825 7375 2725 +Text Label 6975 2825 0 60 ~ 0 +CS1 +Text Label 6975 2725 0 60 ~ 0 +~CS2 +Text Label 6975 2925 0 60 ~ 0 +~RS +Text Label 10375 3350 2 60 ~ 0 +A6 +Wire Wire Line + 9700 3350 10400 3350 +Entry Wire Line + 9600 3250 9700 3350 +Text GLabel 9050 2150 0 60 Input ~ 0 +RIOT_BASE +Wire Wire Line + 9125 2075 9125 2150 +Wire Wire Line + 9125 2150 9050 2150 +Text GLabel 3600 1000 2 60 Output ~ 0 +RIOT_BASE +$Comp +L VCC #PWR09 +U 1 1 5C64CDBB +P 9125 1250 +F 0 "#PWR09" H 9125 1100 50 0001 C CNN +F 1 "VCC" H 9125 1400 50 0000 C CNN +F 2 "" H 9125 1250 50 0001 C CNN +F 3 "" H 9125 1250 50 0001 C CNN + 1 9125 1250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8800 1250 8800 1325 +Wire Wire Line + 9125 1325 9125 1250 +Wire Wire Line + 8800 1325 9125 1325 +Wire Wire Line + 8800 3050 9500 3050 +Wire Wire Line + 8800 3050 8800 2975 +Entry Wire Line + 9500 3050 9600 3150 +Text Label 9350 3050 2 60 ~ 0 +A11 +Wire Wire Line + 9700 2850 10400 2850 +Entry Wire Line + 9600 2750 9700 2850 +Text Label 10375 2850 2 60 ~ 0 +A11 +Wire Wire Line + 9700 3050 10400 3050 +Entry Wire Line + 9600 2950 9700 3050 +Text Label 10375 3050 2 60 ~ 0 +A9 +Wire Bus Line + 7475 525 7475 3125 +$Comp +L Jumper_NC_Dual JP7 +U 1 1 5C64ECF6 +P 8850 4875 +F 0 "JP7" H 8900 4775 50 0000 L CNN +F 1 "IRQ_SEL" H 8850 4975 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 8850 4875 50 0001 C CNN +F 3 "" H 8850 4875 50 0001 C CNN + 1 8850 4875 + 0 1 1 0 +$EndComp +Wire Wire Line + 8850 4550 10400 4550 +Wire Wire Line + 8675 4875 8750 4875 +Wire Wire Line + 8850 4625 8850 4550 +Wire Wire Line + 10400 6050 9725 6050 +Wire Wire Line + 9725 6050 9725 5900 +Wire Wire Line + 9725 5900 8850 5900 +Wire Wire Line + 8850 5900 8850 5125 +Text Label 10375 4550 2 60 ~ 0 +IRQ_IN +Text Label 10375 6050 2 60 ~ 0 +NMI_IN +Wire Notes Line + 8125 3500 9375 3500 +Wire Notes Line + 9375 3500 9375 5950 +Wire Notes Line + 9375 5950 8125 5950 +Wire Notes Line + 8125 5950 8125 3500 +Text Notes 8100 4900 1 60 ~ 0 +IRQ Select +$Comp +L Atari_Joystick J4 +U 1 1 5C65088B +P 6975 5025 +F 0 "J4" H 6975 5575 50 0000 C CNN +F 1 "RIGHT PLAYER" H 6975 4450 50 0000 C CNN +F 2 "" H 6975 5025 50 0001 C CNN +F 3 "" H 6975 5025 50 0001 C CNN + 1 6975 5025 + 1 0 0 -1 +$EndComp +$Comp +L Atari_Joystick J1 +U 1 1 5C6508D7 +P 5225 5025 +F 0 "J1" H 5225 5575 50 0000 C CNN +F 1 "LEFT PLAYER" H 5225 4450 50 0000 C CNN +F 2 "" H 5225 5025 50 0001 C CNN +F 3 "" H 5225 5025 50 0001 C CNN + 1 5225 5025 + 1 0 0 -1 +$EndComp +$Comp +L Conn_01x04 J3 +U 1 1 5C650F09 +P 6875 3975 +F 0 "J3" H 6875 4175 50 0000 C CNN +F 1 "Analog" H 6875 3675 50 0000 C CNN +F 2 "" H 6875 3975 50 0001 C CNN +F 3 "" H 6875 3975 50 0001 C CNN + 1 6875 3975 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6250 5225 6675 5225 +Entry Wire Line + 6250 3875 6150 3775 +Wire Wire Line + 6250 5425 6675 5425 +Entry Wire Line + 6250 4075 6150 3975 +Entry Wire Line + 6250 4175 6150 4075 +Wire Wire Line + 6250 5025 6675 5025 +Entry Wire Line + 6250 3975 6150 3875 +Text Label 6650 5425 2 60 ~ 0 +PA0 +Text Label 6650 5225 2 60 ~ 0 +PA1 +Text Label 6650 5025 2 60 ~ 0 +PA2 +Text Label 6650 4825 2 60 ~ 0 +PA3 +Text Label 4900 5425 2 60 ~ 0 +PA4 +Text Label 4900 5225 2 60 ~ 0 +PA5 +Text Label 4900 5025 2 60 ~ 0 +PA6 +Text Label 4900 4825 2 60 ~ 0 +PA7 +Wire Wire Line + 6250 4825 6675 4825 +Wire Wire Line + 6250 4625 6675 4625 +Wire Wire Line + 6250 4725 6675 4725 +Wire Wire Line + 6250 5325 6675 5325 +Wire Wire Line + 4500 5225 4925 5225 +Wire Wire Line + 4925 5425 4500 5425 +Wire Wire Line + 4500 5025 4925 5025 +Wire Wire Line + 4500 4825 4925 4825 +Wire Wire Line + 4500 4625 4925 4625 +Wire Wire Line + 4500 4725 4925 4725 +Wire Wire Line + 4500 5325 4925 5325 +$Comp +L C C3 +U 1 1 5C652694 +P 6325 5650 +F 0 "C3" H 6350 5750 50 0000 L CNN +F 1 "1nF" H 6350 5550 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 6363 5500 50 0001 C CNN +F 3 "" H 6325 5650 50 0001 C CNN + 1 6325 5650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6325 5500 6325 5425 +Connection ~ 6325 5425 +$Comp +L GND #PWR010 +U 1 1 5C652BF2 +P 6325 5800 +F 0 "#PWR010" H 6325 5550 50 0001 C CNN +F 1 "GND" H 6325 5650 50 0000 C CNN +F 2 "" H 6325 5800 50 0001 C CNN +F 3 "" H 6325 5800 50 0001 C CNN + 1 6325 5800 + 1 0 0 -1 +$EndComp +Text Label 6650 4625 2 60 ~ 0 +P0 +Text Label 6650 4725 2 60 ~ 0 +P1 +Text Label 4900 4625 2 60 ~ 0 +P2 +Text Label 4900 4725 2 60 ~ 0 +P3 +Text Label 6650 5325 2 60 ~ 0 +T0 +Text Label 4900 5325 2 60 ~ 0 +T1 +Wire Wire Line + 6250 3875 6675 3875 +Wire Wire Line + 6250 3975 6675 3975 +Text Label 6650 3875 2 60 ~ 0 +P0 +Text Label 6650 3975 2 60 ~ 0 +P1 +Wire Wire Line + 6250 4075 6675 4075 +Wire Wire Line + 6250 4175 6675 4175 +Text Label 6650 4075 2 60 ~ 0 +P2 +Text Label 6650 4175 2 60 ~ 0 +P3 +Entry Wire Line + 6150 4625 6250 4725 +Entry Wire Line + 6150 4525 6250 4625 +Entry Wire Line + 6150 4725 6250 4825 +Entry Wire Line + 6150 5225 6250 5325 +Entry Wire Line + 6150 4925 6250 5025 +Entry Wire Line + 6150 5125 6250 5225 +Entry Wire Line + 6150 5325 6250 5425 +Entry Wire Line + 4400 4625 4500 4725 +Entry Wire Line + 4400 4525 4500 4625 +Entry Wire Line + 4400 4725 4500 4825 +Entry Wire Line + 4400 5225 4500 5325 +Entry Wire Line + 4400 4925 4500 5025 +Entry Wire Line + 4400 5125 4500 5225 +Entry Wire Line + 4400 5325 4500 5425 +Wire Bus Line + 6150 6200 6150 3775 +Wire Bus Line + 4400 4525 4400 6200 +Wire Wire Line + 6675 4925 6250 4925 +Wire Wire Line + 6250 4925 6250 4875 +Wire Wire Line + 6250 4875 6050 4875 +$Comp +L GND #PWR011 +U 1 1 5C657931 +P 6050 4875 +F 0 "#PWR011" H 6050 4625 50 0001 C CNN +F 1 "GND" H 6050 4725 50 0000 C CNN +F 2 "" H 6050 4875 50 0001 C CNN +F 3 "" H 6050 4875 50 0001 C CNN + 1 6050 4875 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6675 5125 6250 5125 +Wire Wire Line + 6250 5125 6250 5075 +Wire Wire Line + 6250 5075 5950 5075 +Wire Wire Line + 5950 5075 5950 4875 +$Comp +L VCC #PWR012 +U 1 1 5C657F0D +P 5950 4875 +F 0 "#PWR012" H 5950 4725 50 0001 C CNN +F 1 "VCC" H 5950 5025 50 0000 C CNN +F 2 "" H 5950 4875 50 0001 C CNN +F 3 "" H 5950 4875 50 0001 C CNN + 1 5950 4875 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4500 4875 4300 4875 +$Comp +L GND #PWR013 +U 1 1 5C658111 +P 4300 4875 +F 0 "#PWR013" H 4300 4625 50 0001 C CNN +F 1 "GND" H 4300 4725 50 0000 C CNN +F 2 "" H 4300 4875 50 0001 C CNN +F 3 "" H 4300 4875 50 0001 C CNN + 1 4300 4875 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4500 5075 4200 5075 +Wire Wire Line + 4200 5075 4200 4875 +$Comp +L VCC #PWR014 +U 1 1 5C658119 +P 4200 4875 +F 0 "#PWR014" H 4200 4725 50 0001 C CNN +F 1 "VCC" H 4200 5025 50 0000 C CNN +F 2 "" H 4200 4875 50 0001 C CNN +F 3 "" H 4200 4875 50 0001 C CNN + 1 4200 4875 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4500 4875 4500 4925 +Wire Wire Line + 4500 4925 4925 4925 +Wire Wire Line + 4500 5075 4500 5125 +Wire Wire Line + 4500 5125 4925 5125 +Wire Notes Line + 7775 5950 4075 5950 +Wire Notes Line + 4075 5950 4075 3500 +Wire Notes Line + 7775 3500 7775 5950 +Text Notes 4100 3475 0 60 ~ 0 +LEFT/RIGHT Joystick and paddles +Wire Notes Line + 4075 3500 7775 3500 +$Comp +L Jumper_NC_Dual JP8 +U 1 1 5C65BFA4 +P 3175 3875 +F 0 "JP8" H 3225 3775 50 0000 L CNN +F 1 "B/W" H 3175 3975 50 0000 C BNN +F 2 "" H 3175 3875 50 0001 C CNN +F 3 "" H 3175 3875 50 0001 C CNN + 1 3175 3875 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR015 +U 1 1 5C65C1C1 +P 3175 4125 +F 0 "#PWR015" H 3175 3875 50 0001 C CNN +F 1 "GND" H 3175 3975 50 0000 C CNN +F 2 "" H 3175 4125 50 0001 C CNN +F 3 "" H 3175 4125 50 0001 C CNN + 1 3175 4125 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2650 3875 3075 3875 +Entry Wire Line + 2650 3875 2550 3775 +Text Label 3050 3875 2 60 ~ 0 +PB3 +NoConn ~ 3175 3625 +$Comp +L Jumper_NC_Dual JP9 +U 1 1 5C65C817 +P 3175 4650 +F 0 "JP9" H 3225 4550 50 0000 L CNN +F 1 "L_DIFF" H 3175 4750 50 0000 C BNN +F 2 "" H 3175 4650 50 0001 C CNN +F 3 "" H 3175 4650 50 0001 C CNN + 1 3175 4650 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR016 +U 1 1 5C65C81D +P 3175 4900 +F 0 "#PWR016" H 3175 4650 50 0001 C CNN +F 1 "GND" H 3175 4750 50 0000 C CNN +F 2 "" H 3175 4900 50 0001 C CNN +F 3 "" H 3175 4900 50 0001 C CNN + 1 3175 4900 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2650 4650 3075 4650 +Entry Wire Line + 2650 4650 2550 4550 +NoConn ~ 3175 4400 +Text Label 3050 4650 2 60 ~ 0 +PB6 +$Comp +L Jumper_NC_Dual JP10 +U 1 1 5C65CC4B +P 3175 5400 +F 0 "JP10" H 3225 5300 50 0000 L CNN +F 1 "R_DIFF" H 3175 5500 50 0000 C BNN +F 2 "" H 3175 5400 50 0001 C CNN +F 3 "" H 3175 5400 50 0001 C CNN + 1 3175 5400 + 0 1 1 0 +$EndComp +$Comp +L GND #PWR017 +U 1 1 5C65CC51 +P 3175 5650 +F 0 "#PWR017" H 3175 5400 50 0001 C CNN +F 1 "GND" H 3175 5500 50 0000 C CNN +F 2 "" H 3175 5650 50 0001 C CNN +F 3 "" H 3175 5650 50 0001 C CNN + 1 3175 5650 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2650 5400 3075 5400 +Entry Wire Line + 2650 5400 2550 5300 +NoConn ~ 3175 5150 +Text Label 3050 5400 2 60 ~ 0 +PB7 +$Comp +L SW_Push SW1 +U 1 1 5C65D4C5 +P 1750 3950 +F 0 "SW1" H 1800 4050 50 0000 L CNN +F 1 "SELECT" H 1750 3890 50 0000 C CNN +F 2 "" H 1750 4150 50 0001 C CNN +F 3 "" H 1750 4150 50 0001 C CNN + 1 1750 3950 + 0 1 1 0 +$EndComp +Text Label 2425 3675 2 60 ~ 0 +PB1 +Wire Wire Line + 1750 3675 2450 3675 +Entry Wire Line + 2550 3775 2450 3675 +Wire Bus Line + 2550 3775 2550 6200 +$Comp +L GND #PWR018 +U 1 1 5C65DF89 +P 1750 4150 +F 0 "#PWR018" H 1750 3900 50 0001 C CNN +F 1 "GND" H 1750 4000 50 0000 C CNN +F 2 "" H 1750 4150 50 0001 C CNN +F 3 "" H 1750 4150 50 0001 C CNN + 1 1750 4150 + 1 0 0 -1 +$EndComp +$Comp +L SW_Push SW2 +U 1 1 5C65E10D +P 1750 4725 +F 0 "SW2" H 1800 4825 50 0000 L CNN +F 1 "START" H 1750 4665 50 0000 C CNN +F 2 "" H 1750 4925 50 0001 C CNN +F 3 "" H 1750 4925 50 0001 C CNN + 1 1750 4725 + 0 1 1 0 +$EndComp +Wire Wire Line + 1750 4450 2450 4450 +Entry Wire Line + 2550 4550 2450 4450 +$Comp +L GND #PWR019 +U 1 1 5C65E116 +P 1750 4925 +F 0 "#PWR019" H 1750 4675 50 0001 C CNN +F 1 "GND" H 1750 4775 50 0000 C CNN +F 2 "" H 1750 4925 50 0001 C CNN +F 3 "" H 1750 4925 50 0001 C CNN + 1 1750 4925 + 1 0 0 -1 +$EndComp +Text Label 2425 4450 2 60 ~ 0 +PB0 +Wire Wire Line + 2025 4525 2025 4450 +$Comp +L C C4 +U 1 1 5C65F1AC +P 2025 4675 +F 0 "C4" H 2050 4775 50 0000 L CNN +F 1 "1nF" H 2050 4575 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 2063 4525 50 0001 C CNN +F 3 "" H 2025 4675 50 0001 C CNN + 1 2025 4675 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR020 +U 1 1 5C65F1B2 +P 2025 4825 +F 0 "#PWR020" H 2025 4575 50 0001 C CNN +F 1 "GND" H 2025 4675 50 0000 C CNN +F 2 "" H 2025 4825 50 0001 C CNN +F 3 "" H 2025 4825 50 0001 C CNN + 1 2025 4825 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1750 4525 1750 4450 +Connection ~ 2025 4450 +Wire Wire Line + 1750 3750 1750 3675 +Wire Notes Line + 3725 5950 3725 3500 +Wire Notes Line + 3725 3500 1325 3500 +Wire Notes Line + 1325 3500 1325 5950 +Wire Notes Line + 1325 5950 3725 5950 +Wire Bus Line + 2550 6200 9600 6200 +$Comp +L Conn_01x03 J5 +U 1 1 5C662E55 +P 1825 5600 +F 0 "J5" H 1825 5800 50 0000 C CNN +F 1 "AUX" H 1825 5400 50 0000 C CNN +F 2 "" H 1825 5600 50 0001 C CNN +F 3 "" H 1825 5600 50 0001 C CNN + 1 1825 5600 + -1 0 0 1 +$EndComp +Wire Wire Line + 2025 5500 2450 5500 +Entry Wire Line + 2550 5600 2450 5500 +Wire Wire Line + 2025 5600 2450 5600 +Entry Wire Line + 2550 5700 2450 5600 +Wire Wire Line + 2025 5700 2450 5700 +Entry Wire Line + 2550 5800 2450 5700 +Text Label 2425 5500 2 60 ~ 0 +PB2 +Text Label 2425 5600 2 60 ~ 0 +PB4 +Text Label 2425 5700 2 60 ~ 0 +PB5 +Text Notes 1350 3500 0 60 ~ 0 +Input switches +Text Notes 7025 6975 0 60 ~ 0 +RIOT card for the RC6502 computer, modelled after the input side of the Atari 2600 -\ntherefore features the same switches and joystick ports. Adressing can be jumpered to\nAtari 2600-mode, when doing so it assumes the presence of the corresponding\nTIA-module. +$EndSCHEMATC diff --git a/Templates/atari_joystick.lib b/Templates/atari_joystick.lib index a46bf37..285bea8 100644 --- a/Templates/atari_joystick.lib +++ b/Templates/atari_joystick.lib @@ -46,8 +46,8 @@ X 3 3 -300 0 150 R 50 50 1 1 P X 4 4 -300 200 150 R 50 50 1 1 P X 5 5 -300 400 150 R 50 50 1 1 P X 6 6 -300 -300 150 R 50 50 1 1 P -X 7 7 -300 -100 150 R 50 50 1 1 w -X 8 8 -300 100 150 R 50 50 1 1 w +X 7 7 -300 -100 150 R 50 50 1 1 W +X 8 8 -300 100 150 R 50 50 1 1 W X 9 9 -300 300 150 R 50 50 1 1 P ENDDRAW ENDDEF diff --git a/Templates/atari_riot.bck b/Templates/atari_riot.bck new file mode 100644 index 0000000..5dd71cb --- /dev/null +++ b/Templates/atari_riot.bck @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Templates/atari_riot.dcm b/Templates/atari_riot.dcm new file mode 100644 index 0000000..5dd71cb --- /dev/null +++ b/Templates/atari_riot.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Templates/atari_riot.lib b/Templates/atari_riot.lib new file mode 100644 index 0000000..edd4374 --- /dev/null +++ b/Templates/atari_riot.lib @@ -0,0 +1,56 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# RIOT +# +DEF RIOT U 0 40 Y Y 1 F N +F0 "U" 0 250 60 H V C CNN +F1 "RIOT" 0 -100 60 V V C CNN +F2 "" -500 200 60 H V C CNN +F3 "" -500 200 60 H V C CNN +DRAW +S -350 1000 350 -1050 0 1 0 N +X GND 1 0 -1350 300 U 50 50 1 1 W +X A5 2 650 -400 300 L 50 50 1 1 I +X A4 3 650 -300 300 L 50 50 1 1 I +X A3 4 650 -200 300 L 50 50 1 1 I +X A2 5 650 -100 300 L 50 50 1 1 I +X A1 6 650 0 300 L 50 50 1 1 I +X A0 7 650 100 300 L 50 50 1 1 I +X PA0 8 -650 900 300 R 50 50 1 1 B +X PA1 9 -650 800 300 R 50 50 1 1 B +X PA2 10 -650 700 300 R 50 50 1 1 B +X VCC 20 0 1300 300 D 50 50 1 1 W +X D3 30 650 600 300 L 50 50 1 1 I +X A6 40 650 -500 300 L 50 50 1 1 I +X PA3 11 -650 600 300 R 50 50 1 1 B +X PB3 21 -650 -300 300 R 50 50 1 1 B +X D2 31 650 700 300 L 50 50 1 1 I +X PA4 12 -650 500 300 R 50 50 1 1 B +X PB2 22 -650 -200 300 R 50 50 1 1 B +X D1 32 650 800 300 L 50 50 1 1 I +X PA5 13 -650 400 300 R 50 50 1 1 B +X PB1 23 -650 -100 300 R 50 50 1 1 B +X D0 33 650 900 300 L 50 50 1 1 I +X PA6 14 -650 300 300 R 50 50 1 1 B +X PB0 24 -650 0 300 R 50 50 1 1 B +X ~RES 34 650 -1000 300 L 50 50 1 1 I +X PA7 15 -650 200 300 R 50 50 1 1 B +X ~IRQ 25 -650 -1000 300 R 50 50 1 1 I +X R/~W 35 650 -600 300 L 50 50 1 1 I +X PB7 16 -650 -700 300 R 50 50 1 1 B +X D7 26 650 200 300 L 50 50 1 1 I +X ~RS 36 650 -900 300 L 50 50 1 1 I +X PB6 17 -650 -600 300 R 50 50 1 1 B +X D6 27 650 300 300 L 50 50 1 1 I +X ~CS2 37 650 -700 300 L 50 50 1 1 I +X PB5 18 -650 -500 300 R 50 50 1 1 B +X D5 28 650 400 300 L 50 50 1 1 I +X CS1 38 650 -800 300 L 50 50 1 1 I +X PB4 19 -650 -400 300 R 50 50 1 1 B +X D4 29 650 500 300 L 50 50 1 1 I +X PHI2 39 -650 -900 300 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +#End Library