diff --git a/RC6502 PSG/RC6502 PSG-cache.lib b/RC6502 PSG/RC6502 PSG-cache.lib new file mode 100644 index 0000000..263698c --- /dev/null +++ b/RC6502 PSG/RC6502 PSG-cache.lib @@ -0,0 +1,500 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# 74LS138 +# +DEF 74LS138 U 0 40 Y Y 1 F N +F0 "U" 100 500 50 H V C CNN +F1 "74LS138" 150 -549 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -300 450 300 -450 0 1 0 N +X A0 1 -600 350 300 R 50 50 1 1 I +X A1 2 -600 250 300 R 50 50 1 1 I +X A2 3 -600 150 300 R 50 50 1 1 I +X E1 4 -600 -350 300 R 50 50 1 1 I L +X E2 5 -600 -250 300 R 50 50 1 1 I L +X E3 6 -600 -150 300 R 50 50 1 1 I +X O7 7 600 -350 300 L 50 50 1 1 O V +X GND 8 0 -450 0 U 50 50 1 1 W N +X O6 9 600 -250 300 L 50 50 1 1 O V +X O5 10 600 -150 300 L 50 50 1 1 O V +X O4 11 600 -50 300 L 50 50 1 1 O V +X O3 12 600 50 300 L 50 50 1 1 O V +X O2 13 600 150 300 L 50 50 1 1 O V +X O1 14 600 250 300 L 50 50 1 1 O V +X O0 15 600 350 300 L 50 50 1 1 O V +X VCC 16 0 450 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# 74LS373 +# +DEF 74LS373 U 0 40 Y Y 1 F N +F0 "U" 0 0 50 H V C CNN +F1 "74LS373" 50 -350 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +X GND 10 -300 -550 0 U 50 50 0 0 W N +X VCC 20 -250 550 0 U 50 50 0 0 W N +S -400 550 400 -550 0 1 0 N +X OE 1 -700 -500 300 R 50 50 1 1 I I +X O0 2 700 500 300 L 50 50 1 1 T +X D0 3 -700 500 300 R 50 50 1 1 I +X D1 4 -700 400 300 R 50 50 1 1 I +X O1 5 700 400 300 L 50 50 1 1 T +X O2 6 700 300 300 L 50 50 1 1 T +X D2 7 -700 300 300 R 50 50 1 1 I +X D3 8 -700 200 300 R 50 50 1 1 I +X O3 9 700 200 300 L 50 50 1 1 T +X LE 11 -700 -400 300 R 50 50 1 1 I +X O4 12 700 100 300 L 50 50 1 1 T +X D4 13 -700 100 300 R 50 50 1 1 I +X D5 14 -700 0 300 R 50 50 1 1 I +X O5 15 700 0 300 L 50 50 1 1 T +X O6 16 700 -100 300 L 50 50 1 1 T +X D6 17 -700 -100 300 R 50 50 1 1 I +X D7 18 -700 -200 300 R 50 50 1 1 I +X O7 19 700 -200 300 L 50 50 1 1 T +ENDDRAW +ENDDEF +# +# Audio-Jack-3 +# +DEF Audio-Jack-3 J 0 40 Y Y 1 F N +F0 "J" -50 175 50 H V C CNN +F1 "Audio-Jack-3" 100 -70 50 H V C CNN +F2 "" 250 100 50 H I C CNN +F3 "" 250 100 50 H I C CNN +DRAW +T 0 -25 50 30 0 0 0 1 Normal 0 C C +T 0 -100 50 30 0 0 0 2 Normal 0 C C +S -185 125 100 -25 0 1 10 f +S -175 0 -205 100 0 1 0 F +P 4 0 1 10 -50 0 -25 25 0 0 100 0 N +P 4 0 1 10 100 100 -75 100 -100 75 -125 100 N +X ~ 1 200 0 100 L 50 50 1 1 P +X ~ 2 200 100 100 L 50 50 1 1 P +X ~ 3 -200 -100 100 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# C +# +DEF C C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "C" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 20 -80 -30 80 -30 N +P 2 0 1 20 -80 30 80 30 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# CP +# +DEF CP C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "CP" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + CP_* +$ENDFPLIST +DRAW +S -90 20 -90 40 0 1 0 N +S -90 20 90 20 0 1 0 N +S 90 -20 -90 -40 0 1 0 F +S 90 40 -90 40 0 1 0 N +S 90 40 90 20 0 1 0 N +P 2 0 1 0 -70 90 -30 90 N +P 2 0 1 0 -50 110 -50 70 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Conn_02x08_Odd_Even +# +DEF Conn_02x08_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 400 50 H V C CNN +F1 "Conn_02x08_Odd_Even" 50 -500 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*2x??x*mm* + Connector*:*2x???Pitch* + Pin_Header_Straight_2X* + Pin_Header_Angled_2X* + Socket_Strip_Straight_2X* + Socket_Strip_Angled_2X* +$ENDFPLIST +DRAW +S -50 -395 0 -405 1 1 6 N +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 350 150 -450 1 1 10 f +S 150 -395 100 -405 1 1 6 N +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +X Pin_1 1 -200 300 150 R 50 50 1 1 P +X Pin_2 2 300 300 150 L 50 50 1 1 P +X Pin_3 3 -200 200 150 R 50 50 1 1 P +X Pin_4 4 300 200 150 L 50 50 1 1 P +X Pin_5 5 -200 100 150 R 50 50 1 1 P +X Pin_6 6 300 100 150 L 50 50 1 1 P +X Pin_7 7 -200 0 150 R 50 50 1 1 P +X Pin_8 8 300 0 150 L 50 50 1 1 P +X Pin_9 9 -200 -100 150 R 50 50 1 1 P +X Pin_10 10 300 -100 150 L 50 50 1 1 P +X Pin_11 11 -200 -200 150 R 50 50 1 1 P +X Pin_12 12 300 -200 150 L 50 50 1 1 P +X Pin_13 13 -200 -300 150 R 50 50 1 1 P +X Pin_14 14 300 -300 150 L 50 50 1 1 P +X Pin_15 15 -200 -400 150 R 50 50 1 1 P +X Pin_16 16 300 -400 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# DGOF5S3 +# +DEF DGOF5S3 X 0 10 Y Y 1 F N +F0 "X" -200 250 50 H V L CNN +F1 "DGOF5S3" 50 -250 50 H V L CNN +F2 "Oscillators:Oscillator_DIP-14" 450 -350 50 H I C CNN +F3 "" -100 0 50 H I C CNN +ALIAS ACO-xxxMHz GTXO-S14T TCXO-14 +$FPLIST + Oscillator*DIP*14* +$ENDFPLIST +DRAW +S -200 200 200 -200 0 1 10 f +P 9 0 1 0 -100 -25 -75 -25 -75 25 -50 25 -50 -25 -25 -25 -25 25 0 25 0 -25 N +X NC 1 -300 0 100 R 50 50 1 1 N N +X GND 7 0 -300 100 U 50 50 1 1 W +X OUT 8 300 0 100 L 50 50 1 1 O +X Vcc 14 0 300 100 D 50 50 1 1 W +ENDDRAW +ENDDEF +# +# GND +# +DEF GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# Jumper_NC_Dual +# +DEF Jumper_NC_Dual JP 0 30 Y N 1 F N +F0 "JP" 50 -100 50 H V L CNN +F1 "Jumper_NC_Dual" 0 100 50 H V C BNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +A -60 10 64 386 1413 0 1 0 N -10 50 -110 50 +A 60 10 64 386 1413 0 1 0 N 110 50 10 50 +C -120 0 35 0 1 0 N +C 0 0 36 0 1 0 N +C 120 0 35 0 1 0 N +X 1 1 -250 0 95 R 50 50 0 1 P +X 2 2 0 -100 60 U 50 50 0 1 P +X 3 3 250 0 95 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# Jumper_NO_Small +# +DEF Jumper_NO_Small JP 0 30 N N 1 F N +F0 "JP" 0 80 50 H V C CNN +F1 "Jumper_NO_Small" 10 -60 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C -40 0 20 0 1 0 N +C 40 0 20 0 1 0 N +X 1 1 -100 0 40 R 50 50 0 1 P +X 2 2 100 0 40 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# LM386 +# +DEF LM386 U 0 10 Y Y 1 F N +F0 "U" 50 300 50 H V L CNN +F1 "LM386" 50 200 50 H V L CNN +F2 "" 100 100 50 H I C CNN +F3 "" 200 200 50 H I C CNN +$FPLIST + SOIC*3.9x4.9mm*Pitch1.27mm* + DIP*W7.62mm* + MSSOP*Pitch0.65mm* +$ENDFPLIST +DRAW +P 2 0 1 6 0 -150 0 -100 N +P 2 0 1 6 0 150 0 100 N +P 3 0 1 6 100 -150 100 -125 50 -75 N +P 4 0 1 10 200 0 -200 200 -200 -200 200 0 f +X ~ 1 0 -300 150 U 50 50 1 1 I +X - 2 -300 -100 100 R 50 50 1 1 I +X + 3 -300 100 100 R 50 50 1 1 I +X Gnd 4 -100 -300 150 U 50 50 1 1 W +X ~ 5 300 0 100 L 50 50 1 1 O +X V+ 6 -100 300 150 D 50 50 1 1 W +X ~ 7 0 300 150 D 50 50 1 1 I +X ~ 8 100 -300 150 U 50 50 1 1 I +ENDDRAW +ENDDEF +# +# POT +# +DEF POT RV 0 40 N N 1 F N +F0 "RV" -175 0 50 V V C CNN +F1 "POT" -100 0 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Potentiometer* +$ENDFPLIST +DRAW +S 40 100 -40 -100 0 1 10 N +P 2 0 1 0 100 0 60 0 N +P 3 0 1 0 45 0 90 20 90 -20 F +X 1 1 0 150 50 D 50 50 1 1 P +X 2 2 150 0 50 L 50 50 1 1 P +X 3 3 0 -150 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# R +# +DEF R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "R" 0 0 50 V V C CNN +F2 "" -70 0 50 V I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* + R_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 50 50 1 1 P +X ~ 2 0 -150 50 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# RC6502_Backplane +# +DEF RC6502_Backplane J 0 40 Y N 1 F N +F0 "J" 0 2000 50 H V C CNN +F1 "RC6502_Backplane" 450 -50 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +T 0 100 400 60 0 0 0 A0 Normal 0 L C +T 0 100 500 60 0 0 0 A1 Normal 0 L C +T 0 100 1400 60 0 0 0 A10 Normal 0 L C +T 0 100 1500 60 0 0 0 A11 Normal 0 L C +T 0 100 1600 60 0 0 0 A12 Normal 0 L C +T 0 100 1700 60 0 0 0 A13 Normal 0 L C +T 0 100 1800 60 0 0 0 A14 Normal 0 L C +T 0 100 1900 60 0 0 0 A15 Normal 0 L C +T 0 100 600 60 0 0 0 A2 Normal 0 L C +T 0 100 700 60 0 0 0 A3 Normal 0 L C +T 0 100 800 60 0 0 0 A4 Normal 0 L C +T 0 100 900 60 0 0 0 A5 Normal 0 L C +T 0 100 1000 60 0 0 0 A6 Normal 0 L C +T 0 100 1100 60 0 0 0 A7 Normal 0 L C +T 0 100 1200 60 0 0 0 A8 Normal 0 L C +T 0 100 1300 60 0 0 0 A9 Normal 0 L C +T 0 100 -700 60 0 0 0 D0 Normal 0 L C +T 0 100 -800 60 0 0 0 D1 Normal 0 L C +T 0 100 -900 60 0 0 0 D2 Normal 0 L C +T 0 100 -1000 60 0 0 0 D3 Normal 0 L C +T 0 100 -1100 60 0 0 0 D4 Normal 0 L C +T 0 100 -1200 60 0 0 0 D5 Normal 0 L C +T 0 100 -1300 60 0 0 0 D6 Normal 0 L C +T 0 100 -1400 60 0 0 0 D7 Normal 0 L C +T 0 100 300 60 0 0 0 GND Normal 0 L C +T 0 100 -200 60 0 0 0 IRQ Normal 0 L C +T 0 100 -1700 60 0 0 0 NMI Normal 0 L C +T 0 100 -100 60 0 0 0 PHI0 Normal 0 L C +T 0 100 100 60 0 0 0 PHI2 Normal 0 L C +T 0 100 -500 60 0 0 0 RDY Normal 0 L C +T 0 100 0 60 0 0 0 RESET Normal 0 L C +T 0 100 -400 60 0 0 0 RW Normal 0 L C +T 0 100 -1600 60 0 0 0 RX Normal 0 L C +T 0 100 -600 60 0 0 0 SYNC Normal 0 L C +T 0 100 -1500 60 0 0 0 TX Normal 0 L C +T 0 100 200 60 0 0 0 VCC Normal 0 L C +S -50 -1950 50 1950 0 1 0 N +S -50 -1895 10 -1905 0 1 0 N +S -50 -1795 10 -1805 0 1 0 N +S -50 -1695 10 -1705 0 1 0 N +S -50 -1595 10 -1605 0 1 0 N +S -50 -1495 10 -1505 0 1 0 N +S -50 -1395 10 -1405 0 1 0 N +S -50 -1295 10 -1305 0 1 0 N +S -50 -1195 10 -1205 0 1 0 N +S -50 -1095 10 -1105 0 1 0 N +S -50 -995 10 -1005 0 1 0 N +S -50 -895 10 -905 0 1 0 N +S -50 -795 10 -805 0 1 0 N +S -50 -695 10 -705 0 1 0 N +S -50 -595 10 -605 0 1 0 N +S -50 -495 10 -505 0 1 0 N +S -50 -395 10 -405 0 1 0 N +S -50 -295 10 -305 0 1 0 N +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 305 10 295 0 1 0 N +S -50 405 10 395 0 1 0 N +S -50 505 10 495 0 1 0 N +S -50 605 10 595 0 1 0 N +S -50 705 10 695 0 1 0 N +S -50 805 10 795 0 1 0 N +S -50 905 10 895 0 1 0 N +S -50 1005 10 995 0 1 0 N +S -50 1105 10 1095 0 1 0 N +S -50 1205 10 1195 0 1 0 N +S -50 1305 10 1295 0 1 0 N +S -50 1405 10 1395 0 1 0 N +S -50 1505 10 1495 0 1 0 N +S -50 1605 10 1595 0 1 0 N +S -50 1705 10 1695 0 1 0 N +S -50 1805 10 1795 0 1 0 N +S -50 1905 10 1895 0 1 0 N +X A15 1 -200 1900 150 R 50 50 1 1 B +X A14 2 -200 1800 150 R 50 50 1 1 B +X A13 3 -200 1700 150 R 50 50 1 1 B +X A12 4 -200 1600 150 R 50 50 1 1 B +X A11 5 -200 1500 150 R 50 50 1 1 B +X A10 6 -200 1400 150 R 50 50 1 1 B +X A9 7 -200 1300 150 R 50 50 1 1 B +X A8 8 -200 1200 150 R 50 50 1 1 B +X A7 9 -200 1100 150 R 50 50 1 1 B +X A6 10 -200 1000 150 R 50 50 1 1 B +X RESET 20 -200 0 150 R 50 50 1 1 B +X D3 30 -200 -1000 150 R 50 50 1 1 B +X A5 11 -200 900 150 R 50 50 1 1 B +X PHI0 21 -200 -100 150 R 50 50 1 1 B C +X D4 31 -200 -1100 150 R 50 50 1 1 B +X A4 12 -200 800 150 R 50 50 1 1 B +X IRQ 22 -200 -200 150 R 50 50 1 1 B +X D5 32 -200 -1200 150 R 50 50 1 1 B +X A3 13 -200 700 150 R 50 50 1 1 B +X P23 23 -200 -300 150 R 50 50 1 1 N +X D6 33 -200 -1300 150 R 50 50 1 1 B +X A2 14 -200 600 150 R 50 50 1 1 B +X RW 24 -200 -400 150 R 50 50 1 1 B +X D7 34 -200 -1400 150 R 50 50 1 1 B +X A1 15 -200 500 150 R 50 50 1 1 B +X READY 25 -200 -500 150 R 50 50 1 1 B +X TX 35 -200 -1500 150 R 50 50 1 1 B +X A0 16 -200 400 150 R 50 50 1 1 B +X SYNC 26 -200 -600 150 R 50 50 1 1 B +X RX 36 -200 -1600 150 R 50 50 1 1 B +X P17 17 -200 300 150 R 50 50 1 1 w +X D0 27 -200 -700 150 R 50 50 1 1 B +X NMI 37 -200 -1700 150 R 50 50 1 1 B +X P18 18 -200 200 150 R 50 50 1 1 w +X D1 28 -200 -800 150 R 50 50 1 1 B +X P38 38 -200 -1800 150 R 50 50 1 1 N +X PHI2 19 -200 100 150 R 50 50 1 1 B C +X D2 29 -200 -900 150 R 50 50 1 1 B +X P39 39 -200 -1900 150 R 50 50 1 1 N +ENDDRAW +ENDDEF +# +# SN76489AN +# +DEF SN76489AN U 0 40 Y Y 1 F N +F0 "U" 0 -500 50 H V C CNN +F1 "SN76489AN" 0 0 50 V V C CNN +F2 "MODULE" 0 650 50 H I C CNN +F3 "DOCUMENTATION" 0 -650 50 H I C CNN +DRAW +S -450 -550 450 550 1 0 0 N +X ~WE 5 -750 -50 300 R 50 50 1 0 I +X D2 1 -750 350 300 R 50 50 1 1 I +X D1 2 -750 250 300 R 50 50 1 1 I +X D0 3 -750 150 300 R 50 50 1 1 I +X READY 4 -750 50 300 R 50 50 1 1 O +X ~CE 6 -750 -150 300 R 50 50 1 1 I +X SND 7 -750 -250 300 R 50 50 1 1 O +X GND 8 -750 -350 300 R 50 50 1 1 W +X NC 9 750 350 300 L 50 50 1 1 I +X D7 10 750 250 300 L 50 50 1 1 I +X D6 11 750 150 300 L 50 50 1 1 I +X D5 12 750 50 300 L 50 50 1 1 I +X D4 13 750 -50 300 L 50 50 1 1 I +X CLOCK 14 750 -150 300 L 50 50 1 1 I +X D3 15 750 -250 300 L 50 50 1 1 I +X VCC 16 750 -350 300 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# Speaker +# +DEF Speaker LS 0 0 Y N 1 F N +F0 "LS" 50 225 50 H V R CNN +F1 "Speaker" 50 150 50 H V R CNN +F2 "" 0 -200 50 H I C CNN +F3 "" -10 -50 50 H I C CNN +DRAW +S -100 50 40 -150 0 0 10 N +P 4 0 0 10 40 50 140 150 140 -250 40 -150 N +X 1 1 -200 0 100 R 50 50 1 1 I +X 2 2 -200 -100 100 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# VCC +# +DEF VCC #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "VCC" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C 0 75 25 0 1 0 N +P 2 0 1 0 0 0 0 50 N +X VCC 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/RC6502 PSG/RC6502 PSG.kicad_pcb b/RC6502 PSG/RC6502 PSG.kicad_pcb new file mode 100644 index 0000000..02c8ecb --- /dev/null +++ b/RC6502 PSG/RC6502 PSG.kicad_pcb @@ -0,0 +1 @@ +(kicad_pcb (version 4) (host kicad "dummy file") ) diff --git a/RC6502 PSG/RC6502 PSG.net b/RC6502 PSG/RC6502 PSG.net new file mode 100644 index 0000000..42d26de --- /dev/null +++ b/RC6502 PSG/RC6502 PSG.net @@ -0,0 +1,720 @@ +(export (version D) + (design + (source "D:/ownCloud/Documents/Projects/RC6502/RC6502 PSG/RC6502 PSG.sch") + (date "26/12/2018 14:40:03") + (tool "Eeschema 4.0.7") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title) + (company) + (rev) + (date) + (source "RC6502 PSG.sch") + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref J4) + (value RC6502_Backplane) + (footprint Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm) + (libsource (lib rc6502_backplane) (part RC6502_Backplane)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C225E9D)) + (comp (ref U4) + (value 74LS138) + (footprint Housings_DIP:DIP-16_W7.62mm) + (libsource (lib 74xx) (part 74LS138)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C225F79)) + (comp (ref U3) + (value 74LS138) + (footprint Housings_DIP:DIP-16_W7.62mm) + (libsource (lib 74xx) (part 74LS138)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C225FA2)) + (comp (ref J3) + (value Base) + (footprint Pin_Headers:Pin_Header_Straight_2x08_Pitch2.54mm) + (libsource (lib conn) (part Conn_02x08_Odd_Even)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C226011)) + (comp (ref J2) + (value Port) + (footprint Pin_Headers:Pin_Header_Straight_2x08_Pitch2.54mm) + (libsource (lib conn) (part Conn_02x08_Odd_Even)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22CCC7)) + (comp (ref U5) + (value SN76489AN) + (footprint Housings_DIP:DIP-16_W7.62mm_Socket) + (datasheet DOCUMENTATION) + (libsource (lib SN76489AN) (part SN76489AN)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22D784)) + (comp (ref X1) + (value TCXO-14) + (footprint Oscillators:Oscillator_DIP-14) + (libsource (lib Oscillators) (part TCXO-14)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22E816)) + (comp (ref U1) + (value LM386) + (footprint Housings_DIP:DIP-8_W7.62mm_Socket) + (libsource (lib linear) (part LM386)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22EC62)) + (comp (ref C2) + (value 470nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22ECA0)) + (comp (ref C1) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22F3EF)) + (comp (ref C4) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22F577)) + (comp (ref C3) + (value 100uF) + (footprint Capacitors_THT:CP_Radial_D4.0mm_P2.00mm) + (libsource (lib device) (part CP)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22F68D)) + (comp (ref C5) + (value 10uF) + (footprint Capacitors_THT:CP_Radial_Tantal_D5.0mm_P5.00mm) + (libsource (lib device) (part CP)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22FDDA)) + (comp (ref RV2) + (value 10K) + (footprint Potentiometers:Potentiometer_Trimmer_Bourns_3296W) + (libsource (lib device) (part POT)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C22FF68)) + (comp (ref C6) + (value 10uF) + (footprint Capacitors_THT:CP_Radial_Tantal_D5.0mm_P5.00mm) + (libsource (lib device) (part CP)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C2305EC)) + (comp (ref R1) + (value 10k) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C2306BB)) + (comp (ref RV1) + (value 10K) + (footprint Potentiometers:Potentiometer_Trimmer_Bourns_3296W) + (libsource (lib device) (part POT)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C230B68)) + (comp (ref C7) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C231784)) + (comp (ref R3) + (value 10) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C2318E6)) + (comp (ref C8) + (value 1000uF) + (footprint Capacitors_THT:CP_Radial_D8.0mm_P3.80mm) + (libsource (lib device) (part CP)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C231F73)) + (comp (ref J1) + (value Out) + (footprint Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm) + (libsource (lib conn) (part Audio-Jack-3)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C233E8D)) + (comp (ref JP1) + (value CLK_SEL) + (footprint Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C235BBC)) + (comp (ref R2) + (value 4k7) + (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C2374DE)) + (comp (ref U2) + (value 74LS373) + (footprint Housings_DIP:DIP-20_W7.62mm) + (libsource (lib 74xx) (part 74LS373)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C237A24)) + (comp (ref LS1) + (value Speaker) + (footprint Buzzers_Beepers:Buzzer_12x9.5RM7.6) + (libsource (lib device) (part Speaker)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C23AA18)) + (comp (ref JP2) + (value SPKR_EN) + (footprint Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm) + (libsource (lib device) (part Jumper_NO_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C23C542))) + (libparts + (libpart (lib 74xx) (part 74LS138) + (description "Decoder 3 to 8 (active low outputs)") + (fields + (field (name Reference) U) + (field (name Value) 74LS138)) + (pins + (pin (num 1) (name A0) (type input)) + (pin (num 2) (name A1) (type input)) + (pin (num 3) (name A2) (type input)) + (pin (num 4) (name E1) (type input)) + (pin (num 5) (name E2) (type input)) + (pin (num 6) (name E3) (type input)) + (pin (num 7) (name O7) (type output)) + (pin (num 8) (name GND) (type power_in)) + (pin (num 9) (name O6) (type output)) + (pin (num 10) (name O5) (type output)) + (pin (num 11) (name O4) (type output)) + (pin (num 12) (name O3) (type output)) + (pin (num 13) (name O2) (type output)) + (pin (num 14) (name O1) (type output)) + (pin (num 15) (name O0) (type output)) + (pin (num 16) (name VCC) (type power_in)))) + (libpart (lib 74xx) (part 74LS373) + (description "8 bits Latch, 3 state Out") + (fields + (field (name Reference) U) + (field (name Value) 74LS373)) + (pins + (pin (num 1) (name OE) (type input)) + (pin (num 2) (name O0) (type 3state)) + (pin (num 3) (name D0) (type input)) + (pin (num 4) (name D1) (type input)) + (pin (num 5) (name O1) (type 3state)) + (pin (num 6) (name O2) (type 3state)) + (pin (num 7) (name D2) (type input)) + (pin (num 8) (name D3) (type input)) + (pin (num 9) (name O3) (type 3state)) + (pin (num 10) (name GND) (type power_in)) + (pin (num 11) (name LE) (type input)) + (pin (num 12) (name O4) (type 3state)) + (pin (num 13) (name D4) (type input)) + (pin (num 14) (name D5) (type input)) + (pin (num 15) (name O5) (type 3state)) + (pin (num 16) (name O6) (type 3state)) + (pin (num 17) (name D6) (type input)) + (pin (num 18) (name D7) (type input)) + (pin (num 19) (name O7) (type 3state)) + (pin (num 20) (name VCC) (type power_in)))) + (libpart (lib conn) (part Audio-Jack-3) + (description "3-pin audio jack receptable (stereo/TRS connector)") + (docs ~) + (fields + (field (name Reference) J) + (field (name Value) Audio-Jack-3)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)) + (pin (num 3) (name ~) (type passive)))) + (libpart (lib device) (part C) + (description "Unpolarized capacitor") + (footprints + (fp C_*)) + (fields + (field (name Reference) C) + (field (name Value) C)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib device) (part CP) + (description "Polarised capacitor") + (footprints + (fp CP_*)) + (fields + (field (name Reference) C) + (field (name Value) CP)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib conn) (part Conn_02x08_Odd_Even) + (description "Generic connector, double row, 02x08, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers)") + (docs ~) + (footprints + (fp Connector*:*2x??x*mm*) + (fp Connector*:*2x???Pitch*) + (fp Pin_Header_Straight_2X*) + (fp Pin_Header_Angled_2X*) + (fp Socket_Strip_Straight_2X*) + (fp Socket_Strip_Angled_2X*)) + (fields + (field (name Reference) J) + (field (name Value) Conn_02x08_Odd_Even)) + (pins + (pin (num 1) (name Pin_1) (type passive)) + (pin (num 2) (name Pin_2) (type passive)) + (pin (num 3) (name Pin_3) (type passive)) + (pin (num 4) (name Pin_4) (type passive)) + (pin (num 5) (name Pin_5) (type passive)) + (pin (num 6) (name Pin_6) (type passive)) + (pin (num 7) (name Pin_7) (type passive)) + (pin (num 8) (name Pin_8) (type passive)) + (pin (num 9) (name Pin_9) (type passive)) + (pin (num 10) (name Pin_10) (type passive)) + (pin (num 11) (name Pin_11) (type passive)) + (pin (num 12) (name Pin_12) (type passive)) + (pin (num 13) (name Pin_13) (type passive)) + (pin (num 14) (name Pin_14) (type passive)) + (pin (num 15) (name Pin_15) (type passive)) + (pin (num 16) (name Pin_16) (type passive)))) + (libpart (lib Oscillators) (part DGOF5S3) + (aliases + (alias ACO-xxxMHz) + (alias GTXO-S14T) + (alias TCXO-14)) + (description "HCMOS Crystal Clock Oscillator, DIP14-style metal package") + (docs http://www.conwin.com/datasheets/cx/cx030.pdf) + (footprints + (fp Oscillator*DIP*14*)) + (fields + (field (name Reference) X) + (field (name Value) DGOF5S3) + (field (name Footprint) Oscillators:Oscillator_DIP-14)) + (pins + (pin (num 1) (name NC) (type NotConnected)) + (pin (num 7) (name GND) (type power_in)) + (pin (num 8) (name OUT) (type output)) + (pin (num 14) (name Vcc) (type power_in)))) + (libpart (lib device) (part Jumper_NC_Dual) + (description "Dual Jumper, normally closed") + (fields + (field (name Reference) JP) + (field (name Value) Jumper_NC_Dual)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)) + (pin (num 3) (name 3) (type passive)))) + (libpart (lib device) (part Jumper_NO_Small) + (description "Jumper, normally open") + (fields + (field (name Reference) JP) + (field (name Value) Jumper_NO_Small)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)))) + (libpart (lib linear) (part LM386) + (description "Low Voltage Audio Power Amplifier, DIP-8/SOIC-8/SSOP-8") + (docs http://www.ti.com/lit/ds/symlink/lm386.pdf) + (footprints + (fp SOIC*3.9x4.9mm*Pitch1.27mm*) + (fp DIP*W7.62mm*) + (fp MSSOP*Pitch0.65mm*)) + (fields + (field (name Reference) U) + (field (name Value) LM386)) + (pins + (pin (num 1) (name ~) (type input)) + (pin (num 2) (name -) (type input)) + (pin (num 3) (name +) (type input)) + (pin (num 4) (name Gnd) (type power_in)) + (pin (num 5) (name ~) (type output)) + (pin (num 6) (name V+) (type power_in)) + (pin (num 7) (name ~) (type input)) + (pin (num 8) (name ~) (type input)))) + (libpart (lib device) (part POT) + (description Potentiometer) + (footprints + (fp Potentiometer*)) + (fields + (field (name Reference) RV) + (field (name Value) POT)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)) + (pin (num 3) (name 3) (type passive)))) + (libpart (lib device) (part R) + (description Resistor) + (footprints + (fp R_*) + (fp R_*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib rc6502_backplane) (part RC6502_Backplane) + (footprints + (fp Pin_Header_Straight_1X*) + (fp Pin_Header_Angled_1X*) + (fp Socket_Strip_Straight_1X*) + (fp Socket_Strip_Angled_1X*)) + (fields + (field (name Reference) J) + (field (name Value) RC6502_Backplane)) + (pins + (pin (num 1) (name A15) (type BiDi)) + (pin (num 2) (name A14) (type BiDi)) + (pin (num 3) (name A13) (type BiDi)) + (pin (num 4) (name A12) (type BiDi)) + (pin (num 5) (name A11) (type BiDi)) + (pin (num 6) (name A10) (type BiDi)) + (pin (num 7) (name A9) (type BiDi)) + (pin (num 8) (name A8) (type BiDi)) + (pin (num 9) (name A7) (type BiDi)) + (pin (num 10) (name A6) (type BiDi)) + (pin (num 11) (name A5) (type BiDi)) + (pin (num 12) (name A4) (type BiDi)) + (pin (num 13) (name A3) (type BiDi)) + (pin (num 14) (name A2) (type BiDi)) + (pin (num 15) (name A1) (type BiDi)) + (pin (num 16) (name A0) (type BiDi)) + (pin (num 17) (name P17) (type power_out)) + (pin (num 18) (name P18) (type power_out)) + (pin (num 19) (name PHI2) (type BiDi)) + (pin (num 20) (name RESET) (type BiDi)) + (pin (num 21) (name PHI0) (type BiDi)) + (pin (num 22) (name IRQ) (type BiDi)) + (pin (num 23) (name P23) (type NotConnected)) + (pin (num 24) (name RW) (type BiDi)) + (pin (num 25) (name READY) (type BiDi)) + (pin (num 26) (name SYNC) (type BiDi)) + (pin (num 27) (name D0) (type BiDi)) + (pin (num 28) (name D1) (type BiDi)) + (pin (num 29) (name D2) (type BiDi)) + (pin (num 30) (name D3) (type BiDi)) + (pin (num 31) (name D4) (type BiDi)) + (pin (num 32) (name D5) (type BiDi)) + (pin (num 33) (name D6) (type BiDi)) + (pin (num 34) (name D7) (type BiDi)) + (pin (num 35) (name TX) (type BiDi)) + (pin (num 36) (name RX) (type BiDi)) + (pin (num 37) (name NMI) (type BiDi)) + (pin (num 38) (name P38) (type NotConnected)) + (pin (num 39) (name P39) (type NotConnected)))) + (libpart (lib SN76489AN) (part SN76489AN) + (fields + (field (name Reference) U) + (field (name Value) SN76489AN) + (field (name Footprint) MODULE) + (field (name Datasheet) DOCUMENTATION)) + (pins + (pin (num 1) (name D2) (type input)) + (pin (num 2) (name D1) (type input)) + (pin (num 3) (name D0) (type input)) + (pin (num 4) (name READY) (type output)) + (pin (num 5) (name ~WE) (type input)) + (pin (num 6) (name ~CE) (type input)) + (pin (num 7) (name SND) (type output)) + (pin (num 8) (name GND) (type power_in)) + (pin (num 9) (name NC) (type input)) + (pin (num 10) (name D7) (type input)) + (pin (num 11) (name D6) (type input)) + (pin (num 12) (name D5) (type input)) + (pin (num 13) (name D4) (type input)) + (pin (num 14) (name CLOCK) (type input)) + (pin (num 15) (name D3) (type input)) + (pin (num 16) (name VCC) (type power_in)))) + (libpart (lib device) (part Speaker) + (description "speaker ") + (fields + (field (name Reference) LS) + (field (name Value) Speaker)) + (pins + (pin (num 1) (name 1) (type input)) + (pin (num 2) (name 2) (type input))))) + (libraries + (library (logical 74xx) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\74xx.lib")) + (library (logical device) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib")) + (library (logical conn) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\conn.lib")) + (library (logical rc6502_backplane) + (uri D:\ownCloud\Documents\Projects\RC6502\Templates\rc6502_backplane.lib)) + (library (logical SN76489AN) + (uri "D:\\ownCloud\\Documents\\Projects\\RC6502\\RC6502 PSG\\SN76489AN.lib")) + (library (logical Oscillators) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\Oscillators.lib")) + (library (logical linear) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\linear.lib"))) + (nets + (net (code 1) (name GND) + (node (ref C6) (pin 2)) + (node (ref C2) (pin 2)) + (node (ref C2) (pin 1)) + (node (ref U1) (pin 4)) + (node (ref U1) (pin 2)) + (node (ref X1) (pin 7)) + (node (ref LS1) (pin 2)) + (node (ref C4) (pin 2)) + (node (ref C3) (pin 2)) + (node (ref U2) (pin 18)) + (node (ref U2) (pin 17)) + (node (ref U2) (pin 14)) + (node (ref U2) (pin 13)) + (node (ref U2) (pin 8)) + (node (ref U2) (pin 7)) + (node (ref U2) (pin 4)) + (node (ref U5) (pin 8)) + (node (ref U4) (pin 5)) + (node (ref U4) (pin 4)) + (node (ref U3) (pin 8)) + (node (ref RV1) (pin 3)) + (node (ref U2) (pin 10)) + (node (ref U4) (pin 8)) + (node (ref U3) (pin 5)) + (node (ref J4) (pin 17)) + (node (ref R3) (pin 2)) + (node (ref C1) (pin 1)) + (node (ref J1) (pin 3))) + (net (code 2) (name "Net-(C5-Pad1)") + (node (ref RV2) (pin 3)) + (node (ref C5) (pin 1))) + (net (code 3) (name "Net-(RV2-Pad1)") + (node (ref RV2) (pin 1))) + (net (code 4) (name "Net-(C5-Pad2)") + (node (ref U1) (pin 8)) + (node (ref C5) (pin 2))) + (net (code 5) (name "Net-(RV2-Pad2)") + (node (ref U1) (pin 1)) + (node (ref RV2) (pin 2))) + (net (code 6) (name VCC) + (node (ref R2) (pin 1)) + (node (ref U2) (pin 20)) + (node (ref C1) (pin 2)) + (node (ref J4) (pin 18)) + (node (ref U3) (pin 16)) + (node (ref U5) (pin 16)) + (node (ref C3) (pin 1)) + (node (ref C4) (pin 1)) + (node (ref U4) (pin 16)) + (node (ref U1) (pin 6)) + (node (ref X1) (pin 14))) + (net (code 7) (name "Net-(C7-Pad2)") + (node (ref C7) (pin 2)) + (node (ref C8) (pin 1)) + (node (ref U1) (pin 5))) + (net (code 8) (name "Net-(C7-Pad1)") + (node (ref C7) (pin 1)) + (node (ref R3) (pin 1))) + (net (code 9) (name "Net-(R1-Pad1)") + (node (ref U1) (pin 7)) + (node (ref R1) (pin 1))) + (net (code 10) (name Line) + (node (ref RV1) (pin 1)) + (node (ref U5) (pin 7))) + (net (code 11) (name "Net-(RV1-Pad2)") + (node (ref U1) (pin 3)) + (node (ref RV1) (pin 2))) + (net (code 12) (name "Net-(C6-Pad1)") + (node (ref R1) (pin 2)) + (node (ref C6) (pin 1))) + (net (code 13) (name WRITE) + (node (ref J2) (pin 4)) + (node (ref U5) (pin 5)) + (node (ref U5) (pin 6)) + (node (ref J2) (pin 2)) + (node (ref J2) (pin 8)) + (node (ref J2) (pin 6))) + (net (code 14) (name "Net-(X1-Pad1)") + (node (ref X1) (pin 1))) + (net (code 15) (name "Net-(U5-Pad9)") + (node (ref U5) (pin 9))) + (net (code 16) (name /D6) + (node (ref U5) (pin 11)) + (node (ref J4) (pin 33)) + (node (ref U2) (pin 16))) + (net (code 17) (name /D5) + (node (ref J4) (pin 32)) + (node (ref U2) (pin 15)) + (node (ref U5) (pin 12))) + (net (code 18) (name /D4) + (node (ref U2) (pin 12)) + (node (ref U5) (pin 13)) + (node (ref J4) (pin 31))) + (net (code 19) (name /D3) + (node (ref J4) (pin 30)) + (node (ref U2) (pin 9)) + (node (ref U5) (pin 15))) + (net (code 20) (name /D2) + (node (ref J4) (pin 29)) + (node (ref U5) (pin 1)) + (node (ref U2) (pin 6))) + (net (code 21) (name /CLK) + (node (ref U5) (pin 14)) + (node (ref JP1) (pin 2))) + (net (code 22) (name "Net-(JP2-Pad2)") + (node (ref LS1) (pin 1)) + (node (ref JP2) (pin 2))) + (net (code 23) (name /D1) + (node (ref J4) (pin 28)) + (node (ref U2) (pin 5)) + (node (ref U5) (pin 2))) + (net (code 24) (name /D0) + (node (ref U5) (pin 3)) + (node (ref U2) (pin 2)) + (node (ref J4) (pin 27))) + (net (code 25) (name "Net-(J4-Pad16)") + (node (ref J4) (pin 16))) + (net (code 26) (name "Net-(J4-Pad15)") + (node (ref J4) (pin 15))) + (net (code 27) (name "Net-(J4-Pad14)") + (node (ref J4) (pin 14))) + (net (code 28) (name "Net-(J4-Pad13)") + (node (ref J4) (pin 13))) + (net (code 29) (name "Net-(J4-Pad12)") + (node (ref J4) (pin 12))) + (net (code 30) (name "Net-(J4-Pad11)") + (node (ref J4) (pin 11))) + (net (code 31) (name "Net-(J4-Pad10)") + (node (ref J4) (pin 10))) + (net (code 32) (name "Net-(J4-Pad9)") + (node (ref J4) (pin 9))) + (net (code 33) (name "Net-(J4-Pad8)") + (node (ref J4) (pin 8))) + (net (code 34) (name "Net-(J4-Pad7)") + (node (ref J4) (pin 7))) + (net (code 35) (name "Net-(J1-Pad2)") + (node (ref J1) (pin 2))) + (net (code 36) (name "Net-(C8-Pad2)") + (node (ref J1) (pin 1)) + (node (ref JP2) (pin 1)) + (node (ref C8) (pin 2))) + (net (code 37) (name /Phi0) + (node (ref JP1) (pin 3)) + (node (ref J4) (pin 21))) + (net (code 38) (name "Net-(JP1-Pad1)") + (node (ref JP1) (pin 1)) + (node (ref X1) (pin 8))) + (net (code 39) (name READ) + (node (ref J2) (pin 10)) + (node (ref J2) (pin 12)) + (node (ref J2) (pin 14)) + (node (ref J2) (pin 16)) + (node (ref U2) (pin 11)) + (node (ref U2) (pin 1))) + (net (code 40) (name READY) + (node (ref U5) (pin 4)) + (node (ref U2) (pin 3)) + (node (ref R2) (pin 2))) + (net (code 41) (name /A13) + (node (ref U4) (pin 2)) + (node (ref J4) (pin 3))) + (net (code 42) (name /A14) + (node (ref U4) (pin 3)) + (node (ref J4) (pin 2))) + (net (code 43) (name /A15) + (node (ref U4) (pin 6)) + (node (ref J4) (pin 1))) + (net (code 44) (name "Net-(J3-Pad15)") + (node (ref J3) (pin 15)) + (node (ref U4) (pin 7))) + (net (code 45) (name "Net-(J3-Pad13)") + (node (ref U4) (pin 9)) + (node (ref J3) (pin 13))) + (net (code 46) (name "Net-(J3-Pad11)") + (node (ref U4) (pin 10)) + (node (ref J3) (pin 11))) + (net (code 47) (name "Net-(J3-Pad9)") + (node (ref J3) (pin 9)) + (node (ref U4) (pin 11))) + (net (code 48) (name "Net-(J3-Pad7)") + (node (ref J3) (pin 7)) + (node (ref U4) (pin 12))) + (net (code 49) (name "Net-(J3-Pad5)") + (node (ref U4) (pin 13)) + (node (ref J3) (pin 5))) + (net (code 50) (name /A10) + (node (ref J4) (pin 6)) + (node (ref U3) (pin 1))) + (net (code 51) (name "Net-(J3-Pad3)") + (node (ref J3) (pin 3)) + (node (ref U4) (pin 14))) + (net (code 52) (name "Net-(J3-Pad1)") + (node (ref J3) (pin 1)) + (node (ref U4) (pin 15))) + (net (code 53) (name "Net-(J2-Pad1)") + (node (ref U3) (pin 15)) + (node (ref J2) (pin 1))) + (net (code 54) (name "Net-(J2-Pad3)") + (node (ref U3) (pin 14)) + (node (ref J2) (pin 3))) + (net (code 55) (name "Net-(J2-Pad5)") + (node (ref J2) (pin 5)) + (node (ref U3) (pin 13))) + (net (code 56) (name "Net-(J2-Pad7)") + (node (ref J2) (pin 7)) + (node (ref U3) (pin 12))) + (net (code 57) (name "Net-(J2-Pad9)") + (node (ref U3) (pin 11)) + (node (ref J2) (pin 9))) + (net (code 58) (name "Net-(J2-Pad11)") + (node (ref U3) (pin 10)) + (node (ref J2) (pin 11))) + (net (code 59) (name "Net-(J2-Pad13)") + (node (ref U3) (pin 9)) + (node (ref J2) (pin 13))) + (net (code 60) (name "Net-(J2-Pad15)") + (node (ref U3) (pin 7)) + (node (ref J2) (pin 15))) + (net (code 61) (name /Phi2) + (node (ref J4) (pin 19)) + (node (ref U3) (pin 6))) + (net (code 62) (name /A12) + (node (ref U4) (pin 1)) + (node (ref J4) (pin 4))) + (net (code 63) (name "Net-(J4-Pad36)") + (node (ref J4) (pin 36))) + (net (code 64) (name "Net-(J4-Pad26)") + (node (ref J4) (pin 26))) + (net (code 65) (name "Net-(J4-Pad35)") + (node (ref J4) (pin 35))) + (net (code 66) (name "Net-(J4-Pad25)") + (node (ref J4) (pin 25))) + (net (code 67) (name "Net-(J4-Pad23)") + (node (ref J4) (pin 23))) + (net (code 68) (name "Net-(J4-Pad22)") + (node (ref J4) (pin 22))) + (net (code 69) (name "Net-(J4-Pad20)") + (node (ref J4) (pin 20))) + (net (code 70) (name "Net-(J3-Pad10)") + (node (ref U3) (pin 4)) + (node (ref J3) (pin 2)) + (node (ref J3) (pin 6)) + (node (ref J3) (pin 8)) + (node (ref J3) (pin 10)) + (node (ref J3) (pin 12)) + (node (ref J3) (pin 14)) + (node (ref J3) (pin 16)) + (node (ref J3) (pin 4))) + (net (code 71) (name /R/~W) + (node (ref U3) (pin 3)) + (node (ref J4) (pin 24))) + (net (code 72) (name /A11) + (node (ref J4) (pin 5)) + (node (ref U3) (pin 2))) + (net (code 73) (name "Net-(J4-Pad39)") + (node (ref J4) (pin 39))) + (net (code 74) (name "Net-(J4-Pad38)") + (node (ref J4) (pin 38))) + (net (code 75) (name "Net-(J4-Pad37)") + (node (ref J4) (pin 37))) + (net (code 76) (name /D7) + (node (ref U2) (pin 19)) + (node (ref U5) (pin 10)) + (node (ref J4) (pin 34))))) \ No newline at end of file diff --git a/RC6502 PSG/RC6502 PSG.pro b/RC6502 PSG/RC6502 PSG.pro new file mode 100644 index 0000000..d74d87a --- /dev/null +++ b/RC6502 PSG/RC6502 PSG.pro @@ -0,0 +1,76 @@ +update=26/12/2018 14:40:18 +version=1 +last_client=kicad +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[general] +version=1 +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +LibName1=power +LibName2=device +LibName3=switches +LibName4=relays +LibName5=motors +LibName6=transistors +LibName7=conn +LibName8=linear +LibName9=regul +LibName10=74xx +LibName11=cmos4000 +LibName12=adc-dac +LibName13=memory +LibName14=xilinx +LibName15=microcontrollers +LibName16=dsp +LibName17=microchip +LibName18=analog_switches +LibName19=motorola +LibName20=texas +LibName21=intel +LibName22=audio +LibName23=interface +LibName24=digital-audio +LibName25=philips +LibName26=display +LibName27=cypress +LibName28=siliconi +LibName29=opto +LibName30=atmel +LibName31=contrib +LibName32=valves +LibName33=D:/ownCloud/Documents/Projects/RC6502/Templates/rc6502_backplane +LibName34=SN76489AN +LibName35=C:/Program Files/KiCad/share/kicad/library/Oscillators +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName=export +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName=Pcbnew +SpiceForceRefPrefix=0 +SpiceUseNetNumbers=0 +LabSize=60 diff --git a/RC6502 PSG/RC6502 PSG.sch b/RC6502 PSG/RC6502 PSG.sch new file mode 100644 index 0000000..4b2e399 --- /dev/null +++ b/RC6502 PSG/RC6502 PSG.sch @@ -0,0 +1,1120 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:rc6502_backplane +LIBS:SN76489AN +LIBS:Oscillators +LIBS:RC6502 PSG-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L RC6502_Backplane J4 +U 1 1 5C225E9D +P 10625 4450 +F 0 "J4" H 10625 6450 50 0000 C CNN +F 1 "RC6502_Backplane" V 11075 4400 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm" H 10625 4450 50 0001 C CNN +F 3 "" H 10625 4450 50 0001 C CNN + 1 10625 4450 + 1 0 0 -1 +$EndComp +$Comp +L 74LS138 U4 +U 1 1 5C225F79 +P 8100 2200 +F 0 "U4" H 8200 2700 50 0000 C CNN +F 1 "74LS138" H 8250 1651 50 0000 C CNN +F 2 "Housings_DIP:DIP-16_W7.62mm" H 8100 2200 50 0001 C CNN +F 3 "" H 8100 2200 50 0001 C CNN + 1 8100 2200 + 0 -1 -1 0 +$EndComp +$Comp +L 74LS138 U3 +U 1 1 5C225FA2 +P 6725 2200 +F 0 "U3" H 6825 2700 50 0000 C CNN +F 1 "74LS138" H 6875 1651 50 0000 C CNN +F 2 "Housings_DIP:DIP-16_W7.62mm" H 6725 2200 50 0001 C CNN +F 3 "" H 6725 2200 50 0001 C CNN + 1 6725 2200 + 0 -1 -1 0 +$EndComp +$Comp +L Conn_02x08_Odd_Even J3 +U 1 1 5C226011 +P 8050 1325 +F 0 "J3" H 8100 1725 50 0000 C CNN +F 1 "Base" H 8100 825 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_2x08_Pitch2.54mm" H 8050 1325 50 0001 C CNN +F 3 "" H 8050 1325 50 0001 C CNN + 1 8050 1325 + 0 -1 -1 0 +$EndComp +Text Label 10375 2550 2 60 ~ 0 +A15 +Text Label 10375 2650 2 60 ~ 0 +A14 +Text Label 10375 2750 2 60 ~ 0 +A13 +Text Label 10375 3050 2 60 ~ 0 +A10 +Text Label 10375 2950 2 60 ~ 0 +A11 +Text Label 10375 2850 2 60 ~ 0 +A12 +Text Label 8250 2850 3 60 ~ 0 +A15 +Text Label 7750 2850 3 60 ~ 0 +A12 +Text Label 7850 2850 3 60 ~ 0 +A13 +Text Label 7950 2850 3 60 ~ 0 +A14 +$Comp +L GND #PWR01 +U 1 1 5C22690B +P 8450 2950 +F 0 "#PWR01" H 8450 2700 50 0001 C CNN +F 1 "GND" H 8450 2800 50 0000 C CNN +F 2 "" H 8450 2950 50 0001 C CNN +F 3 "" H 8450 2950 50 0001 C CNN + 1 8450 2950 + 1 0 0 -1 +$EndComp +Text Label 6375 2850 3 60 ~ 0 +A10 +Text Label 6475 2850 3 60 ~ 0 +A11 +Text Label 10375 4350 2 60 ~ 0 +Phi2 +Text Label 10375 4850 2 60 ~ 0 +R/~W +Text Label 6575 2850 3 60 ~ 0 +R/~W +Text Label 6875 2850 3 60 ~ 0 +Phi2 +$Comp +L GND #PWR02 +U 1 1 5C22C222 +P 6975 2800 +F 0 "#PWR02" H 6975 2550 50 0001 C CNN +F 1 "GND" H 6975 2650 50 0000 C CNN +F 2 "" H 6975 2800 50 0001 C CNN +F 3 "" H 6975 2800 50 0001 C CNN + 1 6975 2800 + 1 0 0 -1 +$EndComp +Entry Wire Line + 6375 3150 6475 3250 +Entry Wire Line + 6475 3150 6575 3250 +Entry Wire Line + 6575 3150 6675 3250 +Entry Wire Line + 6875 3150 6975 3250 +Entry Wire Line + 7750 3150 7850 3250 +Entry Wire Line + 7850 3150 7950 3250 +Entry Wire Line + 7950 3150 8050 3250 +Entry Wire Line + 8250 3150 8350 3250 +Wire Wire Line + 7750 1600 7750 1525 +Wire Wire Line + 7850 1600 7850 1525 +Wire Wire Line + 7950 1600 7950 1525 +Wire Wire Line + 8050 1600 8050 1525 +Wire Wire Line + 8150 1600 8150 1525 +Wire Wire Line + 8250 1600 8250 1525 +Wire Wire Line + 8350 1600 8350 1525 +Wire Wire Line + 10425 2550 10075 2550 +Wire Wire Line + 10425 2650 10075 2650 +Wire Wire Line + 10425 2750 10075 2750 +Wire Wire Line + 10425 2850 10075 2850 +Wire Wire Line + 10425 2950 10075 2950 +Wire Wire Line + 10425 3050 10075 3050 +Wire Wire Line + 8450 1525 8450 1600 +Wire Wire Line + 7750 2800 7750 3150 +Wire Wire Line + 7850 2800 7850 3150 +Wire Wire Line + 7950 2800 7950 3150 +Wire Wire Line + 8250 2800 8250 3150 +Wire Wire Line + 8450 2800 8450 2950 +Wire Wire Line + 8450 2875 8350 2875 +Wire Wire Line + 8350 2875 8350 2800 +Connection ~ 8450 2875 +Wire Wire Line + 6375 2800 6375 3150 +Wire Wire Line + 6475 2800 6475 3150 +Wire Wire Line + 6575 2800 6575 3150 +Wire Wire Line + 6875 2800 6875 3150 +Wire Wire Line + 7075 2800 7075 3000 +Wire Wire Line + 8450 950 8450 1025 +Wire Wire Line + 7450 950 8450 950 +Wire Wire Line + 7450 950 7450 3000 +Wire Wire Line + 7750 1025 7750 950 +Connection ~ 7750 950 +Wire Wire Line + 7850 1025 7850 950 +Connection ~ 7850 950 +Wire Wire Line + 7950 1025 7950 950 +Connection ~ 7950 950 +Wire Wire Line + 8050 1025 8050 950 +Connection ~ 8050 950 +Wire Wire Line + 8150 1025 8150 950 +Connection ~ 8150 950 +Wire Wire Line + 8250 1025 8250 950 +Connection ~ 8250 950 +Wire Wire Line + 8350 1025 8350 950 +Connection ~ 8350 950 +Wire Wire Line + 10425 4350 10075 4350 +Wire Wire Line + 10425 4850 10075 4850 +Wire Wire Line + 7450 3000 7075 3000 +Wire Bus Line + 6475 3250 9425 3250 +Entry Wire Line + 9975 2450 10075 2550 +Entry Wire Line + 9975 2550 10075 2650 +Entry Wire Line + 9975 2650 10075 2750 +Entry Wire Line + 9975 2750 10075 2850 +Entry Wire Line + 9975 2850 10075 2950 +Entry Wire Line + 9975 2950 10075 3050 +Entry Wire Line + 9975 4250 10075 4350 +Entry Wire Line + 9975 4750 10075 4850 +Wire Wire Line + 10425 5150 10075 5150 +Entry Wire Line + 9975 5050 10075 5150 +Wire Wire Line + 10425 5250 10075 5250 +Entry Wire Line + 9975 5150 10075 5250 +Wire Wire Line + 10425 5350 10075 5350 +Entry Wire Line + 9975 5250 10075 5350 +Wire Wire Line + 10425 5450 10075 5450 +Entry Wire Line + 9975 5350 10075 5450 +Wire Wire Line + 10425 5550 10075 5550 +Entry Wire Line + 9975 5450 10075 5550 +Wire Wire Line + 10425 5650 10075 5650 +Entry Wire Line + 9975 5550 10075 5650 +Wire Wire Line + 10425 5750 10075 5750 +Entry Wire Line + 9975 5650 10075 5750 +Wire Wire Line + 10425 5850 10075 5850 +Entry Wire Line + 9975 5750 10075 5850 +NoConn ~ 10425 5950 +NoConn ~ 10425 6050 +NoConn ~ 10425 6150 +NoConn ~ 10425 4950 +NoConn ~ 10425 5050 +NoConn ~ 10425 4650 +NoConn ~ 10425 4450 +Wire Bus Line + 9975 2275 9975 5750 +Wire Bus Line + 9975 2275 9075 2275 +Wire Bus Line + 9075 2275 9075 3250 +Text Label 10375 5150 2 60 ~ 0 +D0 +Text Label 10375 5250 2 60 ~ 0 +D1 +Text Label 10375 5350 2 60 ~ 0 +D2 +Text Label 10375 5450 2 60 ~ 0 +D3 +Text Label 10375 5550 2 60 ~ 0 +D4 +Text Label 10375 5650 2 60 ~ 0 +D5 +Text Label 10375 5750 2 60 ~ 0 +D6 +Text Label 10375 5850 2 60 ~ 0 +D7 +$Comp +L Conn_02x08_Odd_Even J2 +U 1 1 5C22CCC7 +P 6675 1325 +F 0 "J2" H 6725 1725 50 0000 C CNN +F 1 "Port" H 6725 825 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_2x08_Pitch2.54mm" H 6675 1325 50 0001 C CNN +F 3 "" H 6675 1325 50 0001 C CNN + 1 6675 1325 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 6375 1600 6375 1525 +Wire Wire Line + 6475 1525 6475 1600 +Wire Wire Line + 6575 1600 6575 1525 +Wire Wire Line + 6675 1525 6675 1600 +Wire Wire Line + 6775 1525 6775 1600 +Wire Wire Line + 6875 1525 6875 1600 +Wire Wire Line + 6975 1525 6975 1600 +Wire Wire Line + 7075 1600 7075 1525 +Wire Wire Line + 6375 1025 6375 950 +Wire Wire Line + 6375 950 6675 950 +Wire Wire Line + 6675 950 6675 1025 +Wire Wire Line + 6575 1025 6575 950 +Connection ~ 6575 950 +Wire Wire Line + 6475 875 6475 1025 +Connection ~ 6475 950 +Wire Wire Line + 6775 1025 6775 950 +Wire Wire Line + 6775 950 7075 950 +Wire Wire Line + 7075 950 7075 1025 +Wire Wire Line + 6975 875 6975 1025 +Connection ~ 6975 950 +Wire Wire Line + 6875 1025 6875 950 +Connection ~ 6875 950 +Text GLabel 6475 875 1 60 Output ~ 0 +WRITE +Text GLabel 6975 875 1 60 Output ~ 0 +READ +$Comp +L SN76489AN U5 +U 1 1 5C22D784 +P 8225 4175 +F 0 "U5" H 8225 3675 50 0000 C CNN +F 1 "SN76489AN" V 8225 4175 50 0000 C CNN +F 2 "Housings_DIP:DIP-16_W7.62mm_Socket" H 8225 4825 50 0001 C CNN +F 3 "DOCUMENTATION" H 8225 3525 50 0001 C CNN + 1 8225 4175 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7475 3825 7125 3825 +Entry Wire Line + 7025 3725 7125 3825 +Wire Wire Line + 7475 3925 7125 3925 +Entry Wire Line + 7025 3825 7125 3925 +Wire Wire Line + 7475 4025 7125 4025 +Entry Wire Line + 7025 3925 7125 4025 +Wire Wire Line + 9325 3925 8975 3925 +Entry Wire Line + 9325 3925 9425 4025 +Wire Wire Line + 9325 4025 8975 4025 +Entry Wire Line + 9325 4025 9425 4125 +Wire Wire Line + 9325 4125 8975 4125 +Entry Wire Line + 9325 4125 9425 4225 +Wire Wire Line + 9325 4225 8975 4225 +Entry Wire Line + 9325 4225 9425 4325 +Wire Wire Line + 9325 4325 8975 4325 +Entry Wire Line + 9325 4325 9425 4425 +Text Label 7425 4025 2 60 ~ 0 +D0 +Text Label 7425 3925 2 60 ~ 0 +D1 +Text Label 7425 3825 2 60 ~ 0 +D2 +Text Label 9000 4425 0 60 ~ 0 +D3 +Text Label 9000 4225 0 60 ~ 0 +D4 +Text Label 9000 4125 0 60 ~ 0 +D5 +Text Label 9000 4025 0 60 ~ 0 +D6 +Text Label 9000 3925 0 60 ~ 0 +D7 +Wire Wire Line + 9325 4425 8975 4425 +Entry Wire Line + 9325 4425 9425 4525 +Wire Bus Line + 7025 3250 7025 3925 +Wire Bus Line + 9425 3250 9425 4525 +NoConn ~ 8975 3825 +$Comp +L GND #PWR03 +U 1 1 5C22DD10 +P 7475 4525 +F 0 "#PWR03" H 7475 4275 50 0001 C CNN +F 1 "GND" H 7475 4375 50 0000 C CNN +F 2 "" H 7475 4525 50 0001 C CNN +F 3 "" H 7475 4525 50 0001 C CNN + 1 7475 4525 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR04 +U 1 1 5C22DD60 +P 9550 4525 +F 0 "#PWR04" H 9550 4375 50 0001 C CNN +F 1 "VCC" H 9550 4675 50 0000 C CNN +F 2 "" H 9550 4525 50 0001 C CNN +F 3 "" H 9550 4525 50 0001 C CNN + 1 9550 4525 + 1 0 0 -1 +$EndComp +Wire Wire Line + 8975 4525 9325 4525 +Wire Wire Line + 9325 4525 9325 4625 +Wire Wire Line + 9325 4625 9550 4625 +Wire Wire Line + 9550 4625 9550 4525 +Text GLabel 7325 4225 0 60 Input ~ 0 +WRITE +Wire Wire Line + 7325 4225 7475 4225 +Wire Wire Line + 7400 4225 7400 4325 +Wire Wire Line + 7400 4325 7475 4325 +Connection ~ 7400 4225 +Text GLabel 3000 5050 0 60 Input ~ 0 +READY +Wire Wire Line + 7325 4125 7475 4125 +Wire Wire Line + 7325 4425 7475 4425 +$Comp +L GND #PWR05 +U 1 1 5C22E663 +P 6125 4550 +F 0 "#PWR05" H 6125 4300 50 0001 C CNN +F 1 "GND" H 6125 4400 50 0000 C CNN +F 2 "" H 6125 4550 50 0001 C CNN +F 3 "" H 6125 4550 50 0001 C CNN + 1 6125 4550 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR06 +U 1 1 5C22E6B4 +P 6125 3950 +F 0 "#PWR06" H 6125 3800 50 0001 C CNN +F 1 "VCC" H 6125 4100 50 0000 C CNN +F 2 "" H 6125 3950 50 0001 C CNN +F 3 "" H 6125 3950 50 0001 C CNN + 1 6125 3950 + 1 0 0 -1 +$EndComp +$Comp +L TCXO-14 X1 +U 1 1 5C22E816 +P 6125 4250 +F 0 "X1" H 5925 4500 50 0000 L CNN +F 1 "TCXO-14" H 6175 4000 50 0000 L CNN +F 2 "Oscillators:Oscillator_DIP-14" H 6575 3900 50 0001 C CNN +F 3 "" H 6025 4250 50 0001 C CNN + 1 6125 4250 + 1 0 0 -1 +$EndComp +Entry Wire Line + 6925 3825 7025 3925 +Wire Wire Line + 6625 3825 6925 3825 +Text Label 9000 4325 0 60 ~ 0 +CLK +Text Label 6875 3825 2 60 ~ 0 +CLK +$Comp +L LM386 U1 +U 1 1 5C22EC62 +P 2200 2375 +F 0 "U1" H 2250 2675 50 0000 L CNN +F 1 "LM386" H 2250 2575 50 0000 L CNN +F 2 "Housings_DIP:DIP-8_W7.62mm_Socket" H 2300 2475 50 0001 C CNN +F 3 "" H 2400 2575 50 0001 C CNN + 1 2200 2375 + 1 0 0 -1 +$EndComp +$Comp +L C C2 +U 1 1 5C22ECA0 +P 1875 3050 +F 0 "C2" H 1900 3150 50 0000 L CNN +F 1 "470nF" H 1900 2950 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 1913 2900 50 0001 C CNN +F 3 "" H 1875 3050 50 0001 C CNN + 1 1875 3050 + 0 1 1 0 +$EndComp +Wire Wire Line + 2025 3050 2100 3050 +Wire Wire Line + 2100 3250 2100 2675 +Wire Wire Line + 1725 3050 1650 3050 +Wire Wire Line + 1650 2475 1650 3250 +Wire Wire Line + 1650 2475 1900 2475 +$Comp +L GND #PWR07 +U 1 1 5C22F056 +P 1875 3325 +F 0 "#PWR07" H 1875 3075 50 0001 C CNN +F 1 "GND" H 1875 3175 50 0000 C CNN +F 2 "" H 1875 3325 50 0001 C CNN +F 3 "" H 1875 3325 50 0001 C CNN + 1 1875 3325 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1875 3325 1875 3250 +Wire Wire Line + 1650 3250 2100 3250 +Connection ~ 1650 3050 +Connection ~ 1875 3250 +Connection ~ 2100 3050 +Wire Wire Line + 2100 2825 1825 2825 +Wire Wire Line + 1825 2825 1825 2075 +Connection ~ 2100 2825 +$Comp +L C C1 +U 1 1 5C22F3EF +P 1825 1925 +F 0 "C1" H 1850 2025 50 0000 L CNN +F 1 "100nF" H 1850 1825 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 1863 1775 50 0001 C CNN +F 3 "" H 1825 1925 50 0001 C CNN + 1 1825 1925 + -1 0 0 1 +$EndComp +Wire Wire Line + 1825 1775 1825 1700 +Wire Wire Line + 1825 1700 2100 1700 +Wire Wire Line + 2100 1000 2100 2075 +$Comp +L C C4 +U 1 1 5C22F577 +P 2325 1425 +F 0 "C4" H 2350 1525 50 0000 L CNN +F 1 "100nF" H 2350 1325 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 2363 1275 50 0001 C CNN +F 3 "" H 2325 1425 50 0001 C CNN + 1 2325 1425 + 0 -1 -1 0 +$EndComp +$Comp +L CP C3 +U 1 1 5C22F68D +P 2325 1075 +F 0 "C3" H 2350 1175 50 0000 L CNN +F 1 "100uF" H 2350 975 50 0000 L CNN +F 2 "Capacitors_THT:CP_Radial_D4.0mm_P2.00mm" H 2363 925 50 0001 C CNN +F 3 "" H 2325 1075 50 0001 C CNN + 1 2325 1075 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 2100 1075 2175 1075 +Connection ~ 2100 1700 +Wire Wire Line + 2175 1425 2100 1425 +Connection ~ 2100 1425 +Wire Wire Line + 2475 1075 2550 1075 +Wire Wire Line + 2550 1075 2550 1500 +Wire Wire Line + 2550 1425 2475 1425 +Connection ~ 2550 1425 +$Comp +L GND #PWR08 +U 1 1 5C22FA37 +P 2550 1500 +F 0 "#PWR08" H 2550 1250 50 0001 C CNN +F 1 "GND" H 2550 1350 50 0000 C CNN +F 2 "" H 2550 1500 50 0001 C CNN +F 3 "" H 2550 1500 50 0001 C CNN + 1 2550 1500 + 1 0 0 -1 +$EndComp +Connection ~ 2100 1075 +$Comp +L VCC #PWR09 +U 1 1 5C22FC2B +P 2100 1000 +F 0 "#PWR09" H 2100 850 50 0001 C CNN +F 1 "VCC" H 2100 1150 50 0000 C CNN +F 2 "" H 2100 1000 50 0001 C CNN +F 3 "" H 2100 1000 50 0001 C CNN + 1 2100 1000 + 1 0 0 -1 +$EndComp +$Comp +L CP C5 +U 1 1 5C22FDDA +P 2525 2750 +F 0 "C5" H 2550 2850 50 0000 L CNN +F 1 "10uF" H 2550 2650 50 0000 L CNN +F 2 "Capacitors_THT:CP_Radial_Tantal_D5.0mm_P5.00mm" H 2563 2600 50 0001 C CNN +F 3 "" H 2525 2750 50 0001 C CNN + 1 2525 2750 + 0 1 1 0 +$EndComp +Wire Wire Line + 2300 2675 2300 2750 +Wire Wire Line + 2300 2750 2375 2750 +$Comp +L POT RV2 +U 1 1 5C22FF68 +P 2750 3075 +F 0 "RV2" V 2575 3075 50 0000 C CNN +F 1 "10K" V 2650 3075 50 0000 C CNN +F 2 "Potentiometers:Potentiometer_Trimmer_Bourns_3296W" H 2750 3075 50 0001 C CNN +F 3 "" H 2750 3075 50 0001 C CNN + 1 2750 3075 + -1 0 0 1 +$EndComp +Wire Wire Line + 2750 2925 2750 2750 +Wire Wire Line + 2750 2750 2675 2750 +Wire Wire Line + 2200 2675 2200 3075 +Wire Wire Line + 2200 3075 2600 3075 +NoConn ~ 2750 3225 +$Comp +L CP C6 +U 1 1 5C2305EC +P 3000 2000 +F 0 "C6" H 3025 2100 50 0000 L CNN +F 1 "10uF" H 3025 1900 50 0000 L CNN +F 2 "Capacitors_THT:CP_Radial_Tantal_D5.0mm_P5.00mm" H 3038 1850 50 0001 C CNN +F 3 "" H 3000 2000 50 0001 C CNN + 1 3000 2000 + 0 -1 -1 0 +$EndComp +$Comp +L R R1 +U 1 1 5C2306BB +P 2625 2000 +F 0 "R1" V 2705 2000 50 0000 C CNN +F 1 "10k" V 2625 2000 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 2555 2000 50 0001 C CNN +F 3 "" H 2625 2000 50 0001 C CNN + 1 2625 2000 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 2850 2000 2775 2000 +Wire Wire Line + 2200 2000 2200 2075 +$Comp +L GND #PWR010 +U 1 1 5C2309CE +P 3225 2075 +F 0 "#PWR010" H 3225 1825 50 0001 C CNN +F 1 "GND" H 3225 1925 50 0000 C CNN +F 2 "" H 3225 2075 50 0001 C CNN +F 3 "" H 3225 2075 50 0001 C CNN + 1 3225 2075 + 1 0 0 -1 +$EndComp +$Comp +L POT RV1 +U 1 1 5C230B68 +P 1325 2275 +F 0 "RV1" V 1150 2275 50 0000 C CNN +F 1 "10K" V 1225 2275 50 0000 C CNN +F 2 "Potentiometers:Potentiometer_Trimmer_Bourns_3296W" H 1325 2275 50 0001 C CNN +F 3 "" H 1325 2275 50 0001 C CNN + 1 1325 2275 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1475 2275 1900 2275 +$Comp +L GND #PWR011 +U 1 1 5C230CD3 +P 1325 2425 +F 0 "#PWR011" H 1325 2175 50 0001 C CNN +F 1 "GND" H 1325 2275 50 0000 C CNN +F 2 "" H 1325 2425 50 0001 C CNN +F 3 "" H 1325 2425 50 0001 C CNN + 1 1325 2425 + 1 0 0 -1 +$EndComp +Text GLabel 1075 2050 0 60 Input ~ 0 +Line +Wire Wire Line + 1075 2050 1325 2050 +Wire Wire Line + 1325 2050 1325 2125 +Wire Wire Line + 2200 2000 2475 2000 +Wire Wire Line + 3225 2075 3225 2000 +Wire Wire Line + 3225 2000 3150 2000 +$Comp +L C C7 +U 1 1 5C231784 +P 3125 2600 +F 0 "C7" H 3150 2700 50 0000 L CNN +F 1 "100nF" H 3150 2500 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 3163 2450 50 0001 C CNN +F 3 "" H 3125 2600 50 0001 C CNN + 1 3125 2600 + -1 0 0 1 +$EndComp +Wire Wire Line + 3125 2450 3125 2375 +Wire Wire Line + 2500 2375 3325 2375 +$Comp +L R R3 +U 1 1 5C2318E6 +P 3125 2975 +F 0 "R3" V 3205 2975 50 0000 C CNN +F 1 "10" V 3125 2975 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 3055 2975 50 0001 C CNN +F 3 "" H 3125 2975 50 0001 C CNN + 1 3125 2975 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR012 +U 1 1 5C231A31 +P 3125 3200 +F 0 "#PWR012" H 3125 2950 50 0001 C CNN +F 1 "GND" H 3125 3050 50 0000 C CNN +F 2 "" H 3125 3200 50 0001 C CNN +F 3 "" H 3125 3200 50 0001 C CNN + 1 3125 3200 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3125 3125 3125 3200 +Wire Wire Line + 3125 2825 3125 2750 +$Comp +L CP C8 +U 1 1 5C231F73 +P 3475 2375 +F 0 "C8" H 3500 2475 50 0000 L CNN +F 1 "1000uF" H 3500 2275 50 0000 L CNN +F 2 "Capacitors_THT:CP_Radial_D8.0mm_P3.80mm" H 3513 2225 50 0001 C CNN +F 3 "" H 3475 2375 50 0001 C CNN + 1 3475 2375 + 0 -1 -1 0 +$EndComp +Connection ~ 3125 2375 +Wire Wire Line + 3625 2375 3950 2375 +Text Notes 2675 3350 0 60 ~ 0 +Gain +Text Notes 1400 2400 0 60 ~ 0 +Volume +Text GLabel 7325 4425 0 60 Output ~ 0 +Line +$Comp +L Audio-Jack-3 J1 +U 1 1 5C233E8D +P 4300 1850 +F 0 "J1" H 4250 2025 50 0000 C CNN +F 1 "Out" H 4400 1780 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 4550 1950 50 0001 C CNN +F 3 "" H 4550 1950 50 0001 C CNN + 1 4300 1850 + -1 0 0 1 +$EndComp +$Comp +L GND #PWR013 +U 1 1 5C234708 +P 4600 1750 +F 0 "#PWR013" H 4600 1500 50 0001 C CNN +F 1 "GND" H 4600 1600 50 0000 C CNN +F 2 "" H 4600 1750 50 0001 C CNN +F 3 "" H 4600 1750 50 0001 C CNN + 1 4600 1750 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR014 +U 1 1 5C234C2F +P 3875 2550 +F 0 "#PWR014" H 3875 2300 50 0001 C CNN +F 1 "GND" H 3875 2400 50 0000 C CNN +F 2 "" H 3875 2550 50 0001 C CNN +F 3 "" H 3875 2550 50 0001 C CNN + 1 3875 2550 + 1 0 0 -1 +$EndComp +$Comp +L Jumper_NC_Dual JP1 +U 1 1 5C235BBC +P 6525 3825 +F 0 "JP1" H 6575 3725 50 0000 L CNN +F 1 "CLK_SEL" H 6525 3925 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 6525 3825 50 0001 C CNN +F 3 "" H 6525 3825 50 0001 C CNN + 1 6525 3825 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 6425 4250 6525 4250 +Wire Wire Line + 6525 4250 6525 4075 +Text Label 10375 4550 2 60 ~ 0 +Phi0 +Wire Wire Line + 10425 4550 10075 4550 +Entry Wire Line + 9975 4450 10075 4550 +Text Label 6550 3500 0 60 ~ 0 +Phi0 +Entry Wire Line + 6925 3500 7025 3600 +Wire Wire Line + 6525 3500 6925 3500 +Wire Wire Line + 6525 3500 6525 3575 +$Comp +L R R2 +U 1 1 5C2374DE +P 3075 4825 +F 0 "R2" V 3155 4825 50 0000 C CNN +F 1 "4k7" V 3075 4825 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 3005 4825 50 0001 C CNN +F 3 "" H 3075 4825 50 0001 C CNN + 1 3075 4825 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR015 +U 1 1 5C237867 +P 3075 4675 +F 0 "#PWR015" H 3075 4525 50 0001 C CNN +F 1 "VCC" H 3075 4825 50 0000 C CNN +F 2 "" H 3075 4675 50 0001 C CNN +F 3 "" H 3075 4675 50 0001 C CNN + 1 3075 4675 + 1 0 0 -1 +$EndComp +$Comp +L 74LS373 U2 +U 1 1 5C237A24 +P 3850 5550 +F 0 "U2" H 3850 5550 50 0000 C CNN +F 1 "74LS373" H 3900 5200 50 0000 C CNN +F 2 "Housings_DIP:DIP-20_W7.62mm" H 3850 5550 50 0001 C CNN +F 3 "" H 3850 5550 50 0001 C CNN + 1 3850 5550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3000 5050 3150 5050 +Wire Wire Line + 3075 4975 3075 5050 +Connection ~ 3075 5050 +Text GLabel 3000 6050 0 60 Input ~ 0 +READ +Wire Wire Line + 3000 6050 3150 6050 +Wire Wire Line + 3075 6050 3075 5950 +Wire Wire Line + 3075 5950 3150 5950 +Connection ~ 3075 6050 +$Comp +L GND #PWR016 +U 1 1 5C238D66 +P 3075 5750 +F 0 "#PWR016" H 3075 5500 50 0001 C CNN +F 1 "GND" H 3075 5600 50 0000 C CNN +F 2 "" H 3075 5750 50 0001 C CNN +F 3 "" H 3075 5750 50 0001 C CNN + 1 3075 5750 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3075 5750 3150 5750 +Wire Wire Line + 3075 5150 3075 5750 +Wire Wire Line + 3075 5650 3150 5650 +Wire Wire Line + 3075 5550 3150 5550 +Connection ~ 3075 5650 +Wire Wire Line + 3075 5450 3150 5450 +Connection ~ 3075 5550 +Wire Wire Line + 3075 5350 3150 5350 +Connection ~ 3075 5450 +Wire Wire Line + 3075 5250 3150 5250 +Connection ~ 3075 5350 +Wire Wire Line + 3075 5150 3150 5150 +Connection ~ 3075 5250 +Wire Wire Line + 4550 5750 4900 5750 +Entry Wire Line + 5000 5850 4900 5750 +Wire Wire Line + 4550 5650 4900 5650 +Entry Wire Line + 5000 5750 4900 5650 +Wire Wire Line + 4550 5550 4900 5550 +Entry Wire Line + 5000 5650 4900 5550 +Wire Wire Line + 4550 5450 4900 5450 +Entry Wire Line + 5000 5550 4900 5450 +Wire Wire Line + 4550 5350 4900 5350 +Entry Wire Line + 5000 5450 4900 5350 +Wire Wire Line + 4550 5250 4900 5250 +Entry Wire Line + 5000 5350 4900 5250 +Wire Wire Line + 4550 5150 4900 5150 +Entry Wire Line + 5000 5250 4900 5150 +Wire Wire Line + 4550 5050 4900 5050 +Entry Wire Line + 5000 5150 4900 5050 +Text Label 4600 5050 0 60 ~ 0 +D0 +Text Label 4600 5150 0 60 ~ 0 +D1 +Text Label 4600 5250 0 60 ~ 0 +D2 +Text Label 4600 5350 0 60 ~ 0 +D3 +Text Label 4600 5450 0 60 ~ 0 +D4 +Text Label 4600 5550 0 60 ~ 0 +D5 +Text Label 4600 5650 0 60 ~ 0 +D6 +Text Label 4600 5750 0 60 ~ 0 +D7 +Wire Bus Line + 6450 3250 5000 3250 +Wire Bus Line + 5000 3250 5000 5850 +$Comp +L Speaker LS1 +U 1 1 5C23AA18 +P 4350 2375 +F 0 "LS1" H 4400 2600 50 0000 R CNN +F 1 "Speaker" H 4400 2525 50 0000 R CNN +F 2 "Buzzers_Beepers:Buzzer_12x9.5RM7.6" H 4350 2175 50 0001 C CNN +F 3 "" H 4340 2325 50 0001 C CNN + 1 4350 2375 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3875 2550 3875 2475 +Wire Wire Line + 3875 2475 4150 2475 +$Comp +L Jumper_NO_Small JP2 +U 1 1 5C23C542 +P 4050 2375 +F 0 "JP2" H 4050 2455 50 0000 C CNN +F 1 "SPKR_EN" H 4060 2315 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm" H 4050 2375 50 0001 C CNN +F 3 "" H 4050 2375 50 0001 C CNN + 1 4050 2375 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3875 1850 4100 1850 +Connection ~ 3875 2375 +Wire Wire Line + 4500 1750 4500 1675 +Wire Wire Line + 4500 1675 4600 1675 +Wire Wire Line + 4600 1675 4600 1750 +Wire Wire Line + 3875 2375 3875 1850 +NoConn ~ 4100 1950 +$Comp +L GND #PWR017 +U 1 1 5C240C7F +P 9825 4350 +F 0 "#PWR017" H 9825 4100 50 0001 C CNN +F 1 "GND" H 9825 4200 50 0000 C CNN +F 2 "" H 9825 4350 50 0001 C CNN +F 3 "" H 9825 4350 50 0001 C CNN + 1 9825 4350 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9825 4350 9825 4150 +Wire Wire Line + 9825 4150 10425 4150 +$Comp +L VCC #PWR018 +U 1 1 5C240EFC +P 9875 4050 +F 0 "#PWR018" H 9875 3900 50 0001 C CNN +F 1 "VCC" H 9875 4200 50 0000 C CNN +F 2 "" H 9875 4050 50 0001 C CNN +F 3 "" H 9875 4050 50 0001 C CNN + 1 9875 4050 + 1 0 0 -1 +$EndComp +Text GLabel 7325 4125 0 60 Output ~ 0 +READY +Wire Wire Line + 10425 4250 10250 4250 +Wire Wire Line + 10250 4250 10250 4200 +Wire Wire Line + 10250 4200 9875 4200 +Wire Wire Line + 9875 4200 9875 4050 +NoConn ~ 10425 3150 +NoConn ~ 10425 3250 +NoConn ~ 10425 3350 +NoConn ~ 10425 3450 +NoConn ~ 10425 3550 +NoConn ~ 10425 3650 +NoConn ~ 10425 3750 +NoConn ~ 10425 3850 +NoConn ~ 10425 3950 +NoConn ~ 10425 4050 +Text Notes 7350 7500 0 60 ~ 0 +RC6502 Programmable Sound Generator +Text Notes 7025 6950 0 60 ~ 0 +A simple sound card for the RC6502 computer, this one implements the sound chip\noriginally found in systems such as the BBC Micro as well as Sega Master System\nconsoles. Note that the card does not have a designed in reset and needs startup\nroutines to stop it from generating a random noise on power-up. +$EndSCHEMATC diff --git a/RC6502 PSG/SN76489AN.dcm b/RC6502 PSG/SN76489AN.dcm new file mode 100644 index 0000000..5dd71cb --- /dev/null +++ b/RC6502 PSG/SN76489AN.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/RC6502 PSG/SN76489AN.lib b/RC6502 PSG/SN76489AN.lib new file mode 100644 index 0000000..981ecfd --- /dev/null +++ b/RC6502 PSG/SN76489AN.lib @@ -0,0 +1,32 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# SN76489AN +# +DEF SN76489AN U 0 40 Y Y 1 F N +F0 "U" 0 -500 50 H V C CNN +F1 "SN76489AN" 0 0 50 V V C CNN +F2 "MODULE" 0 650 50 H I C CNN +F3 "DOCUMENTATION" 0 -650 50 H I C CNN +DRAW +S -450 -550 450 550 1 0 0 N +X ~WE 5 -750 -50 300 R 50 50 1 0 I +X D2 1 -750 350 300 R 50 50 1 1 I +X D1 2 -750 250 300 R 50 50 1 1 I +X D0 3 -750 150 300 R 50 50 1 1 I +X READY 4 -750 50 300 R 50 50 1 1 O +X ~CE 6 -750 -150 300 R 50 50 1 1 I +X SND 7 -750 -250 300 R 50 50 1 1 O +X GND 8 -750 -350 300 R 50 50 1 1 W +X NC 9 750 350 300 L 50 50 1 1 I +X D7 10 750 250 300 L 50 50 1 1 I +X D6 11 750 150 300 L 50 50 1 1 I +X D5 12 750 50 300 L 50 50 1 1 I +X D4 13 750 -50 300 L 50 50 1 1 I +X CLOCK 14 750 -150 300 L 50 50 1 1 I +X D3 15 750 -250 300 L 50 50 1 1 I +X VCC 16 750 -350 300 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +#End Library diff --git a/RC6502 PSG/export/RC6502 PSG.pdf b/RC6502 PSG/export/RC6502 PSG.pdf new file mode 100644 index 0000000..ed29947 Binary files /dev/null and b/RC6502 PSG/export/RC6502 PSG.pdf differ