From 7dbb3f715bc07b8d2c6243d969b9d769801db18e Mon Sep 17 00:00:00 2001 From: Unknown Date: Fri, 21 Dec 2018 19:00:00 +0100 Subject: [PATCH] Schematic done for enhanced RAM/ROM board --- RC6502 RAM/RC6502 RAM-cache.lib | 373 +++++++---- RC6502 RAM/RC6502 RAM.net | 704 +++++++++++++------- RC6502 RAM/RC6502 RAM.pro | 27 +- RC6502 RAM/RC6502 RAM.sch | 1108 +++++++++++++++++++++++-------- 4 files changed, 1570 insertions(+), 642 deletions(-) diff --git a/RC6502 RAM/RC6502 RAM-cache.lib b/RC6502 RAM/RC6502 RAM-cache.lib index 68ec441..acf6b84 100644 --- a/RC6502 RAM/RC6502 RAM-cache.lib +++ b/RC6502 RAM/RC6502 RAM-cache.lib @@ -50,6 +50,83 @@ X ~ 13 -600 -100 370 R 50 50 4 2 I I ENDDRAW ENDDEF # +# 74LS02 +# +DEF 74LS02 U 0 30 Y Y 4 F N +F0 "U" 0 50 50 H V C CNN +F1 "74LS02" 50 -50 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS 74HC02 74HCT02 7402 74LS28 +$FPLIST + SO14* + 14DIP* +$ENDFPLIST +DRAW +X GND 7 -200 -200 0 U 40 40 0 0 W N +X VCC 14 -200 200 0 D 40 40 0 0 W N +A -470 0 262 496 -496 0 1 8 N -300 200 -300 -200 +A -1 -127 327 898 228 0 1 8 N 0 200 300 0 +A -1 128 327 -230 -898 0 1 8 N 300 0 0 -200 +P 2 0 1 8 -300 -200 0 -200 N +P 2 0 1 8 -300 200 0 200 N +X ~ 1 600 0 300 L 50 50 1 1 O I +X ~ 2 -600 100 370 R 50 50 1 1 I +X ~ 3 -600 -100 370 R 50 50 1 1 I +X ~ 4 600 0 300 L 50 50 2 1 O I +X ~ 5 -600 100 370 R 50 50 2 1 I +X ~ 6 -600 -100 370 R 50 50 2 1 I +X ~ 8 -600 100 370 R 50 50 3 1 I +X ~ 9 -600 -100 370 R 50 50 3 1 I +X ~ 10 600 0 300 L 50 50 3 1 O I +X ~ 11 -600 100 370 R 50 50 4 1 I +X ~ 12 -600 -100 370 R 50 50 4 1 I +X ~ 13 600 0 300 L 50 50 4 1 O I +A 100 0 200 896 -896 0 2 8 N 101 200 101 -199 +P 4 0 2 8 100 200 -300 200 -300 -200 100 -200 N +X ~ 1 600 0 300 L 50 50 1 2 O +X ~ 2 -600 100 300 R 50 50 1 2 I I +X ~ 3 -600 -100 300 R 50 50 1 2 I I +X ~ 4 600 0 300 L 50 50 2 2 O +X ~ 5 -600 100 300 R 50 50 2 2 I I +X ~ 6 -600 -100 300 R 50 50 2 2 I I +X ~ 8 -600 100 300 R 50 50 3 2 I I +X ~ 9 -600 -100 300 R 50 50 3 2 I I +X ~ 10 600 0 300 L 50 50 3 2 O +X ~ 11 -600 100 300 R 50 50 4 2 I I +X ~ 12 -600 -100 300 R 50 50 4 2 I I +X ~ 13 600 0 300 L 50 50 4 2 O +ENDDRAW +ENDDEF +# +# 74LS138 +# +DEF 74LS138 U 0 40 Y Y 1 F N +F0 "U" 100 500 50 H V C CNN +F1 "74LS138" 150 -549 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -300 450 300 -450 0 1 0 N +X A0 1 -600 350 300 R 50 50 1 1 I +X A1 2 -600 250 300 R 50 50 1 1 I +X A2 3 -600 150 300 R 50 50 1 1 I +X E1 4 -600 -350 300 R 50 50 1 1 I L +X E2 5 -600 -250 300 R 50 50 1 1 I L +X E3 6 -600 -150 300 R 50 50 1 1 I +X O7 7 600 -350 300 L 50 50 1 1 O V +X GND 8 0 -450 0 U 50 50 1 1 W N +X O6 9 600 -250 300 L 50 50 1 1 O V +X O5 10 600 -150 300 L 50 50 1 1 O V +X O4 11 600 -50 300 L 50 50 1 1 O V +X O3 12 600 50 300 L 50 50 1 1 O V +X O2 13 600 150 300 L 50 50 1 1 O V +X O1 14 600 250 300 L 50 50 1 1 O V +X O0 15 600 350 300 L 50 50 1 1 O V +X VCC 16 0 450 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# # C # DEF C C 0 10 N Y 1 F N @@ -68,121 +145,16 @@ X ~ 2 0 -150 110 U 50 50 1 1 P ENDDRAW ENDDEF # -# CONN_01X02 +# GND # -DEF CONN_01X02 J 0 40 Y N 1 F N -F0 "J" 0 150 50 H V C CNN -F1 "CONN_01X02" 100 0 50 V V C CNN +DEF GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "GND" 0 -150 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN -$FPLIST - Pin_Header_Straight_1X* - Pin_Header_Angled_1X* - Socket_Strip_Straight_1X* - Socket_Strip_Angled_1X* -$ENDFPLIST DRAW -S -50 -45 10 -55 0 1 0 N -S -50 55 10 45 0 1 0 N -S -50 100 50 -100 0 1 0 N -X P1 1 -200 50 150 R 50 50 1 1 P -X P2 2 -200 -50 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# -# CONN_01X39 -# -DEF CONN_01X39 J 0 40 Y N 1 F N -F0 "J" 0 2000 50 H V C CNN -F1 "CONN_01X39" 100 0 50 V V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Pin_Header_Straight_1X* - Pin_Header_Angled_1X* - Socket_Strip_Straight_1X* - Socket_Strip_Angled_1X* -$ENDFPLIST -DRAW -S -50 -1950 50 1950 0 1 0 N -S -50 -1895 10 -1905 0 1 0 N -S -50 -1795 10 -1805 0 1 0 N -S -50 -1695 10 -1705 0 1 0 N -S -50 -1595 10 -1605 0 1 0 N -S -50 -1495 10 -1505 0 1 0 N -S -50 -1395 10 -1405 0 1 0 N -S -50 -1295 10 -1305 0 1 0 N -S -50 -1195 10 -1205 0 1 0 N -S -50 -1095 10 -1105 0 1 0 N -S -50 -995 10 -1005 0 1 0 N -S -50 -895 10 -905 0 1 0 N -S -50 -795 10 -805 0 1 0 N -S -50 -695 10 -705 0 1 0 N -S -50 -595 10 -605 0 1 0 N -S -50 -495 10 -505 0 1 0 N -S -50 -395 10 -405 0 1 0 N -S -50 -295 10 -305 0 1 0 N -S -50 -195 10 -205 0 1 0 N -S -50 -95 10 -105 0 1 0 N -S -50 5 10 -5 0 1 0 N -S -50 105 10 95 0 1 0 N -S -50 205 10 195 0 1 0 N -S -50 305 10 295 0 1 0 N -S -50 405 10 395 0 1 0 N -S -50 505 10 495 0 1 0 N -S -50 605 10 595 0 1 0 N -S -50 705 10 695 0 1 0 N -S -50 805 10 795 0 1 0 N -S -50 905 10 895 0 1 0 N -S -50 1005 10 995 0 1 0 N -S -50 1105 10 1095 0 1 0 N -S -50 1205 10 1195 0 1 0 N -S -50 1305 10 1295 0 1 0 N -S -50 1405 10 1395 0 1 0 N -S -50 1505 10 1495 0 1 0 N -S -50 1605 10 1595 0 1 0 N -S -50 1705 10 1695 0 1 0 N -S -50 1805 10 1795 0 1 0 N -S -50 1905 10 1895 0 1 0 N -X P1 1 -200 1900 150 R 50 50 1 1 P -X P2 2 -200 1800 150 R 50 50 1 1 P -X P3 3 -200 1700 150 R 50 50 1 1 P -X P4 4 -200 1600 150 R 50 50 1 1 P -X P5 5 -200 1500 150 R 50 50 1 1 P -X P6 6 -200 1400 150 R 50 50 1 1 P -X P7 7 -200 1300 150 R 50 50 1 1 P -X P8 8 -200 1200 150 R 50 50 1 1 P -X P9 9 -200 1100 150 R 50 50 1 1 P -X P10 10 -200 1000 150 R 50 50 1 1 P -X P20 20 -200 0 150 R 50 50 1 1 P -X P30 30 -200 -1000 150 R 50 50 1 1 P -X P11 11 -200 900 150 R 50 50 1 1 P -X P21 21 -200 -100 150 R 50 50 1 1 P -X P31 31 -200 -1100 150 R 50 50 1 1 P -X P12 12 -200 800 150 R 50 50 1 1 P -X P22 22 -200 -200 150 R 50 50 1 1 P -X P32 32 -200 -1200 150 R 50 50 1 1 P -X P13 13 -200 700 150 R 50 50 1 1 P -X P23 23 -200 -300 150 R 50 50 1 1 P -X P33 33 -200 -1300 150 R 50 50 1 1 P -X P14 14 -200 600 150 R 50 50 1 1 P -X P24 24 -200 -400 150 R 50 50 1 1 P -X P34 34 -200 -1400 150 R 50 50 1 1 P -X P15 15 -200 500 150 R 50 50 1 1 P -X P25 25 -200 -500 150 R 50 50 1 1 P -X P35 35 -200 -1500 150 R 50 50 1 1 P -X P16 16 -200 400 150 R 50 50 1 1 P -X P26 26 -200 -600 150 R 50 50 1 1 P -X P36 36 -200 -1600 150 R 50 50 1 1 P -X P17 17 -200 300 150 R 50 50 1 1 P -X P27 27 -200 -700 150 R 50 50 1 1 P -X P37 37 -200 -1700 150 R 50 50 1 1 P -X P18 18 -200 200 150 R 50 50 1 1 P -X P28 28 -200 -800 150 R 50 50 1 1 P -X P38 38 -200 -1800 150 R 50 50 1 1 P -X P19 19 -200 100 150 R 50 50 1 1 P -X P29 29 -200 -900 150 R 50 50 1 1 P -X P39 39 -200 -1900 150 R 50 50 1 1 P +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N ENDDRAW ENDDEF # @@ -249,21 +221,180 @@ X 3 3 250 0 95 L 50 50 0 1 P ENDDRAW ENDDEF # -# R +# Jumper_NC_Small # -DEF R R 0 0 N Y 1 F N -F0 "R" 80 0 50 V V C CNN -F1 "R" 0 0 50 V V C CNN -F2 "" -70 0 50 V I C CNN +DEF Jumper_NC_Small JP 0 30 N N 1 F N +F0 "JP" 0 80 50 H V C CNN +F1 "Jumper_NC_Small" 10 -60 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +A 0 -10 57 450 1350 0 1 0 N 40 30 -40 30 +C -40 0 20 0 1 0 N +C 40 0 20 0 1 0 N +X 1 1 -100 0 40 R 50 50 0 1 P +X 2 2 100 0 40 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# Jumper_NO_Small +# +DEF Jumper_NO_Small JP 0 30 N N 1 F N +F0 "JP" 0 80 50 H V C CNN +F1 "Jumper_NO_Small" 10 -60 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C -40 0 20 0 1 0 N +C 40 0 20 0 1 0 N +X 1 1 -100 0 40 R 50 50 0 1 P +X 2 2 100 0 40 L 50 50 0 1 P +ENDDRAW +ENDDEF +# +# RC6502_Backplane +# +DEF RC6502_Backplane J 0 40 Y N 1 F N +F0 "J" 0 2000 50 H V C CNN +F1 "RC6502_Backplane" 450 -50 50 V V C CNN +F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN $FPLIST - R_* - R_* + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* $ENDFPLIST DRAW -S -40 -100 40 100 0 1 10 N -X ~ 1 0 150 50 D 50 50 1 1 P -X ~ 2 0 -150 50 U 50 50 1 1 P +T 0 100 400 60 0 0 0 A0 Normal 0 L C +T 0 100 500 60 0 0 0 A1 Normal 0 L C +T 0 100 1400 60 0 0 0 A10 Normal 0 L C +T 0 100 1500 60 0 0 0 A11 Normal 0 L C +T 0 100 1600 60 0 0 0 A12 Normal 0 L C +T 0 100 1700 60 0 0 0 A13 Normal 0 L C +T 0 100 1800 60 0 0 0 A14 Normal 0 L C +T 0 100 1900 60 0 0 0 A15 Normal 0 L C +T 0 100 600 60 0 0 0 A2 Normal 0 L C +T 0 100 700 60 0 0 0 A3 Normal 0 L C +T 0 100 800 60 0 0 0 A4 Normal 0 L C +T 0 100 900 60 0 0 0 A5 Normal 0 L C +T 0 100 1000 60 0 0 0 A6 Normal 0 L C +T 0 100 1100 60 0 0 0 A7 Normal 0 L C +T 0 100 1200 60 0 0 0 A8 Normal 0 L C +T 0 100 1300 60 0 0 0 A9 Normal 0 L C +T 0 100 -700 60 0 0 0 D0 Normal 0 L C +T 0 100 -800 60 0 0 0 D1 Normal 0 L C +T 0 100 -900 60 0 0 0 D2 Normal 0 L C +T 0 100 -1000 60 0 0 0 D3 Normal 0 L C +T 0 100 -1100 60 0 0 0 D4 Normal 0 L C +T 0 100 -1200 60 0 0 0 D5 Normal 0 L C +T 0 100 -1300 60 0 0 0 D6 Normal 0 L C +T 0 100 -1400 60 0 0 0 D7 Normal 0 L C +T 0 100 300 60 0 0 0 GND Normal 0 L C +T 0 100 -200 60 0 0 0 IRQ Normal 0 L C +T 0 100 -1700 60 0 0 0 NMI Normal 0 L C +T 0 100 -100 60 0 0 0 PHI0 Normal 0 L C +T 0 100 100 60 0 0 0 PHI2 Normal 0 L C +T 0 100 -500 60 0 0 0 RDY Normal 0 L C +T 0 100 0 60 0 0 0 RESET Normal 0 L C +T 0 100 -400 60 0 0 0 RW Normal 0 L C +T 0 100 -1600 60 0 0 0 RX Normal 0 L C +T 0 100 -600 60 0 0 0 SYNC Normal 0 L C +T 0 100 -1500 60 0 0 0 TX Normal 0 L C +T 0 100 200 60 0 0 0 VCC Normal 0 L C +S -50 -1950 50 1950 0 1 0 N +S -50 -1895 10 -1905 0 1 0 N +S -50 -1795 10 -1805 0 1 0 N +S -50 -1695 10 -1705 0 1 0 N +S -50 -1595 10 -1605 0 1 0 N +S -50 -1495 10 -1505 0 1 0 N +S -50 -1395 10 -1405 0 1 0 N +S -50 -1295 10 -1305 0 1 0 N +S -50 -1195 10 -1205 0 1 0 N +S -50 -1095 10 -1105 0 1 0 N +S -50 -995 10 -1005 0 1 0 N +S -50 -895 10 -905 0 1 0 N +S -50 -795 10 -805 0 1 0 N +S -50 -695 10 -705 0 1 0 N +S -50 -595 10 -605 0 1 0 N +S -50 -495 10 -505 0 1 0 N +S -50 -395 10 -405 0 1 0 N +S -50 -295 10 -305 0 1 0 N +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 305 10 295 0 1 0 N +S -50 405 10 395 0 1 0 N +S -50 505 10 495 0 1 0 N +S -50 605 10 595 0 1 0 N +S -50 705 10 695 0 1 0 N +S -50 805 10 795 0 1 0 N +S -50 905 10 895 0 1 0 N +S -50 1005 10 995 0 1 0 N +S -50 1105 10 1095 0 1 0 N +S -50 1205 10 1195 0 1 0 N +S -50 1305 10 1295 0 1 0 N +S -50 1405 10 1395 0 1 0 N +S -50 1505 10 1495 0 1 0 N +S -50 1605 10 1595 0 1 0 N +S -50 1705 10 1695 0 1 0 N +S -50 1805 10 1795 0 1 0 N +S -50 1905 10 1895 0 1 0 N +X A15 1 -200 1900 150 R 50 50 1 1 B +X A14 2 -200 1800 150 R 50 50 1 1 B +X A13 3 -200 1700 150 R 50 50 1 1 B +X A12 4 -200 1600 150 R 50 50 1 1 B +X A11 5 -200 1500 150 R 50 50 1 1 B +X A10 6 -200 1400 150 R 50 50 1 1 B +X A9 7 -200 1300 150 R 50 50 1 1 B +X A8 8 -200 1200 150 R 50 50 1 1 B +X A7 9 -200 1100 150 R 50 50 1 1 B +X A6 10 -200 1000 150 R 50 50 1 1 B +X RESET 20 -200 0 150 R 50 50 1 1 B +X D3 30 -200 -1000 150 R 50 50 1 1 B +X A5 11 -200 900 150 R 50 50 1 1 B +X PHI0 21 -200 -100 150 R 50 50 1 1 B C +X D4 31 -200 -1100 150 R 50 50 1 1 B +X A4 12 -200 800 150 R 50 50 1 1 B +X IRQ 22 -200 -200 150 R 50 50 1 1 B +X D5 32 -200 -1200 150 R 50 50 1 1 B +X A3 13 -200 700 150 R 50 50 1 1 B +X P23 23 -200 -300 150 R 50 50 1 1 N +X D6 33 -200 -1300 150 R 50 50 1 1 B +X A2 14 -200 600 150 R 50 50 1 1 B +X RW 24 -200 -400 150 R 50 50 1 1 B +X D7 34 -200 -1400 150 R 50 50 1 1 B +X A1 15 -200 500 150 R 50 50 1 1 B +X READY 25 -200 -500 150 R 50 50 1 1 B +X TX 35 -200 -1500 150 R 50 50 1 1 B +X A0 16 -200 400 150 R 50 50 1 1 B +X SYNC 26 -200 -600 150 R 50 50 1 1 B +X RX 36 -200 -1600 150 R 50 50 1 1 B +X P17 17 -200 300 150 R 50 50 1 1 w +X D0 27 -200 -700 150 R 50 50 1 1 B +X NMI 37 -200 -1700 150 R 50 50 1 1 B +X P18 18 -200 200 150 R 50 50 1 1 w +X D1 28 -200 -800 150 R 50 50 1 1 B +X P38 38 -200 -1800 150 R 50 50 1 1 N +X PHI2 19 -200 100 150 R 50 50 1 1 B C +X D2 29 -200 -900 150 R 50 50 1 1 B +X P39 39 -200 -1900 150 R 50 50 1 1 N +ENDDRAW +ENDDEF +# +# VCC +# +DEF VCC #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "VCC" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C 0 75 25 0 1 0 N +P 2 0 1 0 0 0 0 50 N +X VCC 1 0 0 0 U 50 50 1 1 W N ENDDRAW ENDDEF # diff --git a/RC6502 RAM/RC6502 RAM.net b/RC6502 RAM/RC6502 RAM.net index fb2d57c..5dfc29b 100644 --- a/RC6502 RAM/RC6502 RAM.net +++ b/RC6502 RAM/RC6502 RAM.net @@ -1,8 +1,8 @@ (export (version D) (design - (source "D:/ownCloud/Documents/Projects/RC6502/RC6502 RAM/RC6502 RAM.sch") - (date "10/06/2017 17:32:49") - (tool "Eeschema 4.0.6") + (source "D:\\ownCloud\\Documents\\Projects\\RC6502\\RC6502 RAM\\RC6502 RAM.sch") + (date "21/12/2018 18:56:54") + (tool "Eeschema 4.0.7") (sheet (number 1) (name /) (tstamps /) (title_block (title) @@ -21,54 +21,120 @@ (libsource (lib memory) (part HM62256BLP-7)) (sheetpath (names /) (tstamps /)) (tstamp 590A1931)) - (comp (ref J3) - (value CONN_01X39) - (footprint Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm) - (libsource (lib conn) (part CONN_01X39)) - (sheetpath (names /) (tstamps /)) - (tstamp 590B4CA1)) - (comp (ref J2) - (value "Bus enable") - (footprint Pin_Headers:Pin_Header_Angled_1x02_Pitch2.54mm) - (libsource (lib conn) (part CONN_01X02)) - (sheetpath (names /) (tstamps /)) - (tstamp 590B8522)) - (comp (ref U2) - (value 74HCT00) - (footprint Housings_DIP:DIP-14_W7.62mm_Socket) - (libsource (lib 74xx) (part 74HCT00)) - (sheetpath (names /) (tstamps /)) - (tstamp 590BA5CE)) - (comp (ref C1) - (value 100nF) - (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) - (libsource (lib device) (part C)) - (sheetpath (names /) (tstamps /)) - (tstamp 590DFF39)) (comp (ref C2) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 590DFF39)) + (comp (ref C3) (value 100nF) (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) (libsource (lib device) (part C)) (sheetpath (names /) (tstamps /)) (tstamp 590E0960)) - (comp (ref JP1) - (value "HIGH | LOW") - (footprint Pin_Headers:Pin_Header_Angled_1x03_Pitch2.54mm) + (comp (ref U2) + (value 74LS138) + (libsource (lib 74xx) (part 74LS138)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1D1CBA)) + (comp (ref J3) + (value RC6502_Backplane) + (libsource (lib rc6502_backplane) (part RC6502_Backplane)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1D21CF)) + (comp (ref JP9) + (value MEM_HI) + (libsource (lib device) (part Jumper_NO_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1D4B6D)) + (comp (ref U4) + (value 74LS00) + (libsource (lib 74xx) (part 74LS00)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1D4C2B)) + (comp (ref JP10) + (value MEM_LO) + (libsource (lib device) (part Jumper_NO_Small)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1D4DC1)) + (comp (ref JP11) + (value MEM_EN) (libsource (lib device) (part Jumper_NC_Dual)) (sheetpath (names /) (tstamps /)) - (tstamp 5910854D)) - (comp (ref J1) - (value "Page PullUp") - (footprint Pin_Headers:Pin_Header_Angled_1x02_Pitch2.54mm) - (libsource (lib conn) (part CONN_01X02)) + (tstamp 5C1D6754)) + (comp (ref JP1) + (value A13_EN) + (libsource (lib device) (part Jumper_NC_Small)) (sheetpath (names /) (tstamps /)) - (tstamp 590C6113)) - (comp (ref R1) - (value 10k) - (footprint Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal) - (libsource (lib device) (part R)) + (tstamp 5C1D8121)) + (comp (ref JP2) + (value A14_EN) + (libsource (lib device) (part Jumper_NC_Small)) (sheetpath (names /) (tstamps /)) - (tstamp 590BED30))) + (tstamp 5C1D81B4)) + (comp (ref JP3) + (value CS0_EN) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1D94D7)) + (comp (ref U3) + (value 74LS02) + (libsource (lib 74xx) (part 74LS02)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DAB94)) + (comp (ref JP4) + (value CS1_EN) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DB001)) + (comp (ref JP5) + (value CS2_EN) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DB802)) + (comp (ref JP6) + (value CS3_EN) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DB81B)) + (comp (ref JP7) + (value CS4_EN) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DC554)) + (comp (ref JP8) + (value CS5_EN) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DC56D)) + (comp (ref JP12) + (value CS6_EN) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DC57E)) + (comp (ref JP13) + (value CS7_EN) + (libsource (lib device) (part Jumper_NC_Dual)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DC596)) + (comp (ref U5) + (value 74LS02) + (libsource (lib 74xx) (part 74LS02)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1DE352)) + (comp (ref C1) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1E1F71)) + (comp (ref C4) + (value 100nF) + (footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 5C1E2346))) (libparts (libpart (lib 74xx) (part 74LS00) (aliases @@ -98,6 +164,56 @@ (pin (num 12) (name ~) (type input)) (pin (num 13) (name ~) (type input)) (pin (num 14) (name VCC) (type power_in)))) + (libpart (lib 74xx) (part 74LS02) + (aliases + (alias 74HC02) + (alias 74HCT02) + (alias 7402) + (alias 74LS28)) + (description "Quad Nor2") + (footprints + (fp SO14*) + (fp 14DIP*)) + (fields + (field (name Reference) U) + (field (name Value) 74LS02)) + (pins + (pin (num 1) (name ~) (type output)) + (pin (num 2) (name ~) (type input)) + (pin (num 3) (name ~) (type input)) + (pin (num 4) (name ~) (type output)) + (pin (num 5) (name ~) (type input)) + (pin (num 6) (name ~) (type input)) + (pin (num 7) (name GND) (type power_in)) + (pin (num 8) (name ~) (type input)) + (pin (num 9) (name ~) (type input)) + (pin (num 10) (name ~) (type output)) + (pin (num 11) (name ~) (type input)) + (pin (num 12) (name ~) (type input)) + (pin (num 13) (name ~) (type output)) + (pin (num 14) (name VCC) (type power_in)))) + (libpart (lib 74xx) (part 74LS138) + (description "Decoder 3 to 8 (active low outputs)") + (fields + (field (name Reference) U) + (field (name Value) 74LS138)) + (pins + (pin (num 1) (name A0) (type input)) + (pin (num 2) (name A1) (type input)) + (pin (num 3) (name A2) (type input)) + (pin (num 4) (name E1) (type input)) + (pin (num 5) (name E2) (type input)) + (pin (num 6) (name E3) (type input)) + (pin (num 7) (name O7) (type output)) + (pin (num 8) (name GND) (type power_in)) + (pin (num 9) (name O6) (type output)) + (pin (num 10) (name O5) (type output)) + (pin (num 11) (name O4) (type output)) + (pin (num 12) (name O3) (type output)) + (pin (num 13) (name O2) (type output)) + (pin (num 14) (name O1) (type output)) + (pin (num 15) (name O0) (type output)) + (pin (num 16) (name VCC) (type power_in)))) (libpart (lib device) (part C) (description "Unpolarized capacitor") (footprints @@ -108,69 +224,6 @@ (pins (pin (num 1) (name ~) (type passive)) (pin (num 2) (name ~) (type passive)))) - (libpart (lib conn) (part CONN_01X02) - (description "Connector, single row, 01x02, pin header") - (footprints - (fp Pin_Header_Straight_1X*) - (fp Pin_Header_Angled_1X*) - (fp Socket_Strip_Straight_1X*) - (fp Socket_Strip_Angled_1X*)) - (fields - (field (name Reference) J) - (field (name Value) CONN_01X02)) - (pins - (pin (num 1) (name P1) (type passive)) - (pin (num 2) (name P2) (type passive)))) - (libpart (lib conn) (part CONN_01X39) - (description "Connector, single row, 01x39, pin header") - (footprints - (fp Pin_Header_Straight_1X*) - (fp Pin_Header_Angled_1X*) - (fp Socket_Strip_Straight_1X*) - (fp Socket_Strip_Angled_1X*)) - (fields - (field (name Reference) J) - (field (name Value) CONN_01X39)) - (pins - (pin (num 1) (name P1) (type passive)) - (pin (num 2) (name P2) (type passive)) - (pin (num 3) (name P3) (type passive)) - (pin (num 4) (name P4) (type passive)) - (pin (num 5) (name P5) (type passive)) - (pin (num 6) (name P6) (type passive)) - (pin (num 7) (name P7) (type passive)) - (pin (num 8) (name P8) (type passive)) - (pin (num 9) (name P9) (type passive)) - (pin (num 10) (name P10) (type passive)) - (pin (num 11) (name P11) (type passive)) - (pin (num 12) (name P12) (type passive)) - (pin (num 13) (name P13) (type passive)) - (pin (num 14) (name P14) (type passive)) - (pin (num 15) (name P15) (type passive)) - (pin (num 16) (name P16) (type passive)) - (pin (num 17) (name P17) (type passive)) - (pin (num 18) (name P18) (type passive)) - (pin (num 19) (name P19) (type passive)) - (pin (num 20) (name P20) (type passive)) - (pin (num 21) (name P21) (type passive)) - (pin (num 22) (name P22) (type passive)) - (pin (num 23) (name P23) (type passive)) - (pin (num 24) (name P24) (type passive)) - (pin (num 25) (name P25) (type passive)) - (pin (num 26) (name P26) (type passive)) - (pin (num 27) (name P27) (type passive)) - (pin (num 28) (name P28) (type passive)) - (pin (num 29) (name P29) (type passive)) - (pin (num 30) (name P30) (type passive)) - (pin (num 31) (name P31) (type passive)) - (pin (num 32) (name P32) (type passive)) - (pin (num 33) (name P33) (type passive)) - (pin (num 34) (name P34) (type passive)) - (pin (num 35) (name P35) (type passive)) - (pin (num 36) (name P36) (type passive)) - (pin (num 37) (name P37) (type passive)) - (pin (num 38) (name P38) (type passive)) - (pin (num 39) (name P39) (type passive)))) (libpart (lib memory) (part HM62256BLP-7) (aliases (alias HM62256BLP-7SL)) @@ -219,164 +272,317 @@ (pin (num 1) (name 1) (type passive)) (pin (num 2) (name 2) (type passive)) (pin (num 3) (name 3) (type passive)))) - (libpart (lib device) (part R) - (description Resistor) - (footprints - (fp R_*) - (fp R_*)) + (libpart (lib device) (part Jumper_NC_Small) + (description "Jumper, normally closed") (fields - (field (name Reference) R) - (field (name Value) R)) + (field (name Reference) JP) + (field (name Value) Jumper_NC_Small)) (pins - (pin (num 1) (name ~) (type passive)) - (pin (num 2) (name ~) (type passive))))) + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)))) + (libpart (lib device) (part Jumper_NO_Small) + (description "Jumper, normally open") + (fields + (field (name Reference) JP) + (field (name Value) Jumper_NO_Small)) + (pins + (pin (num 1) (name 1) (type passive)) + (pin (num 2) (name 2) (type passive)))) + (libpart (lib rc6502_backplane) (part RC6502_Backplane) + (footprints + (fp Pin_Header_Straight_1X*) + (fp Pin_Header_Angled_1X*) + (fp Socket_Strip_Straight_1X*) + (fp Socket_Strip_Angled_1X*)) + (fields + (field (name Reference) J) + (field (name Value) RC6502_Backplane)) + (pins + (pin (num 1) (name A15) (type BiDi)) + (pin (num 2) (name A14) (type BiDi)) + (pin (num 3) (name A13) (type BiDi)) + (pin (num 4) (name A12) (type BiDi)) + (pin (num 5) (name A11) (type BiDi)) + (pin (num 6) (name A10) (type BiDi)) + (pin (num 7) (name A9) (type BiDi)) + (pin (num 8) (name A8) (type BiDi)) + (pin (num 9) (name A7) (type BiDi)) + (pin (num 10) (name A6) (type BiDi)) + (pin (num 11) (name A5) (type BiDi)) + (pin (num 12) (name A4) (type BiDi)) + (pin (num 13) (name A3) (type BiDi)) + (pin (num 14) (name A2) (type BiDi)) + (pin (num 15) (name A1) (type BiDi)) + (pin (num 16) (name A0) (type BiDi)) + (pin (num 17) (name P17) (type power_out)) + (pin (num 18) (name P18) (type power_out)) + (pin (num 19) (name PHI2) (type BiDi)) + (pin (num 20) (name RESET) (type BiDi)) + (pin (num 21) (name PHI0) (type BiDi)) + (pin (num 22) (name IRQ) (type BiDi)) + (pin (num 23) (name P23) (type NotConnected)) + (pin (num 24) (name RW) (type BiDi)) + (pin (num 25) (name READY) (type BiDi)) + (pin (num 26) (name SYNC) (type BiDi)) + (pin (num 27) (name D0) (type BiDi)) + (pin (num 28) (name D1) (type BiDi)) + (pin (num 29) (name D2) (type BiDi)) + (pin (num 30) (name D3) (type BiDi)) + (pin (num 31) (name D4) (type BiDi)) + (pin (num 32) (name D5) (type BiDi)) + (pin (num 33) (name D6) (type BiDi)) + (pin (num 34) (name D7) (type BiDi)) + (pin (num 35) (name TX) (type BiDi)) + (pin (num 36) (name RX) (type BiDi)) + (pin (num 37) (name NMI) (type BiDi)) + (pin (num 38) (name P38) (type NotConnected)) + (pin (num 39) (name P39) (type NotConnected))))) (libraries - (library (logical conn) - (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\conn.lib")) + (library (logical memory) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\memory.lib")) + (library (logical rc6502_backplane) + (uri D:\ownCloud\Documents\Projects\RC6502\Templates\rc6502_backplane.lib)) (library (logical 74xx) (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\74xx.lib")) (library (logical device) - (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib")) - (library (logical memory) - (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\memory.lib"))) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib"))) (nets - (net (code 1) (name VCC) - (node (ref U2) (pin 14)) - (node (ref U1) (pin 28)) + (net (code 1) (name "Net-(JP4-Pad2)") + (node (ref U3) (pin 3)) + (node (ref JP4) (pin 2))) + (net (code 2) (name VCC) + (node (ref JP12) (pin 1)) + (node (ref JP11) (pin 3)) + (node (ref JP8) (pin 1)) + (node (ref JP3) (pin 1)) (node (ref J3) (pin 18)) - (node (ref R1) (pin 1)) (node (ref C1) (pin 1)) - (node (ref C2) (pin 1))) - (net (code 2) (name "Net-(U2-Pad10)") - (node (ref U2) (pin 10)) - (node (ref U2) (pin 11))) - (net (code 3) (name "Net-(J3-Pad23)") - (node (ref J3) (pin 23))) - (net (code 4) (name "Net-(J3-Pad25)") - (node (ref J3) (pin 25))) - (net (code 5) (name GND) - (node (ref U1) (pin 14)) - (node (ref C1) (pin 2)) - (node (ref U2) (pin 7)) - (node (ref C2) (pin 2)) - (node (ref J3) (pin 17))) - (net (code 6) (name "Net-(U2-Pad1)") - (node (ref U2) (pin 6)) - (node (ref U2) (pin 1))) - (net (code 7) (name "Net-(J2-Pad1)") - (node (ref J2) (pin 1)) - (node (ref J3) (pin 38))) - (net (code 8) (name WE) - (node (ref U1) (pin 27)) - (node (ref U2) (pin 8))) - (net (code 9) (name RW) - (node (ref J3) (pin 24)) - (node (ref U2) (pin 12)) - (node (ref U2) (pin 13))) - (net (code 10) (name D2) - (node (ref J3) (pin 29)) - (node (ref U1) (pin 13))) - (net (code 11) (name A1) - (node (ref J3) (pin 15)) - (node (ref U1) (pin 9))) - (net (code 12) (name "Net-(J3-Pad35)") - (node (ref J3) (pin 35))) - (net (code 13) (name A0) - (node (ref J3) (pin 16)) - (node (ref U1) (pin 10))) - (net (code 14) (name "Net-(J3-Pad26)") - (node (ref J3) (pin 26))) - (net (code 15) (name "Net-(J3-Pad36)") - (node (ref J3) (pin 36))) - (net (code 16) (name D0) - (node (ref U1) (pin 11)) - (node (ref J3) (pin 27))) - (net (code 17) (name "Net-(J3-Pad37)") - (node (ref J3) (pin 37))) - (net (code 18) (name D1) - (node (ref U1) (pin 12)) - (node (ref J3) (pin 28))) - (net (code 19) (name "Net-(J3-Pad39)") - (node (ref J3) (pin 39))) - (net (code 20) (name PHI2) - (node (ref J3) (pin 19)) - (node (ref U2) (pin 9))) - (net (code 21) (name PAGE) - (node (ref U2) (pin 5)) + (node (ref U5) (pin 14)) + (node (ref C4) (pin 1)) + (node (ref U3) (pin 14)) + (node (ref U1) (pin 28)) + (node (ref JP4) (pin 1)) + (node (ref C2) (pin 1)) + (node (ref U4) (pin 14)) + (node (ref C3) (pin 1)) + (node (ref JP5) (pin 1)) + (node (ref U2) (pin 16)) + (node (ref JP6) (pin 1)) + (node (ref JP7) (pin 1)) + (node (ref JP13) (pin 1))) + (net (code 3) (name GND) + (node (ref U5) (pin 12)) + (node (ref U5) (pin 11)) + (node (ref U4) (pin 7)) + (node (ref U5) (pin 7)) + (node (ref J3) (pin 17)) + (node (ref U2) (pin 8)) (node (ref U2) (pin 4)) - (node (ref J2) (pin 2)) - (node (ref J1) (pin 1))) - (net (code 22) (name A15) - (node (ref J3) (pin 1)) - (node (ref U2) (pin 2)) - (node (ref JP1) (pin 1))) - (net (code 23) (name CS_HI) - (node (ref JP1) (pin 3)) - (node (ref U2) (pin 3))) - (net (code 24) (name CS) - (node (ref JP1) (pin 2)) - (node (ref U1) (pin 20)) - (node (ref U1) (pin 22))) - (net (code 25) (name "Net-(J1-Pad2)") - (node (ref J1) (pin 2)) - (node (ref R1) (pin 2))) - (net (code 26) (name D7) - (node (ref U1) (pin 19)) - (node (ref J3) (pin 34))) - (net (code 27) (name A6) - (node (ref J3) (pin 10)) - (node (ref U1) (pin 4))) - (net (code 29) (name A14) + (node (ref U1) (pin 14)) + (node (ref U2) (pin 5)) + (node (ref C2) (pin 2)) + (node (ref C3) (pin 2)) + (node (ref U3) (pin 7)) + (node (ref C4) (pin 2)) + (node (ref C1) (pin 2))) + (net (code 4) (name ~CS2) + (node (ref U2) (pin 13)) + (node (ref JP5) (pin 3))) + (net (code 5) (name ~CS1) + (node (ref U2) (pin 14)) + (node (ref JP4) (pin 3))) + (net (code 6) (name "Net-(JP3-Pad2)") + (node (ref JP3) (pin 2)) + (node (ref U3) (pin 2))) + (net (code 7) (name "Net-(JP5-Pad2)") + (node (ref JP5) (pin 2)) + (node (ref U3) (pin 5))) + (net (code 8) (name "Net-(JP7-Pad2)") + (node (ref U3) (pin 8)) + (node (ref JP7) (pin 2))) + (net (code 9) (name ~CS4) + (node (ref JP7) (pin 3)) + (node (ref U2) (pin 11))) + (net (code 10) (name "Net-(JP6-Pad2)") + (node (ref U3) (pin 6)) + (node (ref JP6) (pin 2))) + (net (code 11) (name ~CS3) + (node (ref JP6) (pin 3)) + (node (ref U2) (pin 12))) + (net (code 12) (name ~WE) + (node (ref JP11) (pin 2)) + (node (ref U1) (pin 27))) + (net (code 13) (name "Net-(JP2-Pad1)") (node (ref U1) (pin 1)) - (node (ref J3) (pin 2))) - (net (code 30) (name A13) + (node (ref JP2) (pin 1))) + (net (code 14) (name "Net-(JP1-Pad1)") + (node (ref U1) (pin 26)) + (node (ref JP1) (pin 1))) + (net (code 15) (name A14) + (node (ref U2) (pin 1)) + (node (ref J3) (pin 2)) + (node (ref JP2) (pin 2))) + (net (code 16) (name A13) (node (ref J3) (pin 3)) - (node (ref U1) (pin 26))) - (net (code 31) (name A12) - (node (ref U1) (pin 2)) - (node (ref J3) (pin 4))) - (net (code 32) (name A11) - (node (ref J3) (pin 5)) - (node (ref U1) (pin 23))) - (net (code 33) (name A10) - (node (ref J3) (pin 6)) - (node (ref U1) (pin 21))) - (net (code 34) (name A9) - (node (ref U1) (pin 24)) - (node (ref J3) (pin 7))) - (net (code 35) (name A8) - (node (ref U1) (pin 25)) - (node (ref J3) (pin 8))) - (net (code 36) (name A7) - (node (ref J3) (pin 9)) - (node (ref U1) (pin 3))) - (net (code 37) (name "Net-(J3-Pad20)") - (node (ref J3) (pin 20))) - (net (code 38) (name D3) - (node (ref J3) (pin 30)) - (node (ref U1) (pin 15))) - (net (code 39) (name A5) - (node (ref U1) (pin 5)) - (node (ref J3) (pin 11))) + (node (ref JP1) (pin 2)) + (node (ref U2) (pin 2))) + (net (code 17) (name ~CS) + (node (ref U1) (pin 20)) + (node (ref U5) (pin 10))) + (net (code 18) (name ~OE) + (node (ref U1) (pin 22)) + (node (ref U4) (pin 8))) + (net (code 19) (name "Net-(JP11-Pad1)") + (node (ref U4) (pin 6)) + (node (ref JP11) (pin 1))) + (net (code 20) (name ~CS0) + (node (ref U2) (pin 15)) + (node (ref JP3) (pin 3))) + (net (code 21) (name ~CS7) + (node (ref U2) (pin 7)) + (node (ref JP13) (pin 3))) + (net (code 22) (name ~CS6) + (node (ref U2) (pin 9)) + (node (ref JP12) (pin 3))) + (net (code 23) (name ~CS5) + (node (ref JP8) (pin 3)) + (node (ref U2) (pin 10))) + (net (code 24) (name "Net-(JP8-Pad2)") + (node (ref JP8) (pin 2)) + (node (ref U3) (pin 9))) + (net (code 25) (name "Net-(U5-Pad1)") + (node (ref U5) (pin 1)) + (node (ref U5) (pin 8))) + (net (code 26) (name "Net-(U5-Pad4)") + (node (ref U5) (pin 9)) + (node (ref U5) (pin 4))) + (net (code 27) (name "Net-(U3-Pad13)") + (node (ref U3) (pin 13)) + (node (ref U5) (pin 6))) + (net (code 28) (name "Net-(U3-Pad10)") + (node (ref U3) (pin 10)) + (node (ref U5) (pin 5))) + (net (code 29) (name "Net-(U5-Pad13)") + (node (ref U5) (pin 13))) + (net (code 30) (name "Net-(JP13-Pad2)") + (node (ref U3) (pin 12)) + (node (ref JP13) (pin 2))) + (net (code 31) (name "Net-(JP12-Pad2)") + (node (ref JP12) (pin 2)) + (node (ref U3) (pin 11))) + (net (code 32) (name "Net-(U3-Pad1)") + (node (ref U3) (pin 1)) + (node (ref U5) (pin 2))) + (net (code 33) (name "Net-(U3-Pad4)") + (node (ref U5) (pin 3)) + (node (ref U3) (pin 4))) + (net (code 34) (name "Net-(J3-Pad23)") + (node (ref J3) (pin 23))) + (net (code 35) (name "Net-(J3-Pad25)") + (node (ref J3) (pin 25))) + (net (code 36) (name "Net-(J3-Pad36)") + (node (ref J3) (pin 36))) + (net (code 37) (name "Net-(J3-Pad35)") + (node (ref J3) (pin 35))) + (net (code 38) (name "Net-(J3-Pad26)") + (node (ref J3) (pin 26))) + (net (code 39) (name "Net-(J3-Pad22)") + (node (ref J3) (pin 22))) (net (code 40) (name "Net-(J3-Pad21)") (node (ref J3) (pin 21))) - (net (code 41) (name D4) - (node (ref J3) (pin 31)) - (node (ref U1) (pin 16))) - (net (code 42) (name A4) - (node (ref J3) (pin 12)) - (node (ref U1) (pin 6))) - (net (code 43) (name "Net-(J3-Pad22)") - (node (ref J3) (pin 22))) - (net (code 44) (name D5) - (node (ref U1) (pin 17)) - (node (ref J3) (pin 32))) - (net (code 45) (name A3) - (node (ref U1) (pin 7)) - (node (ref J3) (pin 13))) - (net (code 46) (name D6) + (net (code 41) (name "Net-(J3-Pad20)") + (node (ref J3) (pin 20))) + (net (code 42) (name "Net-(J3-Pad37)") + (node (ref J3) (pin 37))) + (net (code 43) (name A15) + (node (ref U4) (pin 2)) + (node (ref U4) (pin 1)) + (node (ref JP9) (pin 2)) + (node (ref J3) (pin 1))) + (net (code 44) (name D6) (node (ref U1) (pin 18)) (node (ref J3) (pin 33))) - (net (code 47) (name A2) - (node (ref U1) (pin 8)) - (node (ref J3) (pin 14))))) \ No newline at end of file + (net (code 45) (name D5) + (node (ref U1) (pin 17)) + (node (ref J3) (pin 32))) + (net (code 46) (name D4) + (node (ref J3) (pin 31)) + (node (ref U1) (pin 16))) + (net (code 47) (name A8) + (node (ref U1) (pin 25)) + (node (ref J3) (pin 8))) + (net (code 48) (name D3) + (node (ref J3) (pin 30)) + (node (ref U1) (pin 15))) + (net (code 49) (name A9) + (node (ref U1) (pin 24)) + (node (ref J3) (pin 7))) + (net (code 50) (name A11) + (node (ref J3) (pin 5)) + (node (ref U1) (pin 23))) + (net (code 51) (name D2) + (node (ref U1) (pin 13)) + (node (ref J3) (pin 29))) + (net (code 52) (name D1) + (node (ref J3) (pin 28)) + (node (ref U1) (pin 12))) + (net (code 53) (name A10) + (node (ref J3) (pin 6)) + (node (ref U1) (pin 21))) + (net (code 54) (name D0) + (node (ref J3) (pin 27)) + (node (ref U1) (pin 11))) + (net (code 55) (name D7) + (node (ref J3) (pin 34)) + (node (ref U1) (pin 19))) + (net (code 56) (name A0) + (node (ref U1) (pin 10)) + (node (ref J3) (pin 16))) + (net (code 57) (name A1) + (node (ref U1) (pin 9)) + (node (ref J3) (pin 15))) + (net (code 58) (name A2) + (node (ref J3) (pin 14)) + (node (ref U1) (pin 8))) + (net (code 59) (name A3) + (node (ref J3) (pin 13)) + (node (ref U1) (pin 7))) + (net (code 60) (name A4) + (node (ref J3) (pin 12)) + (node (ref U1) (pin 6))) + (net (code 61) (name A5) + (node (ref U1) (pin 5)) + (node (ref J3) (pin 11))) + (net (code 62) (name A6) + (node (ref U1) (pin 4)) + (node (ref J3) (pin 10))) + (net (code 63) (name A7) + (node (ref J3) (pin 9)) + (node (ref U1) (pin 3))) + (net (code 64) (name A12) + (node (ref J3) (pin 4)) + (node (ref U1) (pin 2)) + (node (ref U2) (pin 3))) + (net (code 65) (name E3) + (node (ref JP10) (pin 1)) + (node (ref U2) (pin 6)) + (node (ref JP9) (pin 1))) + (net (code 66) (name "Net-(JP10-Pad2)") + (node (ref JP10) (pin 2)) + (node (ref U4) (pin 3))) + (net (code 67) (name "Net-(U4-Pad11)") + (node (ref U4) (pin 11)) + (node (ref U4) (pin 5))) + (net (code 68) (name R/~W) + (node (ref U4) (pin 12)) + (node (ref U4) (pin 13)) + (node (ref J3) (pin 24)) + (node (ref U4) (pin 9))) + (net (code 69) (name "Net-(J3-Pad39)") + (node (ref J3) (pin 39))) + (net (code 70) (name PHI2) + (node (ref U4) (pin 10)) + (node (ref J3) (pin 19)) + (node (ref U4) (pin 4))) + (net (code 71) (name "Net-(J3-Pad38)") + (node (ref J3) (pin 38))))) \ No newline at end of file diff --git a/RC6502 RAM/RC6502 RAM.pro b/RC6502 RAM/RC6502 RAM.pro index 16482dc..842ef06 100644 --- a/RC6502 RAM/RC6502 RAM.pro +++ b/RC6502 RAM/RC6502 RAM.pro @@ -1,4 +1,4 @@ -update=10/06/2017 17:48:44 +update=21/12/2018 17:56:55 version=1 last_client=kicad [pcbnew] @@ -23,6 +23,18 @@ ModuleOutlineThickness=0.150000000000 [cvpcb] version=1 NetIExt=net +[general] +version=1 +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName=D:/ownCloud/Documents/Projects/RC6502/RC6502 RAM/export/ +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName= +SpiceForceRefPrefix=0 +SpiceUseNetNumbers=0 +LabSize=60 [eeschema] version=1 LibDir= @@ -56,15 +68,4 @@ LibName26=opto LibName27=atmel LibName28=contrib LibName29=valves -[general] -version=1 -[schematic_editor] -version=1 -PageLayoutDescrFile= -PlotDirectoryName=D:/ownCloud/Documents/Projects/RC6502/RC6502 RAM/export/ -SubpartIdSeparator=0 -SubpartFirstId=65 -NetFmtName= -SpiceForceRefPrefix=0 -SpiceUseNetNumbers=0 -LabSize=60 +LibName30=D:/ownCloud/Documents/Projects/RC6502/Templates/rc6502_backplane diff --git a/RC6502 RAM/RC6502 RAM.sch b/RC6502 RAM/RC6502 RAM.sch index 65a18bd..cb9e715 100644 --- a/RC6502 RAM/RC6502 RAM.sch +++ b/RC6502 RAM/RC6502 RAM.sch @@ -28,6 +28,7 @@ LIBS:opto LIBS:atmel LIBS:contrib LIBS:valves +LIBS:rc6502_backplane LIBS:RC6502 RAM-cache EELAYER 25 0 EELAYER END @@ -54,62 +55,56 @@ F 3 "" H 1950 1775 50 0001 C CNN 1 1950 1775 1 0 0 -1 $EndComp -Text GLabel 9100 4450 0 60 Input ~ 0 -RW -Text GLabel 9100 3750 0 60 Input ~ 0 -GND -Text GLabel 9100 3850 0 60 Input ~ 0 -VCC -Text GLabel 9100 2150 0 60 Input ~ 0 +Text GLabel 10300 4450 0 60 Output ~ 0 +R/~W +Text GLabel 10300 2150 0 60 Output ~ 0 A15 -Text GLabel 9100 2250 0 60 Input ~ 0 +Text GLabel 10300 2250 0 60 Output ~ 0 A14 -Text GLabel 9100 2350 0 60 Input ~ 0 +Text GLabel 10300 2350 0 60 Output ~ 0 A13 -Text GLabel 9100 2450 0 60 Input ~ 0 +Text GLabel 10300 2450 0 60 Output ~ 0 A12 -Text GLabel 9100 2550 0 60 Input ~ 0 +Text GLabel 10300 2550 0 60 Output ~ 0 A11 -Text GLabel 9100 2650 0 60 Input ~ 0 +Text GLabel 10300 2650 0 60 Output ~ 0 A10 -Text GLabel 9100 2750 0 60 Input ~ 0 +Text GLabel 10300 2750 0 60 Output ~ 0 A9 -Text GLabel 9100 2850 0 60 Input ~ 0 +Text GLabel 10300 2850 0 60 Output ~ 0 A8 -Text GLabel 9100 2950 0 60 Input ~ 0 +Text GLabel 10300 2950 0 60 Output ~ 0 A7 -Text GLabel 9100 3050 0 60 Input ~ 0 +Text GLabel 10300 3050 0 60 Output ~ 0 A6 -Text GLabel 9100 3150 0 60 Input ~ 0 +Text GLabel 10300 3150 0 60 Output ~ 0 A5 -Text GLabel 9100 3250 0 60 Input ~ 0 +Text GLabel 10300 3250 0 60 Output ~ 0 A4 -Text GLabel 9100 3350 0 60 Input ~ 0 +Text GLabel 10300 3350 0 60 Output ~ 0 A3 -Text GLabel 9100 3450 0 60 Input ~ 0 +Text GLabel 10300 3450 0 60 Output ~ 0 A2 -Text GLabel 9100 3550 0 60 Input ~ 0 +Text GLabel 10300 3550 0 60 Output ~ 0 A1 -Text GLabel 9100 3650 0 60 Input ~ 0 +Text GLabel 10300 3650 0 60 Output ~ 0 A0 -Text GLabel 9100 4750 0 60 Input ~ 0 +Text GLabel 10300 4750 0 60 BiDi ~ 0 D0 -Text GLabel 9100 4850 0 60 Input ~ 0 +Text GLabel 10300 4850 0 60 BiDi ~ 0 D1 -Text GLabel 9100 4950 0 60 Input ~ 0 +Text GLabel 10300 4950 0 60 BiDi ~ 0 D2 -Text GLabel 9100 5050 0 60 Input ~ 0 +Text GLabel 10300 5050 0 60 BiDi ~ 0 D3 -Text GLabel 9100 5150 0 60 Input ~ 0 +Text GLabel 10300 5150 0 60 BiDi ~ 0 D4 -Text GLabel 9100 5250 0 60 Input ~ 0 +Text GLabel 10300 5250 0 60 BiDi ~ 0 D5 -Text GLabel 9100 5350 0 60 Input ~ 0 +Text GLabel 10300 5350 0 60 BiDi ~ 0 D6 -Text GLabel 9100 5450 0 60 Input ~ 0 +Text GLabel 10300 5450 0 60 BiDi ~ 0 D7 -Text GLabel 2750 1875 2 60 Input ~ 0 -CS Text GLabel 2450 1025 2 60 Input ~ 0 D0 Text GLabel 2450 1125 2 60 Input ~ 0 @@ -126,18 +121,16 @@ Text GLabel 2450 1625 2 60 Input ~ 0 D6 Text GLabel 2450 1725 2 60 Input ~ 0 D7 -NoConn ~ 9100 5750 -NoConn ~ 9100 4050 -NoConn ~ 9100 4150 -NoConn ~ 9100 4250 -NoConn ~ 9100 4650 -NoConn ~ 9100 5550 -NoConn ~ 9100 5650 -Text GLabel 8425 5850 0 60 Output ~ 0 -PAGE -Text GLabel 1450 2425 0 60 Input ~ 0 +NoConn ~ 10300 5750 +NoConn ~ 10300 4050 +NoConn ~ 10300 4150 +NoConn ~ 10300 4250 +NoConn ~ 10300 4650 +NoConn ~ 10300 5550 +NoConn ~ 10300 5650 +Text GLabel 800 2500 0 60 Input ~ 0 A14 -Text GLabel 1450 2325 0 60 Input ~ 0 +Text GLabel 800 2250 0 60 Input ~ 0 A13 Text GLabel 1450 2225 0 60 Input ~ 0 A12 @@ -169,229 +162,826 @@ Text Notes 8375 7500 2 60 ~ 0 RC6502 Pageable RAM Text Notes 10625 7650 2 60 ~ 0 B -NoConn ~ -500 7850 -$Comp -L CONN_01X39 J3 -U 1 1 590B4CA1 -P 9300 4050 -F 0 "J3" H 9300 6050 50 0000 C CNN -F 1 "CONN_01X39" V 9400 4050 50 0000 C CNN -F 2 "Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm" H 9300 4050 50 0001 C CNN -F 3 "" H 9300 4050 50 0001 C CNN - 1 9300 4050 - 1 0 0 -1 -$EndComp -$Comp -L CONN_01X02 J2 -U 1 1 590B8522 -P 8625 6050 -F 0 "J2" H 8625 6200 50 0000 C CNN -F 1 "Bus enable" V 8725 6050 50 0000 C CNN -F 2 "Pin_Headers:Pin_Header_Angled_1x02_Pitch2.54mm" H 8625 6050 50 0001 C CNN -F 3 "" H 8625 6050 50 0001 C CNN - 1 8625 6050 - 0 1 1 0 -$EndComp -NoConn ~ 9100 5950 -Text GLabel 9100 3950 0 60 Output ~ 0 +Text GLabel 10300 3950 0 60 Output ~ 0 PHI2 -$Comp -L 74HCT00 U2 -U 3 1 590BA5CE -P 4875 1425 -F 0 "U2" H 4875 1475 50 0000 C CNN -F 1 "74HCT00" H 4875 1325 50 0000 C CNN -F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 4875 1425 50 0001 C CNN -F 3 "" H 4875 1425 50 0001 C CNN - 3 4875 1425 - -1 0 0 1 -$EndComp -Text GLabel 6875 1325 2 60 Input ~ 0 -RW -$Comp -L 74HCT00 U2 -U 4 1 590BAFC8 -P 6075 1325 -F 0 "U2" H 6075 1375 50 0000 C CNN -F 1 "74HCT00" H 6075 1225 50 0000 C CNN -F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 6075 1325 50 0001 C CNN -F 3 "" H 6075 1325 50 0001 C CNN - 4 6075 1325 - -1 0 0 1 -$EndComp -Text GLabel 5475 1525 2 60 Input ~ 0 -PHI2 -NoConn ~ 9100 4350 -NoConn ~ 9100 4550 -$Comp -L C C1 -U 1 1 590DFF39 -P 8150 1375 -F 0 "C1" H 8175 1475 50 0000 L CNN -F 1 "100nF" H 8175 1275 50 0000 L CNN -F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 8188 1225 50 0001 C CNN -F 3 "" H 8150 1375 50 0001 C CNN - 1 8150 1375 - 1 0 0 -1 -$EndComp +NoConn ~ 10300 4350 +NoConn ~ 10300 4550 $Comp L C C2 +U 1 1 590DFF39 +P 10225 1075 +F 0 "C2" H 10250 1175 50 0000 L CNN +F 1 "100nF" H 10250 975 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 10263 925 50 0001 C CNN +F 3 "" H 10225 1075 50 0001 C CNN + 1 10225 1075 + 1 0 0 -1 +$EndComp +$Comp +L C C3 U 1 1 590E0960 -P 8450 1375 -F 0 "C2" H 8475 1475 50 0000 L CNN -F 1 "100nF" H 8475 1275 50 0000 L CNN -F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 8488 1225 50 0001 C CNN -F 3 "" H 8450 1375 50 0001 C CNN - 1 8450 1375 +P 10525 1075 +F 0 "C3" H 10550 1175 50 0000 L CNN +F 1 "100nF" H 10550 975 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 10563 925 50 0001 C CNN +F 3 "" H 10525 1075 50 0001 C CNN + 1 10525 1075 1 0 0 -1 $EndComp -Text GLabel 7900 975 1 60 Input ~ 0 -VCC -Text GLabel 7900 1800 3 60 Input ~ 0 -GND $Comp -L 74HCT00 U2 -U 1 1 590E8553 -P 3925 3050 -F 0 "U2" H 3925 3100 50 0000 C CNN -F 1 "74HCT00" H 3925 2950 50 0000 C CNN -F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 3925 3050 50 0001 C CNN -F 3 "" H 3925 3050 50 0001 C CNN - 1 3925 3050 - -1 0 0 1 -$EndComp -Wire Wire Line - 8675 5850 9100 5850 -Wire Wire Line - 2450 1975 3100 1975 -Wire Wire Line - 6875 1325 6775 1325 -Wire Wire Line - 6775 1225 6775 1425 -Wire Wire Line - 6775 1225 6675 1225 -Wire Wire Line - 6775 1425 6675 1425 -Connection ~ 6775 1325 -Wire Wire Line - 2450 1875 2750 1875 -Wire Wire Line - 2600 1875 2600 2125 -Wire Wire Line - 2600 2125 2450 2125 -Connection ~ 2600 1875 -Wire Wire Line - 7900 1800 7900 1625 -Wire Wire Line - 7900 1625 8450 1625 -Wire Wire Line - 8150 1625 8150 1525 -Connection ~ 8150 1625 -Wire Wire Line - 7900 1125 8450 1125 -Wire Wire Line - 7900 1125 7900 975 -Wire Wire Line - 8150 1125 8150 1225 -Connection ~ 8150 1125 -Text GLabel 4275 1425 0 60 Output ~ 0 -WE -Text GLabel 3100 1975 2 60 Input ~ 0 -WE -Text GLabel 3875 2200 0 60 Output ~ 0 -CS_LO -Text GLabel 4275 2200 2 60 Input ~ 0 -A15 -Wire Wire Line - 4275 2200 3875 2200 -Wire Wire Line - 8575 5850 8425 5850 -Text GLabel 3325 3050 0 60 Output ~ 0 -CS_HI -Text GLabel 4525 2950 2 60 Input ~ 0 -A15 -$Comp -L 74HCT00 U2 -U 2 1 59101296 -P 5225 3150 -F 0 "U2" H 5225 3200 50 0000 C CNN -F 1 "74HCT00" H 5225 3050 50 0000 C CNN -F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 5225 3150 50 0001 C CNN -F 3 "" H 5225 3150 50 0001 C CNN - 2 5225 3150 - -1 0 0 1 -$EndComp -Wire Wire Line - 4525 3150 4625 3150 -Wire Wire Line - 5925 3250 5825 3250 -Wire Wire Line - 5925 3050 5925 3250 -Wire Wire Line - 5925 3050 5825 3050 -Wire Wire Line - 6050 3150 5925 3150 -Connection ~ 5925 3150 -Text GLabel 6050 3150 2 60 Input ~ 0 -PAGE_EN -$Comp -L Jumper_NC_Dual JP1 -U 1 1 5910854D -P 2975 4275 -F 0 "JP1" H 3025 4175 50 0000 L CNN -F 1 "HIGH | LOW" H 2975 4375 50 0000 C BNN -F 2 "Pin_Headers:Pin_Header_Angled_1x03_Pitch2.54mm" H 2975 4275 50 0001 C CNN -F 3 "" H 2975 4275 50 0001 C CNN - 1 2975 4275 - 0 1 1 0 -$EndComp -Text GLabel 2675 4275 0 60 Output ~ 0 -CS -Wire Wire Line - 2875 4275 2675 4275 -Text GLabel 2975 4525 3 60 Input ~ 0 -CS_HI -Text GLabel 2975 4025 1 60 Input ~ 0 -CS_LO -Wire Wire Line - 8450 1125 8450 1225 -Wire Wire Line - 8450 1625 8450 1525 -Wire Wire Line - 7850 3100 7850 2950 -Wire Wire Line - 7850 3525 7850 3400 -Connection ~ 7850 4075 -Wire Wire Line - 7850 3625 7850 4075 -Wire Wire Line - 7625 4075 8025 4075 -$Comp -L CONN_01X02 J1 -U 1 1 590C6113 -P 7650 3575 -F 0 "J1" H 7650 3725 50 0000 C CNN -F 1 "Page PullUp" V 7750 3575 50 0000 C CNN -F 2 "Pin_Headers:Pin_Header_Angled_1x02_Pitch2.54mm" H 7650 3575 50 0001 C CNN -F 3 "" H 7650 3575 50 0001 C CNN - 1 7650 3575 - -1 0 0 1 -$EndComp -$Comp -L R R1 -U 1 1 590BED30 -P 7850 3250 -F 0 "R1" V 7930 3250 50 0000 C CNN -F 1 "10k" V 7850 3250 50 0000 C CNN -F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 7780 3250 50 0001 C CNN -F 3 "" H 7850 3250 50 0001 C CNN - 1 7850 3250 +L 74LS138 U2 +U 1 1 5C1D1CBA +P 7575 2825 +F 0 "U2" H 7675 3325 50 0000 C CNN +F 1 "74LS138" H 7725 2276 50 0000 C CNN +F 2 "" H 7575 2825 50 0001 C CNN +F 3 "" H 7575 2825 50 0001 C CNN + 1 7575 2825 1 0 0 -1 $EndComp -Text GLabel 8025 4075 2 60 Input ~ 0 -PAGE -Text GLabel 7625 4075 0 60 Output ~ 0 -PAGE_EN -Text GLabel 7850 2950 1 60 Input ~ 0 -VCC +Text GLabel 6975 2475 0 60 Input ~ 0 +A14 +Text GLabel 6975 2575 0 60 Input ~ 0 +A13 +Text GLabel 6975 2675 0 60 Input ~ 0 +A12 +$Comp +L RC6502_Backplane J3 +U 1 1 5C1D21CF +P 10500 4050 +F 0 "J3" H 10500 6050 50 0000 C CNN +F 1 "RC6502_Backplane" V 10950 4000 50 0000 C CNN +F 2 "" H 10500 4050 50 0001 C CNN +F 3 "" H 10500 4050 50 0001 C CNN + 1 10500 4050 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR01 +U 1 1 5C1D31C0 +P 9875 3825 +F 0 "#PWR01" H 9875 3575 50 0001 C CNN +F 1 "GND" H 9875 3675 50 0000 C CNN +F 2 "" H 9875 3825 50 0001 C CNN +F 3 "" H 9875 3825 50 0001 C CNN + 1 9875 3825 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9875 3825 9875 3750 +Wire Wire Line + 9875 3750 10300 3750 +$Comp +L VCC #PWR02 +U 1 1 5C1D3205 +P 10000 3675 +F 0 "#PWR02" H 10000 3525 50 0001 C CNN +F 1 "VCC" H 10000 3825 50 0000 C CNN +F 2 "" H 10000 3675 50 0001 C CNN +F 3 "" H 10000 3675 50 0001 C CNN + 1 10000 3675 + 1 0 0 -1 +$EndComp +Wire Wire Line + 10000 3675 10000 3850 +Wire Wire Line + 10000 3850 10300 3850 +$Comp +L GND #PWR03 +U 1 1 5C1D346F +P 10825 1375 +F 0 "#PWR03" H 10825 1125 50 0001 C CNN +F 1 "GND" H 10825 1225 50 0000 C CNN +F 2 "" H 10825 1375 50 0001 C CNN +F 3 "" H 10825 1375 50 0001 C CNN + 1 10825 1375 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR04 +U 1 1 5C1D3492 +P 9925 775 +F 0 "#PWR04" H 9925 625 50 0001 C CNN +F 1 "VCC" H 9925 925 50 0000 C CNN +F 2 "" H 9925 775 50 0001 C CNN +F 3 "" H 9925 775 50 0001 C CNN + 1 9925 775 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR05 +U 1 1 5C1D39F4 +P 6900 3250 +F 0 "#PWR05" H 6900 3000 50 0001 C CNN +F 1 "GND" H 6900 3100 50 0000 C CNN +F 2 "" H 6900 3250 50 0001 C CNN +F 3 "" H 6900 3250 50 0001 C CNN + 1 6900 3250 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6900 3075 6900 3250 +Wire Wire Line + 6900 3175 6975 3175 +Text GLabel 6975 2975 0 60 Input ~ 0 +E3 +Text GLabel 3325 1325 0 60 Output ~ 0 +E3 +Text GLabel 5175 1325 2 60 Input ~ 0 +A15 +Wire Wire Line + 3675 1125 5100 1125 +Wire Wire Line + 6900 3075 6975 3075 +Connection ~ 6900 3175 +$Comp +L Jumper_NO_Small JP9 +U 1 1 5C1D4B6D +P 3575 1125 +F 0 "JP9" H 3575 1205 50 0000 C CNN +F 1 "MEM_HI" H 3585 1065 50 0000 C CNN +F 2 "" H 3575 1125 50 0001 C CNN +F 3 "" H 3575 1125 50 0001 C CNN + 1 3575 1125 + 1 0 0 -1 +$EndComp +$Comp +L 74LS00 U4 +U 1 1 5C1D4C2B +P 4350 1525 +F 0 "U4" H 4350 1575 50 0000 C CNN +F 1 "74LS00" H 4350 1425 50 0000 C CNN +F 2 "" H 4350 1525 50 0001 C CNN +F 3 "" H 4350 1525 50 0001 C CNN + 1 4350 1525 + -1 0 0 1 +$EndComp +$Comp +L Jumper_NO_Small JP10 +U 1 1 5C1D4DC1 +P 3575 1525 +F 0 "JP10" H 3575 1605 50 0000 C CNN +F 1 "MEM_LO" H 3585 1465 50 0000 C CNN +F 2 "" H 3575 1525 50 0001 C CNN +F 3 "" H 3575 1525 50 0001 C CNN + 1 3575 1525 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3675 1525 3750 1525 +Wire Wire Line + 3400 1525 3475 1525 +Wire Wire Line + 3400 1125 3400 1525 +Wire Wire Line + 3325 1325 3400 1325 +Connection ~ 3400 1325 +Wire Wire Line + 3400 1125 3475 1125 +Wire Wire Line + 4950 1425 5025 1425 +Wire Wire Line + 5025 1425 5025 1625 +Wire Wire Line + 5025 1625 4950 1625 +Wire Wire Line + 5100 1525 5025 1525 +Wire Wire Line + 5100 1125 5100 1525 +Connection ~ 5025 1525 +Wire Wire Line + 5100 1325 5175 1325 +Connection ~ 5100 1325 +$Comp +L 74LS00 U4 +U 3 1 5C1D58A3 +P 8475 850 +F 0 "U4" H 8475 900 50 0000 C CNN +F 1 "74LS00" H 8475 750 50 0000 C CNN +F 2 "" H 8475 850 50 0001 C CNN +F 3 "" H 8475 850 50 0001 C CNN + 3 8475 850 + -1 0 0 1 +$EndComp +Text GLabel 9225 950 2 60 Input ~ 0 +R/~W +$Comp +L 74LS00 U4 +U 4 1 5C1D5B01 +P 8475 1350 +F 0 "U4" H 8475 1400 50 0000 C CNN +F 1 "74LS00" H 8475 1250 50 0000 C CNN +F 2 "" H 8475 1350 50 0001 C CNN +F 3 "" H 8475 1350 50 0001 C CNN + 4 8475 1350 + -1 0 0 1 +$EndComp +$Comp +L 74LS00 U4 +U 2 1 5C1D5B4B +P 7200 1450 +F 0 "U4" H 7200 1500 50 0000 C CNN +F 1 "74LS00" H 7200 1350 50 0000 C CNN +F 2 "" H 7200 1450 50 0001 C CNN +F 3 "" H 7200 1450 50 0001 C CNN + 2 7200 1450 + -1 0 0 1 +$EndComp +Text GLabel 9225 750 2 60 Input ~ 0 +PHI2 +Wire Wire Line + 9075 950 9225 950 +Wire Wire Line + 9150 950 9150 1450 +Wire Wire Line + 9150 1450 9075 1450 +Connection ~ 9150 950 +Wire Wire Line + 9075 1250 9150 1250 +Connection ~ 9150 1250 +Wire Wire Line + 9225 750 9075 750 +Text GLabel 7875 850 0 60 Output ~ 0 +~OE +Wire Wire Line + 7800 1350 7875 1350 +Text GLabel 7875 1550 2 60 Input ~ 0 +PHI2 +Wire Wire Line + 7800 1550 7875 1550 +Text GLabel 6275 1275 1 60 Output ~ 0 +~WE +Wire Wire Line + 6525 1450 6600 1450 +$Comp +L Jumper_NC_Dual JP11 +U 1 1 5C1D6754 +P 6275 1450 +F 0 "JP11" H 6325 1350 50 0000 L CNN +F 1 "MEM_EN" H 6275 1550 50 0000 C BNN +F 2 "" H 6275 1450 50 0001 C CNN +F 3 "" H 6275 1450 50 0001 C CNN + 1 6275 1450 + -1 0 0 1 +$EndComp +Wire Wire Line + 6275 1275 6275 1350 +$Comp +L VCC #PWR06 +U 1 1 5C1D6EEC +P 5950 1375 +F 0 "#PWR06" H 5950 1225 50 0001 C CNN +F 1 "VCC" H 5950 1525 50 0000 C CNN +F 2 "" H 5950 1375 50 0001 C CNN +F 3 "" H 5950 1375 50 0001 C CNN + 1 5950 1375 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5950 1375 5950 1450 +Wire Wire Line + 5950 1450 6025 1450 +Text GLabel 2525 1875 2 60 Input ~ 0 +~OE +Wire Wire Line + 2525 1875 2450 1875 +Text GLabel 2525 1975 2 60 Input ~ 0 +~WE +Wire Wire Line + 2525 1975 2450 1975 +Text GLabel 2525 2125 2 60 Input ~ 0 +~CS +Wire Wire Line + 2450 2125 2525 2125 +$Comp +L Jumper_NC_Small JP1 +U 1 1 5C1D8121 +P 975 2250 +F 0 "JP1" H 975 2330 50 0000 C CNN +F 1 "A13_EN" H 985 2190 50 0000 C CNN +F 2 "" H 975 2250 50 0001 C CNN +F 3 "" H 975 2250 50 0001 C CNN + 1 975 2250 + -1 0 0 1 +$EndComp +$Comp +L Jumper_NC_Small JP2 +U 1 1 5C1D81B4 +P 975 2500 +F 0 "JP2" H 975 2580 50 0000 C CNN +F 1 "A14_EN" H 985 2440 50 0000 C CNN +F 2 "" H 975 2500 50 0001 C CNN +F 3 "" H 975 2500 50 0001 C CNN + 1 975 2500 + -1 0 0 1 +$EndComp +Wire Wire Line + 1150 2425 1450 2425 +Wire Wire Line + 1150 2325 1450 2325 +Wire Wire Line + 800 2250 875 2250 +Wire Wire Line + 800 2500 875 2500 +Wire Wire Line + 1150 2250 1150 2325 +Wire Wire Line + 1150 2425 1150 2500 +Wire Wire Line + 1150 2250 1075 2250 +Wire Wire Line + 1150 2500 1075 2500 +$Comp +L Jumper_NC_Dual JP3 +U 1 1 5C1D94D7 +P 1075 5650 +F 0 "JP3" H 1125 5550 50 0000 L CNN +F 1 "CS0_EN" H 1075 5750 50 0000 C BNN +F 2 "" H 1075 5650 50 0001 C CNN +F 3 "" H 1075 5650 50 0001 C CNN + 1 1075 5650 + -1 0 0 1 +$EndComp +Text GLabel 8250 2475 2 60 Output ~ 0 +~CS0 +Text GLabel 8250 2575 2 60 Output ~ 0 +~CS1 +Text GLabel 8250 2675 2 60 Output ~ 0 +~CS2 +Text GLabel 8250 2775 2 60 Output ~ 0 +~CS3 +Text GLabel 8250 2875 2 60 Output ~ 0 +~CS4 +Text GLabel 8250 2975 2 60 Output ~ 0 +~CS5 +Text GLabel 8250 3075 2 60 Output ~ 0 +~CS6 +Text GLabel 8250 3175 2 60 Output ~ 0 +~CS7 +Wire Wire Line + 8175 2475 8250 2475 +Wire Wire Line + 8175 2575 8250 2575 +Wire Wire Line + 8175 2675 8250 2675 +Wire Wire Line + 8175 2775 8250 2775 +Wire Wire Line + 8175 2875 8250 2875 +Wire Wire Line + 8175 2975 8250 2975 +Wire Wire Line + 8175 3075 8250 3075 +Wire Wire Line + 8175 3175 8250 3175 +Text GLabel 750 5650 0 60 Input ~ 0 +~CS0 +Wire Wire Line + 825 5650 750 5650 +$Comp +L VCC #PWR07 +U 1 1 5C1DA5C2 +P 1400 5575 +F 0 "#PWR07" H 1400 5425 50 0001 C CNN +F 1 "VCC" H 1400 5725 50 0000 C CNN +F 2 "" H 1400 5575 50 0001 C CNN +F 3 "" H 1400 5575 50 0001 C CNN + 1 1400 5575 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1400 5650 1325 5650 +Wire Wire Line + 1400 5575 1400 5650 +$Comp +L 74LS02 U3 +U 1 1 5C1DAB94 +P 1400 4625 +F 0 "U3" H 1400 4675 50 0000 C CNN +F 1 "74LS02" H 1450 4575 50 0000 C CNN +F 2 "" H 1400 4625 50 0001 C CNN +F 3 "" H 1400 4625 50 0001 C CNN + 1 1400 4625 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 1075 5550 1075 5300 +Wire Wire Line + 1075 5300 1300 5300 +Wire Wire Line + 1300 5300 1300 5225 +$Comp +L Jumper_NC_Dual JP4 +U 1 1 5C1DB001 +P 1500 5925 +F 0 "JP4" H 1550 5825 50 0000 L CNN +F 1 "CS1_EN" H 1500 6025 50 0000 C BNN +F 2 "" H 1500 5925 50 0001 C CNN +F 3 "" H 1500 5925 50 0001 C CNN + 1 1500 5925 + -1 0 0 1 +$EndComp +Text GLabel 1175 5925 0 60 Input ~ 0 +~CS1 +Wire Wire Line + 1250 5925 1175 5925 +$Comp +L VCC #PWR08 +U 1 1 5C1DB009 +P 1825 5850 +F 0 "#PWR08" H 1825 5700 50 0001 C CNN +F 1 "VCC" H 1825 6000 50 0000 C CNN +F 2 "" H 1825 5850 50 0001 C CNN +F 3 "" H 1825 5850 50 0001 C CNN + 1 1825 5850 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1825 5925 1750 5925 +Wire Wire Line + 1825 5850 1825 5925 +Wire Wire Line + 1500 5225 1500 5825 +$Comp +L Jumper_NC_Dual JP5 +U 1 1 5C1DB802 +P 1925 6200 +F 0 "JP5" H 1975 6100 50 0000 L CNN +F 1 "CS2_EN" H 1925 6300 50 0000 C BNN +F 2 "" H 1925 6200 50 0001 C CNN +F 3 "" H 1925 6200 50 0001 C CNN + 1 1925 6200 + -1 0 0 1 +$EndComp +Text GLabel 1600 6200 0 60 Input ~ 0 +~CS2 +Wire Wire Line + 1675 6200 1600 6200 +$Comp +L VCC #PWR09 +U 1 1 5C1DB80A +P 2250 6125 +F 0 "#PWR09" H 2250 5975 50 0001 C CNN +F 1 "VCC" H 2250 6275 50 0000 C CNN +F 2 "" H 2250 6125 50 0001 C CNN +F 3 "" H 2250 6125 50 0001 C CNN + 1 2250 6125 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2250 6200 2175 6200 +Wire Wire Line + 2250 6125 2250 6200 +$Comp +L 74LS02 U3 +U 2 1 5C1DB812 +P 2250 5175 +F 0 "U3" H 2250 5225 50 0000 C CNN +F 1 "74LS02" H 2300 5125 50 0000 C CNN +F 2 "" H 2250 5175 50 0001 C CNN +F 3 "" H 2250 5175 50 0001 C CNN + 2 2250 5175 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 1925 6100 1925 5850 +Wire Wire Line + 2150 5850 2150 5775 +$Comp +L Jumper_NC_Dual JP6 +U 1 1 5C1DB81B +P 2350 6475 +F 0 "JP6" H 2400 6375 50 0000 L CNN +F 1 "CS3_EN" H 2350 6575 50 0000 C BNN +F 2 "" H 2350 6475 50 0001 C CNN +F 3 "" H 2350 6475 50 0001 C CNN + 1 2350 6475 + -1 0 0 1 +$EndComp +Text GLabel 2025 6475 0 60 Input ~ 0 +~CS3 +Wire Wire Line + 2100 6475 2025 6475 +$Comp +L VCC #PWR010 +U 1 1 5C1DB823 +P 2675 6400 +F 0 "#PWR010" H 2675 6250 50 0001 C CNN +F 1 "VCC" H 2675 6550 50 0000 C CNN +F 2 "" H 2675 6400 50 0001 C CNN +F 3 "" H 2675 6400 50 0001 C CNN + 1 2675 6400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2675 6475 2600 6475 +Wire Wire Line + 2675 6400 2675 6475 +Wire Wire Line + 2350 5775 2350 6375 +Wire Wire Line + 1925 5850 2150 5850 +$Comp +L Jumper_NC_Dual JP7 +U 1 1 5C1DC554 +P 2750 6750 +F 0 "JP7" H 2800 6650 50 0000 L CNN +F 1 "CS4_EN" H 2750 6850 50 0000 C BNN +F 2 "" H 2750 6750 50 0001 C CNN +F 3 "" H 2750 6750 50 0001 C CNN + 1 2750 6750 + -1 0 0 1 +$EndComp +Text GLabel 2425 6750 0 60 Input ~ 0 +~CS4 +Wire Wire Line + 2500 6750 2425 6750 +$Comp +L VCC #PWR011 +U 1 1 5C1DC55C +P 3075 6675 +F 0 "#PWR011" H 3075 6525 50 0001 C CNN +F 1 "VCC" H 3075 6825 50 0000 C CNN +F 2 "" H 3075 6675 50 0001 C CNN +F 3 "" H 3075 6675 50 0001 C CNN + 1 3075 6675 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3075 6750 3000 6750 +Wire Wire Line + 3075 6675 3075 6750 +$Comp +L 74LS02 U3 +U 3 1 5C1DC564 +P 3075 5725 +F 0 "U3" H 3075 5775 50 0000 C CNN +F 1 "74LS02" H 3125 5675 50 0000 C CNN +F 2 "" H 3075 5725 50 0001 C CNN +F 3 "" H 3075 5725 50 0001 C CNN + 3 3075 5725 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 2750 6650 2750 6400 +Wire Wire Line + 2750 6400 2975 6400 +Wire Wire Line + 2975 6400 2975 6325 +$Comp +L Jumper_NC_Dual JP8 +U 1 1 5C1DC56D +P 3175 7025 +F 0 "JP8" H 3225 6925 50 0000 L CNN +F 1 "CS5_EN" H 3175 7125 50 0000 C BNN +F 2 "" H 3175 7025 50 0001 C CNN +F 3 "" H 3175 7025 50 0001 C CNN + 1 3175 7025 + -1 0 0 1 +$EndComp +Text GLabel 2850 7025 0 60 Input ~ 0 +~CS5 +Wire Wire Line + 2925 7025 2850 7025 +$Comp +L VCC #PWR012 +U 1 1 5C1DC575 +P 3500 6950 +F 0 "#PWR012" H 3500 6800 50 0001 C CNN +F 1 "VCC" H 3500 7100 50 0000 C CNN +F 2 "" H 3500 6950 50 0001 C CNN +F 3 "" H 3500 6950 50 0001 C CNN + 1 3500 6950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3500 7025 3425 7025 +Wire Wire Line + 3500 6950 3500 7025 +Wire Wire Line + 3175 6325 3175 6925 +$Comp +L Jumper_NC_Dual JP12 +U 1 1 5C1DC57E +P 3600 7300 +F 0 "JP12" H 3650 7200 50 0000 L CNN +F 1 "CS6_EN" H 3600 7400 50 0000 C BNN +F 2 "" H 3600 7300 50 0001 C CNN +F 3 "" H 3600 7300 50 0001 C CNN + 1 3600 7300 + -1 0 0 1 +$EndComp +Text GLabel 3275 7300 0 60 Input ~ 0 +~CS6 +Wire Wire Line + 3350 7300 3275 7300 +$Comp +L VCC #PWR013 +U 1 1 5C1DC586 +P 3925 7225 +F 0 "#PWR013" H 3925 7075 50 0001 C CNN +F 1 "VCC" H 3925 7375 50 0000 C CNN +F 2 "" H 3925 7225 50 0001 C CNN +F 3 "" H 3925 7225 50 0001 C CNN + 1 3925 7225 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3925 7300 3850 7300 +Wire Wire Line + 3925 7225 3925 7300 +$Comp +L 74LS02 U3 +U 4 1 5C1DC58E +P 3925 6275 +F 0 "U3" H 3925 6325 50 0000 C CNN +F 1 "74LS02" H 3975 6225 50 0000 C CNN +F 2 "" H 3925 6275 50 0001 C CNN +F 3 "" H 3925 6275 50 0001 C CNN + 4 3925 6275 + 0 -1 -1 0 +$EndComp +Wire Wire Line + 3600 7200 3600 6950 +Wire Wire Line + 3825 6950 3825 6875 +$Comp +L Jumper_NC_Dual JP13 +U 1 1 5C1DC596 +P 4025 7575 +F 0 "JP13" H 4075 7475 50 0000 L CNN +F 1 "CS7_EN" H 4025 7675 50 0000 C BNN +F 2 "" H 4025 7575 50 0001 C CNN +F 3 "" H 4025 7575 50 0001 C CNN + 1 4025 7575 + -1 0 0 1 +$EndComp +Text GLabel 3700 7575 0 60 Input ~ 0 +~CS7 +Wire Wire Line + 3775 7575 3700 7575 +$Comp +L VCC #PWR014 +U 1 1 5C1DC59E +P 4350 7500 +F 0 "#PWR014" H 4350 7350 50 0001 C CNN +F 1 "VCC" H 4350 7650 50 0000 C CNN +F 2 "" H 4350 7500 50 0001 C CNN +F 3 "" H 4350 7500 50 0001 C CNN + 1 4350 7500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4350 7575 4275 7575 +Wire Wire Line + 4350 7500 4350 7575 +Wire Wire Line + 4025 6875 4025 7475 +Wire Wire Line + 3600 6950 3825 6950 +$Comp +L 74LS02 U5 +U 1 1 5C1DE352 +P 2925 4025 +F 0 "U5" H 2925 4075 50 0000 C CNN +F 1 "74LS02" H 2975 3975 50 0000 C CNN +F 2 "" H 2925 4025 50 0001 C CNN +F 3 "" H 2925 4025 50 0001 C CNN + 1 2925 4025 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1400 3925 1400 4025 +Wire Wire Line + 2250 4125 2250 4575 +Wire Wire Line + 2250 4125 2325 4125 +Wire Wire Line + 2325 3925 1400 3925 +$Comp +L 74LS02 U5 +U 2 1 5C1DF2B7 +P 4600 5125 +F 0 "U5" H 4600 5175 50 0000 C CNN +F 1 "74LS02" H 4650 5075 50 0000 C CNN +F 2 "" H 4600 5125 50 0001 C CNN +F 3 "" H 4600 5125 50 0001 C CNN + 2 4600 5125 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3075 5025 3075 5125 +Wire Wire Line + 4000 5025 3075 5025 +Wire Wire Line + 3925 5675 3925 5225 +Wire Wire Line + 3925 5225 4000 5225 +$Comp +L 74LS02 U5 +U 3 1 5C1DFD68 +P 5950 4575 +F 0 "U5" H 5950 4625 50 0000 C CNN +F 1 "74LS02" H 6000 4525 50 0000 C CNN +F 2 "" H 5950 4575 50 0001 C CNN +F 3 "" H 5950 4575 50 0001 C CNN + 3 5950 4575 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5200 5125 5275 5125 +Wire Wire Line + 5275 5125 5275 4675 +Wire Wire Line + 5275 4675 5350 4675 +Wire Wire Line + 3525 4025 5275 4025 +Wire Wire Line + 5275 4025 5275 4475 +Wire Wire Line + 5275 4475 5350 4475 +Text GLabel 6625 4575 2 60 Output ~ 0 +~CS +Wire Wire Line + 6550 4575 6625 4575 +$Comp +L C C1 +U 1 1 5C1E1F71 +P 9925 1075 +F 0 "C1" H 9950 1175 50 0000 L CNN +F 1 "100nF" H 9950 975 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 9963 925 50 0001 C CNN +F 3 "" H 9925 1075 50 0001 C CNN + 1 9925 1075 + 1 0 0 -1 +$EndComp +$Comp +L C C4 +U 1 1 5C1E2346 +P 10825 1075 +F 0 "C4" H 10850 1175 50 0000 L CNN +F 1 "100nF" H 10850 975 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 10863 925 50 0001 C CNN +F 3 "" H 10825 1075 50 0001 C CNN + 1 10825 1075 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9925 1225 9925 1300 +Wire Wire Line + 9925 1300 10825 1300 +Wire Wire Line + 10225 1300 10225 1225 +Wire Wire Line + 10525 1300 10525 1225 +Connection ~ 10225 1300 +Wire Wire Line + 10825 1225 10825 1375 +Connection ~ 10525 1300 +Wire Wire Line + 9925 775 9925 925 +Wire Wire Line + 9925 850 10825 850 +Wire Wire Line + 10225 850 10225 925 +Wire Wire Line + 10525 850 10525 925 +Connection ~ 10225 850 +Wire Wire Line + 10825 850 10825 925 +Connection ~ 10525 850 +Connection ~ 10825 1300 +Connection ~ 9925 850 +$Comp +L 74LS02 U5 +U 4 1 5C1E36AB +P 6425 6750 +F 0 "U5" H 6425 6800 50 0000 C CNN +F 1 "74LS02" H 6475 6700 50 0000 C CNN +F 2 "" H 6425 6750 50 0001 C CNN +F 3 "" H 6425 6750 50 0001 C CNN + 4 6425 6750 + 0 -1 -1 0 +$EndComp +$Comp +L GND #PWR015 +U 1 1 5C1E3B47 +P 6425 7500 +F 0 "#PWR015" H 6425 7250 50 0001 C CNN +F 1 "GND" H 6425 7350 50 0000 C CNN +F 2 "" H 6425 7500 50 0001 C CNN +F 3 "" H 6425 7500 50 0001 C CNN + 1 6425 7500 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6325 7350 6325 7425 +Wire Wire Line + 6325 7425 6525 7425 +Wire Wire Line + 6525 7425 6525 7350 +Wire Wire Line + 6425 7500 6425 7425 +Connection ~ 6425 7425 +NoConn ~ 6425 6150 $EndSCHEMATC