Fixed RAM board block select logic

This commit is contained in:
Unknown 2019-02-16 22:24:28 +01:00
parent 070d9f271e
commit bcedf3cb12
18 changed files with 2443 additions and 2073 deletions

View File

@ -50,52 +50,48 @@ X ~ 13 -600 -100 370 R 50 50 4 2 I I
ENDDRAW
ENDDEF
#
# 74LS02
# 74LS08
#
DEF 74LS02 U 0 30 Y Y 4 F N
DEF 74LS08 U 0 30 Y Y 4 F N
F0 "U" 0 50 50 H V C CNN
F1 "74LS02" 50 -50 50 H V C CNN
F1 "74LS08" 0 -50 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 74HC02 74HCT02 7402 74LS28
$FPLIST
SO14*
14DIP*
$ENDFPLIST
ALIAS 74LS09
DRAW
X GND 7 -200 -200 0 U 40 40 0 0 W N
X VCC 14 -200 200 0 D 40 40 0 0 W N
A -470 0 262 496 -496 0 1 8 N -300 200 -300 -200
A -1 -127 327 898 228 0 1 8 N 0 200 300 0
A -1 128 327 -230 -898 0 1 8 N 300 0 0 -200
P 2 0 1 8 -300 -200 0 -200 N
P 2 0 1 8 -300 200 0 200 N
X ~ 1 600 0 300 L 50 50 1 1 O I
X ~ 2 -600 100 370 R 50 50 1 1 I
X ~ 3 -600 -100 370 R 50 50 1 1 I
X ~ 4 600 0 300 L 50 50 2 1 O I
X ~ 5 -600 100 370 R 50 50 2 1 I
X ~ 6 -600 -100 370 R 50 50 2 1 I
X ~ 8 -600 100 370 R 50 50 3 1 I
X ~ 9 -600 -100 370 R 50 50 3 1 I
X ~ 10 600 0 300 L 50 50 3 1 O I
X ~ 11 -600 100 370 R 50 50 4 1 I
X ~ 12 -600 -100 370 R 50 50 4 1 I
X ~ 13 600 0 300 L 50 50 4 1 O I
A 100 0 200 896 -896 0 2 8 N 101 200 101 -199
P 4 0 2 8 100 200 -300 200 -300 -200 100 -200 N
X ~ 1 600 0 300 L 50 50 1 2 O
X ~ 2 -600 100 300 R 50 50 1 2 I I
X ~ 3 -600 -100 300 R 50 50 1 2 I I
X ~ 4 600 0 300 L 50 50 2 2 O
X ~ 5 -600 100 300 R 50 50 2 2 I I
X ~ 6 -600 -100 300 R 50 50 2 2 I I
X ~ 8 -600 100 300 R 50 50 3 2 I I
X ~ 9 -600 -100 300 R 50 50 3 2 I I
X ~ 10 600 0 300 L 50 50 3 2 O
X ~ 11 -600 100 300 R 50 50 4 2 I I
X ~ 12 -600 -100 300 R 50 50 4 2 I I
X ~ 13 600 0 300 L 50 50 4 2 O
X VCC 14 -200 200 0 U 40 40 0 0 W N
A 100 0 200 896 -896 0 1 0 N 101 200 101 -199
P 4 0 1 0 100 200 -300 200 -300 -200 100 -200 N
X ~ 1 -600 100 300 R 50 50 1 1 I
X ~ 2 -600 -100 300 R 50 50 1 1 I
X ~ 3 600 0 300 L 50 50 1 1 O
X ~ 4 -600 100 300 R 50 50 2 1 I
X ~ 5 -600 -100 300 R 50 50 2 1 I
X ~ 6 600 0 300 L 50 50 2 1 O
X ~ 8 600 0 300 L 50 50 3 1 O
X ~ 9 -600 100 300 R 50 50 3 1 I
X ~ 10 -600 -100 300 R 50 50 3 1 I
X ~ 11 600 0 300 L 50 50 4 1 O
X ~ 12 -600 100 300 R 50 50 4 1 I
X ~ 13 -600 -100 300 R 50 50 4 1 I
A -470 0 262 495 -495 0 2 0 N -300 199 -300 -198
A -2 126 326 -897 -225 0 2 0 N 0 -199 299 2
A 4 -120 320 906 221 0 2 0 N 2 200 300 0
P 2 0 2 0 -300 -200 0 -200 N
P 2 0 2 0 -300 200 0 200 N
X ~ 1 -600 100 370 R 50 50 1 2 I I
X ~ 2 -600 -100 370 R 50 50 1 2 I I
X ~ 3 600 0 300 L 50 50 1 2 O I
X ~ 4 -600 100 370 R 50 50 2 2 I I
X ~ 5 -600 -100 370 R 50 50 2 2 I I
X ~ 6 600 0 300 L 50 50 2 2 O I
X ~ 8 600 0 300 L 50 50 3 2 O I
X ~ 9 -600 100 370 R 50 50 3 2 I I
X ~ 10 -600 -100 370 R 50 50 3 2 I I
X ~ 11 600 0 300 L 50 50 4 2 O I
X ~ 12 -600 100 370 R 50 50 4 2 I I
X ~ 13 -600 -100 370 R 50 50 4 2 I I
ENDDRAW
ENDDEF
#

File diff suppressed because it is too large Load Diff

View File

@ -1,7 +1,7 @@
(export (version D)
(design
(source "D:/ownCloud/Documents/Projects/RC6502/RC6502 RAM/RC6502 RAM.sch")
(date "22/12/2018 18:14:11")
(source "D:/owncloud/Documents/Projects/RC6502/RC6502 RAM/RC6502 RAM.sch")
(date "16/02/2019 19:37:20")
(tool "Eeschema 4.0.7")
(sheet (number 1) (name /) (tstamps /)
(title_block
@ -75,12 +75,6 @@
(libsource (lib device) (part Jumper_NC_Dual))
(sheetpath (names /) (tstamps /))
(tstamp 5C1D94D7))
(comp (ref U3)
(value 74LS02)
(footprint Housings_DIP:DIP-14_W7.62mm_Socket)
(libsource (lib 74xx) (part 74LS02))
(sheetpath (names /) (tstamps /))
(tstamp 5C1DAB94))
(comp (ref JP4)
(value CS1_EN)
(footprint Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm)
@ -123,12 +117,6 @@
(libsource (lib device) (part Jumper_NC_Dual))
(sheetpath (names /) (tstamps /))
(tstamp 5C1DC596))
(comp (ref U4)
(value 74LS02)
(footprint Housings_DIP:DIP-14_W7.62mm_Socket)
(libsource (lib 74xx) (part 74LS02))
(sheetpath (names /) (tstamps /))
(tstamp 5C1DE352))
(comp (ref C1)
(value 100nF)
(footprint Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm)
@ -152,7 +140,19 @@
(footprint Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm)
(libsource (lib device) (part Jumper_NC_Dual))
(sheetpath (names /) (tstamps /))
(tstamp 5C1ECAEA)))
(tstamp 5C1ECAEA))
(comp (ref U3)
(value 74LS08)
(footprint Housings_DIP:DIP-14_W7.62mm_Socket)
(libsource (lib 74xx) (part 74LS08))
(sheetpath (names /) (tstamps /))
(tstamp 5C68602F))
(comp (ref U4)
(value 74LS08)
(footprint Housings_DIP:DIP-14_W7.62mm_Socket)
(libsource (lib 74xx) (part 74LS08))
(sheetpath (names /) (tstamps /))
(tstamp 5C687BF2)))
(libparts
(libpart (lib 74xx) (part 74LS00)
(aliases
@ -182,33 +182,27 @@
(pin (num 12) (name ~) (type input))
(pin (num 13) (name ~) (type input))
(pin (num 14) (name VCC) (type power_in))))
(libpart (lib 74xx) (part 74LS02)
(libpart (lib 74xx) (part 74LS08)
(aliases
(alias 74HC02)
(alias 74HCT02)
(alias 7402)
(alias 74LS28))
(description "Quad Nor2")
(footprints
(fp SO14*)
(fp 14DIP*))
(alias 74LS09))
(description "Quad And2")
(fields
(field (name Reference) U)
(field (name Value) 74LS02))
(field (name Value) 74LS08))
(pins
(pin (num 1) (name ~) (type output))
(pin (num 1) (name ~) (type input))
(pin (num 2) (name ~) (type input))
(pin (num 3) (name ~) (type input))
(pin (num 4) (name ~) (type output))
(pin (num 3) (name ~) (type output))
(pin (num 4) (name ~) (type input))
(pin (num 5) (name ~) (type input))
(pin (num 6) (name ~) (type input))
(pin (num 6) (name ~) (type output))
(pin (num 7) (name GND) (type power_in))
(pin (num 8) (name ~) (type input))
(pin (num 8) (name ~) (type output))
(pin (num 9) (name ~) (type input))
(pin (num 10) (name ~) (type output))
(pin (num 11) (name ~) (type input))
(pin (num 10) (name ~) (type input))
(pin (num 11) (name ~) (type output))
(pin (num 12) (name ~) (type input))
(pin (num 13) (name ~) (type output))
(pin (num 13) (name ~) (type input))
(pin (num 14) (name VCC) (type power_in))))
(libpart (lib 74xx) (part 74LS138)
(description "Decoder 3 to 8 (active low outputs)")
@ -348,143 +342,143 @@
(pin (num 38) (name P38) (type NotConnected))
(pin (num 39) (name P39) (type NotConnected)))))
(libraries
(library (logical memory)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\memory.lib"))
(library (logical device)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib"))
(library (logical 74xx)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\74xx.lib"))
(library (logical memory)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\memory.lib"))
(library (logical rc6502_backplane)
(uri D:\ownCloud\Documents\Projects\RC6502\Templates\rc6502_backplane.lib))
(library (logical device)
(uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib")))
(uri D:\ownCloud\Documents\Projects\RC6502\Templates\rc6502_backplane.lib)))
(nets
(net (code 1) (name "Net-(JP6-Pad2)")
(node (ref JP6) (pin 2))
(node (ref U3) (pin 6)))
(net (code 2) (name "Net-(JP5-Pad2)")
(node (ref JP5) (pin 2))
(node (ref U3) (pin 5)))
(net (code 1) (name "Net-(JP8-Pad2)")
(node (ref JP8) (pin 2))
(node (ref U3) (pin 10)))
(net (code 2) (name "Net-(JP7-Pad2)")
(node (ref U3) (pin 9))
(node (ref JP7) (pin 2)))
(net (code 3) (name VCC)
(node (ref C4) (pin 1))
(node (ref JP3) (pin 1))
(node (ref C1) (pin 1))
(node (ref C4) (pin 1))
(node (ref C5) (pin 1))
(node (ref JP13) (pin 1))
(node (ref U3) (pin 14))
(node (ref JP4) (pin 1))
(node (ref U4) (pin 14))
(node (ref C3) (pin 1))
(node (ref U1) (pin 28))
(node (ref J3) (pin 18))
(node (ref JP11) (pin 3))
(node (ref U2) (pin 16))
(node (ref U5) (pin 14))
(node (ref JP7) (pin 1))
(node (ref C2) (pin 1))
(node (ref JP3) (pin 1))
(node (ref JP6) (pin 1))
(node (ref JP8) (pin 1))
(node (ref JP4) (pin 1))
(node (ref U3) (pin 14))
(node (ref JP5) (pin 1))
(node (ref JP12) (pin 1)))
(net (code 4) (name ~CS3)
(node (ref JP6) (pin 1))
(node (ref JP11) (pin 3))
(node (ref U5) (pin 14))
(node (ref JP8) (pin 1))
(node (ref JP12) (pin 1))
(node (ref U2) (pin 16))
(node (ref C3) (pin 1))
(node (ref J3) (pin 18))
(node (ref U1) (pin 28))
(node (ref JP13) (pin 1)))
(net (code 4) (name ~CS4)
(node (ref JP7) (pin 3))
(node (ref U2) (pin 11)))
(net (code 5) (name ~CS5)
(node (ref U2) (pin 10))
(node (ref JP8) (pin 3)))
(net (code 6) (name "Net-(JP5-Pad2)")
(node (ref U3) (pin 4))
(node (ref JP5) (pin 2)))
(net (code 7) (name "Net-(JP6-Pad2)")
(node (ref U3) (pin 5))
(node (ref JP6) (pin 2)))
(net (code 8) (name ~CS3)
(node (ref U2) (pin 12))
(node (ref JP6) (pin 3)))
(net (code 5) (name GND)
(node (ref C2) (pin 2))
(node (ref U2) (pin 5))
(node (ref C5) (pin 2))
(node (ref C3) (pin 2))
(node (ref U2) (pin 4))
(node (ref U1) (pin 14))
(node (ref U4) (pin 7))
(node (ref U2) (pin 8))
(node (ref U4) (pin 11))
(node (ref U3) (pin 7))
(node (ref U4) (pin 12))
(node (ref U5) (pin 7))
(node (ref J3) (pin 17))
(node (ref C1) (pin 2))
(node (ref C4) (pin 2)))
(net (code 6) (name ~CS2)
(node (ref U2) (pin 13))
(node (ref JP5) (pin 3)))
(net (code 7) (name "Net-(JP8-Pad2)")
(node (ref JP8) (pin 2))
(node (ref U3) (pin 9)))
(net (code 8) (name ~CS5)
(node (ref JP8) (pin 3))
(node (ref U2) (pin 10)))
(net (code 9) (name "Net-(JP7-Pad2)")
(node (ref U3) (pin 8))
(node (ref JP7) (pin 2)))
(net (code 10) (name ~CS4)
(node (ref U2) (pin 11))
(node (ref JP7) (pin 3)))
(net (code 11) (name ~CS1)
(node (ref JP4) (pin 3))
(node (ref U2) (pin 14)))
(net (code 12) (name ~CS0)
(node (ref JP3) (pin 3))
(node (ref U2) (pin 15)))
(net (code 13) (name ~CS7)
(net (code 9) (name "Net-(JP12-Pad2)")
(node (ref JP12) (pin 2))
(node (ref U3) (pin 12)))
(net (code 10) (name "Net-(JP13-Pad2)")
(node (ref U3) (pin 13))
(node (ref JP13) (pin 2)))
(net (code 11) (name ~CS7)
(node (ref U2) (pin 7))
(node (ref JP13) (pin 3)))
(net (code 14) (name ~CS6)
(node (ref JP12) (pin 3))
(node (ref U2) (pin 9)))
(net (code 15) (name "Net-(JP2-Pad1)")
(node (ref JP2) (pin 1))
(node (ref U1) (pin 1)))
(net (code 16) (name "Net-(JP1-Pad1)")
(net (code 12) (name ~CS6)
(node (ref U2) (pin 9))
(node (ref JP12) (pin 3)))
(net (code 13) (name ~CS2)
(node (ref JP5) (pin 3))
(node (ref U2) (pin 13)))
(net (code 14) (name ~CS1)
(node (ref JP4) (pin 3))
(node (ref U2) (pin 14)))
(net (code 15) (name ~CS0)
(node (ref JP3) (pin 3))
(node (ref U2) (pin 15)))
(net (code 16) (name "Net-(JP2-Pad1)")
(node (ref U1) (pin 1))
(node (ref JP2) (pin 1)))
(net (code 17) (name "Net-(JP1-Pad1)")
(node (ref U1) (pin 26))
(node (ref JP1) (pin 1)))
(net (code 17) (name A14)
(net (code 18) (name A14)
(node (ref JP2) (pin 2))
(node (ref J3) (pin 2))
(node (ref U2) (pin 3)))
(net (code 18) (name A13)
(node (ref JP1) (pin 2))
(node (ref U2) (pin 3))
(node (ref J3) (pin 2)))
(net (code 19) (name A13)
(node (ref J3) (pin 3))
(node (ref JP1) (pin 2))
(node (ref U2) (pin 2)))
(net (code 19) (name "Net-(JP3-Pad2)")
(node (ref JP3) (pin 2))
(node (ref U3) (pin 2)))
(net (code 20) (name "Net-(JP4-Pad2)")
(node (ref JP4) (pin 2))
(node (ref U3) (pin 3)))
(net (code 21) (name ~CS)
(node (ref U1) (pin 20))
(node (ref U3) (pin 2)))
(net (code 21) (name "Net-(JP3-Pad2)")
(node (ref JP3) (pin 2))
(node (ref U3) (pin 1)))
(net (code 22) (name GND)
(node (ref U1) (pin 14))
(node (ref U5) (pin 7))
(node (ref J3) (pin 17))
(node (ref C2) (pin 2))
(node (ref C3) (pin 2))
(node (ref U2) (pin 4))
(node (ref U2) (pin 5))
(node (ref U2) (pin 8))
(node (ref C5) (pin 2))
(node (ref C1) (pin 2))
(node (ref C4) (pin 2))
(node (ref U4) (pin 7))
(node (ref U4) (pin 12))
(node (ref U4) (pin 13))
(node (ref U3) (pin 7)))
(net (code 23) (name "Net-(U4-Pad11)")
(node (ref U4) (pin 11)))
(net (code 24) (name "Net-(U4-Pad10)")
(node (ref U4) (pin 6))
(node (ref U4) (pin 10)))
(net (code 22) (name "Net-(U4-Pad1)")
(node (ref U4) (pin 8))
(net (code 25) (name "Net-(U4-Pad3)")
(node (ref U4) (pin 3))
(node (ref U4) (pin 9)))
(net (code 26) (name "Net-(U3-Pad11)")
(node (ref U3) (pin 11))
(node (ref U4) (pin 5)))
(net (code 27) (name "Net-(U3-Pad8)")
(node (ref U4) (pin 4))
(node (ref U3) (pin 8)))
(net (code 28) (name "Net-(U3-Pad6)")
(node (ref U3) (pin 6))
(node (ref U4) (pin 2)))
(net (code 29) (name "Net-(U3-Pad3)")
(node (ref U3) (pin 3))
(node (ref U4) (pin 1)))
(net (code 23) (name "Net-(JP9-Pad3)")
(net (code 30) (name ~CS)
(node (ref U1) (pin 20))
(node (ref U4) (pin 8)))
(net (code 31) (name "Net-(JP9-Pad3)")
(node (ref U5) (pin 3))
(node (ref JP9) (pin 3)))
(net (code 24) (name E3)
(net (code 32) (name E3)
(node (ref JP9) (pin 2))
(node (ref U2) (pin 6)))
(net (code 25) (name "Net-(U4-Pad13)")
(node (ref U4) (pin 13)))
(net (code 26) (name "Net-(JP12-Pad2)")
(node (ref JP12) (pin 2))
(node (ref U3) (pin 11)))
(net (code 27) (name "Net-(JP13-Pad2)")
(node (ref JP13) (pin 2))
(node (ref U3) (pin 12)))
(net (code 28) (name "Net-(U4-Pad4)")
(node (ref U4) (pin 9))
(node (ref U4) (pin 4)))
(net (code 29) (name "Net-(U3-Pad13)")
(node (ref U4) (pin 6))
(node (ref U3) (pin 13)))
(net (code 30) (name "Net-(U3-Pad10)")
(node (ref U3) (pin 10))
(node (ref U4) (pin 5)))
(net (code 31) (name "Net-(U3-Pad1)")
(node (ref U3) (pin 1))
(node (ref U4) (pin 2)))
(net (code 32) (name "Net-(U3-Pad4)")
(node (ref U4) (pin 3))
(node (ref U3) (pin 4)))
(net (code 33) (name "Net-(J3-Pad25)")
(node (ref J3) (pin 25)))
(net (code 34) (name "Net-(J3-Pad23)")
@ -504,13 +498,13 @@
(net (code 41) (name "Net-(J3-Pad37)")
(node (ref J3) (pin 37)))
(net (code 42) (name A15)
(node (ref U5) (pin 1))
(node (ref U5) (pin 2))
(node (ref U5) (pin 1))
(node (ref J3) (pin 1))
(node (ref JP9) (pin 1)))
(net (code 43) (name D6)
(node (ref J3) (pin 33))
(node (ref U1) (pin 18)))
(node (ref U1) (pin 18))
(node (ref J3) (pin 33)))
(net (code 44) (name D5)
(node (ref U1) (pin 17))
(node (ref J3) (pin 32)))
@ -518,11 +512,11 @@
(node (ref U1) (pin 16))
(node (ref J3) (pin 31)))
(net (code 46) (name A8)
(node (ref U1) (pin 25))
(node (ref J3) (pin 8)))
(node (ref J3) (pin 8))
(node (ref U1) (pin 25)))
(net (code 47) (name D3)
(node (ref U1) (pin 15))
(node (ref J3) (pin 30)))
(node (ref J3) (pin 30))
(node (ref U1) (pin 15)))
(net (code 48) (name A9)
(node (ref J3) (pin 7))
(node (ref U1) (pin 24)))

View File

@ -1,4 +1,4 @@
update=25/12/2018 17:42:57
update=16/02/2019 22:23:41
version=1
last_client=kicad
[pcbnew]
@ -57,15 +57,15 @@ LibName27=atmel
LibName28=contrib
LibName29=valves
LibName30=D:/ownCloud/Documents/Projects/RC6502/Templates/rc6502_backplane
[general]
version=1
[schematic_editor]
version=1
PageLayoutDescrFile=
PlotDirectoryName=D:/ownCloud/Documents/Projects/RC6502/RC6502 RAM/export/
PlotDirectoryName=export/
SubpartIdSeparator=0
SubpartFirstId=65
NetFmtName=Pcbnew
SpiceForceRefPrefix=0
SpiceUseNetNumbers=0
LabSize=60
[general]
version=1

View File

@ -513,17 +513,6 @@ Wire Wire Line
2125 5200 2050 5200
Wire Wire Line
2125 5125 2125 5200
$Comp
L 74LS02 U3
U 1 1 5C1DAB94
P 2125 4175
F 0 "U3" H 2125 4225 50 0000 C CNN
F 1 "74LS02" H 2175 4125 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 2125 4175 50 0001 C CNN
F 3 "" H 2125 4175 50 0001 C CNN
1 2125 4175
0 -1 -1 0
$EndComp
Wire Wire Line
1800 5100 1800 4850
Wire Wire Line
@ -592,17 +581,6 @@ Wire Wire Line
2975 5750 2900 5750
Wire Wire Line
2975 5675 2975 5750
$Comp
L 74LS02 U3
U 2 1 5C1DB812
P 2975 4725
F 0 "U3" H 2975 4775 50 0000 C CNN
F 1 "74LS02" H 3025 4675 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 2975 4725 50 0001 C CNN
F 3 "" H 2975 4725 50 0001 C CNN
2 2975 4725
0 -1 -1 0
$EndComp
Wire Wire Line
2650 5650 2650 5400
Wire Wire Line
@ -671,17 +649,6 @@ Wire Wire Line
3800 6300 3725 6300
Wire Wire Line
3800 6225 3800 6300
$Comp
L 74LS02 U3
U 3 1 5C1DC564
P 3800 5275
F 0 "U3" H 3800 5325 50 0000 C CNN
F 1 "74LS02" H 3850 5225 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 3800 5275 50 0001 C CNN
F 3 "" H 3800 5275 50 0001 C CNN
3 3800 5275
0 -1 -1 0
$EndComp
Wire Wire Line
3475 6200 3475 5950
Wire Wire Line
@ -750,17 +717,6 @@ Wire Wire Line
4650 6850 4575 6850
Wire Wire Line
4650 6775 4650 6850
$Comp
L 74LS02 U3
U 4 1 5C1DC58E
P 4650 5825
F 0 "U3" H 4650 5875 50 0000 C CNN
F 1 "74LS02" H 4700 5775 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 4650 5825 50 0001 C CNN
F 3 "" H 4650 5825 50 0001 C CNN
4 4650 5825
0 -1 -1 0
$EndComp
Wire Wire Line
4325 6750 4325 6500
Wire Wire Line
@ -799,17 +755,6 @@ Wire Wire Line
4750 6425 4750 7025
Wire Wire Line
4325 6500 4550 6500
$Comp
L 74LS02 U4
U 1 1 5C1DE352
P 3650 3575
F 0 "U4" H 3650 3625 50 0000 C CNN
F 1 "74LS02" H 3700 3525 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 3650 3575 50 0001 C CNN
F 3 "" H 3650 3575 50 0001 C CNN
1 3650 3575
1 0 0 -1
$EndComp
Wire Wire Line
2125 3475 2125 3575
Wire Wire Line
@ -818,17 +763,6 @@ Wire Wire Line
2975 3675 3050 3675
Wire Wire Line
3050 3475 2125 3475
$Comp
L 74LS02 U4
U 2 1 5C1DF2B7
P 5325 4675
F 0 "U4" H 5325 4725 50 0000 C CNN
F 1 "74LS02" H 5375 4625 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 5325 4675 50 0001 C CNN
F 3 "" H 5325 4675 50 0001 C CNN
2 5325 4675
1 0 0 -1
$EndComp
Wire Wire Line
3800 4575 3800 4675
Wire Wire Line
@ -837,17 +771,6 @@ Wire Wire Line
4650 5225 4650 4775
Wire Wire Line
4650 4775 4725 4775
$Comp
L 74LS02 U4
U 3 1 5C1DFD68
P 6675 4125
F 0 "U4" H 6675 4175 50 0000 C CNN
F 1 "74LS02" H 6725 4075 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 6675 4125 50 0001 C CNN
F 3 "" H 6675 4125 50 0001 C CNN
3 6675 4125
1 0 0 -1
$EndComp
Wire Wire Line
5925 4675 6000 4675
Wire Wire Line
@ -910,17 +833,6 @@ Wire Wire Line
Connection ~ 10250 875
Connection ~ 9650 875
$Comp
L 74LS02 U4
U 4 1 5C1E36AB
P 6600 6750
F 0 "U4" H 6600 6800 50 0000 C CNN
F 1 "74LS02" H 6650 6700 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 6600 6750 50 0001 C CNN
F 3 "" H 6600 6750 50 0001 C CNN
4 6600 6750
0 -1 -1 0
$EndComp
$Comp
L GND #PWR015
U 1 1 5C1E3B47
P 6600 7500
@ -989,4 +901,92 @@ Wire Wire Line
Connection ~ 5100 1325
Text Notes 7025 6775 0 60 ~ 0
Enhanced RAM board with added support for ROM ICs of type 28C64 or 28C256, can be\naddressed high/low. Individual 4k blocks can be enabled via jumpers.
$Comp
L 74LS08 U3
U 1 1 5C68602F
P 2125 4175
F 0 "U3" H 2125 4225 50 0000 C CNN
F 1 "74LS08" H 2125 4125 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 2125 4175 50 0001 C CNN
F 3 "" H 2125 4175 50 0001 C CNN
1 2125 4175
0 -1 -1 0
$EndComp
$Comp
L 74LS08 U3
U 2 1 5C6865C4
P 2975 4725
F 0 "U3" H 2975 4775 50 0000 C CNN
F 1 "74LS08" H 2975 4675 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 2975 4725 50 0001 C CNN
F 3 "" H 2975 4725 50 0001 C CNN
2 2975 4725
0 -1 -1 0
$EndComp
$Comp
L 74LS08 U3
U 3 1 5C686812
P 3800 5275
F 0 "U3" H 3800 5325 50 0000 C CNN
F 1 "74LS08" H 3800 5225 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 3800 5275 50 0001 C CNN
F 3 "" H 3800 5275 50 0001 C CNN
3 3800 5275
0 -1 -1 0
$EndComp
$Comp
L 74LS08 U3
U 4 1 5C68689D
P 4650 5825
F 0 "U3" H 4650 5875 50 0000 C CNN
F 1 "74LS08" H 4650 5775 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 4650 5825 50 0001 C CNN
F 3 "" H 4650 5825 50 0001 C CNN
4 4650 5825
0 -1 -1 0
$EndComp
$Comp
L 74LS08 U4
U 1 1 5C687BF2
P 3650 3575
F 0 "U4" H 3650 3625 50 0000 C CNN
F 1 "74LS08" H 3650 3525 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 3650 3575 50 0001 C CNN
F 3 "" H 3650 3575 50 0001 C CNN
1 3650 3575
1 0 0 -1
$EndComp
$Comp
L 74LS08 U4
U 2 1 5C687CAF
P 5325 4675
F 0 "U4" H 5325 4725 50 0000 C CNN
F 1 "74LS08" H 5325 4625 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 5325 4675 50 0001 C CNN
F 3 "" H 5325 4675 50 0001 C CNN
2 5325 4675
1 0 0 -1
$EndComp
$Comp
L 74LS08 U4
U 3 1 5C687D29
P 6675 4125
F 0 "U4" H 6675 4175 50 0000 C CNN
F 1 "74LS08" H 6675 4075 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 6675 4125 50 0001 C CNN
F 3 "" H 6675 4125 50 0001 C CNN
3 6675 4125
1 0 0 -1
$EndComp
$Comp
L 74LS08 U4
U 4 1 5C687DB2
P 6600 6750
F 0 "U4" H 6600 6800 50 0000 C CNN
F 1 "74LS08" H 6600 6700 50 0000 C CNN
F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 6600 6750 50 0001 C CNN
F 3 "" H 6600 6750 50 0001 C CNN
4 6600 6750
0 -1 -1 0
$EndComp
$EndSCHEMATC

File diff suppressed because it is too large Load Diff

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Soldermask,Bot*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*
@ -166,6 +166,30 @@ X132715000Y-119380000D03*
X125095000Y-134620000D03*
X132715000Y-116840000D03*
D11*
X90805000Y-116840000D03*
D12*
X98425000Y-132080000D03*
X90805000Y-119380000D03*
X98425000Y-129540000D03*
X90805000Y-121920000D03*
X98425000Y-127000000D03*
X90805000Y-124460000D03*
X98425000Y-124460000D03*
X90805000Y-127000000D03*
X98425000Y-121920000D03*
X90805000Y-129540000D03*
X98425000Y-119380000D03*
X90805000Y-132080000D03*
X98425000Y-116840000D03*
D13*
X97155000Y-113030000D03*
X92155000Y-113030000D03*
D14*
X93345000Y-97790000D03*
D15*
X93345000Y-100330000D03*
X93345000Y-102870000D03*
D11*
X113665000Y-116840000D03*
D12*
X121285000Y-132080000D03*
@ -197,28 +221,4 @@ X102235000Y-129540000D03*
X109855000Y-119380000D03*
X102235000Y-132080000D03*
X109855000Y-116840000D03*
D11*
X90805000Y-116840000D03*
D12*
X98425000Y-132080000D03*
X90805000Y-119380000D03*
X98425000Y-129540000D03*
X90805000Y-121920000D03*
X98425000Y-127000000D03*
X90805000Y-124460000D03*
X98425000Y-124460000D03*
X90805000Y-127000000D03*
X98425000Y-121920000D03*
X90805000Y-129540000D03*
X98425000Y-119380000D03*
X90805000Y-132080000D03*
X98425000Y-116840000D03*
D13*
X97155000Y-113030000D03*
X92155000Y-113030000D03*
D14*
X93345000Y-97790000D03*
D15*
X93345000Y-100330000D03*
X93345000Y-102870000D03*
M02*

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Paste,Bot*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Legend,Bot*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Drawing*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Copper,L1,Top,Signal*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*
@ -168,6 +168,30 @@ X132715000Y-119380000D03*
X125095000Y-134620000D03*
X132715000Y-116840000D03*
D11*
X90805000Y-116840000D03*
D12*
X98425000Y-132080000D03*
X90805000Y-119380000D03*
X98425000Y-129540000D03*
X90805000Y-121920000D03*
X98425000Y-127000000D03*
X90805000Y-124460000D03*
X98425000Y-124460000D03*
X90805000Y-127000000D03*
X98425000Y-121920000D03*
X90805000Y-129540000D03*
X98425000Y-119380000D03*
X90805000Y-132080000D03*
X98425000Y-116840000D03*
D13*
X97155000Y-113030000D03*
X92155000Y-113030000D03*
D14*
X93345000Y-97790000D03*
D15*
X93345000Y-100330000D03*
X93345000Y-102870000D03*
D11*
X113665000Y-116840000D03*
D12*
X121285000Y-132080000D03*
@ -199,30 +223,6 @@ X102235000Y-129540000D03*
X109855000Y-119380000D03*
X102235000Y-132080000D03*
X109855000Y-116840000D03*
D11*
X90805000Y-116840000D03*
D12*
X98425000Y-132080000D03*
X90805000Y-119380000D03*
X98425000Y-129540000D03*
X90805000Y-121920000D03*
X98425000Y-127000000D03*
X90805000Y-124460000D03*
X98425000Y-124460000D03*
X90805000Y-127000000D03*
X98425000Y-121920000D03*
X90805000Y-129540000D03*
X98425000Y-119380000D03*
X90805000Y-132080000D03*
X98425000Y-116840000D03*
D13*
X97155000Y-113030000D03*
X92155000Y-113030000D03*
D14*
X93345000Y-97790000D03*
D15*
X93345000Y-100330000D03*
X93345000Y-102870000D03*
D16*
X140335000Y-118110000D03*
X132715000Y-110490000D03*
@ -276,7 +276,6 @@ X142875000Y-108585000D03*
X145415000Y-121920000D03*
X151765000Y-125095000D03*
X141605000Y-109855000D03*
X111760000Y-117475000D03*
X122555000Y-104775000D03*
X130175000Y-119380000D03*
X123190000Y-105410000D03*
@ -304,23 +303,31 @@ X135890000Y-108585000D03*
X142240000Y-109220000D03*
X123825000Y-108585000D03*
X119380000Y-107950000D03*
X140970000Y-137795000D03*
X134620000Y-138430000D03*
X160655000Y-121285000D03*
X168275000Y-121285000D03*
X163830000Y-121285000D03*
X168275000Y-121285000D03*
X160655000Y-121285000D03*
X134620000Y-138430000D03*
X140970000Y-137795000D03*
X110490000Y-135255000D03*
X163195000Y-120650000D03*
X160655000Y-123190000D03*
X140970000Y-139065000D03*
X133985000Y-139065000D03*
X111125000Y-134620000D03*
X113030000Y-134620000D03*
X111760000Y-120650000D03*
X93980000Y-107950000D03*
X93980000Y-110490000D03*
X104775000Y-123825000D03*
X104775000Y-118745000D03*
X116205000Y-127635000D03*
X106045000Y-131445000D03*
X106045000Y-130175000D03*
X118110000Y-124460000D03*
D17*
X120015000Y-113030000D02*
X120015000Y-115570000D01*
X120015000Y-115570000D02*
X121285000Y-116840000D01*
X108585000Y-113030000D02*
X108585000Y-115570000D01*
X108585000Y-115570000D02*
X109855000Y-116840000D01*
X97790000Y-102870000D02*
X99060000Y-104140000D01*
X99060000Y-104140000D02*
@ -377,14 +384,6 @@ X131445000Y-113030000D02*
X131445000Y-115570000D01*
X131445000Y-115570000D02*
X132715000Y-116840000D01*
X120015000Y-113030000D02*
X120015000Y-115570000D01*
X120015000Y-115570000D02*
X121285000Y-116840000D01*
X108585000Y-113030000D02*
X108585000Y-115570000D01*
X108585000Y-115570000D02*
X109855000Y-116840000D01*
X97155000Y-113030000D02*
X97155000Y-115570000D01*
X97155000Y-115570000D02*
@ -1061,26 +1060,30 @@ X134620000Y-100965000D02*
X134620000Y-104140000D01*
X133985000Y-100330000D02*
X134620000Y-100965000D01*
X102235000Y-101600000D02*
X103505000Y-100330000D01*
X102235000Y-105410000D02*
X102235000Y-101600000D01*
X105410000Y-108585000D02*
X102235000Y-105410000D01*
X109855000Y-108585000D02*
X105410000Y-108585000D01*
X111125000Y-109855000D02*
X109855000Y-108585000D01*
X111760000Y-109855000D02*
X111125000Y-109855000D01*
X112395000Y-110490000D02*
X111760000Y-109855000D01*
X112395000Y-113665000D02*
X112395000Y-110490000D01*
X113665000Y-116840000D02*
X112395000Y-116840000D01*
X111760000Y-114300000D02*
X112395000Y-113665000D01*
X111760000Y-117475000D02*
X112395000Y-113665000D02*
X112395000Y-110490000D01*
X112395000Y-110490000D02*
X111760000Y-109855000D01*
X111760000Y-109855000D02*
X111125000Y-109855000D01*
X111125000Y-109855000D02*
X109855000Y-108585000D01*
X109855000Y-108585000D02*
X105410000Y-108585000D01*
X105410000Y-108585000D02*
X102235000Y-105410000D01*
X102235000Y-105410000D02*
X102235000Y-101600000D01*
X102235000Y-101600000D02*
X103505000Y-100330000D01*
X111760000Y-116205000D02*
X111760000Y-114300000D01*
X112395000Y-116840000D02*
X111760000Y-116205000D01*
X128905000Y-111125000D02*
X127000000Y-109220000D01*
X127000000Y-105410000D02*
@ -1095,34 +1098,36 @@ X129540000Y-119380000D02*
X128905000Y-118745000D01*
X128905000Y-118745000D02*
X128905000Y-111125000D01*
X113030000Y-110490000D02*
X113030000Y-109855000D01*
X112395000Y-109220000D02*
X111760000Y-109220000D01*
X113030000Y-109855000D02*
X112395000Y-109220000D01*
X114300000Y-114935000D02*
X113665000Y-114935000D01*
X114935000Y-120650000D02*
X114935000Y-115570000D01*
X114935000Y-115570000D02*
X114300000Y-114935000D01*
X113665000Y-121920000D02*
X114935000Y-120650000D01*
X104775000Y-101600000D02*
X106045000Y-100330000D01*
X104775000Y-105410000D02*
X104775000Y-101600000D01*
X107315000Y-107950000D02*
X104775000Y-105410000D01*
X110490000Y-107950000D02*
X107315000Y-107950000D01*
X111760000Y-109220000D02*
X110490000Y-107950000D01*
X113030000Y-114300000D02*
X113030000Y-110490000D01*
X114935000Y-118745000D01*
X113665000Y-114935000D02*
X113030000Y-114300000D01*
X113030000Y-114300000D02*
X113030000Y-110490000D01*
X111760000Y-109220000D02*
X110490000Y-107950000D01*
X110490000Y-107950000D02*
X107315000Y-107950000D01*
X107315000Y-107950000D02*
X104775000Y-105410000D01*
X104775000Y-105410000D02*
X104775000Y-101600000D01*
X106045000Y-100330000D02*
X104775000Y-101600000D01*
X114935000Y-115570000D02*
X114300000Y-114935000D01*
X114300000Y-114935000D02*
X113665000Y-114935000D01*
X113030000Y-109855000D02*
X112395000Y-109220000D01*
X112395000Y-109220000D02*
X111760000Y-109220000D01*
X113030000Y-110490000D02*
X113030000Y-109855000D01*
X114935000Y-118745000D02*
X114300000Y-119380000D01*
X114300000Y-119380000D02*
X113665000Y-119380000D01*
X128270000Y-111760000D02*
X126365000Y-109855000D01*
X126365000Y-106045000D02*
@ -1141,40 +1146,36 @@ X106045000Y-105410000D02*
X106045000Y-102870000D01*
X106045000Y-105410000D02*
X106045000Y-105410000D01*
X113665000Y-109855000D02*
X113665000Y-109220000D01*
X113030000Y-108585000D02*
X112395000Y-108585000D01*
X113665000Y-109220000D02*
X113030000Y-108585000D01*
X113665000Y-127000000D02*
X116205000Y-127000000D01*
X107315000Y-101600000D02*
X108585000Y-100330000D01*
X107315000Y-106045000D02*
X107315000Y-101600000D01*
X108585000Y-107315000D02*
X107315000Y-106045000D01*
X111125000Y-107315000D02*
X108585000Y-107315000D01*
X112395000Y-108585000D02*
X111125000Y-107315000D01*
X113665000Y-113665000D02*
X113665000Y-109855000D01*
X114300000Y-114300000D02*
X113665000Y-113665000D01*
X114935000Y-114300000D02*
X114300000Y-114300000D01*
X115570000Y-114935000D02*
X115570000Y-123825000D01*
X115570000Y-114935000D02*
X114935000Y-114300000D01*
X115570000Y-119380000D02*
X115570000Y-114935000D01*
X116840000Y-120650000D02*
X115570000Y-119380000D01*
X116840000Y-126365000D02*
X116840000Y-120650000D01*
X116205000Y-127000000D02*
X116840000Y-126365000D01*
X114935000Y-114300000D02*
X114300000Y-114300000D01*
X114300000Y-114300000D02*
X113665000Y-113665000D01*
X113665000Y-113665000D02*
X113665000Y-109855000D01*
X112395000Y-108585000D02*
X111125000Y-107315000D01*
X111125000Y-107315000D02*
X108585000Y-107315000D01*
X108585000Y-107315000D02*
X107315000Y-106045000D01*
X107315000Y-106045000D02*
X107315000Y-101600000D01*
X108585000Y-100330000D02*
X107315000Y-101600000D01*
X113665000Y-109220000D02*
X113030000Y-108585000D01*
X113030000Y-108585000D02*
X112395000Y-108585000D01*
X113665000Y-109855000D02*
X113665000Y-109220000D01*
X114935000Y-124460000D02*
X113665000Y-124460000D01*
X115570000Y-123825000D02*
X114935000Y-124460000D01*
X129540000Y-111125000D02*
X129540000Y-110490000D01*
X129540000Y-118110000D02*
@ -1187,30 +1188,26 @@ X127635000Y-108585000D02*
X127635000Y-104775000D01*
X129540000Y-110490000D02*
X127635000Y-108585000D01*
X113665000Y-107950000D02*
X113030000Y-107950000D01*
X117475000Y-120015000D02*
X116205000Y-118745000D01*
X116205000Y-118745000D02*
X116205000Y-110490000D01*
X116205000Y-110490000D02*
X113665000Y-107950000D01*
X113665000Y-129540000D02*
X116840000Y-129540000D01*
X116840000Y-129540000D02*
X117475000Y-128905000D01*
X117475000Y-128905000D02*
X117475000Y-120015000D01*
X109855000Y-101600000D02*
X111125000Y-100330000D01*
X109855000Y-106045000D02*
X109855000Y-101600000D01*
X110490000Y-106680000D02*
X109855000Y-106045000D01*
X111760000Y-106680000D02*
X110490000Y-106680000D01*
X116205000Y-126365000D01*
X113030000Y-107950000D02*
X111760000Y-106680000D01*
X111760000Y-106680000D02*
X110490000Y-106680000D01*
X110490000Y-106680000D02*
X109855000Y-106045000D01*
X109855000Y-106045000D02*
X109855000Y-101600000D01*
X111125000Y-100330000D02*
X109855000Y-101600000D01*
X116205000Y-110490000D02*
X113665000Y-107950000D01*
X113665000Y-107950000D02*
X113030000Y-107950000D01*
X115570000Y-127000000D02*
X113665000Y-127000000D01*
X116205000Y-126365000D02*
X115570000Y-127000000D01*
X127635000Y-112395000D02*
X127000000Y-111760000D01*
X125730000Y-106680000D02*
@ -1239,32 +1236,22 @@ X129540000Y-126365000D02*
X129540000Y-124460000D01*
X130175000Y-127000000D02*
X129540000Y-126365000D01*
X113665000Y-100330000D02*
X112395000Y-101600000D01*
X120015000Y-130810000D02*
X121285000Y-132080000D01*
X120015000Y-130175000D02*
X120015000Y-130810000D01*
X119380000Y-129540000D02*
X120015000Y-130175000D01*
X119380000Y-125095000D02*
X119380000Y-129540000D01*
X118110000Y-123825000D02*
X119380000Y-125095000D01*
X118110000Y-119380000D02*
X118110000Y-123825000D01*
X116840000Y-118110000D02*
X118110000Y-119380000D01*
X116840000Y-109855000D02*
X116840000Y-118110000D01*
X114300000Y-107315000D02*
X116840000Y-109855000D01*
X113665000Y-107315000D02*
X114300000Y-107315000D01*
X112395000Y-106045000D02*
X113665000Y-107315000D01*
X116840000Y-128905000D01*
X112395000Y-101600000D02*
X112395000Y-106045000D01*
X112395000Y-106045000D02*
X113665000Y-107315000D01*
X113665000Y-107315000D02*
X114300000Y-107315000D01*
X114300000Y-107315000D02*
X116840000Y-109855000D01*
X113665000Y-100330000D02*
X112395000Y-101600000D01*
X117475000Y-129540000D02*
X121285000Y-129540000D01*
X116840000Y-128905000D02*
X117475000Y-129540000D01*
X125095000Y-108585000D02*
X125095000Y-107315000D01*
X127000000Y-118745000D02*
@ -1293,28 +1280,20 @@ X114300000Y-106680000D02*
X113665000Y-106045000D01*
X125095000Y-107315000D02*
X124460000Y-106680000D01*
X116205000Y-100330000D02*
X114935000Y-101600000D01*
X120015000Y-128905000D02*
X120650000Y-129540000D01*
X120015000Y-124460000D02*
X120015000Y-128905000D01*
X118745000Y-123190000D02*
X120015000Y-124460000D01*
X118745000Y-118745000D02*
X118745000Y-123190000D01*
X117475000Y-117475000D02*
X118745000Y-118745000D01*
X117475000Y-109220000D02*
X117475000Y-117475000D01*
X116840000Y-108585000D02*
X117475000Y-109220000D01*
X114935000Y-106680000D02*
X116840000Y-108585000D01*
X117475000Y-126365000D01*
X114935000Y-101600000D02*
X114935000Y-106680000D01*
X120650000Y-129540000D02*
X121285000Y-129540000D01*
X114935000Y-106680000D02*
X116840000Y-108585000D01*
X116840000Y-108585000D02*
X117475000Y-109220000D01*
X116205000Y-100330000D02*
X114935000Y-101600000D01*
X118110000Y-127000000D02*
X121285000Y-127000000D01*
X117475000Y-126365000D02*
X118110000Y-127000000D01*
X116840000Y-107315000D02*
X116205000Y-106680000D01*
X116205000Y-102870000D02*
@ -1401,20 +1380,18 @@ X137160000Y-108585000D02*
X135890000Y-108585000D01*
X142240000Y-109220000D02*
X137795000Y-109220000D01*
X118745000Y-100330000D02*
X117475000Y-101600000D01*
X119380000Y-122555000D02*
X121285000Y-124460000D01*
X119380000Y-118110000D02*
X119380000Y-122555000D01*
X118110000Y-116840000D02*
X119380000Y-118110000D01*
X118110000Y-108585000D02*
X118110000Y-116840000D01*
X117475000Y-107950000D02*
X118110000Y-108585000D01*
X118110000Y-121285000D01*
X117475000Y-101600000D02*
X117475000Y-107950000D01*
X117475000Y-107950000D02*
X118110000Y-108585000D01*
X118745000Y-100330000D02*
X117475000Y-101600000D01*
X118745000Y-121920000D02*
X121285000Y-121920000D01*
X118110000Y-121285000D02*
X118745000Y-121920000D01*
X128270000Y-134620000D02*
X127635000Y-133985000D01*
X127635000Y-133985000D02*
@ -1433,22 +1410,20 @@ X118745000Y-107315000D02*
X118745000Y-102870000D01*
X119380000Y-107950000D02*
X118745000Y-107315000D01*
X121285000Y-100330000D02*
X120015000Y-101600000D01*
X120015000Y-120650000D02*
X121285000Y-121920000D01*
X120015000Y-117475000D02*
X120015000Y-120650000D01*
X118745000Y-116205000D02*
X120015000Y-117475000D01*
X118745000Y-110490000D02*
X118745000Y-116205000D01*
X120015000Y-109220000D02*
X118745000Y-110490000D01*
X120015000Y-107315000D02*
X120015000Y-109220000D01*
X118745000Y-118745000D01*
X120015000Y-101600000D02*
X120015000Y-107315000D01*
X120015000Y-107315000D02*
X120015000Y-109220000D01*
X120015000Y-109220000D02*
X118745000Y-110490000D01*
X121285000Y-100330000D02*
X120015000Y-101600000D01*
X119380000Y-119380000D02*
X121285000Y-119380000D01*
X118745000Y-118745000D02*
X119380000Y-119380000D01*
X123190000Y-108585000D02*
X122555000Y-107950000D01*
X123190000Y-125095000D02*
@ -1477,44 +1452,36 @@ X121920000Y-107315000D02*
X121920000Y-103505000D01*
X122555000Y-107950000D02*
X121920000Y-107315000D01*
X159385000Y-126365000D02*
X160020000Y-125730000D01*
X141605000Y-127000000D02*
X142240000Y-126365000D01*
X133350000Y-138430000D02*
X134620000Y-138430000D01*
X109855000Y-127000000D02*
X111125000Y-127000000D01*
X123825000Y-135255000D02*
X127000000Y-138430000D01*
X112395000Y-135255000D02*
X123825000Y-135255000D01*
X111760000Y-134620000D02*
X112395000Y-135255000D01*
X111760000Y-127635000D02*
X111760000Y-134620000D01*
X111125000Y-127000000D02*
X111760000Y-127635000D01*
X133350000Y-138430000D02*
X127000000Y-138430000D01*
X141605000Y-137160000D02*
X110490000Y-135255000D01*
X168275000Y-121285000D02*
X163830000Y-121285000D01*
X160020000Y-125730000D02*
X160020000Y-121920000D01*
X160020000Y-121920000D02*
X160655000Y-121285000D01*
X159385000Y-126365000D02*
X158750000Y-126365000D01*
X158750000Y-126365000D02*
X151130000Y-126365000D01*
X142240000Y-126365000D02*
X151130000Y-126365000D01*
X141605000Y-127000000D02*
X141605000Y-135255000D01*
X140970000Y-137795000D02*
X141605000Y-137160000D01*
X141605000Y-127000000D02*
X141605000Y-137160000D02*
X141605000Y-135255000D01*
X142240000Y-126365000D02*
X151130000Y-126365000D01*
X158750000Y-126365000D02*
X151130000Y-126365000D01*
X133350000Y-138430000D02*
X127000000Y-138430000D01*
X123825000Y-135255000D02*
X127000000Y-138430000D01*
X133350000Y-138430000D02*
X134620000Y-138430000D01*
X141605000Y-127000000D02*
X142240000Y-126365000D01*
X159385000Y-126365000D02*
X158750000Y-126365000D01*
X160020000Y-121920000D02*
X160655000Y-121285000D01*
X160020000Y-125730000D02*
X160020000Y-121920000D01*
X168275000Y-121285000D02*
X163830000Y-121285000D01*
X160020000Y-125730000D01*
X165100000Y-120015000D02*
X164465000Y-120650000D01*
X164465000Y-120650000D02*
@ -1551,56 +1518,6 @@ X142240000Y-129540000D02*
X142240000Y-127635000D01*
X160020000Y-127000000D02*
X160655000Y-126365000D01*
X102235000Y-119380000D02*
X107315000Y-119380000D01*
X112395000Y-118110000D02*
X113665000Y-116840000D01*
X108585000Y-118110000D02*
X112395000Y-118110000D01*
X107315000Y-119380000D02*
X108585000Y-118110000D01*
X121285000Y-127000000D02*
X122104998Y-127000000D01*
X103320002Y-127000000D02*
X102235000Y-127000000D01*
X104140000Y-127819998D02*
X103320002Y-127000000D01*
X104140000Y-133985000D02*
X104140000Y-127819998D01*
X104775000Y-134620000D02*
X104140000Y-133985000D01*
X111125000Y-134620000D02*
X104775000Y-134620000D01*
X121920000Y-134620000D02*
X113030000Y-134620000D01*
X122555000Y-133985000D02*
X121920000Y-134620000D01*
X122555000Y-127450002D02*
X122555000Y-133985000D01*
X122104998Y-127000000D02*
X122555000Y-127450002D01*
X111760000Y-123190000D02*
X108585000Y-123190000D01*
X108585000Y-123190000D02*
X107315000Y-121920000D01*
X102235000Y-121920000D02*
X107315000Y-121920000D01*
X111760000Y-123190000D02*
X113030000Y-124460000D01*
X113030000Y-124460000D02*
X113665000Y-124460000D01*
X101600000Y-120650000D02*
X100965000Y-121285000D01*
X100965000Y-121285000D02*
X100965000Y-128905000D01*
X101600000Y-129540000D02*
X100965000Y-128905000D01*
X111760000Y-120650000D02*
X112395000Y-120650000D01*
X112395000Y-120650000D02*
X101600000Y-120650000D01*
X101600000Y-129540000D02*
X102235000Y-129540000D01*
X93345000Y-102870000D02*
X93345000Y-103505000D01*
X93345000Y-103505000D02*
@ -1613,32 +1530,6 @@ X93980000Y-121285000D02*
X93345000Y-121920000D01*
X93345000Y-121920000D02*
X90805000Y-121920000D01*
X102235000Y-116840000D02*
X104140000Y-116840000D01*
X105410000Y-132080000D02*
X109855000Y-132080000D01*
X104775000Y-131445000D02*
X105410000Y-132080000D01*
X104775000Y-123825000D02*
X104775000Y-131445000D01*
X104775000Y-117475000D02*
X104775000Y-118745000D01*
X104140000Y-116840000D02*
X104775000Y-117475000D01*
X107950000Y-125095000D02*
X107950000Y-123825000D01*
X104140000Y-123190000D02*
X102870000Y-124460000D01*
X107315000Y-123190000D02*
X104140000Y-123190000D01*
X107950000Y-123825000D02*
X107315000Y-123190000D01*
X102870000Y-124460000D02*
X102235000Y-124460000D01*
X107950000Y-125095000D02*
X107950000Y-127635000D01*
X107950000Y-127635000D02*
X109855000Y-129540000D01*
X98425000Y-124460000D02*
X99060000Y-124460000D01*
X99060000Y-124460000D02*
@ -1653,4 +1544,90 @@ X97155000Y-128270000D02*
X95885000Y-127000000D01*
X95885000Y-127000000D02*
X90805000Y-127000000D01*
X100965000Y-133985000D02*
X101600000Y-134620000D01*
X120650000Y-134620000D02*
X121285000Y-133985000D01*
X101600000Y-134620000D02*
X120650000Y-134620000D01*
X102235000Y-124460000D02*
X101600000Y-124460000D01*
X101600000Y-124460000D02*
X100965000Y-125095000D01*
X100965000Y-125095000D02*
X100965000Y-133985000D01*
X121285000Y-133985000D02*
X121285000Y-132080000D01*
X113665000Y-121920000D02*
X112395000Y-121920000D01*
X107315000Y-116840000D02*
X102235000Y-116840000D01*
X107950000Y-117475000D02*
X107315000Y-116840000D01*
X107950000Y-121920000D02*
X107950000Y-117475000D01*
X109220000Y-123190000D02*
X107950000Y-121920000D01*
X111125000Y-123190000D02*
X109220000Y-123190000D01*
X112395000Y-121920000D02*
X111125000Y-123190000D01*
X119380000Y-124460000D02*
X118110000Y-124460000D01*
X115570000Y-133985000D02*
X116205000Y-133350000D01*
X116205000Y-133350000D02*
X116205000Y-127635000D01*
X121285000Y-124460000D02*
X119380000Y-124460000D01*
X105410000Y-127000000D02*
X102235000Y-127000000D01*
X106045000Y-133350000D02*
X106680000Y-133985000D01*
X106045000Y-131445000D02*
X106045000Y-133350000D01*
X106045000Y-127635000D02*
X106045000Y-130175000D01*
X105410000Y-127000000D02*
X106045000Y-127635000D01*
X106680000Y-133985000D02*
X115570000Y-133985000D01*
X102235000Y-119380000D02*
X106680000Y-119380000D01*
X112395000Y-128270000D02*
X113665000Y-129540000D01*
X112395000Y-126365000D02*
X112395000Y-128270000D01*
X111760000Y-125730000D02*
X112395000Y-126365000D01*
X107950000Y-125730000D02*
X111760000Y-125730000D01*
X107315000Y-125095000D02*
X107950000Y-125730000D01*
X107315000Y-120015000D02*
X107315000Y-125095000D01*
X106680000Y-119380000D02*
X107315000Y-120015000D01*
X109855000Y-129540000D02*
X107315000Y-129540000D01*
X106045000Y-121920000D02*
X102235000Y-121920000D01*
X106680000Y-122555000D02*
X106045000Y-121920000D01*
X106680000Y-128905000D02*
X106680000Y-122555000D01*
X107315000Y-129540000D02*
X106680000Y-128905000D01*
X109855000Y-127000000D02*
X111125000Y-127000000D01*
X103505000Y-130810000D02*
X102235000Y-129540000D01*
X111125000Y-130810000D02*
X103505000Y-130810000D01*
X111760000Y-130175000D02*
X111125000Y-130810000D01*
X111760000Y-127635000D02*
X111760000Y-130175000D01*
X111125000Y-127000000D02*
X111760000Y-127635000D01*
M02*

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Soldermask,Top*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*
@ -166,6 +166,30 @@ X132715000Y-119380000D03*
X125095000Y-134620000D03*
X132715000Y-116840000D03*
D11*
X90805000Y-116840000D03*
D12*
X98425000Y-132080000D03*
X90805000Y-119380000D03*
X98425000Y-129540000D03*
X90805000Y-121920000D03*
X98425000Y-127000000D03*
X90805000Y-124460000D03*
X98425000Y-124460000D03*
X90805000Y-127000000D03*
X98425000Y-121920000D03*
X90805000Y-129540000D03*
X98425000Y-119380000D03*
X90805000Y-132080000D03*
X98425000Y-116840000D03*
D13*
X97155000Y-113030000D03*
X92155000Y-113030000D03*
D14*
X93345000Y-97790000D03*
D15*
X93345000Y-100330000D03*
X93345000Y-102870000D03*
D11*
X113665000Y-116840000D03*
D12*
X121285000Y-132080000D03*
@ -197,28 +221,4 @@ X102235000Y-129540000D03*
X109855000Y-119380000D03*
X102235000Y-132080000D03*
X109855000Y-116840000D03*
D11*
X90805000Y-116840000D03*
D12*
X98425000Y-132080000D03*
X90805000Y-119380000D03*
X98425000Y-129540000D03*
X90805000Y-121920000D03*
X98425000Y-127000000D03*
X90805000Y-124460000D03*
X98425000Y-124460000D03*
X90805000Y-127000000D03*
X98425000Y-121920000D03*
X90805000Y-129540000D03*
X98425000Y-119380000D03*
X90805000Y-132080000D03*
X98425000Y-116840000D03*
D13*
X97155000Y-113030000D03*
X92155000Y-113030000D03*
D14*
X93345000Y-97790000D03*
D15*
X93345000Y-100330000D03*
X93345000Y-102870000D03*
M02*

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Paste,Top*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*

View File

@ -1,7 +1,7 @@
G04 #@! TF.FileFunction,Legend,Top*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 4.0.7) date 12/22/18 18:54:53*
G04 Created by KiCad (PCBNEW 4.0.7) date 02/16/19 22:22:25*
%MOMM*%
%LPD*%
G01*
@ -1648,22 +1648,19 @@ X176804287Y-113983571D01*
X176947144Y-114055000D01*
X177018573Y-114197857D01*
X177018573Y-114983571D01*
X179732859Y-114840714D02*
X179661430Y-114912143D01*
X179447144Y-114983571D01*
X179304287Y-114983571D01*
X179090002Y-114912143D01*
X178947144Y-114769286D01*
X178875716Y-114626429D01*
X178804287Y-114340714D01*
X178804287Y-114126429D01*
X178875716Y-113840714D01*
X178947144Y-113697857D01*
X179090002Y-113555000D01*
X179304287Y-113483571D01*
X179447144Y-113483571D01*
X179661430Y-113555000D01*
X179732859Y-113626429D01*
X178875716Y-114983571D02*
X178875716Y-113483571D01*
X179232859Y-113483571D01*
X179447144Y-113555000D01*
X179590002Y-113697857D01*
X179661430Y-113840714D01*
X179732859Y-114126429D01*
X179732859Y-114340714D01*
X179661430Y-114626429D01*
X179590002Y-114769286D01*
X179447144Y-114912143D01*
X179232859Y-114983571D01*
X178875716Y-114983571D01*
X186011429Y-138255715D02*
X187511429Y-138255715D01*
X187511429Y-138827143D01*
@ -2419,50 +2416,6 @@ X134045000Y-136010000D02*
X134045000Y-115450000D01*
X134045000Y-115450000D02*
X123765000Y-115450000D01*
X118475000Y-115510000D02*
G75*
G02X116475000Y-115510000I-1000000J0D01*
G01*
X116475000Y-115510000D02*
X114825000Y-115510000D01*
X114825000Y-115510000D02*
X114825000Y-133410000D01*
X114825000Y-133410000D02*
X120125000Y-133410000D01*
X120125000Y-133410000D02*
X120125000Y-115510000D01*
X120125000Y-115510000D02*
X118475000Y-115510000D01*
X112335000Y-115450000D02*
X112335000Y-133470000D01*
X112335000Y-133470000D02*
X122615000Y-133470000D01*
X122615000Y-133470000D02*
X122615000Y-115450000D01*
X122615000Y-115450000D02*
X112335000Y-115450000D01*
X107045000Y-115510000D02*
G75*
G02X105045000Y-115510000I-1000000J0D01*
G01*
X105045000Y-115510000D02*
X103395000Y-115510000D01*
X103395000Y-115510000D02*
X103395000Y-133410000D01*
X103395000Y-133410000D02*
X108695000Y-133410000D01*
X108695000Y-133410000D02*
X108695000Y-115510000D01*
X108695000Y-115510000D02*
X107045000Y-115510000D01*
X100905000Y-115450000D02*
X100905000Y-133470000D01*
X100905000Y-133470000D02*
X111185000Y-133470000D01*
X111185000Y-133470000D02*
X111185000Y-115450000D01*
X111185000Y-115450000D02*
X100905000Y-115450000D01*
X95615000Y-115510000D02*
G75*
G02X93615000Y-115510000I-1000000J0D01*
@ -2509,6 +2462,50 @@ X92015000Y-97790000D02*
X92015000Y-96460000D01*
X92015000Y-96460000D02*
X93345000Y-96460000D01*
X118475000Y-115510000D02*
G75*
G02X116475000Y-115510000I-1000000J0D01*
G01*
X116475000Y-115510000D02*
X114825000Y-115510000D01*
X114825000Y-115510000D02*
X114825000Y-133410000D01*
X114825000Y-133410000D02*
X120125000Y-133410000D01*
X120125000Y-133410000D02*
X120125000Y-115510000D01*
X120125000Y-115510000D02*
X118475000Y-115510000D01*
X112335000Y-115450000D02*
X112335000Y-133470000D01*
X112335000Y-133470000D02*
X122615000Y-133470000D01*
X122615000Y-133470000D02*
X122615000Y-115450000D01*
X122615000Y-115450000D02*
X112335000Y-115450000D01*
X107045000Y-115510000D02*
G75*
G02X105045000Y-115510000I-1000000J0D01*
G01*
X105045000Y-115510000D02*
X103395000Y-115510000D01*
X103395000Y-115510000D02*
X103395000Y-133410000D01*
X103395000Y-133410000D02*
X108695000Y-133410000D01*
X108695000Y-133410000D02*
X108695000Y-115510000D01*
X108695000Y-115510000D02*
X107045000Y-115510000D01*
X100905000Y-115450000D02*
X100905000Y-133470000D01*
X100905000Y-133470000D02*
X111185000Y-133470000D01*
X111185000Y-133470000D02*
X111185000Y-115450000D01*
X111185000Y-115450000D02*
X100905000Y-115450000D01*
D14*
X151852381Y-127126905D02*
X152661905Y-127126905D01*
@ -2884,171 +2881,6 @@ X128976429Y-122658571D01*
X128881190Y-122706190D01*
X128833571Y-122753809D01*
X128785952Y-122849047D01*
X116713095Y-118832381D02*
X116713095Y-119641905D01*
X116760714Y-119737143D01*
X116808333Y-119784762D01*
X116903571Y-119832381D01*
X117094048Y-119832381D01*
X117189286Y-119784762D01*
X117236905Y-119737143D01*
X117284524Y-119641905D01*
X117284524Y-118832381D01*
X117665476Y-118832381D02*
X118284524Y-118832381D01*
X117951190Y-119213333D01*
X118094048Y-119213333D01*
X118189286Y-119260952D01*
X118236905Y-119308571D01*
X118284524Y-119403810D01*
X118284524Y-119641905D01*
X118236905Y-119737143D01*
X118189286Y-119784762D01*
X118094048Y-119832381D01*
X117808333Y-119832381D01*
X117713095Y-119784762D01*
X117665476Y-119737143D01*
X116927381Y-127102857D02*
X116927381Y-126436190D01*
X117927381Y-126864762D01*
X117260714Y-125626666D02*
X117927381Y-125626666D01*
X116879762Y-125864762D02*
X117594048Y-126102857D01*
X117594048Y-125483809D01*
X117927381Y-124626666D02*
X117927381Y-125102857D01*
X116927381Y-125102857D01*
X117879762Y-124340952D02*
X117927381Y-124198095D01*
X117927381Y-123959999D01*
X117879762Y-123864761D01*
X117832143Y-123817142D01*
X117736905Y-123769523D01*
X117641667Y-123769523D01*
X117546429Y-123817142D01*
X117498810Y-123864761D01*
X117451190Y-123959999D01*
X117403571Y-124150476D01*
X117355952Y-124245714D01*
X117308333Y-124293333D01*
X117213095Y-124340952D01*
X117117857Y-124340952D01*
X117022619Y-124293333D01*
X116975000Y-124245714D01*
X116927381Y-124150476D01*
X116927381Y-123912380D01*
X116975000Y-123769523D01*
X116927381Y-123150476D02*
X116927381Y-123055237D01*
X116975000Y-122959999D01*
X117022619Y-122912380D01*
X117117857Y-122864761D01*
X117308333Y-122817142D01*
X117546429Y-122817142D01*
X117736905Y-122864761D01*
X117832143Y-122912380D01*
X117879762Y-122959999D01*
X117927381Y-123055237D01*
X117927381Y-123150476D01*
X117879762Y-123245714D01*
X117832143Y-123293333D01*
X117736905Y-123340952D01*
X117546429Y-123388571D01*
X117308333Y-123388571D01*
X117117857Y-123340952D01*
X117022619Y-123293333D01*
X116975000Y-123245714D01*
X116927381Y-123150476D01*
X117022619Y-122436190D02*
X116975000Y-122388571D01*
X116927381Y-122293333D01*
X116927381Y-122055237D01*
X116975000Y-121959999D01*
X117022619Y-121912380D01*
X117117857Y-121864761D01*
X117213095Y-121864761D01*
X117355952Y-121912380D01*
X117927381Y-122483809D01*
X117927381Y-121864761D01*
X105283095Y-118832381D02*
X105283095Y-119641905D01*
X105330714Y-119737143D01*
X105378333Y-119784762D01*
X105473571Y-119832381D01*
X105664048Y-119832381D01*
X105759286Y-119784762D01*
X105806905Y-119737143D01*
X105854524Y-119641905D01*
X105854524Y-118832381D01*
X106759286Y-119165714D02*
X106759286Y-119832381D01*
X106521190Y-118784762D02*
X106283095Y-119499048D01*
X106902143Y-119499048D01*
X105497381Y-127102857D02*
X105497381Y-126436190D01*
X106497381Y-126864762D01*
X105830714Y-125626666D02*
X106497381Y-125626666D01*
X105449762Y-125864762D02*
X106164048Y-126102857D01*
X106164048Y-125483809D01*
X106497381Y-124626666D02*
X106497381Y-125102857D01*
X105497381Y-125102857D01*
X106449762Y-124340952D02*
X106497381Y-124198095D01*
X106497381Y-123959999D01*
X106449762Y-123864761D01*
X106402143Y-123817142D01*
X106306905Y-123769523D01*
X106211667Y-123769523D01*
X106116429Y-123817142D01*
X106068810Y-123864761D01*
X106021190Y-123959999D01*
X105973571Y-124150476D01*
X105925952Y-124245714D01*
X105878333Y-124293333D01*
X105783095Y-124340952D01*
X105687857Y-124340952D01*
X105592619Y-124293333D01*
X105545000Y-124245714D01*
X105497381Y-124150476D01*
X105497381Y-123912380D01*
X105545000Y-123769523D01*
X105497381Y-123150476D02*
X105497381Y-123055237D01*
X105545000Y-122959999D01*
X105592619Y-122912380D01*
X105687857Y-122864761D01*
X105878333Y-122817142D01*
X106116429Y-122817142D01*
X106306905Y-122864761D01*
X106402143Y-122912380D01*
X106449762Y-122959999D01*
X106497381Y-123055237D01*
X106497381Y-123150476D01*
X106449762Y-123245714D01*
X106402143Y-123293333D01*
X106306905Y-123340952D01*
X106116429Y-123388571D01*
X105878333Y-123388571D01*
X105687857Y-123340952D01*
X105592619Y-123293333D01*
X105545000Y-123245714D01*
X105497381Y-123150476D01*
X105592619Y-122436190D02*
X105545000Y-122388571D01*
X105497381Y-122293333D01*
X105497381Y-122055237D01*
X105545000Y-121959999D01*
X105592619Y-121912380D01*
X105687857Y-121864761D01*
X105783095Y-121864761D01*
X105925952Y-121912380D01*
X106497381Y-122483809D01*
X106497381Y-121864761D01*
X93853095Y-118832381D02*
X93853095Y-119641905D01*
X93900714Y-119737143D01*
@ -3180,4 +3012,211 @@ X95210239Y-113482381D01*
X94972143Y-113482381D01*
X94876905Y-113434762D01*
X94829286Y-113387143D01*
X116713095Y-113962381D02*
X116713095Y-114771905D01*
X116760714Y-114867143D01*
X116808333Y-114914762D01*
X116903571Y-114962381D01*
X117094048Y-114962381D01*
X117189286Y-114914762D01*
X117236905Y-114867143D01*
X117284524Y-114771905D01*
X117284524Y-113962381D01*
X117665476Y-113962381D02*
X118284524Y-113962381D01*
X117951190Y-114343333D01*
X118094048Y-114343333D01*
X118189286Y-114390952D01*
X118236905Y-114438571D01*
X118284524Y-114533810D01*
X118284524Y-114771905D01*
X118236905Y-114867143D01*
X118189286Y-114914762D01*
X118094048Y-114962381D01*
X117808333Y-114962381D01*
X117713095Y-114914762D01*
X117665476Y-114867143D01*
X116927381Y-127102857D02*
X116927381Y-126436190D01*
X117927381Y-126864762D01*
X117260714Y-125626666D02*
X117927381Y-125626666D01*
X116879762Y-125864762D02*
X117594048Y-126102857D01*
X117594048Y-125483809D01*
X117927381Y-124626666D02*
X117927381Y-125102857D01*
X116927381Y-125102857D01*
X117879762Y-124340952D02*
X117927381Y-124198095D01*
X117927381Y-123959999D01*
X117879762Y-123864761D01*
X117832143Y-123817142D01*
X117736905Y-123769523D01*
X117641667Y-123769523D01*
X117546429Y-123817142D01*
X117498810Y-123864761D01*
X117451190Y-123959999D01*
X117403571Y-124150476D01*
X117355952Y-124245714D01*
X117308333Y-124293333D01*
X117213095Y-124340952D01*
X117117857Y-124340952D01*
X117022619Y-124293333D01*
X116975000Y-124245714D01*
X116927381Y-124150476D01*
X116927381Y-123912380D01*
X116975000Y-123769523D01*
X116927381Y-123150476D02*
X116927381Y-123055237D01*
X116975000Y-122959999D01*
X117022619Y-122912380D01*
X117117857Y-122864761D01*
X117308333Y-122817142D01*
X117546429Y-122817142D01*
X117736905Y-122864761D01*
X117832143Y-122912380D01*
X117879762Y-122959999D01*
X117927381Y-123055237D01*
X117927381Y-123150476D01*
X117879762Y-123245714D01*
X117832143Y-123293333D01*
X117736905Y-123340952D01*
X117546429Y-123388571D01*
X117308333Y-123388571D01*
X117117857Y-123340952D01*
X117022619Y-123293333D01*
X116975000Y-123245714D01*
X116927381Y-123150476D01*
X117355952Y-122245714D02*
X117308333Y-122340952D01*
X117260714Y-122388571D01*
X117165476Y-122436190D01*
X117117857Y-122436190D01*
X117022619Y-122388571D01*
X116975000Y-122340952D01*
X116927381Y-122245714D01*
X116927381Y-122055237D01*
X116975000Y-121959999D01*
X117022619Y-121912380D01*
X117117857Y-121864761D01*
X117165476Y-121864761D01*
X117260714Y-121912380D01*
X117308333Y-121959999D01*
X117355952Y-122055237D01*
X117355952Y-122245714D01*
X117403571Y-122340952D01*
X117451190Y-122388571D01*
X117546429Y-122436190D01*
X117736905Y-122436190D01*
X117832143Y-122388571D01*
X117879762Y-122340952D01*
X117927381Y-122245714D01*
X117927381Y-122055237D01*
X117879762Y-121959999D01*
X117832143Y-121912380D01*
X117736905Y-121864761D01*
X117546429Y-121864761D01*
X117451190Y-121912380D01*
X117403571Y-121959999D01*
X117355952Y-122055237D01*
X105283095Y-113962381D02*
X105283095Y-114771905D01*
X105330714Y-114867143D01*
X105378333Y-114914762D01*
X105473571Y-114962381D01*
X105664048Y-114962381D01*
X105759286Y-114914762D01*
X105806905Y-114867143D01*
X105854524Y-114771905D01*
X105854524Y-113962381D01*
X106759286Y-114295714D02*
X106759286Y-114962381D01*
X106521190Y-113914762D02*
X106283095Y-114629048D01*
X106902143Y-114629048D01*
X105497381Y-127102857D02*
X105497381Y-126436190D01*
X106497381Y-126864762D01*
X105830714Y-125626666D02*
X106497381Y-125626666D01*
X105449762Y-125864762D02*
X106164048Y-126102857D01*
X106164048Y-125483809D01*
X106497381Y-124626666D02*
X106497381Y-125102857D01*
X105497381Y-125102857D01*
X106449762Y-124340952D02*
X106497381Y-124198095D01*
X106497381Y-123959999D01*
X106449762Y-123864761D01*
X106402143Y-123817142D01*
X106306905Y-123769523D01*
X106211667Y-123769523D01*
X106116429Y-123817142D01*
X106068810Y-123864761D01*
X106021190Y-123959999D01*
X105973571Y-124150476D01*
X105925952Y-124245714D01*
X105878333Y-124293333D01*
X105783095Y-124340952D01*
X105687857Y-124340952D01*
X105592619Y-124293333D01*
X105545000Y-124245714D01*
X105497381Y-124150476D01*
X105497381Y-123912380D01*
X105545000Y-123769523D01*
X105497381Y-123150476D02*
X105497381Y-123055237D01*
X105545000Y-122959999D01*
X105592619Y-122912380D01*
X105687857Y-122864761D01*
X105878333Y-122817142D01*
X106116429Y-122817142D01*
X106306905Y-122864761D01*
X106402143Y-122912380D01*
X106449762Y-122959999D01*
X106497381Y-123055237D01*
X106497381Y-123150476D01*
X106449762Y-123245714D01*
X106402143Y-123293333D01*
X106306905Y-123340952D01*
X106116429Y-123388571D01*
X105878333Y-123388571D01*
X105687857Y-123340952D01*
X105592619Y-123293333D01*
X105545000Y-123245714D01*
X105497381Y-123150476D01*
X105925952Y-122245714D02*
X105878333Y-122340952D01*
X105830714Y-122388571D01*
X105735476Y-122436190D01*
X105687857Y-122436190D01*
X105592619Y-122388571D01*
X105545000Y-122340952D01*
X105497381Y-122245714D01*
X105497381Y-122055237D01*
X105545000Y-121959999D01*
X105592619Y-121912380D01*
X105687857Y-121864761D01*
X105735476Y-121864761D01*
X105830714Y-121912380D01*
X105878333Y-121959999D01*
X105925952Y-122055237D01*
X105925952Y-122245714D01*
X105973571Y-122340952D01*
X106021190Y-122388571D01*
X106116429Y-122436190D01*
X106306905Y-122436190D01*
X106402143Y-122388571D01*
X106449762Y-122340952D01*
X106497381Y-122245714D01*
X106497381Y-122055237D01*
X106449762Y-121959999D01*
X106402143Y-121912380D01*
X106306905Y-121864761D01*
X106116429Y-121864761D01*
X106021190Y-121912380D01*
X105973571Y-121959999D01*
X105925952Y-122055237D01*
M02*

View File

@ -1,5 +1,5 @@
M48
;DRILL file {KiCad 4.0.7} date 12/22/18 18:54:56
;DRILL file {KiCad 4.0.7} date 02/16/19 22:22:28
;FORMAT={2:4/ absolute / inch / suppress leading zeros}
FMAT,2
INCH,TZ
@ -18,27 +18,26 @@ X38000Y-50750
X38500Y-43250
X38750Y-43000
X38750Y-43500
X41250Y-46750
X41250Y-48750
X41500Y-57000
X41750Y-41500
X41750Y-51250
X41750Y-51750
X41750Y-56500
X42500Y-57000
X42750Y-56250
X43250Y-43500
X43500Y-53250
X43500Y-57000
X43750Y-41750
X43750Y-53000
X43750Y-56000
X44000Y-46250
X44000Y-47500
X44500Y-53000
X44500Y-57000
X44750Y-55750
X45000Y-42000
X45500Y-57000
X45750Y-50250
X45750Y-55500
X46000Y-42250
X46500Y-49000
X46500Y-57000
X46750Y-55250
X47000Y-42500

Binary file not shown.

View File

@ -0,0 +1,292 @@
<?xml version="1.0" encoding="utf-8"?>
<lc:CircuitProject xmlns:lc="http://LogicCircuit.net/2.0.0.7/CircuitProject.xsd">
<lc:Project>
<lc:ProjectId>e2858e53-633b-4ea1-9906-92d19996a757</lc:ProjectId>
<lc:Frequency>5</lc:Frequency>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
</lc:Project>
<lc:LogicalCircuit>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:Notation>Main</lc:Notation>
</lc:LogicalCircuit>
<lc:CircuitButton>
<lc:CircuitButtonId>24309f14-173b-4d6b-8579-d6c26cb2292e</lc:CircuitButtonId>
<lc:Notation>o0</lc:Notation>
<lc:IsToggle>True</lc:IsToggle>
<lc:Note>74LS138</lc:Note>
</lc:CircuitButton>
<lc:CircuitButton>
<lc:CircuitButtonId>c081f7b9-d9ff-4661-bf89-7c6f00ec13a0</lc:CircuitButtonId>
<lc:Notation>o1</lc:Notation>
<lc:IsToggle>True</lc:IsToggle>
<lc:Note>74LS138</lc:Note>
</lc:CircuitButton>
<lc:CircuitButton>
<lc:CircuitButtonId>976cffb4-3800-4eba-a78b-3e2c7a5555cb</lc:CircuitButtonId>
<lc:Notation>o2</lc:Notation>
<lc:IsToggle>True</lc:IsToggle>
<lc:Note>74LS138</lc:Note>
</lc:CircuitButton>
<lc:CircuitButton>
<lc:CircuitButtonId>6e143c53-a036-4b71-8ebb-a4ab8ecbf45e</lc:CircuitButtonId>
<lc:Notation>o3</lc:Notation>
<lc:IsToggle>True</lc:IsToggle>
<lc:Note>74LS138</lc:Note>
</lc:CircuitButton>
<lc:CircuitButton>
<lc:CircuitButtonId>d72d91ce-bccb-4dfe-a6b8-2769e5aeb7b7</lc:CircuitButtonId>
<lc:Notation>o4</lc:Notation>
<lc:IsToggle>True</lc:IsToggle>
<lc:Note>74LS138</lc:Note>
</lc:CircuitButton>
<lc:CircuitButton>
<lc:CircuitButtonId>fa0314fe-98a2-4cb7-b4cd-6e1c8347fdae</lc:CircuitButtonId>
<lc:Notation>o5</lc:Notation>
<lc:IsToggle>True</lc:IsToggle>
<lc:Note>74LS138</lc:Note>
</lc:CircuitButton>
<lc:CircuitButton>
<lc:CircuitButtonId>c6fe9ae3-39e2-4414-8f07-10a69cc38764</lc:CircuitButtonId>
<lc:Notation>o6</lc:Notation>
<lc:IsToggle>True</lc:IsToggle>
<lc:Note>74LS138</lc:Note>
</lc:CircuitButton>
<lc:CircuitButton>
<lc:CircuitButtonId>6bd9edd3-a4b7-4ef2-b5d5-28ec16cb4771</lc:CircuitButtonId>
<lc:Notation>o7</lc:Notation>
<lc:IsToggle>True</lc:IsToggle>
<lc:Note>74LS138</lc:Note>
</lc:CircuitButton>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>66bc8881-d43a-49b1-bb2d-adfccb5b4bf1</lc:CircuitSymbolId>
<lc:CircuitId>24309f14-173b-4d6b-8579-d6c26cb2292e</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>8</lc:X>
<lc:Y>17</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>f1fc12bd-8e8d-4f03-a59c-1fb5f5a86690</lc:CircuitSymbolId>
<lc:CircuitId>c081f7b9-d9ff-4661-bf89-7c6f00ec13a0</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>8</lc:X>
<lc:Y>19</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>43a75fda-ae4c-40b9-a901-668fd1a26174</lc:CircuitSymbolId>
<lc:CircuitId>976cffb4-3800-4eba-a78b-3e2c7a5555cb</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>8</lc:X>
<lc:Y>21</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>beaeb2cd-bea9-4ad0-9c87-8b74222267db</lc:CircuitSymbolId>
<lc:CircuitId>6e143c53-a036-4b71-8ebb-a4ab8ecbf45e</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>8</lc:X>
<lc:Y>23</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>d4778870-a06c-41a8-b430-4aea194a5a7d</lc:CircuitSymbolId>
<lc:CircuitId>d72d91ce-bccb-4dfe-a6b8-2769e5aeb7b7</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>8</lc:X>
<lc:Y>25</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>fed0012a-7eb7-433d-a446-da55ede21c9b</lc:CircuitSymbolId>
<lc:CircuitId>fa0314fe-98a2-4cb7-b4cd-6e1c8347fdae</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>8</lc:X>
<lc:Y>27</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>0b0de7ea-58f7-4be2-a882-3adf07aaff5e</lc:CircuitSymbolId>
<lc:CircuitId>c6fe9ae3-39e2-4414-8f07-10a69cc38764</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>8</lc:X>
<lc:Y>29</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>c3e9e1ab-b055-4106-b195-76c2fae4f1c1</lc:CircuitSymbolId>
<lc:CircuitId>6bd9edd3-a4b7-4ef2-b5d5-28ec16cb4771</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>8</lc:X>
<lc:Y>31</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>90401414-4a5b-48f6-aba4-29798003a671</lc:CircuitSymbolId>
<lc:CircuitId>00000000-0000-0000-0000-000000080100</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>34</lc:X>
<lc:Y>24</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>1091c8b3-4592-4c29-acc5-ec4548489ba2</lc:CircuitSymbolId>
<lc:CircuitId>00000000-0000-0000-0000-000000040200</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>12</lc:X>
<lc:Y>17</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>86ceed8c-32ed-466a-b2e7-a195d7451cac</lc:CircuitSymbolId>
<lc:CircuitId>00000000-0000-0000-0000-000000040200</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>12</lc:X>
<lc:Y>21</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>0f1208a5-cd8b-4f7c-b6ad-15f6aa5b8baa</lc:CircuitSymbolId>
<lc:CircuitId>00000000-0000-0000-0000-000000040200</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>12</lc:X>
<lc:Y>25</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>e4e7c785-0aa4-4229-926d-475bd55affe2</lc:CircuitSymbolId>
<lc:CircuitId>00000000-0000-0000-0000-000000040200</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>12</lc:X>
<lc:Y>29</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>f10b0bd5-52b7-42b3-a038-976a7a48d315</lc:CircuitSymbolId>
<lc:CircuitId>00000000-0000-0000-0000-000000040200</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>18</lc:X>
<lc:Y>19</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>3840519d-949b-40b9-a187-4f5ce9b48e6e</lc:CircuitSymbolId>
<lc:CircuitId>00000000-0000-0000-0000-000000040200</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>18</lc:X>
<lc:Y>27</lc:Y>
</lc:CircuitSymbol>
<lc:CircuitSymbol>
<lc:CircuitSymbolId>b9c28f03-5d26-4e75-80f1-05190cd700b6</lc:CircuitSymbolId>
<lc:CircuitId>00000000-0000-0000-0000-000000040200</lc:CircuitId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X>24</lc:X>
<lc:Y>23</lc:Y>
</lc:CircuitSymbol>
<lc:Wire>
<lc:WireId>5556560f-ac31-4218-ac9b-7098a42dd5c1</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>10</lc:X1>
<lc:Y1>18</lc:Y1>
<lc:X2>12</lc:X2>
<lc:Y2>18</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>43a71b74-c818-4316-b5e7-8cecfa678fcc</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>12</lc:X1>
<lc:Y1>20</lc:Y1>
<lc:X2>10</lc:X2>
<lc:Y2>20</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>4734d674-a998-4cc6-9c0d-341dced7feb3</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>10</lc:X1>
<lc:Y1>22</lc:Y1>
<lc:X2>12</lc:X2>
<lc:Y2>22</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>a55801ea-2b13-44d9-a348-c554031ffc08</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>10</lc:X1>
<lc:Y1>24</lc:Y1>
<lc:X2>12</lc:X2>
<lc:Y2>24</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>03efb692-429a-444a-90ae-622ea158ab86</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>10</lc:X1>
<lc:Y1>26</lc:Y1>
<lc:X2>12</lc:X2>
<lc:Y2>26</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>f03d4ba0-361d-4054-9af9-c32cf9010dba</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>10</lc:X1>
<lc:Y1>28</lc:Y1>
<lc:X2>12</lc:X2>
<lc:Y2>28</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>a315b5bf-50cd-419d-94cf-ffee33e7dd79</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>10</lc:X1>
<lc:Y1>30</lc:Y1>
<lc:X2>12</lc:X2>
<lc:Y2>30</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>e63146a5-5928-416c-a8c1-697caa67ed0d</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>10</lc:X1>
<lc:Y1>32</lc:Y1>
<lc:X2>12</lc:X2>
<lc:Y2>32</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>dd75d8b4-3b10-4399-9f04-bed560a3ef87</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>15</lc:X1>
<lc:Y1>19</lc:Y1>
<lc:X2>18</lc:X2>
<lc:Y2>20</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>e5ea3545-6f3c-49ac-9b92-c4a191c33d41</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>15</lc:X1>
<lc:Y1>23</lc:Y1>
<lc:X2>18</lc:X2>
<lc:Y2>22</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>b72bce66-de0f-4a6e-b677-e19c4d96d5e4</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>15</lc:X1>
<lc:Y1>27</lc:Y1>
<lc:X2>18</lc:X2>
<lc:Y2>28</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>d8fc11f2-e0e7-4dfa-9db9-dbe801c79da2</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>15</lc:X1>
<lc:Y1>31</lc:Y1>
<lc:X2>18</lc:X2>
<lc:Y2>30</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>57a21c69-fdf9-468e-93ae-9626b3953ff7</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>21</lc:X1>
<lc:Y1>21</lc:Y1>
<lc:X2>24</lc:X2>
<lc:Y2>24</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>6ced7461-3aa8-4e1b-b04e-27d9b804056c</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>21</lc:X1>
<lc:Y1>29</lc:Y1>
<lc:X2>24</lc:X2>
<lc:Y2>26</lc:Y2>
</lc:Wire>
<lc:Wire>
<lc:WireId>7609af20-bea7-4499-b4fe-0313a5c93489</lc:WireId>
<lc:LogicalCircuitId>6b089f68-0f35-4d52-ae80-249657a4fd04</lc:LogicalCircuitId>
<lc:X1>27</lc:X1>
<lc:Y1>25</lc:Y1>
<lc:X2>34</lc:X2>
<lc:Y2>25</lc:Y2>
</lc:Wire>
</lc:CircuitProject>