diff --git a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC-cache.lib b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC-cache.lib index 07dd81f..db7ae73 100644 --- a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC-cache.lib +++ b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC-cache.lib @@ -41,6 +41,58 @@ X D7 19 700 200 300 L 50 50 1 1 T ENDDRAW ENDDEF # +# 6502 +# +DEF 6502 U 0 40 Y Y 1 F N +F0 "U" 0 -1150 60 H V C CNN +F1 "6502" 0 -100 60 V V C CNN +F2 "" -500 200 60 H V C CNN +F3 "" -500 200 60 H V C CNN +DRAW +S -350 1000 350 -1050 0 1 0 N +X ~VP 1 -650 900 300 R 50 50 1 1 O +X RDY 2 -650 800 300 R 50 50 1 1 B +X PHI1out 3 -650 700 300 R 50 50 1 1 O +X ~IRQ 4 -650 600 300 R 50 50 1 1 I +X ~ML 5 -650 500 300 R 50 50 1 1 O +X ~NMI 6 -650 400 300 R 50 50 1 1 I +X SYNC 7 -650 300 300 R 50 50 1 1 O +X VCC 8 -650 200 300 R 50 50 1 1 W +X A0 9 -650 100 300 R 50 50 1 1 O +X A1 10 -650 0 300 R 50 50 1 1 O +X A11 20 -650 -1000 300 R 50 50 1 1 O +X D3 30 650 -100 300 L 50 50 1 1 T +X ~RESET 40 650 900 300 L 50 50 1 1 I +X A2 11 -650 -100 300 R 50 50 1 1 O +X GND 21 650 -1000 300 L 50 50 1 1 W +X D2 31 650 0 300 L 50 50 1 1 T +X A3 12 -650 -200 300 R 50 50 1 1 O +X A12 22 650 -900 300 L 50 50 1 1 O +X D1 32 650 100 300 L 50 50 1 1 T +X A4 13 -650 -300 300 R 50 50 1 1 O +X A13 23 650 -800 300 L 50 50 1 1 O +X D0 33 650 200 300 L 50 50 1 1 T +X A5 14 -650 -400 300 R 50 50 1 1 O +X A14 24 650 -700 300 L 50 50 1 1 O +X R/~W 34 650 300 300 L 50 50 1 1 O +X A6 15 -650 -500 300 R 50 50 1 1 O +X A15 25 650 -600 300 L 50 50 1 1 O +X NC 35 650 400 300 L 50 50 1 1 N +X A7 16 -650 -600 300 R 50 50 1 1 O +X D7 26 650 -500 300 L 50 50 1 1 T +X BE 36 650 500 300 L 50 50 1 1 I +X A8 17 -650 -700 300 R 50 50 1 1 O +X D6 27 650 -400 300 L 50 50 1 1 T +X PHI2 37 650 600 300 L 50 50 1 1 I +X A9 18 -650 -800 300 R 50 50 1 1 O +X D5 28 650 -300 300 L 50 50 1 1 T +X ~SO 38 650 700 300 L 50 50 1 1 I +X A10 19 -650 -900 300 R 50 50 1 1 O +X D4 29 650 -200 300 L 50 50 1 1 T +X PHI2out 39 650 800 300 L 50 50 1 1 O +ENDDRAW +ENDDEF +# # 74LS00 # DEF 74LS00 U 0 30 Y Y 4 F N @@ -258,102 +310,6 @@ X P2 2 -200 -50 150 R 50 50 1 1 P ENDDRAW ENDDEF # -# CONN_01X39 -# -DEF CONN_01X39 J 0 40 Y N 1 F N -F0 "J" 0 2000 50 H V C CNN -F1 "CONN_01X39" 100 0 50 V V C CNN -F2 "" 0 0 50 H I C CNN -F3 "" 0 0 50 H I C CNN -$FPLIST - Pin_Header_Straight_1X* - Pin_Header_Angled_1X* - Socket_Strip_Straight_1X* - Socket_Strip_Angled_1X* -$ENDFPLIST -DRAW -S -50 -1950 50 1950 0 1 0 N -S -50 -1895 10 -1905 0 1 0 N -S -50 -1795 10 -1805 0 1 0 N -S -50 -1695 10 -1705 0 1 0 N -S -50 -1595 10 -1605 0 1 0 N -S -50 -1495 10 -1505 0 1 0 N -S -50 -1395 10 -1405 0 1 0 N -S -50 -1295 10 -1305 0 1 0 N -S -50 -1195 10 -1205 0 1 0 N -S -50 -1095 10 -1105 0 1 0 N -S -50 -995 10 -1005 0 1 0 N -S -50 -895 10 -905 0 1 0 N -S -50 -795 10 -805 0 1 0 N -S -50 -695 10 -705 0 1 0 N -S -50 -595 10 -605 0 1 0 N -S -50 -495 10 -505 0 1 0 N -S -50 -395 10 -405 0 1 0 N -S -50 -295 10 -305 0 1 0 N -S -50 -195 10 -205 0 1 0 N -S -50 -95 10 -105 0 1 0 N -S -50 5 10 -5 0 1 0 N -S -50 105 10 95 0 1 0 N -S -50 205 10 195 0 1 0 N -S -50 305 10 295 0 1 0 N -S -50 405 10 395 0 1 0 N -S -50 505 10 495 0 1 0 N -S -50 605 10 595 0 1 0 N -S -50 705 10 695 0 1 0 N -S -50 805 10 795 0 1 0 N -S -50 905 10 895 0 1 0 N -S -50 1005 10 995 0 1 0 N -S -50 1105 10 1095 0 1 0 N -S -50 1205 10 1195 0 1 0 N -S -50 1305 10 1295 0 1 0 N -S -50 1405 10 1395 0 1 0 N -S -50 1505 10 1495 0 1 0 N -S -50 1605 10 1595 0 1 0 N -S -50 1705 10 1695 0 1 0 N -S -50 1805 10 1795 0 1 0 N -S -50 1905 10 1895 0 1 0 N -X P1 1 -200 1900 150 R 50 50 1 1 P -X P2 2 -200 1800 150 R 50 50 1 1 P -X P3 3 -200 1700 150 R 50 50 1 1 P -X P4 4 -200 1600 150 R 50 50 1 1 P -X P5 5 -200 1500 150 R 50 50 1 1 P -X P6 6 -200 1400 150 R 50 50 1 1 P -X P7 7 -200 1300 150 R 50 50 1 1 P -X P8 8 -200 1200 150 R 50 50 1 1 P -X P9 9 -200 1100 150 R 50 50 1 1 P -X P10 10 -200 1000 150 R 50 50 1 1 P -X P20 20 -200 0 150 R 50 50 1 1 P -X P30 30 -200 -1000 150 R 50 50 1 1 P -X P11 11 -200 900 150 R 50 50 1 1 P -X P21 21 -200 -100 150 R 50 50 1 1 P -X P31 31 -200 -1100 150 R 50 50 1 1 P -X P12 12 -200 800 150 R 50 50 1 1 P -X P22 22 -200 -200 150 R 50 50 1 1 P -X P32 32 -200 -1200 150 R 50 50 1 1 P -X P13 13 -200 700 150 R 50 50 1 1 P -X P23 23 -200 -300 150 R 50 50 1 1 P -X P33 33 -200 -1300 150 R 50 50 1 1 P -X P14 14 -200 600 150 R 50 50 1 1 P -X P24 24 -200 -400 150 R 50 50 1 1 P -X P34 34 -200 -1400 150 R 50 50 1 1 P -X P15 15 -200 500 150 R 50 50 1 1 P -X P25 25 -200 -500 150 R 50 50 1 1 P -X P35 35 -200 -1500 150 R 50 50 1 1 P -X P16 16 -200 400 150 R 50 50 1 1 P -X P26 26 -200 -600 150 R 50 50 1 1 P -X P36 36 -200 -1600 150 R 50 50 1 1 P -X P17 17 -200 300 150 R 50 50 1 1 P -X P27 27 -200 -700 150 R 50 50 1 1 P -X P37 37 -200 -1700 150 R 50 50 1 1 P -X P18 18 -200 200 150 R 50 50 1 1 P -X P28 28 -200 -800 150 R 50 50 1 1 P -X P38 38 -200 -1800 150 R 50 50 1 1 P -X P19 19 -200 100 150 R 50 50 1 1 P -X P29 29 -200 -900 150 R 50 50 1 1 P -X P39 39 -200 -1900 150 R 50 50 1 1 P -ENDDRAW -ENDDEF -# # CP # DEF CP C 0 10 N Y 1 F N @@ -398,6 +354,19 @@ X Vcc 14 0 300 100 D 50 50 1 1 W ENDDRAW ENDDEF # +# GND +# +DEF GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# # HM62256BLP-7 # DEF HM62256BLP-7 U 0 40 Y Y 1 F N @@ -525,6 +494,58 @@ X DIS 7 500 0 150 L 50 50 1 1 I ENDDRAW ENDDEF # +# MC6821 +# +DEF MC6821 U 0 40 Y Y 1 F N +F0 "U" 0 100 50 H V C CNN +F1 "MC6821" 0 -100 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -350 1250 350 -1425 0 1 0 N +X GND 1 0 -1525 100 U 50 50 1 1 W +X PA0 2 650 1150 300 L 50 50 1 1 I +X PA1 3 650 1050 300 L 50 50 1 1 I +X PA2 4 650 950 300 L 50 50 1 1 I +X PA3 5 650 850 300 L 50 50 1 1 I +X PA4 6 650 750 300 L 50 50 1 1 I +X PA5 7 650 650 300 L 50 50 1 1 I +X PA6 8 650 550 300 L 50 50 1 1 I +X PA7 9 650 450 300 L 50 50 1 1 I +X PB0 10 650 -150 300 L 50 50 1 1 I +X VCC 20 0 1350 100 D 50 50 1 1 W +X D3 30 -650 -450 300 R 50 50 1 1 I +X CA1 40 650 250 300 L 50 50 1 1 B +X PB1 11 650 -250 300 L 50 50 1 1 I +X R/W 21 -650 550 300 R 50 50 1 1 I +X D2 31 -650 -350 300 R 50 50 1 1 I +X PB2 12 650 -350 300 L 50 50 1 1 I +X CS0 22 -650 950 300 R 50 50 1 1 I +X D1 32 -650 -250 300 R 50 50 1 1 I +X PB3 13 650 -450 300 L 50 50 1 1 I +X ~CS2 23 -650 1150 300 R 50 50 1 1 I I +X D0 33 -650 -150 300 R 50 50 1 1 I +X PB4 14 650 -550 300 L 50 50 1 1 I +X CS1 24 -650 1050 300 R 50 50 1 1 I +X ~RESET 34 -650 450 300 R 50 50 1 1 I I +X PB5 15 650 -650 300 L 50 50 1 1 I +X E 25 -650 650 300 R 50 50 1 1 I +X RS1 35 -650 750 300 R 50 50 1 1 I +X PB6 16 650 -750 300 L 50 50 1 1 I +X D7 26 -650 -850 300 R 50 50 1 1 I +X RS0 36 -650 850 300 R 50 50 1 1 I +X PB7 17 650 -850 300 L 50 50 1 1 I +X D6 27 -650 -750 300 R 50 50 1 1 I +X IRQB 37 -650 150 300 R 50 50 1 1 C I +X CB1 18 650 -1050 300 L 50 50 1 1 B +X D5 28 -650 -650 300 R 50 50 1 1 I +X IRQA 38 -650 250 300 R 50 50 1 1 C I +X CB2 19 650 -1150 300 L 50 50 1 1 B +X D4 29 -650 -550 300 R 50 50 1 1 I +X CA2 39 650 150 300 L 50 50 1 1 B +ENDDRAW +ENDDEF +# # MCP23S17 # DEF MCP23S17 U 0 40 Y Y 1 F N @@ -588,6 +609,139 @@ X ~ 2 0 -150 50 U 50 50 1 1 P ENDDRAW ENDDEF # +# RC6502_Backplane +# +DEF RC6502_Backplane J 0 40 Y N 1 F N +F0 "J" 0 2000 50 H V C CNN +F1 "RC6502_Backplane" 450 -50 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +T 0 100 400 60 0 0 0 A0 Normal 0 L C +T 0 100 500 60 0 0 0 A1 Normal 0 L C +T 0 100 1400 60 0 0 0 A10 Normal 0 L C +T 0 100 1500 60 0 0 0 A11 Normal 0 L C +T 0 100 1600 60 0 0 0 A12 Normal 0 L C +T 0 100 1700 60 0 0 0 A13 Normal 0 L C +T 0 100 1800 60 0 0 0 A14 Normal 0 L C +T 0 100 1900 60 0 0 0 A15 Normal 0 L C +T 0 100 600 60 0 0 0 A2 Normal 0 L C +T 0 100 700 60 0 0 0 A3 Normal 0 L C +T 0 100 800 60 0 0 0 A4 Normal 0 L C +T 0 100 900 60 0 0 0 A5 Normal 0 L C +T 0 100 1000 60 0 0 0 A6 Normal 0 L C +T 0 100 1100 60 0 0 0 A7 Normal 0 L C +T 0 100 1200 60 0 0 0 A8 Normal 0 L C +T 0 100 1300 60 0 0 0 A9 Normal 0 L C +T 0 100 -700 60 0 0 0 D0 Normal 0 L C +T 0 100 -800 60 0 0 0 D1 Normal 0 L C +T 0 100 -900 60 0 0 0 D2 Normal 0 L C +T 0 100 -1000 60 0 0 0 D3 Normal 0 L C +T 0 100 -1100 60 0 0 0 D4 Normal 0 L C +T 0 100 -1200 60 0 0 0 D5 Normal 0 L C +T 0 100 -1300 60 0 0 0 D6 Normal 0 L C +T 0 100 -1400 60 0 0 0 D7 Normal 0 L C +T 0 100 300 60 0 0 0 GND Normal 0 L C +T 0 100 -200 60 0 0 0 IRQ Normal 0 L C +T 0 100 -1700 60 0 0 0 NMI Normal 0 L C +T 0 100 -100 60 0 0 0 PHI0 Normal 0 L C +T 0 100 -300 60 0 0 0 PHI1 Normal 0 L C +T 0 100 100 60 0 0 0 PHI2 Normal 0 L C +T 0 100 -500 60 0 0 0 RDY Normal 0 L C +T 0 100 0 60 0 0 0 RESET Normal 0 L C +T 0 100 -400 60 0 0 0 RW Normal 0 L C +T 0 100 -1600 60 0 0 0 RX Normal 0 L C +T 0 100 -600 60 0 0 0 SYNC Normal 0 L C +T 0 100 -1500 60 0 0 0 TX Normal 0 L C +T 0 100 200 60 0 0 0 VCC Normal 0 L C +S -50 -1950 50 1950 0 1 0 N +S -50 -1895 10 -1905 0 1 0 N +S -50 -1795 10 -1805 0 1 0 N +S -50 -1695 10 -1705 0 1 0 N +S -50 -1595 10 -1605 0 1 0 N +S -50 -1495 10 -1505 0 1 0 N +S -50 -1395 10 -1405 0 1 0 N +S -50 -1295 10 -1305 0 1 0 N +S -50 -1195 10 -1205 0 1 0 N +S -50 -1095 10 -1105 0 1 0 N +S -50 -995 10 -1005 0 1 0 N +S -50 -895 10 -905 0 1 0 N +S -50 -795 10 -805 0 1 0 N +S -50 -695 10 -705 0 1 0 N +S -50 -595 10 -605 0 1 0 N +S -50 -495 10 -505 0 1 0 N +S -50 -395 10 -405 0 1 0 N +S -50 -295 10 -305 0 1 0 N +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 305 10 295 0 1 0 N +S -50 405 10 395 0 1 0 N +S -50 505 10 495 0 1 0 N +S -50 605 10 595 0 1 0 N +S -50 705 10 695 0 1 0 N +S -50 805 10 795 0 1 0 N +S -50 905 10 895 0 1 0 N +S -50 1005 10 995 0 1 0 N +S -50 1105 10 1095 0 1 0 N +S -50 1205 10 1195 0 1 0 N +S -50 1305 10 1295 0 1 0 N +S -50 1405 10 1395 0 1 0 N +S -50 1505 10 1495 0 1 0 N +S -50 1605 10 1595 0 1 0 N +S -50 1705 10 1695 0 1 0 N +S -50 1805 10 1795 0 1 0 N +S -50 1905 10 1895 0 1 0 N +X A15 1 -200 1900 150 R 50 50 1 1 B +X A14 2 -200 1800 150 R 50 50 1 1 B +X A13 3 -200 1700 150 R 50 50 1 1 B +X A12 4 -200 1600 150 R 50 50 1 1 B +X A11 5 -200 1500 150 R 50 50 1 1 B +X A10 6 -200 1400 150 R 50 50 1 1 B +X A9 7 -200 1300 150 R 50 50 1 1 B +X A8 8 -200 1200 150 R 50 50 1 1 B +X A7 9 -200 1100 150 R 50 50 1 1 B +X A6 10 -200 1000 150 R 50 50 1 1 B +X RESET 20 -200 0 150 R 50 50 1 1 B +X D3 30 -200 -1000 150 R 50 50 1 1 B +X A5 11 -200 900 150 R 50 50 1 1 B +X PHI0 21 -200 -100 150 R 50 50 1 1 B C +X D4 31 -200 -1100 150 R 50 50 1 1 B +X A4 12 -200 800 150 R 50 50 1 1 B +X IRQ 22 -200 -200 150 R 50 50 1 1 B +X D5 32 -200 -1200 150 R 50 50 1 1 B +X A3 13 -200 700 150 R 50 50 1 1 B +X PHI1 23 -200 -300 150 R 50 50 1 1 B C +X D6 33 -200 -1300 150 R 50 50 1 1 B +X A2 14 -200 600 150 R 50 50 1 1 B +X RW 24 -200 -400 150 R 50 50 1 1 B +X D7 34 -200 -1400 150 R 50 50 1 1 B +X A1 15 -200 500 150 R 50 50 1 1 B +X READY 25 -200 -500 150 R 50 50 1 1 B +X TX 35 -200 -1500 150 R 50 50 1 1 B +X A0 16 -200 400 150 R 50 50 1 1 B +X SYNC 26 -200 -600 150 R 50 50 1 1 B +X RX 36 -200 -1600 150 R 50 50 1 1 B +X P17 17 -200 300 150 R 50 50 1 1 w +X D0 27 -200 -700 150 R 50 50 1 1 B +X NMI 37 -200 -1700 150 R 50 50 1 1 B +X P18 18 -200 200 150 R 50 50 1 1 w +X D1 28 -200 -800 150 R 50 50 1 1 B +X P38 38 -200 -1800 150 R 50 50 1 1 N +X PHI2 19 -200 100 150 R 50 50 1 1 B C +X D2 29 -200 -900 150 R 50 50 1 1 B +X P39 39 -200 -1900 150 R 50 50 1 1 N +ENDDRAW +ENDDEF +# # SW_SPST # DEF SW_SPST SW 0 0 Y N 1 F N @@ -604,107 +758,17 @@ X B 2 200 0 100 L 50 50 1 1 I ENDDRAW ENDDEF # -# WD65C02 +# VCC # -DEF WD65C02 U 0 40 Y Y 1 F N -F0 "U" 0 -1150 60 H V C CNN -F1 "WD65C02" 0 -100 60 V V C CNN -F2 "" -500 200 60 H V C CNN -F3 "" -500 200 60 H V C CNN +DEF VCC #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "VCC" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN DRAW -S -350 1000 350 -1050 0 1 0 N -X ~VP 1 -650 900 300 R 50 50 1 1 O -X RDY 2 -650 800 300 R 50 50 1 1 B -X PHI1out 3 -650 700 300 R 50 50 1 1 O -X ~IRQ 4 -650 600 300 R 50 50 1 1 I -X ~ML 5 -650 500 300 R 50 50 1 1 O -X ~NMI 6 -650 400 300 R 50 50 1 1 I -X SYNC 7 -650 300 300 R 50 50 1 1 O -X VCC 8 -650 200 300 R 50 50 1 1 W -X A0 9 -650 100 300 R 50 50 1 1 O -X A1 10 -650 0 300 R 50 50 1 1 O -X A11 20 -650 -1000 300 R 50 50 1 1 O -X D3 30 650 -100 300 L 50 50 1 1 T -X ~RESET 40 650 900 300 L 50 50 1 1 I -X A2 11 -650 -100 300 R 50 50 1 1 O -X GND 21 650 -1000 300 L 50 50 1 1 W -X D2 31 650 0 300 L 50 50 1 1 T -X A3 12 -650 -200 300 R 50 50 1 1 O -X A12 22 650 -900 300 L 50 50 1 1 O -X D1 32 650 100 300 L 50 50 1 1 T -X A4 13 -650 -300 300 R 50 50 1 1 O -X A13 23 650 -800 300 L 50 50 1 1 O -X D0 33 650 200 300 L 50 50 1 1 T -X A5 14 -650 -400 300 R 50 50 1 1 O -X A14 24 650 -700 300 L 50 50 1 1 O -X R/~W 34 650 300 300 L 50 50 1 1 O -X A6 15 -650 -500 300 R 50 50 1 1 O -X A15 25 650 -600 300 L 50 50 1 1 O -X NC 35 650 400 300 L 50 50 1 1 N -X A7 16 -650 -600 300 R 50 50 1 1 O -X D7 26 650 -500 300 L 50 50 1 1 T -X BE 36 650 500 300 L 50 50 1 1 I -X A8 17 -650 -700 300 R 50 50 1 1 O -X D6 27 650 -400 300 L 50 50 1 1 T -X PHI2 37 650 600 300 L 50 50 1 1 I -X A9 18 -650 -800 300 R 50 50 1 1 O -X D5 28 650 -300 300 L 50 50 1 1 T -X ~SO 38 650 700 300 L 50 50 1 1 O -X A10 19 -650 -900 300 R 50 50 1 1 O -X D4 29 650 -200 300 L 50 50 1 1 T -X PHI2out 39 650 800 300 L 50 50 1 1 O -ENDDRAW -ENDDEF -# -# WD65C21 -# -DEF WD65C21 U 0 40 Y Y 1 F N -F0 "U" 0 -1150 60 H V C CNN -F1 "WD65C21" 0 -100 60 V V C CNN -F2 "" -500 200 60 H V C CNN -F3 "" -500 200 60 H V C CNN -DRAW -S -350 1000 350 -1050 0 1 0 N -X GND 1 -650 900 300 R 50 50 1 1 O -X PA0 2 -650 800 300 R 50 50 1 1 B -X PA1 3 -650 700 300 R 50 50 1 1 B -X PA2 4 -650 600 300 R 50 50 1 1 B -X PA3 5 -650 500 300 R 50 50 1 1 B -X PA4 6 -650 400 300 R 50 50 1 1 B -X PA5 7 -650 300 300 R 50 50 1 1 B -X PA6 8 -650 200 300 R 50 50 1 1 B -X PA7 9 -650 100 300 R 50 50 1 1 B -X PB0 10 -650 0 300 R 50 50 1 1 B -X VCC 20 -650 -1000 300 R 50 50 1 1 W -X D3 30 650 -100 300 L 50 50 1 1 T -X CA1 40 650 900 300 L 50 50 1 1 B -X PB1 11 -650 -100 300 R 50 50 1 1 B -X R/~W 21 650 -1000 300 L 50 50 1 1 I -X D2 31 650 0 300 L 50 50 1 1 T -X PB2 12 -650 -200 300 R 50 50 1 1 B -X CS0 22 650 -900 300 L 50 50 1 1 I -X D1 32 650 100 300 L 50 50 1 1 T -X PB3 13 -650 -300 300 R 50 50 1 1 B -X ~CS2 23 650 -800 300 L 50 50 1 1 I -X D0 33 650 200 300 L 50 50 1 1 T -X PB4 14 -650 -400 300 R 50 50 1 1 B -X CS1 24 650 -700 300 L 50 50 1 1 I -X ~RESET 34 650 300 300 L 50 50 1 1 I -X PB5 15 -650 -500 300 R 50 50 1 1 B -X PHI2 25 650 -600 300 L 50 50 1 1 I -X RS1 35 650 400 300 L 50 50 1 1 I -X PB6 16 -650 -600 300 R 50 50 1 1 B -X D7 26 650 -500 300 L 50 50 1 1 T -X RS0 36 650 500 300 L 50 50 1 1 I -X PB7 17 -650 -700 300 R 50 50 1 1 B -X D6 27 650 -400 300 L 50 50 1 1 T -X ~IRQB 37 650 600 300 L 50 50 1 1 O -X CB1 18 -650 -800 300 R 50 50 1 1 B -X D5 28 650 -300 300 L 50 50 1 1 T -X ~IRQA 38 650 700 300 L 50 50 1 1 O -X CB2 19 -650 -900 300 R 50 50 1 1 O -X D4 29 650 -200 300 L 50 50 1 1 T -X CA2 39 650 800 300 L 50 50 1 1 B +C 0 75 25 0 1 0 N +P 2 0 1 0 0 0 0 50 N +X VCC 1 0 0 0 U 50 50 1 1 W N ENDDRAW ENDDEF # diff --git a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.kicad_pcb b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.kicad_pcb index b6804e7..74092f3 100644 --- a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.kicad_pcb +++ b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.kicad_pcb @@ -20,7 +20,7 @@ (33 F.Adhes user) (34 B.Paste user) (35 F.Paste user) - (36 B.SilkS user hide) + (36 B.SilkS user) (37 F.SilkS user) (38 B.Mask user) (39 F.Mask user) @@ -277,7 +277,7 @@ (fp_text reference U1 (at 7.62 2.54) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value WD65C02 (at 7.62 5.08 180) (layer F.SilkS) + (fp_text value 6502 (at 7.62 5.08 180) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text user %R (at 7.62 2.54) (layer F.Fab) @@ -2267,7 +2267,7 @@ (fp_text reference U8 (at 7.62 2.54) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) - (fp_text value WD65C21 (at 7.62 5.08) (layer F.SilkS) + (fp_text value 6821 (at 7.62 5.08) (layer F.SilkS) (effects (font (size 1 1) (thickness 0.15))) ) (fp_text user %R (at 7.62 2.54) (layer F.Fab) diff --git a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.net b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.net index 3bcdd2e..de5c4be 100644 --- a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.net +++ b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.net @@ -1,7 +1,7 @@ (export (version D) (design (source "D:/owncloud/Documents/Projects/RC6502/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.sch") - (date "21/01/2020 22:59:51") + (date "21/01/2020 23:59:49") (tool "Eeschema 4.0.7") (sheet (number 1) (name /) (tstamps /) (title_block @@ -16,9 +16,9 @@ (comment (number 4) (value ""))))) (components (comp (ref U8) - (value WD65C21) + (value 6821) (footprint Housings_DIP:DIP-40_W15.24mm_Socket) - (libsource (lib 65xx) (part WD65C21)) + (libsource (lib mc6821) (part MC6821)) (sheetpath (names /) (tstamps /)) (tstamp 594126CF)) (comp (ref U3) @@ -76,9 +76,9 @@ (sheetpath (names /) (tstamps /)) (tstamp 5941A3D7)) (comp (ref U1) - (value WD65C02) + (value 6502) (footprint Housings_DIP:DIP-40_W15.24mm_Socket) - (libsource (lib 65xx) (part WD65C02)) + (libsource (lib 6502) (part 6502)) (sheetpath (names /) (tstamps /)) (tstamp 5941A3D9)) (comp (ref J1) @@ -234,7 +234,7 @@ (comp (ref J3) (value Backplane) (footprint Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm) - (libsource (lib "RC6502 Apple 1 SBC-cache") (part CONN_01X39)) + (libsource (lib rc6502_backplane) (part RC6502_Backplane)) (sheetpath (names /) (tstamps /)) (tstamp 594828B6)) (comp (ref C9) @@ -339,6 +339,51 @@ (pin (num 26) (name A13) (type input)) (pin (num 27) (name WE) (type input)) (pin (num 28) (name VCC) (type power_in)))) + (libpart (lib 6502) (part 6502) + (fields + (field (name Reference) U) + (field (name Value) 6502)) + (pins + (pin (num 1) (name ~VP) (type output)) + (pin (num 2) (name RDY) (type BiDi)) + (pin (num 3) (name PHI1out) (type output)) + (pin (num 4) (name ~IRQ) (type input)) + (pin (num 5) (name ~ML) (type output)) + (pin (num 6) (name ~NMI) (type input)) + (pin (num 7) (name SYNC) (type output)) + (pin (num 8) (name VCC) (type power_in)) + (pin (num 9) (name A0) (type output)) + (pin (num 10) (name A1) (type output)) + (pin (num 11) (name A2) (type output)) + (pin (num 12) (name A3) (type output)) + (pin (num 13) (name A4) (type output)) + (pin (num 14) (name A5) (type output)) + (pin (num 15) (name A6) (type output)) + (pin (num 16) (name A7) (type output)) + (pin (num 17) (name A8) (type output)) + (pin (num 18) (name A9) (type output)) + (pin (num 19) (name A10) (type output)) + (pin (num 20) (name A11) (type output)) + (pin (num 21) (name GND) (type power_in)) + (pin (num 22) (name A12) (type output)) + (pin (num 23) (name A13) (type output)) + (pin (num 24) (name A14) (type output)) + (pin (num 25) (name A15) (type output)) + (pin (num 26) (name D7) (type 3state)) + (pin (num 27) (name D6) (type 3state)) + (pin (num 28) (name D5) (type 3state)) + (pin (num 29) (name D4) (type 3state)) + (pin (num 30) (name D3) (type 3state)) + (pin (num 31) (name D2) (type 3state)) + (pin (num 32) (name D1) (type 3state)) + (pin (num 33) (name D0) (type 3state)) + (pin (num 34) (name R/~W) (type output)) + (pin (num 35) (name NC) (type NotConnected)) + (pin (num 36) (name BE) (type input)) + (pin (num 37) (name PHI2) (type input)) + (pin (num 38) (name ~SO) (type input)) + (pin (num 39) (name PHI2out) (type output)) + (pin (num 40) (name ~RESET) (type input)))) (libpart (lib 74xx) (part 74LS00) (aliases (alias 74LS37) @@ -477,55 +522,6 @@ (pins (pin (num 1) (name P1) (type passive)) (pin (num 2) (name P2) (type passive)))) - (libpart (lib "RC6502 Apple 1 SBC-cache") (part CONN_01X39) - (footprints - (fp Pin_Header_Straight_1X*) - (fp Pin_Header_Angled_1X*) - (fp Socket_Strip_Straight_1X*) - (fp Socket_Strip_Angled_1X*)) - (fields - (field (name Reference) J) - (field (name Value) CONN_01X39)) - (pins - (pin (num 1) (name P1) (type passive)) - (pin (num 2) (name P2) (type passive)) - (pin (num 3) (name P3) (type passive)) - (pin (num 4) (name P4) (type passive)) - (pin (num 5) (name P5) (type passive)) - (pin (num 6) (name P6) (type passive)) - (pin (num 7) (name P7) (type passive)) - (pin (num 8) (name P8) (type passive)) - (pin (num 9) (name P9) (type passive)) - (pin (num 10) (name P10) (type passive)) - (pin (num 11) (name P11) (type passive)) - (pin (num 12) (name P12) (type passive)) - (pin (num 13) (name P13) (type passive)) - (pin (num 14) (name P14) (type passive)) - (pin (num 15) (name P15) (type passive)) - (pin (num 16) (name P16) (type passive)) - (pin (num 17) (name P17) (type passive)) - (pin (num 18) (name P18) (type passive)) - (pin (num 19) (name P19) (type passive)) - (pin (num 20) (name P20) (type passive)) - (pin (num 21) (name P21) (type passive)) - (pin (num 22) (name P22) (type passive)) - (pin (num 23) (name P23) (type passive)) - (pin (num 24) (name P24) (type passive)) - (pin (num 25) (name P25) (type passive)) - (pin (num 26) (name P26) (type passive)) - (pin (num 27) (name P27) (type passive)) - (pin (num 28) (name P28) (type passive)) - (pin (num 29) (name P29) (type passive)) - (pin (num 30) (name P30) (type passive)) - (pin (num 31) (name P31) (type passive)) - (pin (num 32) (name P32) (type passive)) - (pin (num 33) (name P33) (type passive)) - (pin (num 34) (name P34) (type passive)) - (pin (num 35) (name P35) (type passive)) - (pin (num 36) (name P36) (type passive)) - (pin (num 37) (name P37) (type passive)) - (pin (num 38) (name P38) (type passive)) - (pin (num 39) (name P39) (type passive)))) (libpart (lib device) (part CP) (description "Polarised capacitor") (footprints @@ -649,6 +645,52 @@ (pin (num 6) (name THR) (type input)) (pin (num 7) (name DIS) (type input)) (pin (num 8) (name VCC) (type power_in)))) + (libpart (lib mc6821) (part MC6821) + (description "Interface parallele 2 x 8 bits") + (fields + (field (name Reference) U) + (field (name Value) MC6821)) + (pins + (pin (num 1) (name GND) (type power_in)) + (pin (num 2) (name PA0) (type input)) + (pin (num 3) (name PA1) (type input)) + (pin (num 4) (name PA2) (type input)) + (pin (num 5) (name PA3) (type input)) + (pin (num 6) (name PA4) (type input)) + (pin (num 7) (name PA5) (type input)) + (pin (num 8) (name PA6) (type input)) + (pin (num 9) (name PA7) (type input)) + (pin (num 10) (name PB0) (type input)) + (pin (num 11) (name PB1) (type input)) + (pin (num 12) (name PB2) (type input)) + (pin (num 13) (name PB3) (type input)) + (pin (num 14) (name PB4) (type input)) + (pin (num 15) (name PB5) (type input)) + (pin (num 16) (name PB6) (type input)) + (pin (num 17) (name PB7) (type input)) + (pin (num 18) (name CB1) (type BiDi)) + (pin (num 19) (name CB2) (type BiDi)) + (pin (num 20) (name VCC) (type power_in)) + (pin (num 21) (name R/W) (type input)) + (pin (num 22) (name CS0) (type input)) + (pin (num 23) (name ~CS2) (type input)) + (pin (num 24) (name CS1) (type input)) + (pin (num 25) (name E) (type input)) + (pin (num 26) (name D7) (type input)) + (pin (num 27) (name D6) (type input)) + (pin (num 28) (name D5) (type input)) + (pin (num 29) (name D4) (type input)) + (pin (num 30) (name D3) (type input)) + (pin (num 31) (name D2) (type input)) + (pin (num 32) (name D1) (type input)) + (pin (num 33) (name D0) (type input)) + (pin (num 34) (name ~RESET) (type input)) + (pin (num 35) (name RS1) (type input)) + (pin (num 36) (name RS0) (type input)) + (pin (num 37) (name IRQB) (type openCol)) + (pin (num 38) (name IRQA) (type openCol)) + (pin (num 39) (name CA2) (type BiDi)) + (pin (num 40) (name CA1) (type BiDi)))) (libpart (lib microchip) (part MCP23S17) (description "16-Bit I/O Expander with SPI Interface (PDIP/SOIC/SSOP)") (docs http://ww1.microchip.com/downloads/en/DeviceDoc/20001952C.pdf) @@ -699,602 +741,565 @@ (pins (pin (num 1) (name ~) (type passive)) (pin (num 2) (name ~) (type passive)))) + (libpart (lib rc6502_backplane) (part RC6502_Backplane) + (footprints + (fp Pin_Header_Straight_1X*) + (fp Pin_Header_Angled_1X*) + (fp Socket_Strip_Straight_1X*) + (fp Socket_Strip_Angled_1X*)) + (fields + (field (name Reference) J) + (field (name Value) RC6502_Backplane)) + (pins + (pin (num 1) (name A15) (type BiDi)) + (pin (num 2) (name A14) (type BiDi)) + (pin (num 3) (name A13) (type BiDi)) + (pin (num 4) (name A12) (type BiDi)) + (pin (num 5) (name A11) (type BiDi)) + (pin (num 6) (name A10) (type BiDi)) + (pin (num 7) (name A9) (type BiDi)) + (pin (num 8) (name A8) (type BiDi)) + (pin (num 9) (name A7) (type BiDi)) + (pin (num 10) (name A6) (type BiDi)) + (pin (num 11) (name A5) (type BiDi)) + (pin (num 12) (name A4) (type BiDi)) + (pin (num 13) (name A3) (type BiDi)) + (pin (num 14) (name A2) (type BiDi)) + (pin (num 15) (name A1) (type BiDi)) + (pin (num 16) (name A0) (type BiDi)) + (pin (num 17) (name P17) (type power_out)) + (pin (num 18) (name P18) (type power_out)) + (pin (num 19) (name PHI2) (type BiDi)) + (pin (num 20) (name RESET) (type BiDi)) + (pin (num 21) (name PHI0) (type BiDi)) + (pin (num 22) (name IRQ) (type BiDi)) + (pin (num 23) (name PHI1) (type BiDi)) + (pin (num 24) (name RW) (type BiDi)) + (pin (num 25) (name READY) (type BiDi)) + (pin (num 26) (name SYNC) (type BiDi)) + (pin (num 27) (name D0) (type BiDi)) + (pin (num 28) (name D1) (type BiDi)) + (pin (num 29) (name D2) (type BiDi)) + (pin (num 30) (name D3) (type BiDi)) + (pin (num 31) (name D4) (type BiDi)) + (pin (num 32) (name D5) (type BiDi)) + (pin (num 33) (name D6) (type BiDi)) + (pin (num 34) (name D7) (type BiDi)) + (pin (num 35) (name TX) (type BiDi)) + (pin (num 36) (name RX) (type BiDi)) + (pin (num 37) (name NMI) (type BiDi)) + (pin (num 38) (name P38) (type NotConnected)) + (pin (num 39) (name P39) (type NotConnected)))) (libpart (lib "RC6502 Apple 1 SBC-cache") (part SW_SPST) (fields (field (name Reference) SW) (field (name Value) SW_SPST)) (pins (pin (num 1) (name A) (type input)) - (pin (num 2) (name B) (type input)))) - (libpart (lib 65xx) (part WD65C02) - (fields - (field (name Reference) U) - (field (name Value) WD65C02)) - (pins - (pin (num 1) (name ~VP) (type output)) - (pin (num 2) (name RDY) (type BiDi)) - (pin (num 3) (name PHI1out) (type output)) - (pin (num 4) (name ~IRQ) (type input)) - (pin (num 5) (name ~ML) (type output)) - (pin (num 6) (name ~NMI) (type input)) - (pin (num 7) (name SYNC) (type output)) - (pin (num 8) (name VCC) (type power_in)) - (pin (num 9) (name A0) (type output)) - (pin (num 10) (name A1) (type output)) - (pin (num 11) (name A2) (type output)) - (pin (num 12) (name A3) (type output)) - (pin (num 13) (name A4) (type output)) - (pin (num 14) (name A5) (type output)) - (pin (num 15) (name A6) (type output)) - (pin (num 16) (name A7) (type output)) - (pin (num 17) (name A8) (type output)) - (pin (num 18) (name A9) (type output)) - (pin (num 19) (name A10) (type output)) - (pin (num 20) (name A11) (type output)) - (pin (num 21) (name GND) (type power_in)) - (pin (num 22) (name A12) (type output)) - (pin (num 23) (name A13) (type output)) - (pin (num 24) (name A14) (type output)) - (pin (num 25) (name A15) (type output)) - (pin (num 26) (name D7) (type 3state)) - (pin (num 27) (name D6) (type 3state)) - (pin (num 28) (name D5) (type 3state)) - (pin (num 29) (name D4) (type 3state)) - (pin (num 30) (name D3) (type 3state)) - (pin (num 31) (name D2) (type 3state)) - (pin (num 32) (name D1) (type 3state)) - (pin (num 33) (name D0) (type 3state)) - (pin (num 34) (name R/~W) (type output)) - (pin (num 35) (name NC) (type NotConnected)) - (pin (num 36) (name BE) (type input)) - (pin (num 37) (name PHI2) (type input)) - (pin (num 38) (name ~SO) (type output)) - (pin (num 39) (name PHI2out) (type output)) - (pin (num 40) (name ~RESET) (type input)))) - (libpart (lib 65xx) (part WD65C21) - (fields - (field (name Reference) U) - (field (name Value) WD65C21)) - (pins - (pin (num 1) (name GND) (type output)) - (pin (num 2) (name PA0) (type BiDi)) - (pin (num 3) (name PA1) (type BiDi)) - (pin (num 4) (name PA2) (type BiDi)) - (pin (num 5) (name PA3) (type BiDi)) - (pin (num 6) (name PA4) (type BiDi)) - (pin (num 7) (name PA5) (type BiDi)) - (pin (num 8) (name PA6) (type BiDi)) - (pin (num 9) (name PA7) (type BiDi)) - (pin (num 10) (name PB0) (type BiDi)) - (pin (num 11) (name PB1) (type BiDi)) - (pin (num 12) (name PB2) (type BiDi)) - (pin (num 13) (name PB3) (type BiDi)) - (pin (num 14) (name PB4) (type BiDi)) - (pin (num 15) (name PB5) (type BiDi)) - (pin (num 16) (name PB6) (type BiDi)) - (pin (num 17) (name PB7) (type BiDi)) - (pin (num 18) (name CB1) (type BiDi)) - (pin (num 19) (name CB2) (type output)) - (pin (num 20) (name VCC) (type power_in)) - (pin (num 21) (name R/~W) (type input)) - (pin (num 22) (name CS0) (type input)) - (pin (num 23) (name ~CS2) (type input)) - (pin (num 24) (name CS1) (type input)) - (pin (num 25) (name PHI2) (type input)) - (pin (num 26) (name D7) (type 3state)) - (pin (num 27) (name D6) (type 3state)) - (pin (num 28) (name D5) (type 3state)) - (pin (num 29) (name D4) (type 3state)) - (pin (num 30) (name D3) (type 3state)) - (pin (num 31) (name D2) (type 3state)) - (pin (num 32) (name D1) (type 3state)) - (pin (num 33) (name D0) (type 3state)) - (pin (num 34) (name ~RESET) (type input)) - (pin (num 35) (name RS1) (type input)) - (pin (num 36) (name RS0) (type input)) - (pin (num 37) (name ~IRQB) (type output)) - (pin (num 38) (name ~IRQA) (type output)) - (pin (num 39) (name CA2) (type BiDi)) - (pin (num 40) (name CA1) (type BiDi))))) + (pin (num 2) (name B) (type input))))) (libraries - (library (logical "RC6502 Apple 1 SBC-cache") - (uri "D:\\owncloud\\Documents\\Projects\\RC6502\\RC6502 Apple 1 SBC\\RC6502 Apple 1 SBC-cache.lib")) - (library (logical memory) - (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\memory.lib")) - (library (logical linear) - (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\linear.lib")) - (library (logical 65xx) - (uri "D:\\owncloud\\Documents\\Projects\\RC6502\\RC6502 Apple 1 SBC\\65xx.lib")) - (library (logical microchip) - (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\microchip.lib")) (library (logical device) (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\device.lib")) (library (logical 74xx) - (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\74xx.lib"))) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\74xx.lib")) + (library (logical memory) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\memory.lib")) + (library (logical microchip) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\microchip.lib")) + (library (logical mc6821) + (uri D:\owncloud\Documents\Projects\RC6502\common\library\mc6821.lib)) + (library (logical 6502) + (uri D:\owncloud\Documents\Projects\RC6502\common\library\6502.lib)) + (library (logical rc6502_backplane) + (uri D:\owncloud\Documents\Projects\RC6502\common\library\rc6502_backplane.lib)) + (library (logical "RC6502 Apple 1 SBC-cache") + (uri "D:\\owncloud\\Documents\\Projects\\RC6502\\RC6502 Apple 1 SBC\\RC6502 Apple 1 SBC-cache.lib")) + (library (logical linear) + (uri "C:\\Program Files\\KiCad\\share\\kicad\\library\\linear.lib"))) (nets (net (code 1) (name GND) - (node (ref U4) (pin 14)) - (node (ref U8) (pin 1)) + (node (ref J11) (pin 3)) (node (ref C10) (pin 2)) - (node (ref U1) (pin 21)) - (node (ref U9) (pin 10)) - (node (ref U7) (pin 5)) - (node (ref J3) (pin 17)) - (node (ref U7) (pin 9)) - (node (ref C9) (pin 2)) - (node (ref U7) (pin 7)) + (node (ref X1) (pin 7)) + (node (ref D1) (pin 1)) + (node (ref U6) (pin 1)) (node (ref C6) (pin 2)) (node (ref C11) (pin 2)) - (node (ref U6) (pin 1)) (node (ref SW1) (pin 1)) - (node (ref X1) (pin 7)) - (node (ref C1) (pin 2)) - (node (ref D1) (pin 1)) + (node (ref U5) (pin 7)) + (node (ref J1) (pin 1)) + (node (ref U4) (pin 14)) + (node (ref J2) (pin 29)) + (node (ref U9) (pin 17)) + (node (ref U9) (pin 15)) (node (ref A14) (pin 3)) + (node (ref U9) (pin 16)) (node (ref A13) (pin 3)) + (node (ref U9) (pin 10)) (node (ref U3) (pin 8)) (node (ref U3) (pin 5)) (node (ref U3) (pin 4)) - (node (ref J1) (pin 1)) - (node (ref C7) (pin 2)) - (node (ref C5) (pin 2)) - (node (ref J2) (pin 29)) - (node (ref C4) (pin 2)) + (node (ref U8) (pin 1)) + (node (ref J3) (pin 17)) + (node (ref C9) (pin 2)) + (node (ref U1) (pin 21)) (node (ref U2) (pin 14)) - (node (ref U5) (pin 7)) - (node (ref J11) (pin 3)) + (node (ref C4) (pin 2)) + (node (ref C5) (pin 2)) + (node (ref U7) (pin 7)) (node (ref U7) (pin 13)) - (node (ref U9) (pin 15)) - (node (ref U9) (pin 16)) (node (ref U7) (pin 11)) - (node (ref U9) (pin 17)) + (node (ref C7) (pin 2)) (node (ref C3) (pin 2)) + (node (ref U7) (pin 9)) + (node (ref C2) (pin 2)) + (node (ref U7) (pin 5)) (node (ref C8) (pin 2)) - (node (ref C2) (pin 2))) + (node (ref C1) (pin 2))) (net (code 2) (name VCC) - (node (ref C8) (pin 1)) - (node (ref C7) (pin 1)) (node (ref J11) (pin 1)) - (node (ref C11) (pin 1)) - (node (ref R2) (pin 1)) - (node (ref J10) (pin 3)) + (node (ref R6) (pin 2)) + (node (ref R7) (pin 2)) (node (ref J9) (pin 3)) + (node (ref J10) (pin 3)) + (node (ref U5) (pin 14)) (node (ref R10) (pin 2)) (node (ref J8) (pin 2)) - (node (ref U4) (pin 28)) - (node (ref X1) (pin 14)) - (node (ref U2) (pin 28)) - (node (ref R3) (pin 1)) + (node (ref U1) (pin 8)) (node (ref C9) (pin 1)) - (node (ref U7) (pin 14)) - (node (ref U9) (pin 9)) - (node (ref U5) (pin 14)) + (node (ref J3) (pin 18)) (node (ref U8) (pin 20)) + (node (ref U2) (pin 28)) + (node (ref C4) (pin 1)) + (node (ref U6) (pin 4)) + (node (ref U7) (pin 14)) + (node (ref U6) (pin 8)) + (node (ref R1) (pin 2)) + (node (ref C5) (pin 1)) + (node (ref U3) (pin 16)) + (node (ref U4) (pin 28)) + (node (ref C8) (pin 1)) + (node (ref R8) (pin 2)) + (node (ref R2) (pin 1)) + (node (ref U1) (pin 38)) + (node (ref C7) (pin 1)) + (node (ref C11) (pin 1)) + (node (ref R3) (pin 1)) + (node (ref R9) (pin 1)) (node (ref A14) (pin 1)) (node (ref R5) (pin 1)) - (node (ref R9) (pin 1)) (node (ref R4) (pin 2)) - (node (ref U6) (pin 4)) - (node (ref U1) (pin 8)) - (node (ref J3) (pin 18)) - (node (ref C1) (pin 1)) - (node (ref R8) (pin 2)) - (node (ref R7) (pin 2)) - (node (ref R6) (pin 2)) - (node (ref C2) (pin 1)) - (node (ref C3) (pin 1)) - (node (ref C4) (pin 1)) - (node (ref C5) (pin 1)) - (node (ref U1) (pin 38)) - (node (ref U3) (pin 16)) (node (ref A13) (pin 1)) - (node (ref R1) (pin 2)) - (node (ref U6) (pin 8))) - (net (code 3) (name CS_ROM) - (node (ref J9) (pin 1)) - (node (ref U5) (pin 3))) - (net (code 4) (name "Net-(U3-Pad9)") - (node (ref U3) (pin 9)) - (node (ref U5) (pin 4))) - (net (code 5) (name "Net-(U3-Pad7)") - (node (ref U5) (pin 5)) - (node (ref U3) (pin 7))) - (net (code 6) (name "Net-(U5-Pad1)") - (node (ref U5) (pin 2)) - (node (ref U5) (pin 1)) - (node (ref U5) (pin 6))) - (net (code 7) (name RESET) - (node (ref U1) (pin 40)) - (node (ref J3) (pin 20)) - (node (ref U8) (pin 34)) - (node (ref R4) (pin 1)) - (node (ref U7) (pin 4))) - (net (code 8) (name "Net-(D1-Pad2)") - (node (ref D1) (pin 2)) - (node (ref R5) (pin 2))) - (net (code 9) (name RW) - (node (ref U5) (pin 9)) - (node (ref U8) (pin 21)) - (node (ref U1) (pin 34)) - (node (ref U5) (pin 10)) - (node (ref J3) (pin 24))) - (net (code 10) (name "Net-(U7-Pad12)") + (node (ref U9) (pin 9)) + (node (ref C1) (pin 1)) + (node (ref X1) (pin 14)) + (node (ref C3) (pin 1)) + (node (ref C2) (pin 1))) + (net (code 3) (name "Net-(U7-Pad12)") (node (ref U7) (pin 12))) - (net (code 11) (name "Net-(U7-Pad10)") + (net (code 4) (name "Net-(U7-Pad10)") (node (ref U7) (pin 10))) - (net (code 12) (name "Net-(U5-Pad13)") + (net (code 5) (name "Net-(U7-Pad8)") + (node (ref U7) (pin 8))) + (net (code 6) (name "Net-(U7-Pad6)") + (node (ref U7) (pin 6))) + (net (code 7) (name "Net-(U5-Pad1)") + (node (ref U5) (pin 6)) + (node (ref U5) (pin 1)) + (node (ref U5) (pin 2))) + (net (code 8) (name "Net-(U2-Pad27)") + (node (ref U2) (pin 27)) + (node (ref U5) (pin 11))) + (net (code 9) (name "Net-(U5-Pad13)") (node (ref U5) (pin 13)) (node (ref U5) (pin 8))) - (net (code 13) (name "Net-(U7-Pad8)") - (node (ref U7) (pin 8))) - (net (code 14) (name "Net-(U7-Pad6)") - (node (ref U7) (pin 6))) - (net (code 15) (name "Net-(U2-Pad27)") - (node (ref U5) (pin 11)) - (node (ref U2) (pin 27))) - (net (code 16) (name PHI2) - (node (ref U8) (pin 25)) - (node (ref J3) (pin 19)) + (net (code 10) (name RW) + (node (ref J3) (pin 24)) + (node (ref U1) (pin 34)) + (node (ref U5) (pin 10)) + (node (ref U5) (pin 9)) + (node (ref U8) (pin 21))) + (net (code 11) (name PHI2) (node (ref U1) (pin 39)) + (node (ref J3) (pin 19)) + (node (ref U8) (pin 25)) (node (ref U5) (pin 12))) - (net (code 17) (name "Net-(R1-Pad1)") - (node (ref R1) (pin 1)) - (node (ref U4) (pin 27))) - (net (code 18) (name "Net-(U6-Pad3)") - (node (ref U7) (pin 3)) - (node (ref U6) (pin 3))) - (net (code 19) (name A14_W) - (node (ref U4) (pin 1)) - (node (ref A14) (pin 2))) - (net (code 20) (name "Net-(U6-Pad5)") - (node (ref U6) (pin 5))) - (net (code 21) (name A13_W) - (node (ref U4) (pin 26)) - (node (ref A13) (pin 2))) - (net (code 22) (name "Net-(C6-Pad1)") - (node (ref SW1) (pin 2)) - (node (ref C6) (pin 1)) - (node (ref R2) (pin 2)) - (node (ref U6) (pin 2))) - (net (code 23) (name "Net-(C10-Pad1)") - (node (ref U6) (pin 6)) - (node (ref U6) (pin 7)) - (node (ref R3) (pin 2)) - (node (ref C10) (pin 1))) - (net (code 24) (name "Net-(X1-Pad1)") - (node (ref X1) (pin 1))) - (net (code 25) (name CLOCK) - (node (ref JP1) (pin 2)) - (node (ref U1) (pin 37)) - (node (ref J3) (pin 21)) - (node (ref J2) (pin 9))) - (net (code 26) (name IRQ) - (node (ref J3) (pin 22)) - (node (ref R7) (pin 1)) - (node (ref U1) (pin 4))) - (net (code 27) (name "Net-(J2-Pad10)") - (node (ref J2) (pin 10))) - (net (code 28) (name "Net-(U7-Pad1)") - (node (ref U8) (pin 19)) - (node (ref U7) (pin 1))) - (net (code 29) (name "Net-(C12-Pad2)") - (node (ref U7) (pin 2)) - (node (ref C12) (pin 2))) - (net (code 30) (name "Net-(J4-Pad1)") - (node (ref J4) (pin 1))) - (net (code 31) (name "Net-(J3-Pad39)") - (node (ref J3) (pin 39))) - (net (code 32) (name "Net-(J3-Pad38)") - (node (ref J3) (pin 38))) - (net (code 33) (name "Net-(J6-Pad1)") - (node (ref J6) (pin 1))) - (net (code 34) (name A15) + (net (code 12) (name A15) (node (ref U3) (pin 6)) (node (ref J3) (pin 1)) - (node (ref U1) (pin 25)) - (node (ref J10) (pin 1))) - (net (code 35) (name "Net-(JP1-Pad1)") + (node (ref J10) (pin 1)) + (node (ref U1) (pin 25))) + (net (code 13) (name A14) + (node (ref U1) (pin 24)) + (node (ref J3) (pin 2)) + (node (ref U3) (pin 3)) + (node (ref U2) (pin 1))) + (net (code 14) (name A13) + (node (ref J3) (pin 3)) + (node (ref U2) (pin 26)) + (node (ref U3) (pin 2)) + (node (ref U1) (pin 23))) + (net (code 15) (name A12) + (node (ref U4) (pin 2)) + (node (ref U1) (pin 22)) + (node (ref U3) (pin 1)) + (node (ref U2) (pin 2)) + (node (ref J3) (pin 4))) + (net (code 16) (name A11) + (node (ref U2) (pin 23)) + (node (ref U1) (pin 20)) + (node (ref J3) (pin 5)) + (node (ref U4) (pin 23))) + (net (code 17) (name A10) + (node (ref U4) (pin 21)) + (node (ref U2) (pin 21)) + (node (ref J3) (pin 6)) + (node (ref U1) (pin 19))) + (net (code 18) (name A9) + (node (ref U4) (pin 24)) + (node (ref U1) (pin 18)) + (node (ref J3) (pin 7)) + (node (ref U2) (pin 24))) + (net (code 19) (name A8) + (node (ref J3) (pin 8)) + (node (ref U4) (pin 25)) + (node (ref U1) (pin 17)) + (node (ref U2) (pin 25))) + (net (code 20) (name A7) + (node (ref J3) (pin 9)) + (node (ref U4) (pin 3)) + (node (ref U2) (pin 3)) + (node (ref U1) (pin 16))) + (net (code 21) (name "Net-(JP1-Pad1)") (node (ref JP1) (pin 1)) (node (ref X1) (pin 8))) - (net (code 36) (name "Net-(J10-Pad2)") - (node (ref J10) (pin 2)) - (node (ref U2) (pin 22)) - (node (ref U2) (pin 20))) - (net (code 37) (name "Net-(J11-Pad2)") - (node (ref U8) (pin 24)) - (node (ref J11) (pin 2))) - (net (code 38) (name "Net-(J5-Pad1)") - (node (ref J5) (pin 1))) - (net (code 39) (name "Net-(J7-Pad1)") - (node (ref J7) (pin 1))) - (net (code 40) (name "Net-(R10-Pad1)") - (node (ref U1) (pin 36)) - (node (ref R10) (pin 1))) - (net (code 41) (name "Net-(J9-Pad2)") + (net (code 22) (name CLOCK) + (node (ref U1) (pin 37)) + (node (ref JP1) (pin 2)) + (node (ref J2) (pin 9)) + (node (ref J3) (pin 21))) + (net (code 23) (name "Net-(X1-Pad1)") + (node (ref X1) (pin 1))) + (net (code 24) (name "Net-(C10-Pad1)") + (node (ref C10) (pin 1)) + (node (ref R3) (pin 2)) + (node (ref U6) (pin 6)) + (node (ref U6) (pin 7))) + (net (code 25) (name "Net-(J9-Pad2)") (node (ref U4) (pin 20)) (node (ref U4) (pin 22)) (node (ref J9) (pin 2))) - (net (code 42) (name A0) - (node (ref U8) (pin 36)) - (node (ref U4) (pin 10)) - (node (ref U2) (pin 10)) - (node (ref U1) (pin 9)) - (node (ref J3) (pin 16))) - (net (code 43) (name SYNC) - (node (ref J3) (pin 26)) - (node (ref U1) (pin 7))) - (net (code 44) (name RX) - (node (ref J2) (pin 2)) - (node (ref J3) (pin 36))) - (net (code 45) (name D0) - (node (ref U8) (pin 33)) - (node (ref U4) (pin 11)) - (node (ref J3) (pin 27)) - (node (ref U1) (pin 33)) - (node (ref U2) (pin 11))) - (net (code 46) (name D1) - (node (ref U8) (pin 32)) - (node (ref U4) (pin 12)) - (node (ref J3) (pin 28)) - (node (ref U1) (pin 32)) - (node (ref U2) (pin 12))) - (net (code 47) (name D2) - (node (ref U4) (pin 13)) - (node (ref U8) (pin 31)) - (node (ref U2) (pin 13)) - (node (ref J3) (pin 29)) - (node (ref U1) (pin 31))) - (net (code 48) (name TX) - (node (ref J2) (pin 1)) - (node (ref J3) (pin 35))) - (net (code 49) (name A14) - (node (ref U3) (pin 3)) - (node (ref U1) (pin 24)) - (node (ref U2) (pin 1)) - (node (ref J3) (pin 2))) - (net (code 50) (name A13) - (node (ref U2) (pin 26)) - (node (ref U3) (pin 2)) - (node (ref U1) (pin 23)) - (node (ref J3) (pin 3))) - (net (code 51) (name A12) - (node (ref U4) (pin 2)) - (node (ref U1) (pin 22)) - (node (ref U2) (pin 2)) - (node (ref J3) (pin 4)) - (node (ref U3) (pin 1))) - (net (code 52) (name A11) - (node (ref U2) (pin 23)) - (node (ref U4) (pin 23)) - (node (ref U1) (pin 20)) - (node (ref J3) (pin 5))) - (net (code 53) (name A10) - (node (ref J3) (pin 6)) - (node (ref U1) (pin 19)) - (node (ref U4) (pin 21)) - (node (ref U2) (pin 21))) - (net (code 54) (name A9) - (node (ref U4) (pin 24)) - (node (ref J3) (pin 7)) - (node (ref U1) (pin 18)) - (node (ref U2) (pin 24))) - (net (code 55) (name A8) - (node (ref J3) (pin 8)) - (node (ref U1) (pin 17)) - (node (ref U4) (pin 25)) - (node (ref U2) (pin 25))) - (net (code 56) (name A7) - (node (ref J3) (pin 9)) - (node (ref U2) (pin 3)) - (node (ref U1) (pin 16)) - (node (ref U4) (pin 3))) - (net (code 57) (name A6) - (node (ref U4) (pin 4)) - (node (ref U1) (pin 15)) + (net (code 26) (name "Net-(R1-Pad1)") + (node (ref R1) (pin 1)) + (node (ref U4) (pin 27))) + (net (code 27) (name "Net-(U6-Pad5)") + (node (ref U6) (pin 5))) + (net (code 28) (name "Net-(C6-Pad1)") + (node (ref U6) (pin 2)) + (node (ref R2) (pin 2)) + (node (ref C6) (pin 1)) + (node (ref SW1) (pin 2))) + (net (code 29) (name "Net-(U6-Pad3)") + (node (ref U6) (pin 3)) + (node (ref U7) (pin 3))) + (net (code 30) (name CS_ROM) + (node (ref J9) (pin 1)) + (node (ref U5) (pin 3))) + (net (code 31) (name "Net-(U3-Pad9)") + (node (ref U3) (pin 9)) + (node (ref U5) (pin 4))) + (net (code 32) (name "Net-(U3-Pad7)") + (node (ref U3) (pin 7)) + (node (ref U5) (pin 5))) + (net (code 33) (name "Net-(D1-Pad2)") + (node (ref R5) (pin 2)) + (node (ref D1) (pin 2))) + (net (code 34) (name A6) (node (ref J3) (pin 10)) - (node (ref U2) (pin 4))) - (net (code 58) (name D3) - (node (ref U2) (pin 15)) - (node (ref U1) (pin 30)) - (node (ref U8) (pin 30)) - (node (ref U4) (pin 15)) - (node (ref J3) (pin 30))) - (net (code 59) (name A5) - (node (ref U1) (pin 14)) - (node (ref U2) (pin 5)) - (node (ref J3) (pin 11)) - (node (ref U4) (pin 5))) - (net (code 60) (name D4) - (node (ref U8) (pin 29)) - (node (ref U4) (pin 16)) - (node (ref J3) (pin 31)) - (node (ref U1) (pin 29)) - (node (ref U2) (pin 16))) - (net (code 61) (name A4) - (node (ref U2) (pin 6)) - (node (ref U8) (pin 22)) - (node (ref U4) (pin 6)) - (node (ref U1) (pin 13)) - (node (ref J3) (pin 12))) - (net (code 62) (name D5) - (node (ref J3) (pin 32)) - (node (ref U2) (pin 17)) - (node (ref U8) (pin 28)) - (node (ref U1) (pin 28)) - (node (ref U4) (pin 17))) - (net (code 63) (name A3) - (node (ref U1) (pin 12)) - (node (ref U2) (pin 7)) - (node (ref U4) (pin 7)) - (node (ref J3) (pin 13))) - (net (code 64) (name PHI1) - (node (ref U1) (pin 3)) - (node (ref J3) (pin 23))) - (net (code 65) (name D6) - (node (ref U2) (pin 18)) - (node (ref J3) (pin 33)) - (node (ref U8) (pin 27)) - (node (ref U1) (pin 27)) - (node (ref U4) (pin 18))) - (net (code 66) (name A2) - (node (ref U2) (pin 8)) - (node (ref U1) (pin 11)) - (node (ref J3) (pin 14)) - (node (ref U4) (pin 8))) - (net (code 67) (name D7) - (node (ref J3) (pin 34)) - (node (ref U1) (pin 26)) - (node (ref U2) (pin 19)) - (node (ref U4) (pin 19)) - (node (ref U8) (pin 26))) - (net (code 68) (name A1) - (node (ref U4) (pin 9)) - (node (ref U2) (pin 9)) - (node (ref U8) (pin 35)) - (node (ref U1) (pin 10)) - (node (ref J3) (pin 15))) - (net (code 69) (name RDY) - (node (ref J3) (pin 25)) - (node (ref R6) (pin 1)) - (node (ref U1) (pin 2))) - (net (code 70) (name OUT_DA) - (node (ref J2) (pin 6)) - (node (ref U8) (pin 17)) - (node (ref C12) (pin 1))) - (net (code 71) (name "Net-(J2-Pad3)") - (node (ref J2) (pin 3))) - (net (code 72) (name "Net-(J2-Pad4)") - (node (ref J2) (pin 4))) - (net (code 73) (name KBD_READY) - (node (ref J2) (pin 5)) - (node (ref U8) (pin 39))) - (net (code 74) (name KBD_STROBE) - (node (ref U8) (pin 40)) - (node (ref J2) (pin 7))) - (net (code 75) (name OUT_RDA) - (node (ref J2) (pin 8)) - (node (ref U8) (pin 18))) - (net (code 76) (name "Net-(J2-Pad20)") - (node (ref J2) (pin 20))) - (net (code 77) (name "Net-(J2-Pad30)") - (node (ref J2) (pin 30))) - (net (code 78) (name "Net-(J2-Pad11)") - (node (ref J2) (pin 11))) - (net (code 79) (name "Net-(J2-Pad21)") - (node (ref J2) (pin 21))) - (net (code 80) (name "Net-(J2-Pad12)") - (node (ref J2) (pin 12))) - (net (code 81) (name "Net-(J2-Pad22)") - (node (ref J2) (pin 22))) - (net (code 82) (name "Net-(J2-Pad23)") - (node (ref J2) (pin 23))) - (net (code 83) (name "Net-(J2-Pad14)") - (node (ref J2) (pin 14)) - (node (ref U9) (pin 13))) - (net (code 84) (name KBD_D2) - (node (ref U8) (pin 4)) - (node (ref U9) (pin 3))) - (net (code 85) (name KBD_D3) - (node (ref U9) (pin 4)) - (node (ref U8) (pin 5))) - (net (code 86) (name KBD_D4) - (node (ref U8) (pin 6)) - (node (ref U9) (pin 5))) - (net (code 87) (name KBD_D5) - (node (ref U9) (pin 6)) - (node (ref U8) (pin 7))) - (net (code 88) (name KBD_D6) - (node (ref U9) (pin 7)) - (node (ref U8) (pin 8))) - (net (code 89) (name KBD_D7) - (node (ref U8) (pin 9)) - (node (ref U9) (pin 8))) - (net (code 90) (name "Net-(U9-Pad20)") - (node (ref U9) (pin 20))) - (net (code 91) (name "Net-(J2-Pad13)") - (node (ref J2) (pin 13)) - (node (ref U9) (pin 11)) - (node (ref R9) (pin 2))) - (net (code 92) (name OUT_D0) - (node (ref U9) (pin 21)) - (node (ref U8) (pin 10))) - (net (code 93) (name "Net-(J2-Pad16)") - (node (ref U9) (pin 12)) - (node (ref J2) (pin 16))) - (net (code 94) (name OUT_D1) - (node (ref U9) (pin 22)) - (node (ref U8) (pin 11))) - (net (code 95) (name OUT_D2) - (node (ref U8) (pin 12)) - (node (ref U9) (pin 23))) - (net (code 96) (name OUT_D3) - (node (ref U9) (pin 24)) - (node (ref U8) (pin 13))) - (net (code 97) (name OUT_D4) - (node (ref U8) (pin 14)) - (node (ref U9) (pin 25))) - (net (code 98) (name OUT_D5) - (node (ref U9) (pin 26)) - (node (ref U8) (pin 15))) - (net (code 99) (name OUT_D6) - (node (ref U9) (pin 27)) - (node (ref U8) (pin 16))) - (net (code 100) (name "Net-(U9-Pad28)") - (node (ref U9) (pin 28))) - (net (code 101) (name "Net-(U9-Pad19)") - (node (ref U9) (pin 19))) - (net (code 102) (name "Net-(J2-Pad24)") - (node (ref J2) (pin 24))) - (net (code 103) (name "Net-(J2-Pad25)") - (node (ref J2) (pin 25))) - (net (code 104) (name "Net-(J2-Pad26)") - (node (ref J2) (pin 26))) - (net (code 105) (name "Net-(J2-Pad17)") - (node (ref J2) (pin 17))) - (net (code 106) (name "Net-(J2-Pad27)") - (node (ref J2) (pin 27)) - (node (ref J8) (pin 1))) - (net (code 107) (name "Net-(J2-Pad18)") - (node (ref J2) (pin 18))) - (net (code 108) (name P_RESET) - (node (ref J2) (pin 28)) - (node (ref U9) (pin 18))) - (net (code 109) (name "Net-(J2-Pad19)") - (node (ref J2) (pin 19))) - (net (code 110) (name KBD_D1) - (node (ref U8) (pin 3)) - (node (ref U9) (pin 2))) - (net (code 111) (name CS_PIA) - (node (ref U8) (pin 23)) - (node (ref U3) (pin 10))) - (net (code 112) (name "Net-(U8-Pad37)") - (node (ref U8) (pin 37))) - (net (code 113) (name "Net-(U8-Pad38)") - (node (ref U8) (pin 38))) - (net (code 114) (name KBD_D0) - (node (ref U9) (pin 1)) - (node (ref U8) (pin 2))) - (net (code 115) (name "Net-(U3-Pad15)") - (node (ref U3) (pin 15))) - (net (code 116) (name "Net-(U3-Pad11)") - (node (ref U3) (pin 11))) - (net (code 117) (name "Net-(U3-Pad12)") - (node (ref U3) (pin 12))) - (net (code 118) (name "Net-(U3-Pad13)") - (node (ref U3) (pin 13))) - (net (code 119) (name "Net-(U3-Pad14)") - (node (ref U3) (pin 14))) - (net (code 120) (name "Net-(U1-Pad5)") - (node (ref U1) (pin 5))) - (net (code 121) (name NMI) - (node (ref U1) (pin 6)) - (node (ref R8) (pin 1)) - (node (ref J3) (pin 37))) - (net (code 122) (name "Net-(U1-Pad35)") - (node (ref U1) (pin 35))) - (net (code 123) (name "Net-(J1-Pad2)") + (node (ref U2) (pin 4)) + (node (ref U4) (pin 4)) + (node (ref U1) (pin 15))) + (net (code 35) (name "Net-(J1-Pad2)") (node (ref J1) (pin 2)) (node (ref U1) (pin 1))) - (net (code 124) (name "Net-(J2-Pad15)") - (node (ref J2) (pin 15)) - (node (ref U9) (pin 14))))) \ No newline at end of file + (net (code 36) (name "Net-(J11-Pad2)") + (node (ref U8) (pin 24)) + (node (ref J11) (pin 2))) + (net (code 37) (name "Net-(J2-Pad16)") + (node (ref U9) (pin 12)) + (node (ref J2) (pin 16))) + (net (code 38) (name "Net-(J3-Pad39)") + (node (ref J3) (pin 39))) + (net (code 39) (name D2) + (node (ref U2) (pin 13)) + (node (ref U8) (pin 31)) + (node (ref U1) (pin 31)) + (node (ref J3) (pin 29)) + (node (ref U4) (pin 13))) + (net (code 40) (name D7) + (node (ref U8) (pin 26)) + (node (ref J3) (pin 34)) + (node (ref U2) (pin 19)) + (node (ref U1) (pin 26)) + (node (ref U4) (pin 19))) + (net (code 41) (name RESET) + (node (ref J3) (pin 20)) + (node (ref U1) (pin 40)) + (node (ref U8) (pin 34)) + (node (ref R4) (pin 1)) + (node (ref U7) (pin 4))) + (net (code 42) (name D3) + (node (ref U4) (pin 15)) + (node (ref J3) (pin 30)) + (node (ref U1) (pin 30)) + (node (ref U2) (pin 15)) + (node (ref U8) (pin 30))) + (net (code 43) (name A5) + (node (ref J3) (pin 11)) + (node (ref U4) (pin 5)) + (node (ref U1) (pin 14)) + (node (ref U2) (pin 5))) + (net (code 44) (name D4) + (node (ref U1) (pin 29)) + (node (ref U8) (pin 29)) + (node (ref U2) (pin 16)) + (node (ref U4) (pin 16)) + (node (ref J3) (pin 31))) + (net (code 45) (name A4) + (node (ref U8) (pin 22)) + (node (ref J3) (pin 12)) + (node (ref U1) (pin 13)) + (node (ref U2) (pin 6)) + (node (ref U4) (pin 6))) + (net (code 46) (name IRQ) + (node (ref R7) (pin 1)) + (node (ref U1) (pin 4)) + (node (ref J3) (pin 22))) + (net (code 47) (name D5) + (node (ref J3) (pin 32)) + (node (ref U2) (pin 17)) + (node (ref U4) (pin 17)) + (node (ref U1) (pin 28)) + (node (ref U8) (pin 28))) + (net (code 48) (name A3) + (node (ref U4) (pin 7)) + (node (ref U2) (pin 7)) + (node (ref U1) (pin 12)) + (node (ref J3) (pin 13))) + (net (code 49) (name PHI1) + (node (ref U1) (pin 3)) + (node (ref J3) (pin 23))) + (net (code 50) (name D6) + (node (ref U8) (pin 27)) + (node (ref U4) (pin 18)) + (node (ref U1) (pin 27)) + (node (ref J3) (pin 33)) + (node (ref U2) (pin 18))) + (net (code 51) (name A2) + (node (ref J3) (pin 14)) + (node (ref U4) (pin 8)) + (node (ref U2) (pin 8)) + (node (ref U1) (pin 11))) + (net (code 52) (name A1) + (node (ref U1) (pin 10)) + (node (ref J3) (pin 15)) + (node (ref U4) (pin 9)) + (node (ref U8) (pin 35)) + (node (ref U2) (pin 9))) + (net (code 53) (name RDY) + (node (ref R6) (pin 1)) + (node (ref U1) (pin 2)) + (node (ref J3) (pin 25))) + (net (code 54) (name TX) + (node (ref J2) (pin 1)) + (node (ref J3) (pin 35))) + (net (code 55) (name A0) + (node (ref U1) (pin 9)) + (node (ref J3) (pin 16)) + (node (ref U2) (pin 10)) + (node (ref U4) (pin 10)) + (node (ref U8) (pin 36))) + (net (code 56) (name SYNC) + (node (ref U1) (pin 7)) + (node (ref J3) (pin 26))) + (net (code 57) (name RX) + (node (ref J3) (pin 36)) + (node (ref J2) (pin 2))) + (net (code 58) (name D0) + (node (ref U8) (pin 33)) + (node (ref U4) (pin 11)) + (node (ref U2) (pin 11)) + (node (ref J3) (pin 27)) + (node (ref U1) (pin 33))) + (net (code 59) (name NMI) + (node (ref U1) (pin 6)) + (node (ref J3) (pin 37)) + (node (ref R8) (pin 1))) + (net (code 60) (name D1) + (node (ref U2) (pin 12)) + (node (ref U8) (pin 32)) + (node (ref U4) (pin 12)) + (node (ref U1) (pin 32)) + (node (ref J3) (pin 28))) + (net (code 61) (name "Net-(J3-Pad38)") + (node (ref J3) (pin 38))) + (net (code 62) (name "Net-(J7-Pad1)") + (node (ref J7) (pin 1))) + (net (code 63) (name "Net-(J4-Pad1)") + (node (ref J4) (pin 1))) + (net (code 64) (name "Net-(J6-Pad1)") + (node (ref J6) (pin 1))) + (net (code 65) (name "Net-(J5-Pad1)") + (node (ref J5) (pin 1))) + (net (code 66) (name "Net-(C12-Pad2)") + (node (ref C12) (pin 2)) + (node (ref U7) (pin 2))) + (net (code 67) (name "Net-(R10-Pad1)") + (node (ref U1) (pin 36)) + (node (ref R10) (pin 1))) + (net (code 68) (name "Net-(J2-Pad10)") + (node (ref J2) (pin 10))) + (net (code 69) (name "Net-(U7-Pad1)") + (node (ref U7) (pin 1)) + (node (ref U8) (pin 19))) + (net (code 70) (name "Net-(J2-Pad4)") + (node (ref J2) (pin 4))) + (net (code 71) (name KBD_READY) + (node (ref U8) (pin 39)) + (node (ref J2) (pin 5))) + (net (code 72) (name OUT_DA) + (node (ref U8) (pin 17)) + (node (ref C12) (pin 1)) + (node (ref J2) (pin 6))) + (net (code 73) (name KBD_STROBE) + (node (ref U8) (pin 40)) + (node (ref J2) (pin 7))) + (net (code 74) (name OUT_RDA) + (node (ref U8) (pin 18)) + (node (ref J2) (pin 8))) + (net (code 75) (name "Net-(J2-Pad20)") + (node (ref J2) (pin 20))) + (net (code 76) (name "Net-(J2-Pad30)") + (node (ref J2) (pin 30))) + (net (code 77) (name "Net-(J2-Pad11)") + (node (ref J2) (pin 11))) + (net (code 78) (name "Net-(J2-Pad21)") + (node (ref J2) (pin 21))) + (net (code 79) (name "Net-(J2-Pad12)") + (node (ref J2) (pin 12))) + (net (code 80) (name "Net-(J2-Pad22)") + (node (ref J2) (pin 22))) + (net (code 81) (name "Net-(J2-Pad3)") + (node (ref J2) (pin 3))) + (net (code 82) (name "Net-(J2-Pad23)") + (node (ref J2) (pin 23))) + (net (code 83) (name "Net-(J2-Pad24)") + (node (ref J2) (pin 24))) + (net (code 84) (name "Net-(J2-Pad25)") + (node (ref J2) (pin 25))) + (net (code 85) (name "Net-(J2-Pad26)") + (node (ref J2) (pin 26))) + (net (code 86) (name "Net-(J2-Pad17)") + (node (ref J2) (pin 17))) + (net (code 87) (name "Net-(J2-Pad27)") + (node (ref J8) (pin 1)) + (node (ref J2) (pin 27))) + (net (code 88) (name "Net-(J2-Pad18)") + (node (ref J2) (pin 18))) + (net (code 89) (name "Net-(J2-Pad19)") + (node (ref J2) (pin 19))) + (net (code 90) (name "Net-(U9-Pad20)") + (node (ref U9) (pin 20))) + (net (code 91) (name OUT_D0) + (node (ref U9) (pin 21)) + (node (ref U8) (pin 10))) + (net (code 92) (name OUT_D1) + (node (ref U9) (pin 22)) + (node (ref U8) (pin 11))) + (net (code 93) (name "Net-(J2-Pad14)") + (node (ref J2) (pin 14)) + (node (ref U9) (pin 13))) + (net (code 94) (name OUT_D2) + (node (ref U9) (pin 23)) + (node (ref U8) (pin 12))) + (net (code 95) (name OUT_D3) + (node (ref U8) (pin 13)) + (node (ref U9) (pin 24))) + (net (code 96) (name OUT_D4) + (node (ref U8) (pin 14)) + (node (ref U9) (pin 25))) + (net (code 97) (name OUT_D5) + (node (ref U8) (pin 15)) + (node (ref U9) (pin 26))) + (net (code 98) (name OUT_D6) + (node (ref U9) (pin 27)) + (node (ref U8) (pin 16))) + (net (code 99) (name "Net-(U9-Pad28)") + (node (ref U9) (pin 28))) + (net (code 100) (name "Net-(U9-Pad19)") + (node (ref U9) (pin 19))) + (net (code 101) (name P_RESET) + (node (ref J2) (pin 28)) + (node (ref U9) (pin 18))) + (net (code 102) (name "Net-(J2-Pad15)") + (node (ref U9) (pin 14)) + (node (ref J2) (pin 15))) + (net (code 103) (name "Net-(J2-Pad13)") + (node (ref U9) (pin 11)) + (node (ref J2) (pin 13)) + (node (ref R9) (pin 2))) + (net (code 104) (name CS_PIA) + (node (ref U3) (pin 10)) + (node (ref U8) (pin 23))) + (net (code 105) (name "Net-(U8-Pad37)") + (node (ref U8) (pin 37))) + (net (code 106) (name "Net-(U8-Pad38)") + (node (ref U8) (pin 38))) + (net (code 107) (name KBD_D0) + (node (ref U8) (pin 2)) + (node (ref U9) (pin 1))) + (net (code 108) (name KBD_D1) + (node (ref U9) (pin 2)) + (node (ref U8) (pin 3))) + (net (code 109) (name KBD_D2) + (node (ref U8) (pin 4)) + (node (ref U9) (pin 3))) + (net (code 110) (name KBD_D3) + (node (ref U9) (pin 4)) + (node (ref U8) (pin 5))) + (net (code 111) (name KBD_D4) + (node (ref U8) (pin 6)) + (node (ref U9) (pin 5))) + (net (code 112) (name KBD_D5) + (node (ref U9) (pin 6)) + (node (ref U8) (pin 7))) + (net (code 113) (name KBD_D6) + (node (ref U9) (pin 7)) + (node (ref U8) (pin 8))) + (net (code 114) (name KBD_D7) + (node (ref U8) (pin 9)) + (node (ref U9) (pin 8))) + (net (code 115) (name "Net-(U3-Pad11)") + (node (ref U3) (pin 11))) + (net (code 116) (name "Net-(U3-Pad12)") + (node (ref U3) (pin 12))) + (net (code 117) (name "Net-(U3-Pad13)") + (node (ref U3) (pin 13))) + (net (code 118) (name "Net-(U3-Pad14)") + (node (ref U3) (pin 14))) + (net (code 119) (name "Net-(U3-Pad15)") + (node (ref U3) (pin 15))) + (net (code 120) (name "Net-(U1-Pad35)") + (node (ref U1) (pin 35))) + (net (code 121) (name "Net-(U1-Pad5)") + (node (ref U1) (pin 5))) + (net (code 122) (name A13_W) + (node (ref U4) (pin 26)) + (node (ref A13) (pin 2))) + (net (code 123) (name A14_W) + (node (ref U4) (pin 1)) + (node (ref A14) (pin 2))) + (net (code 124) (name "Net-(J10-Pad2)") + (node (ref U2) (pin 20)) + (node (ref U2) (pin 22)) + (node (ref J10) (pin 2))))) \ No newline at end of file diff --git a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.pro b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.pro index 7171218..19b5025 100644 --- a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.pro +++ b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.pro @@ -1,4 +1,4 @@ -update=21/01/2020 23:00:44 +update=21/01/2020 23:59:55 version=1 last_client=kicad [pcbnew] @@ -23,9 +23,11 @@ ModuleOutlineThickness=0.150000000000 [cvpcb] version=1 NetIExt=net +[general] +version=1 [eeschema] version=1 -LibDir= +LibDir=../common/library [eeschema/libraries] LibName1=power LibName2=device @@ -57,9 +59,9 @@ LibName27=atmel LibName28=contrib LibName29=valves LibName30=65xx -LibName31=D:/ownCloud/Documents/Projects/RC6502/Templates/rc6502_backplane -[general] -version=1 +LibName31=mc6821 +LibName32=6502 +LibName33=rc6502_backplane [schematic_editor] version=1 PageLayoutDescrFile= diff --git a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.sch b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.sch index 6e97597..5f29911 100644 --- a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.sch +++ b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.sch @@ -29,6 +29,8 @@ LIBS:atmel LIBS:contrib LIBS:valves LIBS:65xx +LIBS:mc6821 +LIBS:6502 LIBS:rc6502_backplane LIBS:RC6502 Apple 1 SBC-cache EELAYER 25 0 @@ -45,46 +47,46 @@ Comment2 "" Comment3 "" Comment4 "" $EndDescr -Text GLabel 9675 1925 2 60 BiDi ~ 0 +Text GLabel 8675 2575 2 60 BiDi ~ 0 D0 -Text GLabel 9675 2025 2 60 BiDi ~ 0 +Text GLabel 8675 2675 2 60 BiDi ~ 0 D1 -Text GLabel 9675 2125 2 60 BiDi ~ 0 +Text GLabel 8675 2775 2 60 BiDi ~ 0 D2 -Text GLabel 9675 2225 2 60 BiDi ~ 0 +Text GLabel 8675 2875 2 60 BiDi ~ 0 D3 -Text GLabel 9675 2325 2 60 BiDi ~ 0 +Text GLabel 8675 2975 2 60 BiDi ~ 0 D4 -Text GLabel 9675 2525 2 60 BiDi ~ 0 +Text GLabel 8675 3175 2 60 BiDi ~ 0 D6 -Text GLabel 9675 2625 2 60 BiDi ~ 0 +Text GLabel 8675 3275 2 60 BiDi ~ 0 D7 Text GLabel 2000 1175 0 60 Input ~ 0 A12 -Text GLabel 9675 1725 2 60 Input ~ 0 +Text GLabel 8675 1675 2 60 Input ~ 0 A1 -Text GLabel 9675 1625 2 60 Input ~ 0 +Text GLabel 8675 1575 2 60 Input ~ 0 A0 Text Notes 13150 10925 2 60 ~ 0 RC6502 Apple 1 SBC Text Notes 15500 11075 2 60 ~ 0 B -Text GLabel 9675 2425 2 60 BiDi ~ 0 +Text GLabel 8675 3075 2 60 BiDi ~ 0 D5 $Comp -L WD65C21 U8 +L MC6821 U8 U 1 1 594126CF -P 9025 2125 -F 0 "U8" H 9025 3175 60 0000 C CNN -F 1 "WD65C21" V 9025 2075 60 0000 C CNN -F 2 "Housings_DIP:DIP-40_W15.24mm_Socket" H 8525 2325 60 0001 C CNN -F 3 "" H 8525 2325 60 0000 C CNN - 1 9025 2125 - 1 0 0 -1 +P 8025 2425 +F 0 "U8" H 7750 3475 60 0000 C CNN +F 1 "6821" V 8025 2375 60 0000 C CNN +F 2 "Housings_DIP:DIP-40_W15.24mm_Socket" H 7525 2625 60 0001 C CNN +F 3 "" H 7525 2625 60 0000 C CNN + 1 8025 2425 + -1 0 0 -1 $EndComp -Text GLabel 9675 1825 2 60 Input ~ 0 +Text GLabel 8675 1975 2 60 Input ~ 0 RESET -Text GLabel 9675 2725 2 60 Input ~ 0 +Text GLabel 8675 1775 2 60 Input ~ 0 PHI2 $Comp L 74LS138 U3 @@ -97,7 +99,7 @@ F 3 "" H 2600 1525 50 0001 C CNN 1 2600 1525 1 0 0 -1 $EndComp -Text GLabel 9675 3125 2 60 Input ~ 0 +Text GLabel 8675 1875 2 60 Input ~ 0 RW Text GLabel 2000 1275 0 60 Input ~ 0 A13 @@ -105,578 +107,529 @@ Text GLabel 2000 1375 0 60 Input ~ 0 A14 Text GLabel 2000 1675 0 60 Input ~ 0 A15 -Text GLabel 1700 2025 3 60 Output ~ 0 -GND NoConn ~ 3200 1175 NoConn ~ 3200 1275 NoConn ~ 3200 1375 NoConn ~ 3200 1475 NoConn ~ 3200 1575 -Text GLabel 8375 3125 0 60 Input ~ 0 -VCC -Text GLabel 9675 1225 2 60 Input ~ 0 +Text GLabel 7375 2175 0 60 Input ~ 0 KBD_STROBE -Text GLabel 9675 1325 2 60 Output ~ 0 +Text GLabel 7375 2275 0 60 Output ~ 0 KBD_READY -NoConn ~ 9675 1425 -NoConn ~ 9675 1525 -Text GLabel 8375 1225 0 60 Output ~ 0 -GND -Text GLabel 10225 2500 1 60 Input ~ 0 -VCC -Text GLabel 9675 3025 2 60 Input ~ 0 +NoConn ~ 8675 2175 +NoConn ~ 8675 2275 +Text GLabel 8675 1475 2 60 Input ~ 0 A4 $Comp L C C12 U 1 1 594126D2 -P 6975 3025 -F 0 "C12" H 7000 3125 50 0000 L CNN -F 1 "10nF" H 7000 2925 50 0000 L CNN -F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 6975 3025 50 0001 C CNN -F 3 "" H 6975 3025 50 0001 C CNN - 1 6975 3025 +P 5975 3575 +F 0 "C12" H 6000 3675 50 0000 L CNN +F 1 "10nF" H 6000 3475 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 5975 3575 50 0001 C CNN +F 3 "" H 5975 3575 50 0001 C CNN + 1 5975 3575 0 -1 -1 0 $EndComp -Text GLabel 8375 2925 0 60 Input ~ 0 +Text GLabel 7375 3475 0 60 Input ~ 0 OUT_RDA -Text GLabel 6550 2825 0 60 Output ~ 0 +Text GLabel 5550 3275 0 60 Output ~ 0 OUT_DA -Text GLabel 8375 1325 0 60 Input ~ 0 +Text GLabel 7375 1275 0 60 Input ~ 0 KBD_D0 -Text GLabel 8375 1425 0 60 Input ~ 0 +Text GLabel 7375 1375 0 60 Input ~ 0 KBD_D1 -Text GLabel 8375 1525 0 60 Input ~ 0 +Text GLabel 7375 1475 0 60 Input ~ 0 KBD_D2 -Text GLabel 8375 1625 0 60 Input ~ 0 +Text GLabel 7375 1575 0 60 Input ~ 0 KBD_D3 -Text GLabel 8375 1725 0 60 Input ~ 0 +Text GLabel 7375 1675 0 60 Input ~ 0 KBD_D4 -Text GLabel 8375 1825 0 60 Input ~ 0 +Text GLabel 7375 1775 0 60 Input ~ 0 KBD_D5 -Text GLabel 8375 1925 0 60 Input ~ 0 +Text GLabel 7375 1875 0 60 Input ~ 0 KBD_D6 -Text GLabel 8375 2125 0 60 Output ~ 0 +Text GLabel 7375 2575 0 60 Output ~ 0 OUT_D0 -Text GLabel 8375 2225 0 60 Output ~ 0 +Text GLabel 7375 2675 0 60 Output ~ 0 OUT_D1 -Text GLabel 8375 2325 0 60 Output ~ 0 +Text GLabel 7375 2775 0 60 Output ~ 0 OUT_D2 -Text GLabel 8375 2425 0 60 Output ~ 0 +Text GLabel 7375 2875 0 60 Output ~ 0 OUT_D3 -Text GLabel 8375 2525 0 60 Output ~ 0 +Text GLabel 7375 2975 0 60 Output ~ 0 OUT_D4 -Text GLabel 8375 2625 0 60 Output ~ 0 +Text GLabel 7375 3075 0 60 Output ~ 0 OUT_D5 -Text GLabel 8375 2725 0 60 Output ~ 0 +Text GLabel 7375 3175 0 60 Output ~ 0 OUT_D6 $Comp L MCP23S17 U9 U 1 1 594126D3 -P 11625 2200 -F 0 "U9" H 11375 3150 50 0000 R CNN -F 1 "MCP23S17" V 11625 2200 50 0000 C CNN -F 2 "Housings_DIP:DIP-28_W7.62mm_Socket" H 11675 1250 50 0001 L CNN -F 3 "" H 11875 3200 50 0001 C CNN - 1 11625 2200 +P 11625 2625 +F 0 "U9" H 11375 3575 50 0000 R CNN +F 1 "MCP23S17" V 11625 2625 50 0000 C CNN +F 2 "Housings_DIP:DIP-28_W7.62mm_Socket" H 11675 1675 50 0001 L CNN +F 3 "" H 11875 3625 50 0001 C CNN + 1 11625 2625 1 0 0 -1 $EndComp -Text GLabel 11125 2300 0 60 Input ~ 0 +Text GLabel 11125 2725 0 60 Input ~ 0 OUT_D0 -Text GLabel 11125 2400 0 60 Input ~ 0 +Text GLabel 11125 2825 0 60 Input ~ 0 OUT_D1 -Text GLabel 11125 2500 0 60 Input ~ 0 +Text GLabel 11125 2925 0 60 Input ~ 0 OUT_D2 -Text GLabel 11125 2600 0 60 Input ~ 0 +Text GLabel 11125 3025 0 60 Input ~ 0 OUT_D3 -Text GLabel 11125 2700 0 60 Input ~ 0 +Text GLabel 11125 3125 0 60 Input ~ 0 OUT_D4 -Text GLabel 11125 2800 0 60 Input ~ 0 +Text GLabel 11125 3225 0 60 Input ~ 0 OUT_D5 -Text GLabel 11125 2900 0 60 Input ~ 0 +Text GLabel 11125 3325 0 60 Input ~ 0 OUT_D6 -Text GLabel 12275 3350 3 60 Output ~ 0 -GND -Text GLabel 11625 1200 1 60 Input ~ 0 -VCC $Comp L Arduino_Nano_Header J2 U 1 1 594126D4 -P 13675 2100 -F 0 "J2" H 13675 2900 60 0000 C CNN -F 1 "Arduino Nano" V 13675 2100 60 0000 C CNN -F 2 "Modules:Arduino_Nano" H 13675 2100 60 0001 C CNN -F 3 "" H 13675 2100 60 0000 C CNN - 1 13675 2100 +P 13850 2525 +F 0 "J2" H 13850 3325 60 0000 C CNN +F 1 "Arduino Nano" V 13850 2525 60 0000 C CNN +F 2 "Modules:Arduino_Nano" H 13850 2525 60 0001 C CNN +F 3 "" H 13850 2525 60 0000 C CNN + 1 13850 2525 1 0 0 -1 $EndComp -Text GLabel 14175 1500 2 60 Output ~ 0 -GND -Text GLabel 14900 1700 2 60 Output ~ 0 -VCC $Comp L R R9 U 1 1 594126D5 -P 13675 3025 -F 0 "R9" V 13755 3025 50 0000 C CNN -F 1 "10k" V 13675 3025 50 0000 C CNN -F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 13605 3025 50 0001 C CNN -F 3 "" H 13675 3025 50 0001 C CNN - 1 13675 3025 - 0 1 1 0 +P 12625 2500 +F 0 "R9" V 12705 2500 50 0000 C CNN +F 1 "10k" V 12625 2500 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 12555 2500 50 0001 C CNN +F 3 "" H 12625 2500 50 0001 C CNN + 1 12625 2500 + -1 0 0 -1 $EndComp Wire Wire Line 2000 1775 1700 1775 Wire Wire Line - 1700 1775 1700 2025 + 1700 1775 1700 1950 Wire Wire Line 2000 1875 1700 1875 Connection ~ 1700 1875 Wire Wire Line - 8025 3025 8375 3025 + 7025 3575 7375 3575 Wire Wire Line - 6550 2825 8375 2825 + 5550 3275 7375 3275 Wire Wire Line - 6825 3025 6725 3025 + 5725 3575 5825 3575 Wire Wire Line - 6725 3025 6725 2825 + 5725 3275 5725 3575 Wire Wire Line - 12125 2800 12275 2800 + 12125 3225 12275 3225 Wire Wire Line - 12125 2900 12275 2900 + 12125 3325 12275 3325 Wire Wire Line - 12275 2800 12275 3350 + 12275 3225 12275 3700 Wire Wire Line - 12275 3000 12125 3000 -Connection ~ 12275 2900 -Connection ~ 12275 3000 + 12275 3425 12125 3425 +Connection ~ 12275 3325 +Connection ~ 12275 3425 Wire Wire Line - 14175 1500 14025 1500 + 14200 1925 15175 1925 Wire Wire Line - 12125 2400 12525 2400 + 12125 2825 12525 2825 Wire Wire Line - 12125 2300 12625 2300 -Text GLabel 13325 1800 0 60 Input ~ 0 + 12125 2725 12625 2725 +Text GLabel 13500 2225 0 60 Input ~ 0 KBD_READY -Text GLabel 13325 2000 0 60 Output ~ 0 +Text GLabel 13500 2425 0 60 Output ~ 0 KBD_STROBE -Text GLabel 13325 2100 0 60 Output ~ 0 +Text GLabel 13500 2525 0 60 Output ~ 0 OUT_RDA -Text GLabel 13325 1900 0 60 Input ~ 0 +Text GLabel 13500 2325 0 60 Input ~ 0 OUT_DA -Text GLabel 11125 1400 0 60 Output ~ 0 +Text GLabel 11125 1825 0 60 Output ~ 0 KBD_D0 -Text GLabel 11125 1500 0 60 Output ~ 0 +Text GLabel 11125 1925 0 60 Output ~ 0 KBD_D1 -Text GLabel 11125 1600 0 60 Output ~ 0 +Text GLabel 11125 2025 0 60 Output ~ 0 KBD_D2 -Text GLabel 11125 1700 0 60 Output ~ 0 +Text GLabel 11125 2125 0 60 Output ~ 0 KBD_D3 -Text GLabel 11125 1800 0 60 Output ~ 0 +Text GLabel 11125 2225 0 60 Output ~ 0 KBD_D4 -Text GLabel 11125 1900 0 60 Output ~ 0 +Text GLabel 11125 2325 0 60 Output ~ 0 KBD_D5 -Text GLabel 11125 2000 0 60 Output ~ 0 +Text GLabel 11125 2425 0 60 Output ~ 0 KBD_D6 -Text GLabel 11125 2100 0 60 Output ~ 0 +Text GLabel 11125 2525 0 60 Output ~ 0 KBD_D7 Wire Wire Line - 12775 2700 13325 2700 + 12775 3125 13500 3125 Wire Wire Line - 12425 2500 12125 2500 + 12425 2925 12125 2925 Wire Wire Line - 12975 2600 13325 2600 + 12975 3025 13500 3025 Wire Wire Line - 12975 2400 12975 3025 -Text GLabel 13950 3025 2 60 Input ~ 0 -VCC -Connection ~ 12975 2600 + 12975 2825 12975 3025 Wire Wire Line - 14175 2800 14025 2800 -Wire Wire Line - 12275 1400 12125 1400 -NoConn ~ 12125 1800 -NoConn ~ 12125 1900 -NoConn ~ 14025 2700 -NoConn ~ 14025 2600 -NoConn ~ 14025 2500 -NoConn ~ 14025 2400 -NoConn ~ 14025 2300 -NoConn ~ 14025 2200 -NoConn ~ 14025 2100 -NoConn ~ 14025 2000 -NoConn ~ 14025 1900 -NoConn ~ 14025 1800 -NoConn ~ 14025 1400 -NoConn ~ 13325 1600 -NoConn ~ 13325 1700 -NoConn ~ 11125 3000 -Text GLabel 8375 2025 0 60 Input ~ 0 + 12275 1825 12125 1825 +NoConn ~ 12125 2225 +NoConn ~ 12125 2325 +NoConn ~ 14200 3125 +NoConn ~ 14200 3025 +NoConn ~ 14200 2925 +NoConn ~ 14200 2825 +NoConn ~ 14200 2725 +NoConn ~ 14200 2625 +NoConn ~ 14200 2525 +NoConn ~ 14200 2425 +NoConn ~ 14200 2325 +NoConn ~ 14200 2225 +NoConn ~ 14200 1825 +NoConn ~ 13500 2025 +NoConn ~ 13500 2125 +NoConn ~ 11125 3425 +Text GLabel 7375 1975 0 60 Input ~ 0 KBD_D7 -Text GLabel 14175 1600 2 60 Output ~ 0 +Text GLabel 14350 2025 2 60 Output ~ 0 P_RESET -Text GLabel 12275 1400 2 60 Input ~ 0 +Text GLabel 12275 1825 2 60 Input ~ 0 P_RESET Wire Wire Line - 12675 2700 12325 2700 + 12675 3125 12325 3125 Wire Wire Line - 12325 2700 12325 2600 + 12325 3125 12325 3025 Wire Wire Line - 12325 2600 12125 2600 + 12325 3025 12125 3025 Wire Wire Line - 12425 2500 12425 2600 + 12425 2925 12425 3025 Wire Wire Line - 12425 2600 12775 2600 + 12425 3025 12775 3025 Wire Wire Line - 12525 2400 12525 2500 + 12525 2825 12525 2925 Wire Wire Line - 12525 2500 12875 2500 + 12525 2925 12875 2925 Wire Wire Line - 12625 2300 12625 2400 + 12625 2650 12625 2825 Wire Wire Line - 12625 2400 12975 2400 + 12625 2825 12975 2825 Wire Wire Line - 12775 2600 12775 2700 + 12775 3025 12775 3125 Wire Wire Line - 12675 2700 12675 2800 + 12675 3125 12675 3225 Wire Wire Line - 12675 2800 13325 2800 + 12675 3225 13500 3225 Wire Wire Line - 14175 2800 14175 2925 + 12875 3350 14275 3350 Wire Wire Line - 14175 2925 12875 2925 + 12875 2925 12875 3350 +NoConn ~ 13500 2825 +NoConn ~ 13500 2925 Wire Wire Line - 12875 2925 12875 2500 + 14200 2125 14875 2125 Wire Wire Line - 13825 3025 13950 3025 + 14350 2025 14200 2025 Wire Wire Line - 12975 3025 13525 3025 -NoConn ~ 13325 2400 -NoConn ~ 13325 2500 -Wire Wire Line - 14025 1700 14700 1700 -Wire Wire Line - 14175 1600 14025 1600 -Wire Wire Line - 12275 3200 11625 3200 -Connection ~ 12275 3200 + 12275 3625 11625 3625 +Connection ~ 12275 3625 $Comp L HM62256BLP-7 U2 U 1 1 5941878A -P 10525 6125 -F 0 "U2" H 10225 7025 50 0000 C CNN -F 1 "HM62256BLP-7" V 10525 6150 50 0000 C CNN -F 2 "Housings_DIP:DIP-28_W15.24mm_Socket" H 10525 6125 50 0001 C CIN -F 3 "" H 10525 6125 50 0001 C CNN - 1 10525 6125 +P 11200 6125 +F 0 "U2" H 10900 7025 50 0000 C CNN +F 1 "HM62256BLP-7" V 11200 6150 50 0000 C CNN +F 2 "Housings_DIP:DIP-28_W15.24mm_Socket" H 11200 6125 50 0001 C CIN +F 3 "" H 11200 6125 50 0001 C CNN + 1 11200 6125 1 0 0 -1 $EndComp -Text GLabel 11025 5375 2 60 Input ~ 0 +Text GLabel 11700 5375 2 60 Input ~ 0 D0 -Text GLabel 11025 5475 2 60 Input ~ 0 +Text GLabel 11700 5475 2 60 Input ~ 0 D1 -Text GLabel 11025 5575 2 60 Input ~ 0 +Text GLabel 11700 5575 2 60 Input ~ 0 D2 -Text GLabel 11025 5675 2 60 Input ~ 0 +Text GLabel 11700 5675 2 60 Input ~ 0 D3 -Text GLabel 11025 5775 2 60 Input ~ 0 +Text GLabel 11700 5775 2 60 Input ~ 0 D4 -Text GLabel 11025 5875 2 60 Input ~ 0 +Text GLabel 11700 5875 2 60 Input ~ 0 D5 -Text GLabel 11025 5975 2 60 Input ~ 0 +Text GLabel 11700 5975 2 60 Input ~ 0 D6 -Text GLabel 11025 6075 2 60 Input ~ 0 +Text GLabel 11700 6075 2 60 Input ~ 0 D7 -Text GLabel 10025 6775 0 60 Input ~ 0 +Text GLabel 10700 6775 0 60 Input ~ 0 A14 -Text GLabel 10025 6675 0 60 Input ~ 0 +Text GLabel 10700 6675 0 60 Input ~ 0 A13 -Text GLabel 10025 6575 0 60 Input ~ 0 +Text GLabel 10700 6575 0 60 Input ~ 0 A12 -Text GLabel 10025 6475 0 60 Input ~ 0 +Text GLabel 10700 6475 0 60 Input ~ 0 A11 -Text GLabel 10025 6375 0 60 Input ~ 0 +Text GLabel 10700 6375 0 60 Input ~ 0 A10 -Text GLabel 10025 6275 0 60 Input ~ 0 +Text GLabel 10700 6275 0 60 Input ~ 0 A9 -Text GLabel 10025 6175 0 60 Input ~ 0 +Text GLabel 10700 6175 0 60 Input ~ 0 A8 -Text GLabel 10025 6075 0 60 Input ~ 0 +Text GLabel 10700 6075 0 60 Input ~ 0 A7 -Text GLabel 10025 5975 0 60 Input ~ 0 +Text GLabel 10700 5975 0 60 Input ~ 0 A6 -Text GLabel 10025 5875 0 60 Input ~ 0 +Text GLabel 10700 5875 0 60 Input ~ 0 A5 -Text GLabel 10025 5775 0 60 Input ~ 0 +Text GLabel 10700 5775 0 60 Input ~ 0 A4 -Text GLabel 10025 5675 0 60 Input ~ 0 +Text GLabel 10700 5675 0 60 Input ~ 0 A3 -Text GLabel 10025 5575 0 60 Input ~ 0 +Text GLabel 10700 5575 0 60 Input ~ 0 A2 -Text GLabel 10025 5475 0 60 Input ~ 0 +Text GLabel 10700 5475 0 60 Input ~ 0 A1 -Text GLabel 10025 5375 0 60 Input ~ 0 +Text GLabel 10700 5375 0 60 Input ~ 0 A0 Wire Wire Line - 11025 6475 11500 6475 -Text GLabel 4275 5300 2 60 Output ~ 0 + 11700 6475 11850 6475 +Text GLabel 3650 5300 2 60 Output ~ 0 PHI2 -Text GLabel 4275 5200 2 60 Input ~ 0 +Text GLabel 3650 5200 2 60 Input ~ 0 RESET $Comp L R R6 U 1 1 5941A3D5 -P 1850 5300 -F 0 "R6" V 1930 5300 50 0000 C CNN -F 1 "3.3k" V 1850 5300 50 0000 C CNN -F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 1780 5300 50 0001 C CNN -F 3 "" H 1850 5300 50 0001 C CNN - 1 1850 5300 +P 1225 5300 +F 0 "R6" V 1305 5300 50 0000 C CNN +F 1 "3.3k" V 1225 5300 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 1155 5300 50 0001 C CNN +F 3 "" H 1225 5300 50 0001 C CNN + 1 1225 5300 0 1 1 0 $EndComp $Comp L R R7 U 1 1 5941A3D6 -P 1850 5500 -F 0 "R7" V 1930 5500 50 0000 C CNN -F 1 "3.3k" V 1850 5500 50 0000 C CNN -F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 1780 5500 50 0001 C CNN -F 3 "" H 1850 5500 50 0001 C CNN - 1 1850 5500 +P 1225 5500 +F 0 "R7" V 1305 5500 50 0000 C CNN +F 1 "3.3k" V 1225 5500 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 1155 5500 50 0001 C CNN +F 3 "" H 1225 5500 50 0001 C CNN + 1 1225 5500 0 1 1 0 $EndComp $Comp L R R8 U 1 1 5941A3D7 -P 1850 5700 -F 0 "R8" V 1930 5700 50 0000 C CNN -F 1 "3.3k" V 1850 5700 50 0000 C CNN -F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 1780 5700 50 0001 C CNN -F 3 "" H 1850 5700 50 0001 C CNN - 1 1850 5700 +P 1225 5700 +F 0 "R8" V 1305 5700 50 0000 C CNN +F 1 "3.3k" V 1225 5700 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 1155 5700 50 0001 C CNN +F 3 "" H 1225 5700 50 0001 C CNN + 1 1225 5700 0 1 1 0 $EndComp -Text GLabel 1650 5100 1 60 Input ~ 0 -VCC $Comp -L WD65C02 U1 +L 6502 U1 U 1 1 5941A3D9 -P 3625 6100 -F 0 "U1" H 3625 4950 60 0000 C CNN -F 1 "WD65C02" V 3625 6000 60 0000 C CNN -F 2 "Housings_DIP:DIP-40_W15.24mm_Socket" H 3125 6300 60 0001 C CNN -F 3 "" H 3125 6300 60 0000 C CNN - 1 3625 6100 +P 3000 6100 +F 0 "U1" H 3000 4950 60 0000 C CNN +F 1 "6502" V 3000 6000 60 0000 C CNN +F 2 "Housings_DIP:DIP-40_W15.24mm_Socket" H 2500 6300 60 0001 C CNN +F 3 "" H 2500 6300 60 0000 C CNN + 1 3000 6100 1 0 0 -1 $EndComp -Text GLabel 4725 5075 1 60 Input ~ 0 -VCC -Text GLabel 4275 7100 2 60 Output ~ 0 -GND -Text GLabel 4275 5800 2 60 Output ~ 0 +Text GLabel 3650 5800 2 60 Output ~ 0 RW Wire Wire Line - 1650 5100 1650 5900 + 1025 5100 1025 5900 Wire Wire Line - 1650 5900 2975 5900 + 1025 5900 2350 5900 Wire Wire Line - 1700 5700 1650 5700 -Connection ~ 1650 5700 + 1075 5700 1025 5700 +Connection ~ 1025 5700 Wire Wire Line - 1700 5500 1650 5500 -Connection ~ 1650 5500 + 1075 5500 1025 5500 +Connection ~ 1025 5500 Wire Wire Line - 1700 5300 1650 5300 -Connection ~ 1650 5300 + 1075 5300 1025 5300 +Connection ~ 1025 5300 Wire Wire Line - 2000 5300 2975 5300 -Text GLabel 2975 6000 0 60 Output ~ 0 + 1375 5300 2350 5300 +Text GLabel 2350 6000 0 60 Output ~ 0 A0 -Text GLabel 2975 6100 0 60 Output ~ 0 +Text GLabel 2350 6100 0 60 Output ~ 0 A1 -Text GLabel 2975 6200 0 60 Output ~ 0 +Text GLabel 2350 6200 0 60 Output ~ 0 A2 -Text GLabel 2975 6300 0 60 Output ~ 0 +Text GLabel 2350 6300 0 60 Output ~ 0 A3 -Text GLabel 2975 6400 0 60 Output ~ 0 +Text GLabel 2350 6400 0 60 Output ~ 0 A4 -Text GLabel 2975 6500 0 60 Output ~ 0 +Text GLabel 2350 6500 0 60 Output ~ 0 A5 -Text GLabel 2975 6600 0 60 Output ~ 0 +Text GLabel 2350 6600 0 60 Output ~ 0 A6 -Text GLabel 2975 6700 0 60 Output ~ 0 +Text GLabel 2350 6700 0 60 Output ~ 0 A7 -Text GLabel 2975 6800 0 60 Output ~ 0 +Text GLabel 2350 6800 0 60 Output ~ 0 A8 -Text GLabel 2975 6900 0 60 Output ~ 0 +Text GLabel 2350 6900 0 60 Output ~ 0 A9 -Text GLabel 2975 7000 0 60 Output ~ 0 +Text GLabel 2350 7000 0 60 Output ~ 0 A10 -Text GLabel 2975 7100 0 60 Output ~ 0 +Text GLabel 2350 7100 0 60 Output ~ 0 A11 -Text GLabel 4275 6700 2 60 Output ~ 0 +Text GLabel 3650 6700 2 60 Output ~ 0 A15 -Text GLabel 4275 6800 2 60 Output ~ 0 +Text GLabel 3650 6800 2 60 Output ~ 0 A14 -Text GLabel 4275 6900 2 60 Output ~ 0 +Text GLabel 3650 6900 2 60 Output ~ 0 A13 -Text GLabel 4275 7000 2 60 Output ~ 0 +Text GLabel 3650 7000 2 60 Output ~ 0 A12 -Text GLabel 4275 5900 2 60 Output ~ 0 +Text GLabel 3650 5900 2 60 Output ~ 0 D0 -Text GLabel 4275 6000 2 60 Output ~ 0 +Text GLabel 3650 6000 2 60 Output ~ 0 D1 -Text GLabel 4275 6100 2 60 Output ~ 0 +Text GLabel 3650 6100 2 60 Output ~ 0 D2 -Text GLabel 4275 6200 2 60 Output ~ 0 +Text GLabel 3650 6200 2 60 Output ~ 0 D3 -Text GLabel 4275 6300 2 60 Output ~ 0 +Text GLabel 3650 6300 2 60 Output ~ 0 D4 -Text GLabel 4275 6400 2 60 Output ~ 0 +Text GLabel 3650 6400 2 60 Output ~ 0 D5 -Text GLabel 4275 6600 2 60 Output ~ 0 +Text GLabel 3650 6600 2 60 Output ~ 0 D7 -Text GLabel 4275 6500 2 60 Output ~ 0 +Text GLabel 3650 6500 2 60 Output ~ 0 D6 -NoConn ~ 4275 5700 -NoConn ~ 2975 5600 -Text GLabel 4275 5500 2 60 Input ~ 0 +NoConn ~ 3650 5700 +NoConn ~ 2350 5600 +Text GLabel 3650 5500 2 60 Input ~ 0 CLOCK -Text GLabel 2375 5200 0 60 Output ~ 0 -GND $Comp L CONN_01X02 J1 U 1 1 5941A3E0 -P 2625 5000 -F 0 "J1" H 2625 5150 50 0000 C CNN -F 1 "VP GND" V 2725 5000 50 0000 C CNN -F 2 "Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm" H 2625 5000 50 0001 C CNN -F 3 "" H 2625 5000 50 0001 C CNN - 1 2625 5000 - 0 -1 -1 0 +P 2175 5000 +F 0 "J1" H 2175 5150 50 0000 C CNN +F 1 "VP GND" V 2275 5000 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_1x02_Pitch2.54mm" H 2175 5000 50 0001 C CNN +F 3 "" H 2175 5000 50 0001 C CNN + 1 2175 5000 + 1 0 0 -1 $EndComp Wire Wire Line - 2675 5200 2975 5200 + 1900 5200 2350 5200 Wire Wire Line - 4725 5075 4725 5400 + 3650 5400 4275 5400 Wire Wire Line - 4275 5400 4900 5400 + 1375 5700 2350 5700 Wire Wire Line - 2000 5700 2975 5700 -Wire Wire Line - 2000 5500 2975 5500 -Text GLabel 8200 5200 2 60 Input ~ 0 + 1375 5500 2350 5500 +Text GLabel 8725 5025 2 60 Input ~ 0 D0 -Text GLabel 8200 5300 2 60 Input ~ 0 +Text GLabel 8725 5125 2 60 Input ~ 0 D1 -Text GLabel 8200 5400 2 60 Input ~ 0 +Text GLabel 8725 5225 2 60 Input ~ 0 D2 -Text GLabel 8200 5500 2 60 Input ~ 0 +Text GLabel 8725 5325 2 60 Input ~ 0 D3 -Text GLabel 8200 5600 2 60 Input ~ 0 +Text GLabel 8725 5425 2 60 Input ~ 0 D4 -Text GLabel 8200 5800 2 60 Input ~ 0 +Text GLabel 8725 5625 2 60 Input ~ 0 D6 -Text GLabel 8200 5900 2 60 Input ~ 0 +Text GLabel 8725 5725 2 60 Input ~ 0 D7 -Text GLabel 6800 6400 0 60 Input ~ 0 +Text GLabel 7325 6225 0 60 Input ~ 0 A12 -Text GLabel 6800 6300 0 60 Input ~ 0 +Text GLabel 7325 6125 0 60 Input ~ 0 A11 -Text GLabel 6800 6200 0 60 Input ~ 0 +Text GLabel 7325 6025 0 60 Input ~ 0 A10 -Text GLabel 6800 6100 0 60 Input ~ 0 +Text GLabel 7325 5925 0 60 Input ~ 0 A9 -Text GLabel 6800 6000 0 60 Input ~ 0 +Text GLabel 7325 5825 0 60 Input ~ 0 A8 -Text GLabel 6800 5900 0 60 Input ~ 0 +Text GLabel 7325 5725 0 60 Input ~ 0 A7 -Text GLabel 6800 5800 0 60 Input ~ 0 +Text GLabel 7325 5625 0 60 Input ~ 0 A6 -Text GLabel 6800 5700 0 60 Input ~ 0 +Text GLabel 7325 5525 0 60 Input ~ 0 A5 -Text GLabel 6800 5600 0 60 Input ~ 0 +Text GLabel 7325 5425 0 60 Input ~ 0 A4 -Text GLabel 6800 5500 0 60 Input ~ 0 +Text GLabel 7325 5325 0 60 Input ~ 0 A3 -Text GLabel 6800 5400 0 60 Input ~ 0 +Text GLabel 7325 5225 0 60 Input ~ 0 A2 -Text GLabel 6800 5300 0 60 Input ~ 0 +Text GLabel 7325 5125 0 60 Input ~ 0 A1 -Text GLabel 6800 5200 0 60 Input ~ 0 +Text GLabel 7325 5025 0 60 Input ~ 0 A0 -Text GLabel 8200 5700 2 60 Input ~ 0 +Text GLabel 8725 5525 2 60 Input ~ 0 D5 $Comp L 28C256 U4 U 1 1 5941C8BB -P 7500 6100 -F 0 "U4" H 7700 7100 50 0000 C CNN -F 1 "28C256" H 7800 5100 50 0000 C CNN -F 2 "Housings_DIP:DIP-28_W15.24mm_Socket" H 7500 6100 50 0001 C CNN -F 3 "" H 7500 6100 50 0001 C CNN - 1 7500 6100 +P 8025 5925 +F 0 "U4" H 8225 6925 50 0000 C CNN +F 1 "28C256" H 8325 4925 50 0000 C CNN +F 2 "Housings_DIP:DIP-28_W15.24mm_Socket" H 8025 5925 50 0001 C CNN +F 3 "" H 8025 5925 50 0001 C CNN + 1 8025 5925 1 0 0 -1 $EndComp $Comp L Jumper_NC_Dual A13 U 1 1 5941C8BC -P 6800 7625 -F 0 "A13" H 6850 7525 50 0000 L CNN -F 1 "A13 Select" H 6800 7725 50 0000 C BNN -F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 6800 7625 50 0001 C CNN -F 3 "" H 6800 7625 50 0001 C CNN - 1 6800 7625 - 1 0 0 -1 +P 7350 7950 +F 0 "A13" H 7400 7850 50 0000 L CNN +F 1 "A13 Select" H 7350 8050 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 7350 7950 50 0001 C CNN +F 3 "" H 7350 7950 50 0001 C CNN + 1 7350 7950 + 0 -1 1 0 $EndComp $Comp L Jumper_NC_Dual A14 U 1 1 5941C8BD -P 7875 7625 -F 0 "A14" H 7925 7525 50 0000 L CNN -F 1 "A14 Select" H 7875 7725 50 0000 C BNN -F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 7875 7625 50 0001 C CNN -F 3 "" H 7875 7625 50 0001 C CNN - 1 7875 7625 - 1 0 0 -1 +P 8100 7950 +F 0 "A14" H 8150 7850 50 0000 L CNN +F 1 "A14 Select" H 8100 8050 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 8100 7950 50 0001 C CNN +F 3 "" H 8100 7950 50 0001 C CNN + 1 8100 7950 + 0 -1 1 0 $EndComp -Text GLabel 6800 6500 0 60 Input ~ 0 +Text GLabel 7325 6325 0 60 Input ~ 0 A13_W -Text GLabel 6800 6600 0 60 Input ~ 0 +Text GLabel 7325 6425 0 60 Input ~ 0 A14_W -Text GLabel 6550 7625 0 60 Input ~ 0 -VCC -Text GLabel 7625 7625 0 60 Input ~ 0 -VCC -Text GLabel 8125 7625 2 60 Input ~ 0 -GND -Text GLabel 7050 7625 2 60 Input ~ 0 -GND -Text GLabel 6800 7725 3 60 Output ~ 0 +Text GLabel 7450 7950 2 60 Output ~ 0 A13_W -Text GLabel 7875 7725 3 60 Output ~ 0 +Text GLabel 8200 7950 2 60 Output ~ 0 A14_W Wire Wire Line - 6800 6900 6700 6900 + 7325 6725 7225 6725 Wire Wire Line - 6700 6900 6700 7000 + 7225 6725 7225 7050 Wire Wire Line - 6025 7000 6800 7000 -Connection ~ 6700 7000 + 7225 6825 7325 6825 +Connection ~ 7225 6825 $Comp L R R1 U 1 1 5941C8C9 -P 6525 6800 -F 0 "R1" V 6605 6800 50 0000 C CNN -F 1 "3.3k" V 6525 6800 50 0000 C CNN -F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 6455 6800 50 0001 C CNN -F 3 "" H 6525 6800 50 0001 C CNN - 1 6525 6800 +P 7125 6625 +F 0 "R1" V 7205 6625 50 0000 C CNN +F 1 "3.3k" V 7125 6625 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 7055 6625 50 0001 C CNN +F 3 "" H 7125 6625 50 0001 C CNN + 1 7125 6625 0 1 1 0 $EndComp -Text GLabel 6375 6800 0 60 Input ~ 0 -VCC -Wire Wire Line - 6675 6800 6800 6800 $Comp L NE555 U6 U 1 1 59421FC7 @@ -688,10 +641,6 @@ F 3 "" H 3600 9700 50 0001 C CNN 1 3600 9700 1 0 0 -1 $EndComp -Text GLabel 1175 8850 1 60 Input ~ 0 -VCC -Text GLabel 1175 10625 3 60 Output ~ 0 -GND $Comp L R R2 U 1 1 59421FC8 @@ -775,13 +724,13 @@ CLOCK $Comp L LED D1 U 1 1 59421FD7 -P 6800 10075 -F 0 "D1" H 6800 10175 50 0000 C CNN -F 1 "Power" H 6800 9975 50 0000 C CNN -F 2 "LEDs:LED_D5.0mm" H 6800 10075 50 0001 C CNN -F 3 "" H 6800 10075 50 0001 C CNN - 1 6800 10075 - 0 -1 -1 0 +P 3825 3350 +F 0 "D1" H 3825 3450 50 0000 C CNN +F 1 "Power" H 3825 3250 50 0000 C CNN +F 2 "LEDs:LED_D5.0mm" H 3825 3350 50 0001 C CNN +F 3 "" H 3825 3350 50 0001 C CNN + 1 3825 3350 + -1 0 0 1 $EndComp Wire Wire Line 3600 8975 3600 9300 @@ -829,32 +778,24 @@ Wire Wire Line Wire Wire Line 2150 10175 2150 10475 Wire Wire Line - 1175 10025 1175 10625 + 1175 10025 1175 10475 Connection ~ 2150 10475 Connection ~ 2600 8975 Wire Wire Line - 1175 8850 1175 9425 + 1175 8900 1175 9425 $Comp L R R5 U 1 1 59421FD8 -P 6800 9700 -F 0 "R5" V 6880 9700 50 0000 C CNN -F 1 "330" V 6800 9700 50 0000 C CNN -F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 6730 9700 50 0001 C CNN -F 3 "" H 6800 9700 50 0001 C CNN - 1 6800 9700 - 1 0 0 -1 +P 3450 3350 +F 0 "R5" V 3530 3350 50 0000 C CNN +F 1 "330" V 3450 3350 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 3380 3350 50 0001 C CNN +F 3 "" H 3450 3350 50 0001 C CNN + 1 3450 3350 + 0 -1 -1 0 $EndComp -Text GLabel 6800 9425 1 60 Input ~ 0 -VCC -Text GLabel 6800 10350 3 60 Output ~ 0 -GND Wire Wire Line - 6800 9425 6800 9550 -Wire Wire Line - 6800 9850 6800 9925 -Wire Wire Line - 6800 10225 6800 10350 + 3600 3350 3675 3350 $Comp L 74LS04 U7 U 2 1 5942DAF5 @@ -880,7 +821,7 @@ F 3 "" H 5675 9600 50 0001 C CNN 0 1 1 0 $EndComp Wire Wire Line - 4900 10475 4900 10275 + 4900 10275 4900 10550 Wire Wire Line 6100 9200 6225 9200 Wire Wire Line @@ -900,12 +841,9 @@ Wire Wire Line 5525 9600 4900 9600 Wire Wire Line 6225 9600 5825 9600 -Wire Wire Line - 2375 5200 2575 5200 Connection ~ 1175 8975 -Connection ~ 1175 10475 Connection ~ 6225 9600 -Text GLabel 9675 2925 2 60 Input ~ 0 +Text GLabel 8675 1275 2 60 Input ~ 0 CS_PIA Text GLabel 4850 950 2 60 Output ~ 0 CS_PIA @@ -954,45 +892,41 @@ Wire Wire Line 4850 1550 4850 1825 Wire Wire Line 3200 1675 3400 1675 -Text GLabel 5700 6500 1 60 Input ~ 0 +Text GLabel 7550 7150 2 60 Input ~ 0 CS_ROM $Comp L 74HCT00 U5 U 3 1 5945BB1F -P 12325 5800 -F 0 "U5" H 12325 5850 50 0000 C CNN -F 1 "74HCT00" H 12325 5700 50 0000 C CNN -F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 12325 5800 50 0001 C CNN -F 3 "" H 12325 5800 50 0001 C CNN - 3 12325 5800 +P 13000 5800 +F 0 "U5" H 13000 5850 50 0000 C CNN +F 1 "74HCT00" H 13000 5700 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 13000 5800 50 0001 C CNN +F 3 "" H 13000 5800 50 0001 C CNN + 3 13000 5800 1 0 0 -1 $EndComp $Comp L 74HCT00 U5 U 4 1 5945BB97 -P 12325 6325 -F 0 "U5" H 12325 6375 50 0000 C CNN -F 1 "74HCT00" H 12325 6225 50 0000 C CNN -F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 12325 6325 50 0001 C CNN -F 3 "" H 12325 6325 50 0001 C CNN - 4 12325 6325 +P 13000 6325 +F 0 "U5" H 13000 6375 50 0000 C CNN +F 1 "74HCT00" H 13000 6225 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 13000 6325 50 0001 C CNN +F 3 "" H 13000 6325 50 0001 C CNN + 4 13000 6325 -1 0 0 1 $EndComp -Text GLabel 11575 5625 1 60 Input ~ 0 +Text GLabel 12325 5800 0 60 Input ~ 0 RW Wire Wire Line - 11725 5700 11725 5900 -Connection ~ 11725 5800 -Text GLabel 13050 6225 1 60 Input ~ 0 + 12400 5700 12400 5900 +Connection ~ 12400 5800 +Text GLabel 13675 6425 2 60 Input ~ 0 PHI2 Wire Wire Line - 11025 6325 11725 6325 + 11700 6325 12400 6325 Wire Wire Line - 12925 5800 12925 6225 -Wire Wire Line - 11575 5800 11725 5800 -Wire Wire Line - 11575 5625 11575 5800 + 13600 5800 13600 6225 $Comp L 74LS04 U7 U 6 1 5946AD55 @@ -1046,8 +980,6 @@ NoConn ~ 7800 9850 NoConn ~ 8150 9850 NoConn ~ 8500 9850 NoConn ~ 8850 9850 -Text GLabel 9400 10275 1 60 Input ~ 0 -VCC $Comp L C C8 U 1 1 5946F39E @@ -1059,8 +991,6 @@ F 3 "" H 11200 10550 50 0001 C CNN 1 11200 10550 1 0 0 -1 $EndComp -Text GLabel 11500 10825 3 60 Output ~ 0 -GND Wire Wire Line 11500 10350 11500 10400 Wire Wire Line @@ -1170,135 +1100,124 @@ Connection ~ 10300 10750 Wire Wire Line 10000 10700 10000 10750 Connection ~ 10000 10750 -Text GLabel 15400 7375 0 60 Input ~ 0 -GND -Text GLabel 15400 7475 0 60 Output ~ 0 -VCC -Text GLabel 15400 7575 0 60 Input ~ 0 +Text GLabel 15400 7925 0 60 Input ~ 0 PHI2 -Text GLabel 15400 7675 0 60 Output ~ 0 +Text GLabel 15400 7825 0 60 Output ~ 0 RESET $Comp -L CONN_01X39 J3 +L RC6502_Backplane J3 U 1 1 594828B6 -P 15600 7675 -F 0 "J3" H 15600 9675 50 0000 C CNN -F 1 "Backplane" V 15700 7675 50 0000 C CNN -F 2 "Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm" H 15600 7675 50 0001 C CNN -F 3 "" H 15600 7675 50 0001 C CNN - 1 15600 7675 - 1 0 0 -1 +P 15600 7825 +F 0 "J3" H 15600 9825 50 0000 C CNN +F 1 "Backplane" H 15600 5800 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Angled_1x39_Pitch2.54mm" H 15600 7825 50 0001 C CNN +F 3 "" H 15600 7825 50 0001 C CNN + 1 15600 7825 + 1 0 0 1 $EndComp -Text GLabel 15400 8075 0 60 Input ~ 0 +Text GLabel 15400 7425 0 60 Input ~ 0 RW -Text GLabel 15400 5775 0 60 Input ~ 0 +Text GLabel 15400 9725 0 60 Input ~ 0 A15 -Text GLabel 15400 5875 0 60 Input ~ 0 +Text GLabel 15400 9625 0 60 Input ~ 0 A14 -Text GLabel 15400 5975 0 60 Input ~ 0 +Text GLabel 15400 9525 0 60 Input ~ 0 A13 -Text GLabel 15400 6075 0 60 Input ~ 0 +Text GLabel 15400 9425 0 60 Input ~ 0 A12 -Text GLabel 15400 6175 0 60 Input ~ 0 +Text GLabel 15400 9325 0 60 Input ~ 0 A11 -Text GLabel 15400 6275 0 60 Input ~ 0 +Text GLabel 15400 9225 0 60 Input ~ 0 A10 -Text GLabel 15400 6375 0 60 Input ~ 0 +Text GLabel 15400 9125 0 60 Input ~ 0 A9 -Text GLabel 15400 6475 0 60 Input ~ 0 +Text GLabel 15400 9025 0 60 Input ~ 0 A8 -Text GLabel 15400 6575 0 60 Input ~ 0 +Text GLabel 15400 8925 0 60 Input ~ 0 A7 -Text GLabel 15400 6675 0 60 Input ~ 0 +Text GLabel 15400 8825 0 60 Input ~ 0 A6 -Text GLabel 15400 6775 0 60 Input ~ 0 +Text GLabel 15400 8725 0 60 Input ~ 0 A5 -Text GLabel 15400 6875 0 60 Input ~ 0 +Text GLabel 15400 8625 0 60 Input ~ 0 A4 -Text GLabel 15400 6975 0 60 Input ~ 0 +Text GLabel 15400 8525 0 60 Input ~ 0 A3 -Text GLabel 15400 7075 0 60 Input ~ 0 +Text GLabel 15400 8425 0 60 Input ~ 0 A2 -Text GLabel 15400 7175 0 60 Input ~ 0 +Text GLabel 15400 8325 0 60 Input ~ 0 A1 -Text GLabel 15400 7275 0 60 Input ~ 0 +Text GLabel 15400 8225 0 60 Input ~ 0 A0 -Text GLabel 15400 7775 0 60 BiDi ~ 0 +Text GLabel 15400 7725 0 60 BiDi ~ 0 CLOCK -Text GLabel 15400 7875 0 60 Output ~ 0 +Text GLabel 15400 7625 0 60 Output ~ 0 IRQ -Text GLabel 15400 8375 0 60 BiDi ~ 0 +Text GLabel 15400 7125 0 60 BiDi ~ 0 D0 -Text GLabel 15400 8475 0 60 BiDi ~ 0 +Text GLabel 15400 7025 0 60 BiDi ~ 0 D1 -Text GLabel 15400 8575 0 60 BiDi ~ 0 +Text GLabel 15400 6925 0 60 BiDi ~ 0 D2 -Text GLabel 15400 8675 0 60 BiDi ~ 0 +Text GLabel 15400 6825 0 60 BiDi ~ 0 D3 -Text GLabel 15400 8775 0 60 BiDi ~ 0 +Text GLabel 15400 6725 0 60 BiDi ~ 0 D4 -Text GLabel 15400 8875 0 60 BiDi ~ 0 +Text GLabel 15400 6625 0 60 BiDi ~ 0 D5 -Text GLabel 15400 8975 0 60 BiDi ~ 0 +Text GLabel 15400 6525 0 60 BiDi ~ 0 D6 -Text GLabel 15400 9075 0 60 BiDi ~ 0 +Text GLabel 15400 6425 0 60 BiDi ~ 0 D7 -NoConn ~ 15400 9475 -NoConn ~ 15400 9575 -Text GLabel 15400 9375 0 60 Input ~ 0 +Text GLabel 15400 6125 0 60 Input ~ 0 NMI -Text GLabel 15400 8175 0 60 Input ~ 0 +Text GLabel 15400 7325 0 60 Input ~ 0 RDY -Text GLabel 15400 8275 0 60 Input ~ 0 +Text GLabel 15400 7225 0 60 Input ~ 0 SYNC -Text GLabel 2975 5800 0 60 Output ~ 0 +Text GLabel 2350 5800 0 60 Output ~ 0 SYNC -Text GLabel 2525 5975 3 60 Output ~ 0 +Text GLabel 1900 5975 3 60 Output ~ 0 RDY -Connection ~ 6725 2825 Text GLabel 4650 625 0 60 Input ~ 0 A15 Text GLabel 4850 625 2 60 Output ~ 0 CS_RAM Wire Wire Line 4650 625 4850 625 -Text GLabel 11825 6650 2 60 Input ~ 0 +Text GLabel 11525 7150 0 60 Input ~ 0 CS_RAM Wire Wire Line - 13050 6225 13050 6425 -Wire Wire Line - 13050 6425 12925 6425 -Wire Wire Line - 2525 5975 2525 5300 -Connection ~ 2525 5300 -Text GLabel 2375 5975 3 60 Output ~ 0 + 1900 5975 1900 5300 +Connection ~ 1900 5300 +Text GLabel 1750 5975 3 60 Output ~ 0 IRQ Wire Wire Line - 2375 5975 2375 5500 -Connection ~ 2375 5500 -Text GLabel 2225 5975 3 60 Output ~ 0 + 1750 5975 1750 5500 +Connection ~ 1750 5500 +Text GLabel 1600 5975 3 60 Output ~ 0 NMI Wire Wire Line - 2225 5975 2225 5700 -Connection ~ 2225 5700 -Text GLabel 15400 9275 0 60 Output ~ 0 + 1600 5975 1600 5700 +Connection ~ 1600 5700 +Text GLabel 15400 6225 0 60 Output ~ 0 RX -Text GLabel 15400 9175 0 60 Input ~ 0 +Text GLabel 15400 6325 0 60 Input ~ 0 TX -NoConn ~ 13325 2300 -Text GLabel 13325 1400 0 60 Output ~ 0 +NoConn ~ 13500 2725 +Text GLabel 13500 1825 0 60 Output ~ 0 TX -Text GLabel 13325 1500 0 60 Input ~ 0 +Text GLabel 13500 1925 0 60 Input ~ 0 RX $Comp L 74LS04 U7 U 1 1 5941BFB6 -P 7575 3025 -F 0 "U7" H 7770 3140 50 0000 C CNN -F 1 "74HCT04" H 7765 2900 50 0000 C CNN -F 2 "Housings_DIP:DIP-14_W7.62mm" H 7575 3025 50 0001 C CNN -F 3 "" H 7575 3025 50 0001 C CNN - 1 7575 3025 +P 6575 3575 +F 0 "U7" H 6770 3690 50 0000 C CNN +F 1 "74HCT04" H 6765 3450 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm" H 6575 3575 50 0001 C CNN +F 3 "" H 6575 3575 50 0001 C CNN + 1 6575 3575 -1 0 0 1 $EndComp $Comp @@ -1369,100 +1288,81 @@ NoConn ~ 15975 525 $Comp L CONN_01X02 J8 U 1 1 5943DE2E -P 14750 1900 -F 0 "J8" H 14750 2050 50 0000 C CNN -F 1 "Power" V 14850 1900 50 0000 C CNN -F 2 "Pin_Headers:Pin_Header_Angled_1x02_Pitch2.54mm" H 14750 1900 50 0001 C CNN -F 3 "" H 14750 1900 50 0001 C CNN - 1 14750 1900 +P 14925 2325 +F 0 "J8" H 14925 2475 50 0000 C CNN +F 1 "Power" V 15025 2325 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Angled_1x02_Pitch2.54mm" H 14925 2325 50 0001 C CNN +F 3 "" H 14925 2325 50 0001 C CNN + 1 14925 2325 0 -1 1 0 $EndComp -Wire Wire Line - 14900 1700 14800 1700 $Comp L R R10 U 1 1 596284F8 -P 4750 5600 -F 0 "R10" V 4830 5600 50 0000 C CNN -F 1 "3.3k" V 4750 5600 50 0000 C CNN -F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 4680 5600 50 0001 C CNN -F 3 "" H 4750 5600 50 0001 C CNN - 1 4750 5600 +P 4125 5600 +F 0 "R10" V 4205 5600 50 0000 C CNN +F 1 "3.3k" V 4125 5600 50 0000 C CNN +F 2 "Resistors_THT:R_Axial_DIN0207_L6.3mm_D2.5mm_P10.16mm_Horizontal" V 4055 5600 50 0001 C CNN +F 3 "" H 4125 5600 50 0001 C CNN + 1 4125 5600 0 -1 -1 0 $EndComp Wire Wire Line - 4600 5600 4275 5600 + 3975 5600 3650 5600 Wire Wire Line - 4900 5400 4900 5600 -Connection ~ 4725 5400 + 4275 5325 4275 5600 $Comp L Jumper_NC_Dual J9 U 1 1 5C3B495B -P 6025 6575 -F 0 "J9" H 6075 6475 50 0000 L CNN -F 1 "ROM_EN" H 6025 6675 50 0000 C BNN -F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 6025 6575 50 0001 C CNN -F 3 "" H 6025 6575 50 0001 C CNN - 1 6025 6575 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5775 6575 5700 6575 -Wire Wire Line - 5700 6575 5700 6500 -Wire Wire Line - 6025 6675 6025 7000 -Text GLabel 6350 6500 1 60 Input ~ 0 -VCC -Wire Wire Line - 6350 6500 6350 6575 -Wire Wire Line - 6350 6575 6275 6575 -$Comp -L Jumper_NC_Dual J10 -U 1 1 5C3B8C28 -P 11500 6650 -F 0 "J10" H 11550 6550 50 0000 L CNN -F 1 "RAM_EN" H 11500 6750 50 0000 C BNN -F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 11500 6650 50 0001 C CNN -F 3 "" H 11500 6650 50 0001 C CNN - 1 11500 6650 +P 7225 7150 +F 0 "J9" H 7275 7050 50 0000 L CNN +F 1 "ROM_EN" H 7225 7250 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 7225 7150 50 0001 C CNN +F 3 "" H 7225 7150 50 0001 C CNN + 1 7225 7150 -1 0 0 1 $EndComp Wire Wire Line - 11750 6650 11825 6650 -Text GLabel 11175 6725 3 60 Input ~ 0 -VCC + 7475 7150 7550 7150 Wire Wire Line - 11175 6725 11175 6650 + 6900 7150 6975 7150 +$Comp +L Jumper_NC_Dual J10 +U 1 1 5C3B8C28 +P 11850 7150 +F 0 "J10" H 11900 7050 50 0000 L CNN +F 1 "RAM_EN" H 11850 7250 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 11850 7150 50 0001 C CNN +F 3 "" H 11850 7150 50 0001 C CNN + 1 11850 7150 + 1 0 0 1 +$EndComp Wire Wire Line - 11175 6650 11250 6650 + 11600 7150 11525 7150 Wire Wire Line - 11500 6475 11500 6550 -Connection ~ 11175 6475 + 12175 7150 12100 7150 +Connection ~ 11850 6475 Wire Wire Line - 11025 6225 11175 6225 + 11700 6225 11850 6225 Wire Wire Line - 11175 6225 11175 6475 + 11850 6225 11850 7050 $Comp L Jumper_NC_Dual J11 U 1 1 5C5FEAD4 -P 10225 2825 -F 0 "J11" H 10275 2725 50 0000 L CNN -F 1 "PIA_EN" H 10225 2925 50 0000 C BNN -F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 10225 2825 50 0001 C CNN -F 3 "" H 10225 2825 50 0001 C CNN - 1 10225 2825 +P 9225 1375 +F 0 "J11" H 9275 1275 50 0000 L CNN +F 1 "PIA_EN" H 9225 1475 50 0000 C BNN +F 2 "Pin_Headers:Pin_Header_Straight_1x03_Pitch2.54mm" H 9225 1375 50 0001 C CNN +F 3 "" H 9225 1375 50 0001 C CNN + 1 9225 1375 0 1 1 0 $EndComp Wire Wire Line - 9675 2825 10125 2825 + 8675 1375 9125 1375 Wire Wire Line - 10225 2500 10225 2575 -Text GLabel 10225 3150 3 60 Output ~ 0 -GND + 9225 1050 9225 1125 Wire Wire Line - 10225 3150 10225 3075 + 9225 1700 9225 1625 $Comp L Jumper_NC_Small JP1 U 1 1 5C60279D @@ -1480,19 +1380,403 @@ Wire Wire Line 1825 9525 1825 9725 Wire Wire Line 1825 9725 1750 9725 -Text GLabel 15400 7975 0 60 Input ~ 0 +Text GLabel 15400 7525 0 60 Input ~ 0 PHI1 -Text GLabel 2975 5400 0 60 Output ~ 0 +Text GLabel 2350 5400 0 60 Output ~ 0 PHI1 -Text GLabel 13325 2200 0 60 Output ~ 0 +Text GLabel 13500 2625 0 60 Output ~ 0 CLOCK Connection ~ 9400 10350 Wire Wire Line 8850 10750 8850 10825 -Text GLabel 8850 10825 3 60 Output ~ 0 -GND Wire Wire Line 4850 950 3400 950 Wire Wire Line 3400 950 3400 1675 +$Comp +L VCC #PWR01 +U 1 1 5E28CFB0 +P 14850 7950 +F 0 "#PWR01" H 14850 7800 50 0001 C CNN +F 1 "VCC" H 14850 8100 50 0000 C CNN +F 2 "" H 14850 7950 50 0001 C CNN +F 3 "" H 14850 7950 50 0001 C CNN + 1 14850 7950 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR02 +U 1 1 5E28D034 +P 14850 8200 +F 0 "#PWR02" H 14850 7950 50 0001 C CNN +F 1 "GND" H 14850 8050 50 0000 C CNN +F 2 "" H 14850 8200 50 0001 C CNN +F 3 "" H 14850 8200 50 0001 C CNN + 1 14850 8200 + 1 0 0 -1 +$EndComp +Wire Wire Line + 14850 8200 14850 8125 +Wire Wire Line + 14850 8125 15400 8125 +Wire Wire Line + 15400 8025 14850 8025 +Wire Wire Line + 14850 8025 14850 7950 +Wire Wire Line + 12175 7150 12175 7075 +$Comp +L VCC #PWR03 +U 1 1 5E28E4C4 +P 12175 7075 +F 0 "#PWR03" H 12175 6925 50 0001 C CNN +F 1 "VCC" H 12175 7225 50 0000 C CNN +F 2 "" H 12175 7075 50 0001 C CNN +F 3 "" H 12175 7075 50 0001 C CNN + 1 12175 7075 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR04 +U 1 1 5E28F921 +P 11625 1625 +F 0 "#PWR04" H 11625 1475 50 0001 C CNN +F 1 "VCC" H 11625 1775 50 0000 C CNN +F 2 "" H 11625 1625 50 0001 C CNN +F 3 "" H 11625 1625 50 0001 C CNN + 1 11625 1625 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR05 +U 1 1 5E28FC45 +P 12275 3700 +F 0 "#PWR05" H 12275 3450 50 0001 C CNN +F 1 "GND" H 12275 3550 50 0000 C CNN +F 2 "" H 12275 3700 50 0001 C CNN +F 3 "" H 12275 3700 50 0001 C CNN + 1 12275 3700 + 1 0 0 -1 +$EndComp +Connection ~ 12625 2725 +$Comp +L VCC #PWR06 +U 1 1 5E290FD5 +P 12625 2350 +F 0 "#PWR06" H 12625 2200 50 0001 C CNN +F 1 "VCC" H 12625 2500 50 0000 C CNN +F 2 "" H 12625 2350 50 0001 C CNN +F 3 "" H 12625 2350 50 0001 C CNN + 1 12625 2350 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR07 +U 1 1 5E292491 +P 14975 2125 +F 0 "#PWR07" H 14975 1975 50 0001 C CNN +F 1 "VCC" H 14975 2275 50 0000 C CNN +F 2 "" H 14975 2125 50 0001 C CNN +F 3 "" H 14975 2125 50 0001 C CNN + 1 14975 2125 + 1 0 0 -1 +$EndComp +Wire Wire Line + 15175 1925 15175 2000 +$Comp +L GND #PWR08 +U 1 1 5E292EC6 +P 15175 2000 +F 0 "#PWR08" H 15175 1750 50 0001 C CNN +F 1 "GND" H 15175 1850 50 0000 C CNN +F 2 "" H 15175 2000 50 0001 C CNN +F 3 "" H 15175 2000 50 0001 C CNN + 1 15175 2000 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR09 +U 1 1 5E293E17 +P 9225 1050 +F 0 "#PWR09" H 9225 900 50 0001 C CNN +F 1 "VCC" H 9225 1200 50 0000 C CNN +F 2 "" H 9225 1050 50 0001 C CNN +F 3 "" H 9225 1050 50 0001 C CNN + 1 9225 1050 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR010 +U 1 1 5E293E9B +P 9225 1700 +F 0 "#PWR010" H 9225 1450 50 0001 C CNN +F 1 "GND" H 9225 1550 50 0000 C CNN +F 2 "" H 9225 1700 50 0001 C CNN +F 3 "" H 9225 1700 50 0001 C CNN + 1 9225 1700 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR011 +U 1 1 5E29696D +P 1700 1950 +F 0 "#PWR011" H 1700 1700 50 0001 C CNN +F 1 "GND" H 1700 1800 50 0000 C CNN +F 2 "" H 1700 1950 50 0001 C CNN +F 3 "" H 1700 1950 50 0001 C CNN + 1 1700 1950 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR012 +U 1 1 5E296DE1 +P 1025 5100 +F 0 "#PWR012" H 1025 4950 50 0001 C CNN +F 1 "VCC" H 1025 5250 50 0000 C CNN +F 2 "" H 1025 5100 50 0001 C CNN +F 3 "" H 1025 5100 50 0001 C CNN + 1 1025 5100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1900 5200 1900 5050 +$Comp +L GND #PWR013 +U 1 1 5E297950 +P 1800 5025 +F 0 "#PWR013" H 1800 4775 50 0001 C CNN +F 1 "GND" H 1800 4875 50 0000 C CNN +F 2 "" H 1800 5025 50 0001 C CNN +F 3 "" H 1800 5025 50 0001 C CNN + 1 1800 5025 + 1 0 0 -1 +$EndComp +Wire Wire Line + 1800 5025 1800 4950 +Wire Wire Line + 1800 4950 1975 4950 +Wire Wire Line + 1900 5050 1975 5050 +Connection ~ 4275 5400 +$Comp +L VCC #PWR014 +U 1 1 5E29836C +P 4275 5325 +F 0 "#PWR014" H 4275 5175 50 0001 C CNN +F 1 "VCC" H 4275 5475 50 0000 C CNN +F 2 "" H 4275 5325 50 0001 C CNN +F 3 "" H 4275 5325 50 0001 C CNN + 1 4275 5325 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR015 +U 1 1 5E299A71 +P 7350 7700 +F 0 "#PWR015" H 7350 7550 50 0001 C CNN +F 1 "VCC" H 7350 7850 50 0000 C CNN +F 2 "" H 7350 7700 50 0001 C CNN +F 3 "" H 7350 7700 50 0001 C CNN + 1 7350 7700 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR016 +U 1 1 5E299CB5 +P 8100 7700 +F 0 "#PWR016" H 8100 7550 50 0001 C CNN +F 1 "VCC" H 8100 7850 50 0000 C CNN +F 2 "" H 8100 7700 50 0001 C CNN +F 3 "" H 8100 7700 50 0001 C CNN + 1 8100 7700 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR017 +U 1 1 5E299EC5 +P 7350 8200 +F 0 "#PWR017" H 7350 7950 50 0001 C CNN +F 1 "GND" H 7350 8050 50 0000 C CNN +F 2 "" H 7350 8200 50 0001 C CNN +F 3 "" H 7350 8200 50 0001 C CNN + 1 7350 8200 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR018 +U 1 1 5E29A027 +P 8100 8200 +F 0 "#PWR018" H 8100 7950 50 0001 C CNN +F 1 "GND" H 8100 8050 50 0000 C CNN +F 2 "" H 8100 8200 50 0001 C CNN +F 3 "" H 8100 8200 50 0001 C CNN + 1 8100 8200 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR019 +U 1 1 5E29B610 +P 3225 3275 +F 0 "#PWR019" H 3225 3125 50 0001 C CNN +F 1 "VCC" H 3225 3425 50 0000 C CNN +F 2 "" H 3225 3275 50 0001 C CNN +F 3 "" H 3225 3275 50 0001 C CNN + 1 3225 3275 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR020 +U 1 1 5E29BC4B +P 4050 3425 +F 0 "#PWR020" H 4050 3175 50 0001 C CNN +F 1 "GND" H 4050 3275 50 0000 C CNN +F 2 "" H 4050 3425 50 0001 C CNN +F 3 "" H 4050 3425 50 0001 C CNN + 1 4050 3425 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4050 3350 3975 3350 +Wire Wire Line + 3225 3275 3225 3350 +Wire Wire Line + 3225 3350 3300 3350 +Wire Wire Line + 4050 3425 4050 3350 +$Comp +L GND #PWR021 +U 1 1 5E29EF4F +P 4900 10550 +F 0 "#PWR021" H 4900 10300 50 0001 C CNN +F 1 "GND" H 4900 10400 50 0000 C CNN +F 2 "" H 4900 10550 50 0001 C CNN +F 3 "" H 4900 10550 50 0001 C CNN + 1 4900 10550 + 1 0 0 -1 +$EndComp +Connection ~ 4900 10475 +$Comp +L VCC #PWR022 +U 1 1 5E29FC1E +P 1175 8900 +F 0 "#PWR022" H 1175 8750 50 0001 C CNN +F 1 "VCC" H 1175 9050 50 0000 C CNN +F 2 "" H 1175 8900 50 0001 C CNN +F 3 "" H 1175 8900 50 0001 C CNN + 1 1175 8900 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR023 +U 1 1 5E2A4252 +P 6900 7075 +F 0 "#PWR023" H 6900 6925 50 0001 C CNN +F 1 "VCC" H 6900 7225 50 0000 C CNN +F 2 "" H 6900 7075 50 0001 C CNN +F 3 "" H 6900 7075 50 0001 C CNN + 1 6900 7075 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6900 7075 6900 7150 +$Comp +L VCC #PWR024 +U 1 1 5E2A4C84 +P 6900 6550 +F 0 "#PWR024" H 6900 6400 50 0001 C CNN +F 1 "VCC" H 6900 6700 50 0000 C CNN +F 2 "" H 6900 6550 50 0001 C CNN +F 3 "" H 6900 6550 50 0001 C CNN + 1 6900 6550 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6900 6550 6900 6625 +Wire Wire Line + 6900 6625 6975 6625 +Wire Wire Line + 7275 6625 7325 6625 +Connection ~ 5725 3275 +$Comp +L VCC #PWR025 +U 1 1 5E2AFDA3 +P 8025 1075 +F 0 "#PWR025" H 8025 925 50 0001 C CNN +F 1 "VCC" H 8025 1225 50 0000 C CNN +F 2 "" H 8025 1075 50 0001 C CNN +F 3 "" H 8025 1075 50 0001 C CNN + 1 8025 1075 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR026 +U 1 1 5E2B001F +P 8025 3950 +F 0 "#PWR026" H 8025 3700 50 0001 C CNN +F 1 "GND" H 8025 3800 50 0000 C CNN +F 2 "" H 8025 3950 50 0001 C CNN +F 3 "" H 8025 3950 50 0001 C CNN + 1 8025 3950 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR027 +U 1 1 5E2B08E2 +P 9400 10275 +F 0 "#PWR027" H 9400 10125 50 0001 C CNN +F 1 "VCC" H 9400 10425 50 0000 C CNN +F 2 "" H 9400 10275 50 0001 C CNN +F 3 "" H 9400 10275 50 0001 C CNN + 1 9400 10275 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR028 +U 1 1 5E2B0B26 +P 8850 10825 +F 0 "#PWR028" H 8850 10575 50 0001 C CNN +F 1 "GND" H 8850 10675 50 0000 C CNN +F 2 "" H 8850 10825 50 0001 C CNN +F 3 "" H 8850 10825 50 0001 C CNN + 1 8850 10825 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR029 +U 1 1 5E2B0C50 +P 11500 10825 +F 0 "#PWR029" H 11500 10575 50 0001 C CNN +F 1 "GND" H 11500 10675 50 0000 C CNN +F 2 "" H 11500 10825 50 0001 C CNN +F 3 "" H 11500 10825 50 0001 C CNN + 1 11500 10825 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3650 7100 3725 7100 +Wire Wire Line + 3725 7100 3725 7175 +$Comp +L GND #PWR030 +U 1 1 5E2B1D50 +P 3725 7175 +F 0 "#PWR030" H 3725 6925 50 0001 C CNN +F 1 "GND" H 3725 7025 50 0000 C CNN +F 2 "" H 3725 7175 50 0001 C CNN +F 3 "" H 3725 7175 50 0001 C CNN + 1 3725 7175 + 1 0 0 -1 +$EndComp +Text Notes 11825 10375 0 60 ~ 0 +Single-board computer (SBC) version of the Apple-1 computer, this version integrates all\nof the core features onto one board (it can still be built using separate modules by\ndisabling the corresponding one here). Originally built while reading the book "Apple-1\nReplica creation" by Tom Owad. +Text Notes 6775 8650 0 60 ~ 0 +These select 8K window within the 32K 28C256, you\ncan also use 28C64 with these selecttions unjumpered. +Wire Wire Line + 12325 5800 12400 5800 +Wire Wire Line + 13675 6425 13600 6425 +Wire Wire Line + 14275 3350 14275 3225 +Wire Wire Line + 14275 3225 14200 3225 $EndSCHEMATC diff --git a/RC6502 Apple 1 SBC/export/RC6502 Apple 1 SBC.pdf b/RC6502 Apple 1 SBC/export/RC6502 Apple 1 SBC.pdf index d098440..95e1b2a 100644 Binary files a/RC6502 Apple 1 SBC/export/RC6502 Apple 1 SBC.pdf and b/RC6502 Apple 1 SBC/export/RC6502 Apple 1 SBC.pdf differ diff --git a/common/library/6502.dcm b/common/library/6502.dcm new file mode 100644 index 0000000..5f3ed79 --- /dev/null +++ b/common/library/6502.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/common/library/6502.lib b/common/library/6502.lib new file mode 100644 index 0000000..8a8b283 --- /dev/null +++ b/common/library/6502.lib @@ -0,0 +1,56 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# 6502 +# +DEF 6502 U 0 40 Y Y 1 F N +F0 "U" 0 -1150 60 H V C CNN +F1 "6502" 0 -100 60 V V C CNN +F2 "" -500 200 60 H V C CNN +F3 "" -500 200 60 H V C CNN +DRAW +S -350 1000 350 -1050 0 1 0 N +X ~VP 1 -650 900 300 R 50 50 1 1 O +X RDY 2 -650 800 300 R 50 50 1 1 B +X PHI1out 3 -650 700 300 R 50 50 1 1 O +X ~IRQ 4 -650 600 300 R 50 50 1 1 I +X ~ML 5 -650 500 300 R 50 50 1 1 O +X ~NMI 6 -650 400 300 R 50 50 1 1 I +X SYNC 7 -650 300 300 R 50 50 1 1 O +X VCC 8 -650 200 300 R 50 50 1 1 W +X A0 9 -650 100 300 R 50 50 1 1 O +X A1 10 -650 0 300 R 50 50 1 1 O +X A11 20 -650 -1000 300 R 50 50 1 1 O +X D3 30 650 -100 300 L 50 50 1 1 T +X ~RESET 40 650 900 300 L 50 50 1 1 I +X A2 11 -650 -100 300 R 50 50 1 1 O +X GND 21 650 -1000 300 L 50 50 1 1 W +X D2 31 650 0 300 L 50 50 1 1 T +X A3 12 -650 -200 300 R 50 50 1 1 O +X A12 22 650 -900 300 L 50 50 1 1 O +X D1 32 650 100 300 L 50 50 1 1 T +X A4 13 -650 -300 300 R 50 50 1 1 O +X A13 23 650 -800 300 L 50 50 1 1 O +X D0 33 650 200 300 L 50 50 1 1 T +X A5 14 -650 -400 300 R 50 50 1 1 O +X A14 24 650 -700 300 L 50 50 1 1 O +X R/~W 34 650 300 300 L 50 50 1 1 O +X A6 15 -650 -500 300 R 50 50 1 1 O +X A15 25 650 -600 300 L 50 50 1 1 O +X NC 35 650 400 300 L 50 50 1 1 N +X A7 16 -650 -600 300 R 50 50 1 1 O +X D7 26 650 -500 300 L 50 50 1 1 T +X BE 36 650 500 300 L 50 50 1 1 I +X A8 17 -650 -700 300 R 50 50 1 1 O +X D6 27 650 -400 300 L 50 50 1 1 T +X PHI2 37 650 600 300 L 50 50 1 1 I +X A9 18 -650 -800 300 R 50 50 1 1 O +X D5 28 650 -300 300 L 50 50 1 1 T +X ~SO 38 650 700 300 L 50 50 1 1 I +X A10 19 -650 -900 300 R 50 50 1 1 O +X D4 29 650 -200 300 L 50 50 1 1 T +X PHI2out 39 650 800 300 L 50 50 1 1 O +ENDDRAW +ENDDEF +# +#End Library diff --git a/common/library/atmega328_mcu.lib b/common/library/atmega328_mcu.lib index 3056472..ad09094 100644 --- a/common/library/atmega328_mcu.lib +++ b/common/library/atmega328_mcu.lib @@ -14,7 +14,7 @@ $FPLIST $ENDFPLIST DRAW S -750 1200 850 -1300 0 1 10 f -X ~RESET 1 -900 1000 150 R 40 40 1 1 B +X ~RESET 1 -900 800 150 R 40 40 1 1 B X RXD 2 -900 -150 150 R 40 40 1 1 B X TXD 3 -900 -50 150 R 40 40 1 1 B X (PCINT18/INT0)PD2 4 1000 -700 150 L 40 40 1 1 B @@ -22,11 +22,11 @@ X (PCINT19/OC2B/INT1)PD3 5 1000 -800 150 L 40 40 1 1 B X (PCINT20/XCK/T0)PD4 6 1000 -900 150 L 40 40 1 1 B X VCC 7 -900 1100 150 R 40 40 1 1 W X GND 8 -900 -1200 150 R 40 40 1 1 W -X XTAL1 9 -900 800 150 R 40 40 1 1 B -X XTAL2 10 -900 500 150 R 40 40 1 1 B -X AVCC 20 -900 -500 150 R 40 40 1 1 W +X XTAL1 9 -900 550 150 R 40 40 1 1 B +X XTAL2 10 -900 250 150 R 40 40 1 1 B +X AVCC 20 -900 1000 150 R 40 40 1 1 W X (PCINT21/OC0B/T1)PD5 11 1000 -1000 150 L 40 40 1 1 B -X AREF 21 -900 -600 150 R 40 40 1 1 B +X AREF 21 -900 900 150 R 40 40 1 1 B X (PCINT22/OC0A/AIN0)PD6 12 1000 -1100 150 L 40 40 1 1 B X GND 22 -900 -1100 150 R 40 40 1 1 W X (PCINT23/AIN1)PD7 13 1000 -1200 150 L 40 40 1 1 B diff --git a/common/library/rc6502_backplane.bck b/common/library/rc6502_backplane.bck new file mode 100644 index 0000000..5f3ed79 --- /dev/null +++ b/common/library/rc6502_backplane.bck @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/common/library/rc6502_backplane.dcm b/common/library/rc6502_backplane.dcm new file mode 100644 index 0000000..5f3ed79 --- /dev/null +++ b/common/library/rc6502_backplane.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/common/library/rc6502_backplane.lib b/common/library/rc6502_backplane.lib new file mode 100644 index 0000000..0616f84 --- /dev/null +++ b/common/library/rc6502_backplane.lib @@ -0,0 +1,272 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# RC6502_Backplane +# +DEF RC6502_Backplane J 0 40 Y N 1 F N +F0 "J" 0 2000 50 H V C CNN +F1 "RC6502_Backplane" 450 -50 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +T 0 100 400 60 0 0 0 A0 Normal 0 L C +T 0 100 500 60 0 0 0 A1 Normal 0 L C +T 0 100 1400 60 0 0 0 A10 Normal 0 L C +T 0 100 1500 60 0 0 0 A11 Normal 0 L C +T 0 100 1600 60 0 0 0 A12 Normal 0 L C +T 0 100 1700 60 0 0 0 A13 Normal 0 L C +T 0 100 1800 60 0 0 0 A14 Normal 0 L C +T 0 100 1900 60 0 0 0 A15 Normal 0 L C +T 0 100 600 60 0 0 0 A2 Normal 0 L C +T 0 100 700 60 0 0 0 A3 Normal 0 L C +T 0 100 800 60 0 0 0 A4 Normal 0 L C +T 0 100 900 60 0 0 0 A5 Normal 0 L C +T 0 100 1000 60 0 0 0 A6 Normal 0 L C +T 0 100 1100 60 0 0 0 A7 Normal 0 L C +T 0 100 1200 60 0 0 0 A8 Normal 0 L C +T 0 100 1300 60 0 0 0 A9 Normal 0 L C +T 0 100 -700 60 0 0 0 D0 Normal 0 L C +T 0 100 -800 60 0 0 0 D1 Normal 0 L C +T 0 100 -900 60 0 0 0 D2 Normal 0 L C +T 0 100 -1000 60 0 0 0 D3 Normal 0 L C +T 0 100 -1100 60 0 0 0 D4 Normal 0 L C +T 0 100 -1200 60 0 0 0 D5 Normal 0 L C +T 0 100 -1300 60 0 0 0 D6 Normal 0 L C +T 0 100 -1400 60 0 0 0 D7 Normal 0 L C +T 0 100 300 60 0 0 0 GND Normal 0 L C +T 0 100 -200 60 0 0 0 IRQ Normal 0 L C +T 0 100 -1700 60 0 0 0 NMI Normal 0 L C +T 0 100 -100 60 0 0 0 PHI0 Normal 0 L C +T 0 100 -300 60 0 0 0 PHI1 Normal 0 L C +T 0 100 100 60 0 0 0 PHI2 Normal 0 L C +T 0 100 -500 60 0 0 0 RDY Normal 0 L C +T 0 100 0 60 0 0 0 RESET Normal 0 L C +T 0 100 -400 60 0 0 0 RW Normal 0 L C +T 0 100 -1600 60 0 0 0 RX Normal 0 L C +T 0 100 -600 60 0 0 0 SYNC Normal 0 L C +T 0 100 -1500 60 0 0 0 TX Normal 0 L C +T 0 100 200 60 0 0 0 VCC Normal 0 L C +S -50 -1950 50 1950 0 1 0 N +S -50 -1895 10 -1905 0 1 0 N +S -50 -1795 10 -1805 0 1 0 N +S -50 -1695 10 -1705 0 1 0 N +S -50 -1595 10 -1605 0 1 0 N +S -50 -1495 10 -1505 0 1 0 N +S -50 -1395 10 -1405 0 1 0 N +S -50 -1295 10 -1305 0 1 0 N +S -50 -1195 10 -1205 0 1 0 N +S -50 -1095 10 -1105 0 1 0 N +S -50 -995 10 -1005 0 1 0 N +S -50 -895 10 -905 0 1 0 N +S -50 -795 10 -805 0 1 0 N +S -50 -695 10 -705 0 1 0 N +S -50 -595 10 -605 0 1 0 N +S -50 -495 10 -505 0 1 0 N +S -50 -395 10 -405 0 1 0 N +S -50 -295 10 -305 0 1 0 N +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 305 10 295 0 1 0 N +S -50 405 10 395 0 1 0 N +S -50 505 10 495 0 1 0 N +S -50 605 10 595 0 1 0 N +S -50 705 10 695 0 1 0 N +S -50 805 10 795 0 1 0 N +S -50 905 10 895 0 1 0 N +S -50 1005 10 995 0 1 0 N +S -50 1105 10 1095 0 1 0 N +S -50 1205 10 1195 0 1 0 N +S -50 1305 10 1295 0 1 0 N +S -50 1405 10 1395 0 1 0 N +S -50 1505 10 1495 0 1 0 N +S -50 1605 10 1595 0 1 0 N +S -50 1705 10 1695 0 1 0 N +S -50 1805 10 1795 0 1 0 N +S -50 1905 10 1895 0 1 0 N +X A15 1 -200 1900 150 R 50 50 1 1 B +X A14 2 -200 1800 150 R 50 50 1 1 B +X A13 3 -200 1700 150 R 50 50 1 1 B +X A12 4 -200 1600 150 R 50 50 1 1 B +X A11 5 -200 1500 150 R 50 50 1 1 B +X A10 6 -200 1400 150 R 50 50 1 1 B +X A9 7 -200 1300 150 R 50 50 1 1 B +X A8 8 -200 1200 150 R 50 50 1 1 B +X A7 9 -200 1100 150 R 50 50 1 1 B +X A6 10 -200 1000 150 R 50 50 1 1 B +X RESET 20 -200 0 150 R 50 50 1 1 B +X D3 30 -200 -1000 150 R 50 50 1 1 B +X A5 11 -200 900 150 R 50 50 1 1 B +X PHI0 21 -200 -100 150 R 50 50 1 1 B C +X D4 31 -200 -1100 150 R 50 50 1 1 B +X A4 12 -200 800 150 R 50 50 1 1 B +X IRQ 22 -200 -200 150 R 50 50 1 1 B +X D5 32 -200 -1200 150 R 50 50 1 1 B +X A3 13 -200 700 150 R 50 50 1 1 B +X PHI1 23 -200 -300 150 R 50 50 1 1 B C +X D6 33 -200 -1300 150 R 50 50 1 1 B +X A2 14 -200 600 150 R 50 50 1 1 B +X RW 24 -200 -400 150 R 50 50 1 1 B +X D7 34 -200 -1400 150 R 50 50 1 1 B +X A1 15 -200 500 150 R 50 50 1 1 B +X READY 25 -200 -500 150 R 50 50 1 1 B +X TX 35 -200 -1500 150 R 50 50 1 1 B +X A0 16 -200 400 150 R 50 50 1 1 B +X SYNC 26 -200 -600 150 R 50 50 1 1 B +X RX 36 -200 -1600 150 R 50 50 1 1 B +X P17 17 -200 300 150 R 50 50 1 1 w +X D0 27 -200 -700 150 R 50 50 1 1 B +X NMI 37 -200 -1700 150 R 50 50 1 1 B +X P18 18 -200 200 150 R 50 50 1 1 w +X D1 28 -200 -800 150 R 50 50 1 1 B +X P38 38 -200 -1800 150 R 50 50 1 1 N +X PHI2 19 -200 100 150 R 50 50 1 1 B C +X D2 29 -200 -900 150 R 50 50 1 1 B +X P39 39 -200 -1900 150 R 50 50 1 1 N +ENDDRAW +ENDDEF +# +# RC6502_Backplane_Extra +# +DEF RC6502_Backplane_Extra J 0 40 Y N 1 F N +F0 "J" 0 2000 50 H V C CNN +F1 "RC6502_Backplane_Extra" 450 -50 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +T 0 100 400 60 0 0 0 A0 Normal 0 L C +T 0 100 500 60 0 0 0 A1 Normal 0 L C +T 0 100 1400 60 0 0 0 A10 Normal 0 L C +T 0 100 1500 60 0 0 0 A11 Normal 0 L C +T 0 100 1600 60 0 0 0 A12 Normal 0 L C +T 0 100 1700 60 0 0 0 A13 Normal 0 L C +T 0 100 1800 60 0 0 0 A14 Normal 0 L C +T 0 100 1900 60 0 0 0 A15 Normal 0 L C +T 0 100 600 60 0 0 0 A2 Normal 0 L C +T 0 100 700 60 0 0 0 A3 Normal 0 L C +T 0 100 800 60 0 0 0 A4 Normal 0 L C +T 0 100 900 60 0 0 0 A5 Normal 0 L C +T 0 100 1000 60 0 0 0 A6 Normal 0 L C +T 0 100 1100 60 0 0 0 A7 Normal 0 L C +T 0 100 1200 60 0 0 0 A8 Normal 0 L C +T 0 100 1300 60 0 0 0 A9 Normal 0 L C +T 0 100 -700 60 0 0 0 D0 Normal 0 L C +T 0 100 -800 60 0 0 0 D1 Normal 0 L C +T 0 100 -900 60 0 0 0 D2 Normal 0 L C +T 0 100 -1000 60 0 0 0 D3 Normal 0 L C +T 0 100 -1100 60 0 0 0 D4 Normal 0 L C +T 0 100 -1200 60 0 0 0 D5 Normal 0 L C +T 0 100 -1300 60 0 0 0 D6 Normal 0 L C +T 0 100 -1400 60 0 0 0 D7 Normal 0 L C +T 0 100 -300 60 0 0 0 EX0 Normal 0 L C +T 0 100 -1800 60 0 0 0 EX1 Normal 0 L C +T 0 100 -1900 60 0 0 0 EX2 Normal 0 L C +T 0 100 300 60 0 0 0 GND Normal 0 L C +T 0 100 -200 60 0 0 0 IRQ Normal 0 L C +T 0 100 -1700 60 0 0 0 NMI Normal 0 L C +T 0 100 -100 60 0 0 0 PHI0 Normal 0 L C +T 0 100 100 60 0 0 0 PHI2 Normal 0 L C +T 0 100 -500 60 0 0 0 RDY Normal 0 L C +T 0 100 0 60 0 0 0 RESET Normal 0 L C +T 0 100 -400 60 0 0 0 RW Normal 0 L C +T 0 100 -1600 60 0 0 0 RX Normal 0 L C +T 0 100 -600 60 0 0 0 SYNC Normal 0 L C +T 0 100 -1500 60 0 0 0 TX Normal 0 L C +T 0 100 200 60 0 0 0 VCC Normal 0 L C +S -50 -1950 50 1950 0 1 0 N +S -50 -1895 10 -1905 0 1 0 N +S -50 -1795 10 -1805 0 1 0 N +S -50 -1695 10 -1705 0 1 0 N +S -50 -1595 10 -1605 0 1 0 N +S -50 -1495 10 -1505 0 1 0 N +S -50 -1395 10 -1405 0 1 0 N +S -50 -1295 10 -1305 0 1 0 N +S -50 -1195 10 -1205 0 1 0 N +S -50 -1095 10 -1105 0 1 0 N +S -50 -995 10 -1005 0 1 0 N +S -50 -895 10 -905 0 1 0 N +S -50 -795 10 -805 0 1 0 N +S -50 -695 10 -705 0 1 0 N +S -50 -595 10 -605 0 1 0 N +S -50 -495 10 -505 0 1 0 N +S -50 -395 10 -405 0 1 0 N +S -50 -295 10 -305 0 1 0 N +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 305 10 295 0 1 0 N +S -50 405 10 395 0 1 0 N +S -50 505 10 495 0 1 0 N +S -50 605 10 595 0 1 0 N +S -50 705 10 695 0 1 0 N +S -50 805 10 795 0 1 0 N +S -50 905 10 895 0 1 0 N +S -50 1005 10 995 0 1 0 N +S -50 1105 10 1095 0 1 0 N +S -50 1205 10 1195 0 1 0 N +S -50 1305 10 1295 0 1 0 N +S -50 1405 10 1395 0 1 0 N +S -50 1505 10 1495 0 1 0 N +S -50 1605 10 1595 0 1 0 N +S -50 1705 10 1695 0 1 0 N +S -50 1805 10 1795 0 1 0 N +S -50 1905 10 1895 0 1 0 N +X A15 1 -200 1900 150 R 50 50 1 1 B +X A14 2 -200 1800 150 R 50 50 1 1 B +X A13 3 -200 1700 150 R 50 50 1 1 B +X A12 4 -200 1600 150 R 50 50 1 1 B +X A11 5 -200 1500 150 R 50 50 1 1 B +X A10 6 -200 1400 150 R 50 50 1 1 B +X A9 7 -200 1300 150 R 50 50 1 1 B +X A8 8 -200 1200 150 R 50 50 1 1 B +X A7 9 -200 1100 150 R 50 50 1 1 B +X A6 10 -200 1000 150 R 50 50 1 1 B +X RESET 20 -200 0 150 R 50 50 1 1 B +X D3 30 -200 -1000 150 R 50 50 1 1 B +X A5 11 -200 900 150 R 50 50 1 1 B +X PHI0 21 -200 -100 150 R 50 50 1 1 B C +X D4 31 -200 -1100 150 R 50 50 1 1 B +X A4 12 -200 800 150 R 50 50 1 1 B +X IRQ 22 -200 -200 150 R 50 50 1 1 B +X D5 32 -200 -1200 150 R 50 50 1 1 B +X A3 13 -200 700 150 R 50 50 1 1 B +X EX0 23 -200 -300 150 R 50 50 1 1 B +X D6 33 -200 -1300 150 R 50 50 1 1 B +X A2 14 -200 600 150 R 50 50 1 1 B +X RW 24 -200 -400 150 R 50 50 1 1 B +X D7 34 -200 -1400 150 R 50 50 1 1 B +X A1 15 -200 500 150 R 50 50 1 1 B +X READY 25 -200 -500 150 R 50 50 1 1 B +X TX 35 -200 -1500 150 R 50 50 1 1 B +X A0 16 -200 400 150 R 50 50 1 1 B +X SYNC 26 -200 -600 150 R 50 50 1 1 B +X RX 36 -200 -1600 150 R 50 50 1 1 B +X P17 17 -200 300 150 R 50 50 1 1 w +X D0 27 -200 -700 150 R 50 50 1 1 B +X NMI 37 -200 -1700 150 R 50 50 1 1 B +X P18 18 -200 200 150 R 50 50 1 1 w +X D1 28 -200 -800 150 R 50 50 1 1 B +X EX1 38 -200 -1800 150 R 50 50 1 1 B +X PHI2 19 -200 100 150 R 50 50 1 1 B C +X D2 29 -200 -900 150 R 50 50 1 1 B +X EX2 39 -200 -1900 150 R 50 50 1 1 B +ENDDRAW +ENDDEF +# +#End Library