diff --git a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.pro b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.pro index cfc1281..02dbab1 100644 --- a/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.pro +++ b/RC6502 Apple 1 SBC/RC6502 Apple 1 SBC.pro @@ -1,4 +1,4 @@ -update=08/08/2017 01:19:41 +update=02/12/2018 14:01:21 version=1 last_client=kicad [pcbnew] @@ -25,6 +25,16 @@ version=1 NetIExt=net [general] version=1 +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName=export/ +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName= +SpiceForceRefPrefix=0 +SpiceUseNetNumbers=0 +LabSize=60 [eeschema] version=1 LibDir= @@ -59,13 +69,4 @@ LibName27=atmel LibName28=contrib LibName29=valves LibName30=65xx -[schematic_editor] -version=1 -PageLayoutDescrFile= -PlotDirectoryName=export/ -SubpartIdSeparator=0 -SubpartFirstId=65 -NetFmtName= -SpiceForceRefPrefix=0 -SpiceUseNetNumbers=0 -LabSize=60 +LibName31=D:/ownCloud/Documents/Projects/RC6502/Templates/rc6502_backplane diff --git a/RC6502 Reset Circuit/Reset circuit-cache.lib b/RC6502 Reset Circuit/Reset circuit-cache.lib index b82f1f0..1ffbc67 100644 --- a/RC6502 Reset Circuit/Reset circuit-cache.lib +++ b/RC6502 Reset Circuit/Reset circuit-cache.lib @@ -280,18 +280,18 @@ X A 2 150 0 100 L 50 50 1 1 P ENDDRAW ENDDEF # -# LM555N +# LM555 # -DEF LM555N U 0 40 Y Y 1 F N +DEF LM555 U 0 20 Y Y 1 F N F0 "U" -400 350 50 H V L CNN -F1 "LM555N" -400 -350 50 H V L CNN +F1 "LM555" 100 350 50 H V L CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN -ALIAS NE555 ICM7555 LMC555 TLC555 NA555 SE555 SA555 +ALIAS ICM7555 LMC555xM LMC555xMM LMC555xN TLC555CD TLC555CP TLC555CPS NA555 NE555 SE555 SA555 $FPLIST - SOIC*Pitch1.27mm* + SOIC*3.9x4.9mm*Pitch1.27mm* DIP*W7.62mm* - TSSOP*Pitch0.65mm* + TSSOP*3x3mm*Pitch0.65mm* $ENDFPLIST DRAW X GND 1 0 -400 100 U 50 50 0 0 W @@ -325,11 +325,11 @@ X ~ 2 0 -150 50 U 50 50 1 1 P ENDDRAW ENDDEF # -# SW_SPST +# SW_SPST-RESCUE-Reset_circuit # -DEF SW_SPST SW 0 0 Y N 1 F N +DEF SW_SPST-RESCUE-Reset_circuit SW 0 0 Y N 1 F N F0 "SW" 0 125 50 H V C CNN -F1 "SW_SPST" 0 -100 50 H V C CNN +F1 "SW_SPST-RESCUE-Reset_circuit" 0 -100 50 H V C CNN F2 "" 0 0 50 H I C CNN F3 "" 0 0 50 H I C CNN DRAW diff --git a/RC6502 Reset Circuit/Reset circuit-rescue.lib b/RC6502 Reset Circuit/Reset circuit-rescue.lib new file mode 100644 index 0000000..add659e --- /dev/null +++ b/RC6502 Reset Circuit/Reset circuit-rescue.lib @@ -0,0 +1,20 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# SW_SPST-RESCUE-Reset_circuit +# +DEF SW_SPST-RESCUE-Reset_circuit SW 0 0 Y N 1 F N +F0 "SW" 0 125 50 H V C CNN +F1 "SW_SPST-RESCUE-Reset_circuit" 0 -100 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C -80 0 20 0 0 0 N +C 80 0 20 0 0 0 N +P 2 0 0 0 -60 10 60 70 N +X A 1 -200 0 100 R 50 50 1 1 I +X B 2 200 0 100 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +#End Library diff --git a/RC6502 Reset Circuit/Reset circuit.pro b/RC6502 Reset Circuit/Reset circuit.pro index 103537d..cb35ac3 100644 --- a/RC6502 Reset Circuit/Reset circuit.pro +++ b/RC6502 Reset Circuit/Reset circuit.pro @@ -1,4 +1,4 @@ -update=20/06/2017 00:10:34 +update=05/09/2018 11:09:19 version=1 last_client=kicad [pcbnew] @@ -25,43 +25,6 @@ version=1 NetIExt=net [general] version=1 -[eeschema] -version=1 -LibDir= -[eeschema/libraries] -LibName1=power -LibName2=device -LibName3=transistors -LibName4=conn -LibName5=linear -LibName6=regul -LibName7=74xx -LibName8=cmos4000 -LibName9=adc-dac -LibName10=memory -LibName11=xilinx -LibName12=microcontrollers -LibName13=dsp -LibName14=microchip -LibName15=analog_switches -LibName16=motorola -LibName17=texas -LibName18=intel -LibName19=audio -LibName20=interface -LibName21=digital-audio -LibName22=philips -LibName23=display -LibName24=cypress -LibName25=siliconi -LibName26=opto -LibName27=atmel -LibName28=contrib -LibName29=valves -LibName30=C:/Program Files/KiCad/share/kicad/library/analog_devices -LibName31=C:/Program Files/KiCad/share/kicad/library/analog_switches -LibName32=C:/Program Files/KiCad/share/kicad/library/switches -LibName33=C:/Program Files/KiCad/share/kicad/library/Oscillators [schematic_editor] version=1 PageLayoutDescrFile= @@ -72,3 +35,41 @@ NetFmtName=Pcbnew SpiceForceRefPrefix=0 SpiceUseNetNumbers=0 LabSize=60 +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +LibName1=Reset circuit-rescue +LibName2=power +LibName3=device +LibName4=transistors +LibName5=conn +LibName6=linear +LibName7=regul +LibName8=74xx +LibName9=cmos4000 +LibName10=adc-dac +LibName11=memory +LibName12=xilinx +LibName13=microcontrollers +LibName14=dsp +LibName15=microchip +LibName16=analog_switches +LibName17=motorola +LibName18=texas +LibName19=intel +LibName20=audio +LibName21=interface +LibName22=digital-audio +LibName23=philips +LibName24=display +LibName25=cypress +LibName26=siliconi +LibName27=opto +LibName28=atmel +LibName29=contrib +LibName30=valves +LibName31=C:/Program Files/KiCad/share/kicad/library/analog_devices +LibName32=C:/Program Files/KiCad/share/kicad/library/analog_switches +LibName33=C:/Program Files/KiCad/share/kicad/library/switches +LibName34=C:/Program Files/KiCad/share/kicad/library/Oscillators diff --git a/RC6502 Reset Circuit/Reset circuit.sch b/RC6502 Reset Circuit/Reset circuit.sch index 67f1877..ce745ab 100644 --- a/RC6502 Reset Circuit/Reset circuit.sch +++ b/RC6502 Reset Circuit/Reset circuit.sch @@ -1,4 +1,5 @@ EESchema Schematic File Version 2 +LIBS:Reset circuit-rescue LIBS:power LIBS:device LIBS:transistors @@ -136,7 +137,7 @@ $EndComp Text GLabel 8775 1800 2 60 Input ~ 0 RESET $Comp -L SW_SPST SW1 +L SW_SPST-RESCUE-Reset_circuit SW1 U 1 1 5909ECDD P 5650 3650 F 0 "SW1" H 5650 3775 50 0000 C CNN @@ -326,7 +327,7 @@ Connection ~ 6100 4150 Wire Wire Line 6600 3575 6450 3575 Wire Wire Line - 6450 2650 6450 3575 + 6450 3575 6450 2650 Connection ~ 6450 2650 Wire Wire Line 8400 2650 8400 3075 diff --git a/RC6502 VDU/RC6502 VDU-cache.lib b/RC6502 VDU/RC6502 VDU-cache.lib new file mode 100644 index 0000000..a0d751e --- /dev/null +++ b/RC6502 VDU/RC6502 VDU-cache.lib @@ -0,0 +1,522 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# 74LS00 +# +DEF 74LS00 U 0 30 Y Y 4 F N +F0 "U" 0 50 50 H V C CNN +F1 "74LS00" 0 -100 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS 74LS37 7400 74HCT00 74HC00 +$FPLIST + 14DIP300* + SO14* +$ENDFPLIST +DRAW +X GND 7 -200 -200 0 U 40 40 0 0 W N +X VCC 14 -200 200 0 D 40 40 0 0 W N +A 100 0 200 -899 899 0 1 0 N 100 -200 100 200 +P 4 0 1 0 100 200 -300 200 -300 -200 100 -200 N +X ~ 1 -600 100 300 R 50 50 1 1 I +X ~ 2 -600 -100 300 R 50 50 1 1 I +X ~ 3 600 0 300 L 50 50 1 1 O I +X ~ 4 -600 100 300 R 50 50 2 1 I +X ~ 5 -600 -100 300 R 50 50 2 1 I +X ~ 6 600 0 300 L 50 50 2 1 O I +X ~ 8 600 0 300 L 50 50 3 1 O I +X ~ 9 -600 100 300 R 50 50 3 1 I +X ~ 10 -600 -100 300 R 50 50 3 1 I +X ~ 11 600 0 300 L 50 50 4 1 O I +X ~ 12 -600 100 300 R 50 50 4 1 I +X ~ 13 -600 -100 300 R 50 50 4 1 I +A -465 0 259 504 -504 0 2 0 N -300 200 -300 -200 +A -11 143 343 -881 -246 0 2 0 N 0 -200 300 0 +A -10 -141 340 244 883 0 2 0 N 300 0 0 200 +P 2 0 2 0 -300 -200 0 -200 N +P 2 0 2 0 -300 200 0 200 N +X ~ 1 -600 100 370 R 50 50 1 2 I I +X ~ 2 -600 -100 370 R 50 50 1 2 I I +X ~ 3 600 0 300 L 50 50 1 2 O +X ~ 4 -600 100 370 R 50 50 2 2 I I +X ~ 5 -600 -100 370 R 50 50 2 2 I I +X ~ 6 600 0 300 L 50 50 2 2 O +X ~ 8 600 0 300 L 50 50 3 2 O +X ~ 9 -600 100 370 R 50 50 3 2 I I +X ~ 10 -600 -100 370 R 50 50 3 2 I I +X ~ 11 600 0 300 L 50 50 4 2 O +X ~ 12 -600 100 370 R 50 50 4 2 I I +X ~ 13 -600 -100 370 R 50 50 4 2 I I +ENDDRAW +ENDDEF +# +# 74LS04 +# +DEF 74LS04 U 0 30 Y Y 6 F N +F0 "U" 195 115 50 H V C CNN +F1 "74LS04" 190 -125 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 4 0 0 0 -150 150 -150 -150 150 0 -150 150 N +X GND 7 -50 -100 0 U 40 40 0 0 W N +X VCC 14 -50 100 0 U 40 40 0 0 W N +X ~ 1 -450 0 300 R 50 50 1 1 I +X ~ 2 450 0 300 L 50 50 1 1 O I +X ~ 3 -450 0 300 R 50 50 2 1 I +X ~ 4 450 0 300 L 50 50 2 1 O I +X ~ 5 -450 0 300 R 50 50 3 1 I +X ~ 6 450 0 300 L 50 50 3 1 O I +X ~ 8 450 0 300 L 50 50 4 1 O I +X ~ 9 -450 0 300 R 50 50 4 1 I +X ~ 10 450 0 300 L 50 50 5 1 O I +X ~ 11 -450 0 300 R 50 50 5 1 I +X ~ 12 450 0 300 L 50 50 6 1 O I +X ~ 13 -450 0 300 R 50 50 6 1 I +X ~ 1 -450 0 300 R 50 50 1 2 I I +X ~ 2 450 0 300 L 50 50 1 2 O +X ~ 3 -450 0 300 R 50 50 2 2 I I +X ~ 4 450 0 300 L 50 50 2 2 O +X ~ 5 -450 0 300 R 50 50 3 2 I I +X ~ 6 450 0 300 L 50 50 3 2 O +X ~ 8 450 0 300 L 50 50 4 2 O +X ~ 9 -450 0 300 R 50 50 4 2 I I +X ~ 10 450 0 300 L 50 50 5 2 O +X ~ 11 -450 0 300 R 50 50 5 2 I I +X ~ 12 450 0 300 L 50 50 6 2 O +X ~ 13 -450 0 300 R 50 50 6 2 I I +ENDDRAW +ENDDEF +# +# 74LS138 +# +DEF 74LS138 U 0 40 Y Y 1 F N +F0 "U" 100 500 50 H V C CNN +F1 "74LS138" 150 -549 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +S -300 450 300 -450 0 1 0 N +X A0 1 -600 350 300 R 50 50 1 1 I +X A1 2 -600 250 300 R 50 50 1 1 I +X A2 3 -600 150 300 R 50 50 1 1 I +X E1 4 -600 -350 300 R 50 50 1 1 I L +X E2 5 -600 -250 300 R 50 50 1 1 I L +X E3 6 -600 -150 300 R 50 50 1 1 I +X O7 7 600 -350 300 L 50 50 1 1 O V +X GND 8 0 -450 0 U 50 50 1 1 W N +X O6 9 600 -250 300 L 50 50 1 1 O V +X O5 10 600 -150 300 L 50 50 1 1 O V +X O4 11 600 -50 300 L 50 50 1 1 O V +X O3 12 600 50 300 L 50 50 1 1 O V +X O2 13 600 150 300 L 50 50 1 1 O V +X O1 14 600 250 300 L 50 50 1 1 O V +X O0 15 600 350 300 L 50 50 1 1 O V +X VCC 16 0 450 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# 74LS241 +# +DEF 74LS241 U 0 10 Y Y 1 F N +F0 "U" 50 -200 50 H V C CNN +F1 "74LS241" 100 -400 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS 74LS244 +DRAW +X GND 10 -300 -550 0 U 50 50 0 0 W N +X VCC 20 -300 550 0 U 50 50 0 0 W N +S -400 550 400 -550 0 1 0 N +P 3 0 1 0 50 100 0 -100 -100 -100 N +P 4 0 1 0 100 100 -50 100 -100 -100 -150 -100 N +X OEa 1 -700 -400 300 R 50 50 1 1 I I +X I0a 2 -700 500 300 R 50 50 1 1 I +X O3b 3 700 -200 300 L 50 50 1 1 T +X I1a 4 -700 400 300 R 50 50 1 1 I +X O2b 5 700 -100 300 L 50 50 1 1 T +X I2a 6 -700 300 300 R 50 50 1 1 I +X O1b 7 700 0 300 L 50 50 1 1 T +X I3a 8 -700 200 300 R 50 50 1 1 I +X O0b 9 700 100 300 L 50 50 1 1 T +X I0b 11 -700 100 300 R 50 50 1 1 I +X O3a 12 700 200 300 L 50 50 1 1 T +X I1b 13 -700 0 300 R 50 50 1 1 I +X O2a 14 700 300 300 L 50 50 1 1 T +X I2b 15 -700 -100 300 R 50 50 1 1 I +X O1a 16 700 400 300 L 50 50 1 1 T +X I3b 17 -700 -200 300 R 50 50 1 1 I +X O0a 18 700 500 300 L 50 50 1 1 T +X OEb 19 -700 -500 300 R 50 50 1 1 I I +ENDDRAW +ENDDEF +# +# 74LS245 +# +DEF 74LS245 U 0 10 Y Y 1 F N +F0 "U" 100 575 50 H V L BNN +F1 "74LS245" 50 -575 50 H V L TNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS 74HC245 +DRAW +X GND 10 0 -550 0 U 50 50 0 0 W N +X VCC 20 0 550 0 D 50 50 0 0 W N +S -400 550 400 -550 0 1 0 N +P 3 0 1 0 50 100 0 -100 -100 -100 N +P 4 0 1 0 100 100 -50 100 -100 -100 -150 -100 N +X A->B 1 -700 -400 300 R 50 50 1 1 I +X A0 2 -700 500 300 R 50 50 1 1 T +X A1 3 -700 400 300 R 50 50 1 1 T +X A2 4 -700 300 300 R 50 50 1 1 T +X A3 5 -700 200 300 R 50 50 1 1 T +X A4 6 -700 100 300 R 50 50 1 1 T +X A5 7 -700 0 300 R 50 50 1 1 T +X A6 8 -700 -100 300 R 50 50 1 1 T +X A7 9 -700 -200 300 R 50 50 1 1 T +X B7 11 700 -200 300 L 50 50 1 1 T +X B6 12 700 -100 300 L 50 50 1 1 T +X B5 13 700 0 300 L 50 50 1 1 T +X B4 14 700 100 300 L 50 50 1 1 T +X B3 15 700 200 300 L 50 50 1 1 T +X B2 16 700 300 300 L 50 50 1 1 T +X B1 17 700 400 300 L 50 50 1 1 T +X B0 18 700 500 300 L 50 50 1 1 T +X CE 19 -700 -500 300 R 50 50 1 1 I I +ENDDRAW +ENDDEF +# +# 74LS374 +# +DEF 74LS374 U 0 40 Y Y 1 F N +F0 "U" 0 0 50 H V C CNN +F1 "74LS374" 50 -350 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +X GND 10 -300 -550 0 U 50 50 0 0 W N +X VCC 20 -250 550 0 U 50 50 0 0 W N +S -400 550 400 -550 0 1 0 N +X OE 1 -700 -500 300 R 50 50 1 1 I I +X O0 2 700 500 300 L 50 50 1 1 T +X D0 3 -700 500 300 R 50 50 1 1 I +X D1 4 -700 400 300 R 50 50 1 1 I +X O1 5 700 400 300 L 50 50 1 1 T +X O2 6 700 300 300 L 50 50 1 1 T +X D2 7 -700 300 300 R 50 50 1 1 I +X D3 8 -700 200 300 R 50 50 1 1 I +X O3 9 700 200 300 L 50 50 1 1 T +X Cp 11 -700 -400 300 R 50 50 1 1 I C +X O4 12 700 100 300 L 50 50 1 1 T +X D4 13 -700 100 300 R 50 50 1 1 I +X D5 14 -700 0 300 R 50 50 1 1 I +X O5 15 700 0 300 L 50 50 1 1 T +X O6 16 700 -100 300 L 50 50 1 1 T +X D6 17 -700 -100 300 R 50 50 1 1 I +X D7 18 -700 -200 300 R 50 50 1 1 I +X O7 19 700 -200 300 L 50 50 1 1 T +ENDDRAW +ENDDEF +# +# C +# +DEF C C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "C" 25 -100 50 H V L CNN +F2 "" 38 -150 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 20 -80 -30 80 -30 N +P 2 0 1 20 -80 30 80 30 N +X ~ 1 0 150 110 D 50 50 1 1 P +X ~ 2 0 -150 110 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Conn_02x05_Odd_Even +# +DEF Conn_02x05_Odd_Even J 0 40 Y N 1 F N +F0 "J" 50 300 50 H V C CNN +F1 "Conn_02x05_Odd_Even" 50 -300 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*2x??x*mm* + Connector*:*2x???Pitch* + Pin_Header_Straight_2X* + Pin_Header_Angled_2X* + Socket_Strip_Straight_2X* + Socket_Strip_Angled_2X* +$ENDFPLIST +DRAW +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 250 150 -250 1 1 10 f +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +X Pin_1 1 -200 200 150 R 50 50 1 1 P +X Pin_2 2 300 200 150 L 50 50 1 1 P +X Pin_3 3 -200 100 150 R 50 50 1 1 P +X Pin_4 4 300 100 150 L 50 50 1 1 P +X Pin_5 5 -200 0 150 R 50 50 1 1 P +X Pin_6 6 300 0 150 L 50 50 1 1 P +X Pin_7 7 -200 -100 150 R 50 50 1 1 P +X Pin_8 8 300 -100 150 L 50 50 1 1 P +X Pin_9 9 -200 -200 150 R 50 50 1 1 P +X Pin_10 10 300 -200 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GND +# +DEF GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# HM62256BLP-7 +# +DEF HM62256BLP-7 U 0 40 Y Y 1 F N +F0 "U" -300 900 50 H V C CNN +F1 "HM62256BLP-7" 400 -800 50 H V C CNN +F2 "DIP28" 0 0 50 H I C CIN +F3 "" 0 0 50 H I C CNN +ALIAS HM62256BLP-7SL +$FPLIST + DIP28* +$ENDFPLIST +DRAW +X GND 14 0 -800 50 U 40 40 0 0 W N +X VCC 28 0 900 50 D 40 40 0 0 W N +S -400 850 400 -750 0 1 10 f +X A14 1 -500 -650 100 R 40 40 1 1 I +X A12 2 -500 -450 100 R 40 40 1 1 I +X A7 3 -500 50 100 R 40 40 1 1 I +X A6 4 -500 150 100 R 40 40 1 1 I +X A5 5 -500 250 100 R 40 40 1 1 I +X A4 6 -500 350 100 R 40 40 1 1 I +X A3 7 -500 450 100 R 40 40 1 1 I +X A2 8 -500 550 100 R 40 40 1 1 I +X A1 9 -500 650 100 R 40 40 1 1 I +X A0 10 -500 750 100 R 40 40 1 1 I +X ~CS 20 500 -350 100 L 40 40 1 1 I +X D0 11 500 750 100 L 40 40 1 1 T +X A10 21 -500 -250 100 R 40 40 1 1 I +X D1 12 500 650 100 L 40 40 1 1 T +X ~OE 22 500 -100 100 L 40 40 1 1 I +X D2 13 500 550 100 L 40 40 1 1 T +X A11 23 -500 -350 100 R 40 40 1 1 I +X A9 24 -500 -150 100 R 40 40 1 1 I +X D3 15 500 450 100 L 40 40 1 1 T +X A8 25 -500 -50 100 R 40 40 1 1 I +X D4 16 500 350 100 L 40 40 1 1 T +X A13 26 -500 -550 100 R 40 40 1 1 I +X D5 17 500 250 100 L 40 40 1 1 T +X ~WE 27 500 -200 100 L 40 40 1 1 I +X D6 18 500 150 100 L 40 40 1 1 T +X D7 19 500 50 100 L 40 40 1 1 T +ENDDRAW +ENDDEF +# +# Mounting +# +DEF ~Mounting J 0 40 Y N 1 F N +F0 "J" 0 100 50 H V C CNN +F1 "Mounting" 100 0 50 V I C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +S -50 5 10 -5 0 1 0 N +S -50 50 50 -50 0 1 0 N +X P1 1 -200 0 150 R 50 50 1 1 N +ENDDRAW +ENDDEF +# +# Oscillator +# +DEF Oscillator X 0 10 Y Y 1 F N +F0 "X" -200 250 50 H V L CNN +F1 "Oscillator" 50 -250 50 H V L CNN +F2 "Oscillators:Oscillator_DIP-14" 450 -350 50 H I C CNN +F3 "" -100 0 50 H I C CNN +$FPLIST + Oscillator*DIP*14* +$ENDFPLIST +DRAW +S -200 200 200 -200 0 1 10 f +P 9 0 1 0 -100 -25 -75 -25 -75 25 -50 25 -50 -25 -25 -25 -25 25 0 25 0 -25 N +X NC 1 -300 0 100 R 50 50 1 1 N N +X GND 7 0 -300 100 U 50 50 1 1 W +X OUT 8 300 0 100 L 50 50 1 1 O C +X Vcc 14 0 300 100 D 50 50 1 1 W +ENDDRAW +ENDDEF +# +# RC6502_Backplane +# +DEF RC6502_Backplane J 0 40 Y N 1 F N +F0 "J" 0 2000 50 H V C CNN +F1 "RC6502_Backplane" 450 -50 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +T 0 100 400 60 0 0 0 A0 Normal 0 L C +T 0 100 500 60 0 0 0 A1 Normal 0 L C +T 0 100 1400 60 0 0 0 A10 Normal 0 L C +T 0 100 1500 60 0 0 0 A11 Normal 0 L C +T 0 100 1600 60 0 0 0 A12 Normal 0 L C +T 0 100 1700 60 0 0 0 A13 Normal 0 L C +T 0 100 1800 60 0 0 0 A14 Normal 0 L C +T 0 100 1900 60 0 0 0 A15 Normal 0 L C +T 0 100 600 60 0 0 0 A2 Normal 0 L C +T 0 100 700 60 0 0 0 A3 Normal 0 L C +T 0 100 800 60 0 0 0 A4 Normal 0 L C +T 0 100 900 60 0 0 0 A5 Normal 0 L C +T 0 100 1000 60 0 0 0 A6 Normal 0 L C +T 0 100 1100 60 0 0 0 A7 Normal 0 L C +T 0 100 1200 60 0 0 0 A8 Normal 0 L C +T 0 100 1300 60 0 0 0 A9 Normal 0 L C +T 0 100 -700 60 0 0 0 D0 Normal 0 L C +T 0 100 -800 60 0 0 0 D1 Normal 0 L C +T 0 100 -900 60 0 0 0 D2 Normal 0 L C +T 0 100 -1000 60 0 0 0 D3 Normal 0 L C +T 0 100 -1100 60 0 0 0 D4 Normal 0 L C +T 0 100 -1200 60 0 0 0 D5 Normal 0 L C +T 0 100 -1300 60 0 0 0 D6 Normal 0 L C +T 0 100 -1400 60 0 0 0 D7 Normal 0 L C +T 0 100 300 60 0 0 0 GND Normal 0 L C +T 0 100 -200 60 0 0 0 IRQ Normal 0 L C +T 0 100 -1700 60 0 0 0 NMI Normal 0 L C +T 0 100 -100 60 0 0 0 PHI0 Normal 0 L C +T 0 100 100 60 0 0 0 PHI2 Normal 0 L C +T 0 100 -500 60 0 0 0 RDY Normal 0 L C +T 0 100 0 60 0 0 0 RESET Normal 0 L C +T 0 100 -400 60 0 0 0 RW Normal 0 L C +T 0 100 -1600 60 0 0 0 RX Normal 0 L C +T 0 100 -600 60 0 0 0 SYNC Normal 0 L C +T 0 100 -1500 60 0 0 0 TX Normal 0 L C +T 0 100 200 60 0 0 0 VCC Normal 0 L C +S -50 -1950 50 1950 0 1 0 N +S -50 -1895 10 -1905 0 1 0 N +S -50 -1795 10 -1805 0 1 0 N +S -50 -1695 10 -1705 0 1 0 N +S -50 -1595 10 -1605 0 1 0 N +S -50 -1495 10 -1505 0 1 0 N +S -50 -1395 10 -1405 0 1 0 N +S -50 -1295 10 -1305 0 1 0 N +S -50 -1195 10 -1205 0 1 0 N +S -50 -1095 10 -1105 0 1 0 N +S -50 -995 10 -1005 0 1 0 N +S -50 -895 10 -905 0 1 0 N +S -50 -795 10 -805 0 1 0 N +S -50 -695 10 -705 0 1 0 N +S -50 -595 10 -605 0 1 0 N +S -50 -495 10 -505 0 1 0 N +S -50 -395 10 -405 0 1 0 N +S -50 -295 10 -305 0 1 0 N +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 305 10 295 0 1 0 N +S -50 405 10 395 0 1 0 N +S -50 505 10 495 0 1 0 N +S -50 605 10 595 0 1 0 N +S -50 705 10 695 0 1 0 N +S -50 805 10 795 0 1 0 N +S -50 905 10 895 0 1 0 N +S -50 1005 10 995 0 1 0 N +S -50 1105 10 1095 0 1 0 N +S -50 1205 10 1195 0 1 0 N +S -50 1305 10 1295 0 1 0 N +S -50 1405 10 1395 0 1 0 N +S -50 1505 10 1495 0 1 0 N +S -50 1605 10 1595 0 1 0 N +S -50 1705 10 1695 0 1 0 N +S -50 1805 10 1795 0 1 0 N +S -50 1905 10 1895 0 1 0 N +X A15 1 -200 1900 150 R 50 50 1 1 B +X A14 2 -200 1800 150 R 50 50 1 1 B +X A13 3 -200 1700 150 R 50 50 1 1 B +X A12 4 -200 1600 150 R 50 50 1 1 B +X A11 5 -200 1500 150 R 50 50 1 1 B +X A10 6 -200 1400 150 R 50 50 1 1 B +X A9 7 -200 1300 150 R 50 50 1 1 B +X A8 8 -200 1200 150 R 50 50 1 1 B +X A7 9 -200 1100 150 R 50 50 1 1 B +X A6 10 -200 1000 150 R 50 50 1 1 B +X RESET 20 -200 0 150 R 50 50 1 1 B +X D3 30 -200 -1000 150 R 50 50 1 1 B +X A5 11 -200 900 150 R 50 50 1 1 B +X PHI0 21 -200 -100 150 R 50 50 1 1 B C +X D4 31 -200 -1100 150 R 50 50 1 1 B +X A4 12 -200 800 150 R 50 50 1 1 B +X IRQ 22 -200 -200 150 R 50 50 1 1 B +X D5 32 -200 -1200 150 R 50 50 1 1 B +X A3 13 -200 700 150 R 50 50 1 1 B +X P23 23 -200 -300 150 R 50 50 1 1 N +X D6 33 -200 -1300 150 R 50 50 1 1 B +X A2 14 -200 600 150 R 50 50 1 1 B +X RW 24 -200 -400 150 R 50 50 1 1 B +X D7 34 -200 -1400 150 R 50 50 1 1 B +X A1 15 -200 500 150 R 50 50 1 1 B +X READY 25 -200 -500 150 R 50 50 1 1 B +X TX 35 -200 -1500 150 R 50 50 1 1 B +X A0 16 -200 400 150 R 50 50 1 1 B +X SYNC 26 -200 -600 150 R 50 50 1 1 B +X RX 36 -200 -1600 150 R 50 50 1 1 B +X P17 17 -200 300 150 R 50 50 1 1 w +X D0 27 -200 -700 150 R 50 50 1 1 B +X NMI 37 -200 -1700 150 R 50 50 1 1 B +X P18 18 -200 200 150 R 50 50 1 1 w +X D1 28 -200 -800 150 R 50 50 1 1 B +X P38 38 -200 -1800 150 R 50 50 1 1 N +X PHI2 19 -200 100 150 R 50 50 1 1 B C +X D2 29 -200 -900 150 R 50 50 1 1 B +X P39 39 -200 -1900 150 R 50 50 1 1 N +ENDDRAW +ENDDEF +# +# VCC +# +DEF VCC #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "VCC" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +C 0 75 25 0 1 0 N +P 2 0 1 0 0 0 0 50 N +X VCC 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/RC6502 VDU/RC6502 VDU.kicad_pcb b/RC6502 VDU/RC6502 VDU.kicad_pcb new file mode 100644 index 0000000..02c8ecb --- /dev/null +++ b/RC6502 VDU/RC6502 VDU.kicad_pcb @@ -0,0 +1 @@ +(kicad_pcb (version 4) (host kicad "dummy file") ) diff --git a/RC6502 VDU/RC6502 VDU.pro b/RC6502 VDU/RC6502 VDU.pro new file mode 100644 index 0000000..fe6d8d5 --- /dev/null +++ b/RC6502 VDU/RC6502 VDU.pro @@ -0,0 +1,66 @@ +update=02/12/2018 15:35:53 +version=1 +last_client=kicad +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +LibName1=power +LibName2=device +LibName3=switches +LibName4=relays +LibName5=motors +LibName6=transistors +LibName7=conn +LibName8=linear +LibName9=regul +LibName10=74xx +LibName11=cmos4000 +LibName12=adc-dac +LibName13=memory +LibName14=xilinx +LibName15=microcontrollers +LibName16=dsp +LibName17=microchip +LibName18=analog_switches +LibName19=motorola +LibName20=texas +LibName21=intel +LibName22=audio +LibName23=interface +LibName24=digital-audio +LibName25=philips +LibName26=display +LibName27=cypress +LibName28=siliconi +LibName29=opto +LibName30=atmel +LibName31=contrib +LibName32=valves +LibName33=D:/ownCloud/Documents/Projects/RC6502/Templates/rc6502_backplane +LibName34=D:/ownCloud/Documents/Projects/RC6502/Templates/mounting +LibName35=D:/ownCloud/Documents/Projects/RC6502/Templates/oscillator +[general] +version=1 diff --git a/RC6502 VDU/RC6502 VDU.sch b/RC6502 VDU/RC6502 VDU.sch new file mode 100644 index 0000000..79e3d49 --- /dev/null +++ b/RC6502 VDU/RC6502 VDU.sch @@ -0,0 +1,931 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:switches +LIBS:relays +LIBS:motors +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:rc6502_backplane +LIBS:mounting +LIBS:oscillator +LIBS:RC6502 VDU-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +Text GLabel 900 725 0 60 Input ~ 0 +A12 +Text Notes 8300 7500 2 60 ~ 0 +RC6502 Apple 1 SBC +Text Notes 10650 7650 2 60 ~ 0 +B +$Comp +L 74LS138 U? +U 1 1 5C045924 +P 1500 1075 +F 0 "U?" H 1500 1575 50 0000 C CNN +F 1 "74HCT138" V 1500 1075 50 0000 C CNN +F 2 "Housings_DIP:DIP-16_W7.62mm_Socket" H 1500 1075 50 0001 C CNN +F 3 "" H 1500 1075 50 0001 C CNN + 1 1500 1075 + 1 0 0 -1 +$EndComp +Text GLabel 900 825 0 60 Input ~ 0 +A13 +Text GLabel 900 925 0 60 Input ~ 0 +A14 +Text GLabel 900 1225 0 60 Input ~ 0 +A15 +Wire Wire Line + 900 1325 600 1325 +Wire Wire Line + 600 1325 600 1575 +Wire Wire Line + 900 1425 600 1425 +Connection ~ 600 1425 +$Comp +L HM62256BLP-7 U? +U 1 1 5C045929 +P 1575 6800 +F 0 "U?" H 1275 7700 50 0000 C CNN +F 1 "HM62256BLP-7" V 1575 6825 50 0000 C CNN +F 2 "Housings_DIP:DIP-28_W15.24mm_Socket" H 1575 6800 50 0001 C CIN +F 3 "" H 1575 6800 50 0001 C CNN + 1 1575 6800 + 1 0 0 -1 +$EndComp +Text GLabel 2075 6050 2 60 Input ~ 0 +D0 +Text GLabel 2075 6150 2 60 Input ~ 0 +D1 +Text GLabel 2075 6250 2 60 Input ~ 0 +D2 +Text GLabel 2075 6350 2 60 Input ~ 0 +D3 +Text GLabel 2075 6450 2 60 Input ~ 0 +D4 +Text GLabel 2075 6550 2 60 Input ~ 0 +D5 +Text GLabel 2075 6650 2 60 Input ~ 0 +D6 +Text GLabel 2075 6750 2 60 Input ~ 0 +D7 +Text GLabel 1075 7450 0 60 Input ~ 0 +A14 +Text GLabel 1075 7350 0 60 Input ~ 0 +A13 +Text GLabel 1075 7250 0 60 Input ~ 0 +A12 +Text GLabel 1075 7150 0 60 Input ~ 0 +A11 +Text GLabel 1075 7050 0 60 Input ~ 0 +A10 +Text GLabel 1075 6950 0 60 Input ~ 0 +A9 +Text GLabel 1075 6850 0 60 Input ~ 0 +A8 +Text GLabel 1075 6750 0 60 Input ~ 0 +A7 +Text GLabel 1075 6650 0 60 Input ~ 0 +A6 +Text GLabel 1075 6550 0 60 Input ~ 0 +A5 +Text GLabel 1075 6450 0 60 Input ~ 0 +A4 +Text GLabel 1075 6350 0 60 Input ~ 0 +A3 +Text GLabel 1075 6250 0 60 Input ~ 0 +A2 +Text GLabel 1075 6150 0 60 Input ~ 0 +A1 +Text GLabel 1075 6050 0 60 Input ~ 0 +A0 +Wire Wire Line + 2075 6900 2375 6900 +Wire Wire Line + 2225 6900 2225 7150 +Wire Wire Line + 2225 7150 2075 7150 +Connection ~ 2225 6900 +$Comp +L Oscillator X? +U 1 1 5C04593A +P 4600 1400 +F 0 "X?" H 4400 1650 50 0000 L CNN +F 1 "3.579545 MHz" H 4650 1150 50 0000 L CNN +F 2 "Oscillators:Oscillator_DIP-14" H 5050 1050 50 0001 C CNN +F 3 "" H 4500 1400 50 0001 C CNN + 1 4600 1400 + 1 0 0 -1 +$EndComp +$Comp +L 74HCT00 U? +U 1 1 5C04593F +P 2025 2650 +F 0 "U?" H 2025 2700 50 0000 C CNN +F 1 "74HCT00" H 2025 2550 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 2025 2650 50 0001 C CNN +F 3 "" H 2025 2650 50 0001 C CNN + 1 2025 2650 + 1 0 0 -1 +$EndComp +$Comp +L 74HCT00 U? +U 1 1 5C045940 +P 2025 2100 +F 0 "U?" H 2025 2150 50 0000 C CNN +F 1 "74HCT00" H 2025 2000 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 2025 2100 50 0001 C CNN +F 3 "" H 2025 2100 50 0001 C CNN + 1 2025 2100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 975 2600 1425 2600 +Wire Wire Line + 1425 2600 1425 2550 +Wire Wire Line + 975 2700 1425 2700 +Wire Wire Line + 1425 2700 1425 2750 +Wire Wire Line + 1325 2100 1425 2100 +Wire Wire Line + 1425 2000 1425 2200 +Connection ~ 1425 2100 +Text GLabel 2625 2100 2 60 Output ~ 0 +CS_ROM +Wire Wire Line + 1325 2100 1325 2375 +Wire Wire Line + 1325 2375 2625 2375 +Wire Wire Line + 2625 2375 2625 2650 +$Comp +L 74HCT00 U? +U 1 1 5C045941 +P 3375 6475 +F 0 "U?" H 3375 6525 50 0000 C CNN +F 1 "74HCT00" H 3375 6375 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 3375 6475 50 0001 C CNN +F 3 "" H 3375 6475 50 0001 C CNN + 1 3375 6475 + 1 0 0 -1 +$EndComp +$Comp +L 74HCT00 U? +U 1 1 5C045942 +P 3375 7000 +F 0 "U?" H 3375 7050 50 0000 C CNN +F 1 "74HCT00" H 3375 6900 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm_Socket" H 3375 7000 50 0001 C CNN +F 3 "" H 3375 7000 50 0001 C CNN + 1 3375 7000 + -1 0 0 1 +$EndComp +Text GLabel 2625 6300 1 60 Input ~ 0 +RW +Wire Wire Line + 2775 6375 2775 6575 +Connection ~ 2775 6475 +Text GLabel 4100 6900 1 60 Input ~ 0 +PHI2 +Wire Wire Line + 2075 7000 2775 7000 +Wire Wire Line + 3975 6475 3975 6900 +Wire Wire Line + 2625 6475 2775 6475 +Wire Wire Line + 2625 6300 2625 6475 +$Comp +L 74LS04 U? +U 1 1 5C045943 +P 13750 2650 +F 0 "U?" H 13945 2765 50 0000 C CNN +F 1 "74HCT04" H 13940 2525 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm" H 13750 2650 50 0001 C CNN +F 3 "" H 13750 2650 50 0001 C CNN + 1 13750 2650 + 0 1 1 0 +$EndComp +$Comp +L 74LS04 U? +U 1 1 5C045944 +P 13400 2650 +F 0 "U?" H 13595 2765 50 0000 C CNN +F 1 "74HCT04" H 13590 2525 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm" H 13400 2650 50 0001 C CNN +F 3 "" H 13400 2650 50 0001 C CNN + 1 13400 2650 + 0 1 1 0 +$EndComp +$Comp +L 74LS04 U? +U 1 1 5C045945 +P 13050 2650 +F 0 "U?" H 13245 2765 50 0000 C CNN +F 1 "74HCT04" H 13240 2525 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm" H 13050 2650 50 0001 C CNN +F 3 "" H 13050 2650 50 0001 C CNN + 1 13050 2650 + 0 1 1 0 +$EndComp +$Comp +L 74LS04 U? +U 1 1 5C045946 +P 12700 2650 +F 0 "U?" H 12895 2765 50 0000 C CNN +F 1 "74HCT04" H 12890 2525 50 0000 C CNN +F 2 "Housings_DIP:DIP-14_W7.62mm" H 12700 2650 50 0001 C CNN +F 3 "" H 12700 2650 50 0001 C CNN + 1 12700 2650 + 0 1 1 0 +$EndComp +Wire Wire Line + 12700 2200 14125 2200 +Connection ~ 13050 2200 +Connection ~ 13400 2200 +Wire Wire Line + 14125 2200 14125 2500 +Connection ~ 13750 2200 +Text GLabel 14125 2500 3 60 Output ~ 0 +GND +NoConn ~ 12700 3100 +NoConn ~ 13050 3100 +NoConn ~ 13400 3100 +NoConn ~ 13750 3100 +$Comp +L C C? +U 1 1 5C045947 +P 14625 1100 +F 0 "C?" H 14650 1200 50 0000 L CNN +F 1 "100nF" H 14650 1000 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 14663 950 50 0001 C CNN +F 3 "" H 14625 1100 50 0001 C CNN + 1 14625 1100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 14925 825 14925 950 +Wire Wire Line + 14925 1250 14925 1375 +$Comp +L C C? +U 1 1 5C045948 +P 14325 1100 +F 0 "C?" H 14350 1200 50 0000 L CNN +F 1 "100nF" H 14350 1000 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 14363 950 50 0001 C CNN +F 3 "" H 14325 1100 50 0001 C CNN + 1 14325 1100 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5C045949 +P 14025 1100 +F 0 "C?" H 14050 1200 50 0000 L CNN +F 1 "100nF" H 14050 1000 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 14063 950 50 0001 C CNN +F 3 "" H 14025 1100 50 0001 C CNN + 1 14025 1100 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5C04594A +P 13725 1100 +F 0 "C?" H 13750 1200 50 0000 L CNN +F 1 "100nF" H 13750 1000 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 13763 950 50 0001 C CNN +F 3 "" H 13725 1100 50 0001 C CNN + 1 13725 1100 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5C04594B +P 13425 1100 +F 0 "C?" H 13450 1200 50 0000 L CNN +F 1 "100nF" H 13450 1000 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 13463 950 50 0001 C CNN +F 3 "" H 13425 1100 50 0001 C CNN + 1 13425 1100 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5C04594C +P 13125 1100 +F 0 "C?" H 13150 1200 50 0000 L CNN +F 1 "100nF" H 13150 1000 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 13163 950 50 0001 C CNN +F 3 "" H 13125 1100 50 0001 C CNN + 1 13125 1100 + 1 0 0 -1 +$EndComp +$Comp +L C C? +U 1 1 5C04594D +P 12825 1100 +F 0 "C?" H 12850 1200 50 0000 L CNN +F 1 "100nF" H 12850 1000 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 12863 950 50 0001 C CNN +F 3 "" H 12825 1100 50 0001 C CNN + 1 12825 1100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 12825 900 14925 900 +Wire Wire Line + 13125 900 13125 950 +Connection ~ 14925 900 +Wire Wire Line + 13425 950 13425 900 +Connection ~ 13425 900 +Wire Wire Line + 13725 950 13725 900 +Connection ~ 13725 900 +Wire Wire Line + 14025 950 14025 900 +Connection ~ 14025 900 +Wire Wire Line + 14325 950 14325 900 +Connection ~ 14325 900 +Wire Wire Line + 14625 950 14625 900 +Connection ~ 14625 900 +Wire Wire Line + 13125 1250 13125 1300 +Wire Wire Line + 12825 1300 14925 1300 +Connection ~ 14925 1300 +Wire Wire Line + 14625 1250 14625 1300 +Connection ~ 14625 1300 +Wire Wire Line + 14325 1250 14325 1300 +Connection ~ 14325 1300 +Wire Wire Line + 14025 1250 14025 1300 +Connection ~ 14025 1300 +Wire Wire Line + 13725 1250 13725 1300 +Connection ~ 13725 1300 +Wire Wire Line + 13425 1250 13425 1300 +Connection ~ 13425 1300 +Text GLabel 10250 4250 0 60 Output ~ 0 +PHI2 +Text GLabel 10250 4350 0 60 Output ~ 0 +RESET +Text GLabel 10250 4750 0 60 Output ~ 0 +RW +Text GLabel 10250 2450 0 60 Output ~ 0 +A15 +Text GLabel 10250 2550 0 60 Output ~ 0 +A14 +Text GLabel 10250 2650 0 60 Output ~ 0 +A13 +Text GLabel 10250 2750 0 60 Output ~ 0 +A12 +Text GLabel 10250 2850 0 60 Output ~ 0 +A11 +Text GLabel 10250 2950 0 60 Output ~ 0 +A10 +Text GLabel 10250 3050 0 60 Output ~ 0 +A9 +Text GLabel 10250 3150 0 60 Output ~ 0 +A8 +Text GLabel 10250 3250 0 60 Output ~ 0 +A7 +Text GLabel 10250 3350 0 60 Output ~ 0 +A6 +Text GLabel 10250 3450 0 60 Output ~ 0 +A5 +Text GLabel 10250 3550 0 60 Output ~ 0 +A4 +Text GLabel 10250 3650 0 60 Output ~ 0 +A3 +Text GLabel 10250 3750 0 60 Output ~ 0 +A2 +Text GLabel 10250 3850 0 60 Output ~ 0 +A1 +Text GLabel 10250 3950 0 60 Output ~ 0 +A0 +Text GLabel 10250 4550 0 60 Input ~ 0 +IRQ +NoConn ~ 10400 5850 +NoConn ~ 10400 5950 +Text GLabel 2375 6900 2 60 Input ~ 0 +CS_RAM +Wire Wire Line + 4100 6900 4100 7100 +Wire Wire Line + 4100 7100 3975 7100 +$Comp +L C C? +U 1 1 5C045950 +P 14925 1100 +F 0 "C?" H 14950 1200 50 0000 L CNN +F 1 "100nF" H 14950 1000 50 0000 L CNN +F 2 "Capacitors_THT:C_Disc_D4.7mm_W2.5mm_P5.00mm" H 14963 950 50 0001 C CNN +F 3 "" H 14925 1100 50 0001 C CNN + 1 14925 1100 + 1 0 0 -1 +$EndComp +Wire Wire Line + 12825 900 12825 950 +Connection ~ 13125 900 +Wire Wire Line + 12825 1250 12825 1300 +Connection ~ 13125 1300 +$Comp +L Mounting J? +U 1 1 5C045951 +P 4975 775 +F 0 "J?" V 5075 775 50 0000 C CNN +F 1 "Hole" V 5075 775 50 0001 C CNN +F 2 "rc6502:Mounting" H 4975 775 50 0001 C CNN +F 3 "" H 4975 775 50 0001 C CNN + 1 4975 775 + 0 1 1 0 +$EndComp +$Comp +L Mounting J? +U 1 1 5C045952 +P 5225 775 +F 0 "J?" V 5325 775 50 0000 C CNN +F 1 "Hole" V 5325 775 50 0001 C CNN +F 2 "rc6502:Mounting" H 5225 775 50 0001 C CNN +F 3 "" H 5225 775 50 0001 C CNN + 1 5225 775 + 0 1 1 0 +$EndComp +$Comp +L Mounting J? +U 1 1 5C045953 +P 5100 775 +F 0 "J?" V 5200 775 50 0000 C CNN +F 1 "Hole" V 5200 775 50 0001 C CNN +F 2 "rc6502:Mounting" H 5100 775 50 0001 C CNN +F 3 "" H 5100 775 50 0001 C CNN + 1 5100 775 + 0 1 1 0 +$EndComp +$Comp +L Mounting J? +U 1 1 5C045954 +P 5350 775 +F 0 "J?" V 5450 775 50 0000 C CNN +F 1 "Hole" V 5450 775 50 0001 C CNN +F 2 "rc6502:Mounting" H 5350 775 50 0001 C CNN +F 3 "" H 5350 775 50 0001 C CNN + 1 5350 775 + 0 1 1 0 +$EndComp +$Comp +L RC6502_Backplane J? +U 1 1 5C0478BD +P 10600 4350 +F 0 "J?" H 10600 6350 50 0000 C CNN +F 1 "RC6502_Backplane" V 11050 4300 50 0000 C CNN +F 2 "" H 10600 4350 50 0001 C CNN +F 3 "" H 10600 4350 50 0001 C CNN + 1 10600 4350 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR? +U 1 1 5C04B53B +P 9650 4050 +F 0 "#PWR?" H 9650 3900 50 0001 C CNN +F 1 "VCC" H 9650 4200 50 0000 C CNN +F 2 "" H 9650 4050 50 0001 C CNN +F 3 "" H 9650 4050 50 0001 C CNN + 1 9650 4050 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR? +U 1 1 5C04B599 +P 9800 4300 +F 0 "#PWR?" H 9800 4050 50 0001 C CNN +F 1 "GND" H 9800 4150 50 0000 C CNN +F 2 "" H 9800 4300 50 0001 C CNN +F 3 "" H 9800 4300 50 0001 C CNN + 1 9800 4300 + 1 0 0 -1 +$EndComp +NoConn ~ 10400 4450 +NoConn ~ 10400 6050 +NoConn ~ 10400 4850 +NoConn ~ 10400 4950 +Wire Wire Line + 9800 4300 9800 4050 +Wire Wire Line + 9800 4050 10400 4050 +Wire Wire Line + 10250 2450 10400 2450 +Wire Wire Line + 10250 2550 10400 2550 +Wire Wire Line + 10250 2650 10400 2650 +Wire Wire Line + 10400 2750 10250 2750 +Wire Wire Line + 10250 2850 10400 2850 +Wire Wire Line + 10400 2950 10250 2950 +Wire Wire Line + 10250 3050 10400 3050 +Wire Wire Line + 10400 3150 10250 3150 +Wire Wire Line + 10250 3250 10400 3250 +Wire Wire Line + 10400 3350 10250 3350 +Wire Wire Line + 10250 3450 10400 3450 +Wire Wire Line + 10250 3550 10400 3550 +Wire Wire Line + 10400 3650 10250 3650 +Wire Wire Line + 10250 3750 10400 3750 +Wire Wire Line + 10400 3850 10250 3850 +Wire Wire Line + 10250 3950 10400 3950 +Wire Wire Line + 10250 4250 10400 4250 +Wire Wire Line + 10400 4350 10250 4350 +Wire Wire Line + 10250 4550 10400 4550 +Wire Wire Line + 10250 4750 10400 4750 +Wire Wire Line + 10250 5050 10400 5050 +Wire Wire Line + 10400 5150 10250 5150 +Wire Wire Line + 10250 5250 10400 5250 +Wire Wire Line + 10400 5350 10250 5350 +Wire Wire Line + 10250 5450 10400 5450 +Wire Wire Line + 10400 5550 10250 5550 +Wire Wire Line + 10250 5650 10400 5650 +Wire Wire Line + 10400 5750 10250 5750 +$Comp +L 74LS244 U? +U 1 1 5C055918 +P 8350 2425 +F 0 "U?" H 8350 2225 50 0000 C CNN +F 1 "74LS244" H 8350 2625 50 0000 C CNN +F 2 "" H 8350 2425 50 0001 C CNN +F 3 "" H 8350 2425 50 0001 C CNN + 1 8350 2425 + -1 0 0 1 +$EndComp +Wire Wire Line + 9650 4150 9650 4050 +$Comp +L 74LS244 U? +U 1 1 5C056659 +P 8350 1225 +F 0 "U?" H 8350 1025 50 0000 C CNN +F 1 "74LS244" H 8350 1425 50 0000 C CNN +F 2 "" H 8350 1225 50 0001 C CNN +F 3 "" H 8350 1225 50 0001 C CNN + 1 8350 1225 + -1 0 0 1 +$EndComp +Text GLabel 9050 2225 2 60 Input ~ 0 +A7 +Text GLabel 9050 2325 2 60 Input ~ 0 +A6 +Text GLabel 9050 2425 2 60 Input ~ 0 +A5 +Text GLabel 9050 2525 2 60 Input ~ 0 +A4 +Text GLabel 9050 2625 2 60 Input ~ 0 +A3 +Text GLabel 9050 2725 2 60 Input ~ 0 +A2 +Text GLabel 9050 2825 2 60 Input ~ 0 +A1 +Text GLabel 9050 2925 2 60 Input ~ 0 +A0 +Text GLabel 9050 1025 2 60 Input ~ 0 +A15 +Text GLabel 9050 1125 2 60 Input ~ 0 +A14 +Text GLabel 9050 1225 2 60 Input ~ 0 +A13 +Text GLabel 9050 1325 2 60 Input ~ 0 +A12 +Text GLabel 9050 1425 2 60 Input ~ 0 +A11 +Text GLabel 9050 1525 2 60 Input ~ 0 +A10 +Text GLabel 9050 1625 2 60 Input ~ 0 +A9 +Text GLabel 9050 1725 2 60 Input ~ 0 +A8 +$Comp +L 74LS245 U? +U 1 1 5C057ABB +P 8350 3925 +F 0 "U?" H 8350 4125 50 0000 C BNN +F 1 "74LS245" H 8350 3725 50 0000 C CNN +F 2 "" H 8350 3925 50 0001 C CNN +F 3 "" H 8350 3925 50 0001 C CNN + 1 8350 3925 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9650 4150 10400 4150 +Text GLabel 9050 3425 2 60 BiDi ~ 0 +D7 +Text GLabel 9050 3525 2 60 BiDi ~ 0 +D6 +Text GLabel 9050 3625 2 60 BiDi ~ 0 +D5 +Text GLabel 9050 3725 2 60 BiDi ~ 0 +D4 +Text GLabel 9050 3825 2 60 BiDi ~ 0 +D3 +Text GLabel 9050 3925 2 60 BiDi ~ 0 +D2 +Text GLabel 9050 4025 2 60 BiDi ~ 0 +D1 +Text GLabel 9050 4125 2 60 BiDi ~ 0 +D0 +Text GLabel 10250 5750 0 60 BiDi ~ 0 +D7 +Text GLabel 10250 5650 0 60 BiDi ~ 0 +D6 +Text GLabel 10250 5550 0 60 BiDi ~ 0 +D5 +Text GLabel 10250 5450 0 60 BiDi ~ 0 +D4 +Text GLabel 10250 5350 0 60 BiDi ~ 0 +D3 +Text GLabel 10250 5250 0 60 BiDi ~ 0 +D2 +Text GLabel 10250 5150 0 60 BiDi ~ 0 +D1 +Text GLabel 10250 5050 0 60 BiDi ~ 0 +D0 +NoConn ~ 2100 1225 +$Comp +L Conn_02x05_Odd_Even J? +U 1 1 5C0413AA +P 2600 925 +F 0 "J?" H 2650 1225 50 0000 C CNN +F 1 "VDU_BASE" H 2650 625 50 0000 C CNN +F 2 "" H 2600 925 50 0001 C CNN +F 3 "" H 2600 925 50 0001 C CNN + 1 2600 925 + 1 0 0 -1 +$EndComp +Wire Wire Line + 2100 725 2400 725 +Wire Wire Line + 2100 825 2400 825 +Wire Wire Line + 2100 925 2400 925 +Wire Wire Line + 2100 1025 2400 1025 +Wire Wire Line + 2100 1125 2400 1125 +NoConn ~ 2100 1325 +NoConn ~ 2100 1425 +Wire Wire Line + 2900 725 3050 725 +Wire Wire Line + 2975 725 2975 1125 +Wire Wire Line + 2975 1125 2900 1125 +Wire Wire Line + 2900 1025 2975 1025 +Connection ~ 2975 1025 +Wire Wire Line + 2900 925 2975 925 +Connection ~ 2975 925 +Wire Wire Line + 2900 825 2975 825 +Connection ~ 2975 825 +Text Notes 2125 1325 0 60 ~ 0 +ROM +Text Notes 2125 1425 0 60 ~ 0 +ROM +Text Notes 2125 1225 0 60 ~ 0 +PIA +Text Notes 2125 1125 0 60 ~ 0 +$C000 +Text Notes 2125 1025 0 60 ~ 0 +$B000 +Text Notes 2125 925 0 60 ~ 0 +$A000 +Text Notes 2125 825 0 60 ~ 0 +$9000 +Text Notes 2125 725 0 60 ~ 0 +$8000 +Connection ~ 2975 725 +Text GLabel 3050 725 2 60 Output ~ 0 +VDU_BASE +Text GLabel 4975 1400 2 60 Output ~ 0 +VDU_CLK +Wire Wire Line + 4900 1400 4975 1400 +$Comp +L GND #PWR? +U 1 1 5C0463DB +P 4600 1700 +F 0 "#PWR?" H 4600 1450 50 0001 C CNN +F 1 "GND" H 4600 1550 50 0000 C CNN +F 2 "" H 4600 1700 50 0001 C CNN +F 3 "" H 4600 1700 50 0001 C CNN + 1 4600 1700 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR? +U 1 1 5C0465FA +P 4600 1100 +F 0 "#PWR?" H 4600 950 50 0001 C CNN +F 1 "VCC" H 4600 1250 50 0000 C CNN +F 2 "" H 4600 1100 50 0001 C CNN +F 3 "" H 4600 1100 50 0001 C CNN + 1 4600 1100 + 1 0 0 -1 +$EndComp +$Comp +L VCC #PWR? +U 1 1 5C046B61 +P 14925 825 +F 0 "#PWR?" H 14925 675 50 0001 C CNN +F 1 "VCC" H 14925 975 50 0000 C CNN +F 2 "" H 14925 825 50 0001 C CNN +F 3 "" H 14925 825 50 0001 C CNN + 1 14925 825 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR? +U 1 1 5C046BC0 +P 14925 1375 +F 0 "#PWR?" H 14925 1125 50 0001 C CNN +F 1 "GND" H 14925 1225 50 0000 C CNN +F 2 "" H 14925 1375 50 0001 C CNN +F 3 "" H 14925 1375 50 0001 C CNN + 1 14925 1375 + 1 0 0 -1 +$EndComp +Text GLabel 7650 4325 0 60 Input ~ 0 +RW +Text GLabel 7650 2225 0 60 Output ~ 0 +VA7 +Text GLabel 7650 2325 0 60 Output ~ 0 +VA6 +Text GLabel 7650 2425 0 60 Output ~ 0 +VA5 +Text GLabel 7650 2525 0 60 Output ~ 0 +VA4 +Text GLabel 7650 2625 0 60 Output ~ 0 +VA3 +Text GLabel 7650 2725 0 60 Output ~ 0 +VA2 +Text GLabel 7650 2825 0 60 Output ~ 0 +VA1 +Text GLabel 7650 2925 0 60 Output ~ 0 +VA0 +Text GLabel 7650 1025 0 60 Output ~ 0 +VA15 +Text GLabel 7650 1125 0 60 Output ~ 0 +VA14 +Text GLabel 7650 1225 0 60 Output ~ 0 +VA13 +Text GLabel 7650 1325 0 60 Output ~ 0 +VA12 +Text GLabel 7650 1425 0 60 Output ~ 0 +VA11 +Text GLabel 7650 1525 0 60 Output ~ 0 +VA10 +Text GLabel 7650 1625 0 60 Output ~ 0 +VA9 +Text GLabel 7650 1725 0 60 Output ~ 0 +VA8 +$Comp +L 74LS374 U? +U 1 1 5C049977 +P 8350 5125 +F 0 "U?" H 8350 5025 50 0000 C CNN +F 1 "74LS374" H 8350 5225 50 0000 C CNN +F 2 "" H 8350 5125 50 0001 C CNN +F 3 "" H 8350 5125 50 0001 C CNN + 1 8350 5125 + -1 0 0 1 +$EndComp +Text GLabel 9050 4925 2 60 Input ~ 0 +D7 +Text GLabel 9050 5025 2 60 Input ~ 0 +D6 +Text GLabel 9050 5125 2 60 Input ~ 0 +D5 +Text GLabel 9050 5225 2 60 Input ~ 0 +D4 +Text GLabel 9050 5325 2 60 Input ~ 0 +D3 +Text GLabel 9050 5425 2 60 Input ~ 0 +D2 +Text GLabel 9050 5525 2 60 Input ~ 0 +D1 +Text GLabel 9050 5625 2 60 Input ~ 0 +D0 +$Comp +L GND #PWR? +U 1 1 5C04D9C7 +P 600 1575 +F 0 "#PWR?" H 600 1325 50 0001 C CNN +F 1 "GND" H 600 1425 50 0000 C CNN +F 2 "" H 600 1575 50 0001 C CNN +F 3 "" H 600 1575 50 0001 C CNN + 1 600 1575 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR? +U 1 1 5C04E709 +P 9425 4700 +F 0 "#PWR?" H 9425 4450 50 0001 C CNN +F 1 "GND" H 9425 4550 50 0000 C CNN +F 2 "" H 9425 4700 50 0001 C CNN +F 3 "" H 9425 4700 50 0001 C CNN + 1 9425 4700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 9050 4625 9425 4625 +Wire Wire Line + 9425 4625 9425 4700 +Text GLabel 7650 3425 0 60 BiDi ~ 0 +VD7 +Text GLabel 7650 3525 0 60 BiDi ~ 0 +VD6 +Text GLabel 7650 3625 0 60 BiDi ~ 0 +VD5 +Text GLabel 7650 3725 0 60 BiDi ~ 0 +VD4 +Text GLabel 7650 3825 0 60 BiDi ~ 0 +VD3 +Text GLabel 7650 3925 0 60 BiDi ~ 0 +VD2 +Text GLabel 7650 4025 0 60 BiDi ~ 0 +VD1 +Text GLabel 7650 4125 0 60 BiDi ~ 0 +VD0 +$EndSCHEMATC diff --git a/Templates/mounting.dcm b/Templates/mounting.dcm new file mode 100644 index 0000000..5dd71cb --- /dev/null +++ b/Templates/mounting.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Templates/mounting.lib b/Templates/mounting.lib new file mode 100644 index 0000000..8c91fc7 --- /dev/null +++ b/Templates/mounting.lib @@ -0,0 +1,24 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# Mounting +# +DEF ~Mounting J 0 40 Y N 1 F N +F0 "J" 0 100 50 H V C CNN +F1 "Mounting" 100 0 50 V I C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +S -50 5 10 -5 0 1 0 N +S -50 50 50 -50 0 1 0 N +X P1 1 -200 0 150 R 50 50 1 1 N +ENDDRAW +ENDDEF +# +#End Library diff --git a/Templates/oscillator.bck b/Templates/oscillator.bck new file mode 100644 index 0000000..5dd71cb --- /dev/null +++ b/Templates/oscillator.bck @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Templates/oscillator.dcm b/Templates/oscillator.dcm new file mode 100644 index 0000000..5dd71cb --- /dev/null +++ b/Templates/oscillator.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Templates/oscillator.lib b/Templates/oscillator.lib new file mode 100644 index 0000000..69a8537 --- /dev/null +++ b/Templates/oscillator.lib @@ -0,0 +1,24 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# Oscillator +# +DEF Oscillator X 0 10 Y Y 1 F N +F0 "X" -200 250 50 H V L CNN +F1 "Oscillator" 50 -250 50 H V L CNN +F2 "Oscillators:Oscillator_DIP-14" 450 -350 50 H I C CNN +F3 "" -100 0 50 H I C CNN +$FPLIST + Oscillator*DIP*14* +$ENDFPLIST +DRAW +S -200 200 200 -200 0 1 10 f +P 9 0 1 0 -100 -25 -75 -25 -75 25 -50 25 -50 -25 -25 -25 -25 25 0 25 0 -25 N +X NC 1 -300 0 100 R 50 50 1 1 N N +X GND 7 0 -300 100 U 50 50 1 1 W +X OUT 8 300 0 100 L 50 50 1 1 O C +X Vcc 14 0 300 100 D 50 50 1 1 W +ENDDRAW +ENDDEF +# +#End Library diff --git a/Templates/rc6502_backplane.bck b/Templates/rc6502_backplane.bck new file mode 100644 index 0000000..5dd71cb --- /dev/null +++ b/Templates/rc6502_backplane.bck @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Templates/rc6502_backplane.dcm b/Templates/rc6502_backplane.dcm new file mode 100644 index 0000000..5dd71cb --- /dev/null +++ b/Templates/rc6502_backplane.dcm @@ -0,0 +1,3 @@ +EESchema-DOCLIB Version 2.0 +# +#End Doc Library diff --git a/Templates/rc6502_backplane.lib b/Templates/rc6502_backplane.lib new file mode 100644 index 0000000..fce6476 --- /dev/null +++ b/Templates/rc6502_backplane.lib @@ -0,0 +1,136 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# RC6502_Backplane +# +DEF RC6502_Backplane J 0 40 Y N 1 F N +F0 "J" 0 2000 50 H V C CNN +F1 "RC6502_Backplane" 450 -50 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Pin_Header_Straight_1X* + Pin_Header_Angled_1X* + Socket_Strip_Straight_1X* + Socket_Strip_Angled_1X* +$ENDFPLIST +DRAW +T 0 100 400 60 0 0 0 A0 Normal 0 L C +T 0 100 500 60 0 0 0 A1 Normal 0 L C +T 0 100 1400 60 0 0 0 A10 Normal 0 L C +T 0 100 1500 60 0 0 0 A11 Normal 0 L C +T 0 100 1600 60 0 0 0 A12 Normal 0 L C +T 0 100 1700 60 0 0 0 A13 Normal 0 L C +T 0 100 1800 60 0 0 0 A14 Normal 0 L C +T 0 100 1900 60 0 0 0 A15 Normal 0 L C +T 0 100 600 60 0 0 0 A2 Normal 0 L C +T 0 100 700 60 0 0 0 A3 Normal 0 L C +T 0 100 800 60 0 0 0 A4 Normal 0 L C +T 0 100 900 60 0 0 0 A5 Normal 0 L C +T 0 100 1000 60 0 0 0 A6 Normal 0 L C +T 0 100 1100 60 0 0 0 A7 Normal 0 L C +T 0 100 1200 60 0 0 0 A8 Normal 0 L C +T 0 100 1300 60 0 0 0 A9 Normal 0 L C +T 0 100 -700 60 0 0 0 D0 Normal 0 L C +T 0 100 -800 60 0 0 0 D1 Normal 0 L C +T 0 100 -900 60 0 0 0 D2 Normal 0 L C +T 0 100 -1000 60 0 0 0 D3 Normal 0 L C +T 0 100 -1100 60 0 0 0 D4 Normal 0 L C +T 0 100 -1200 60 0 0 0 D5 Normal 0 L C +T 0 100 -1300 60 0 0 0 D6 Normal 0 L C +T 0 100 -1400 60 0 0 0 D7 Normal 0 L C +T 0 100 300 60 0 0 0 GND Normal 0 L C +T 0 100 -200 60 0 0 0 IRQ Normal 0 L C +T 0 100 -1700 60 0 0 0 NMI Normal 0 L C +T 0 100 -100 60 0 0 0 PHI0 Normal 0 L C +T 0 100 100 60 0 0 0 PHI2 Normal 0 L C +T 0 100 -500 60 0 0 0 RDY Normal 0 L C +T 0 100 0 60 0 0 0 RESET Normal 0 L C +T 0 100 -400 60 0 0 0 RW Normal 0 L C +T 0 100 -1600 60 0 0 0 RX Normal 0 L C +T 0 100 -600 60 0 0 0 SYNC Normal 0 L C +T 0 100 -1500 60 0 0 0 TX Normal 0 L C +T 0 100 200 60 0 0 0 VCC Normal 0 L C +S -50 -1950 50 1950 0 1 0 N +S -50 -1895 10 -1905 0 1 0 N +S -50 -1795 10 -1805 0 1 0 N +S -50 -1695 10 -1705 0 1 0 N +S -50 -1595 10 -1605 0 1 0 N +S -50 -1495 10 -1505 0 1 0 N +S -50 -1395 10 -1405 0 1 0 N +S -50 -1295 10 -1305 0 1 0 N +S -50 -1195 10 -1205 0 1 0 N +S -50 -1095 10 -1105 0 1 0 N +S -50 -995 10 -1005 0 1 0 N +S -50 -895 10 -905 0 1 0 N +S -50 -795 10 -805 0 1 0 N +S -50 -695 10 -705 0 1 0 N +S -50 -595 10 -605 0 1 0 N +S -50 -495 10 -505 0 1 0 N +S -50 -395 10 -405 0 1 0 N +S -50 -295 10 -305 0 1 0 N +S -50 -195 10 -205 0 1 0 N +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 205 10 195 0 1 0 N +S -50 305 10 295 0 1 0 N +S -50 405 10 395 0 1 0 N +S -50 505 10 495 0 1 0 N +S -50 605 10 595 0 1 0 N +S -50 705 10 695 0 1 0 N +S -50 805 10 795 0 1 0 N +S -50 905 10 895 0 1 0 N +S -50 1005 10 995 0 1 0 N +S -50 1105 10 1095 0 1 0 N +S -50 1205 10 1195 0 1 0 N +S -50 1305 10 1295 0 1 0 N +S -50 1405 10 1395 0 1 0 N +S -50 1505 10 1495 0 1 0 N +S -50 1605 10 1595 0 1 0 N +S -50 1705 10 1695 0 1 0 N +S -50 1805 10 1795 0 1 0 N +S -50 1905 10 1895 0 1 0 N +X A15 1 -200 1900 150 R 50 50 1 1 B +X A14 2 -200 1800 150 R 50 50 1 1 B +X A13 3 -200 1700 150 R 50 50 1 1 B +X A12 4 -200 1600 150 R 50 50 1 1 B +X A11 5 -200 1500 150 R 50 50 1 1 B +X A10 6 -200 1400 150 R 50 50 1 1 B +X A9 7 -200 1300 150 R 50 50 1 1 B +X A8 8 -200 1200 150 R 50 50 1 1 B +X A7 9 -200 1100 150 R 50 50 1 1 B +X A6 10 -200 1000 150 R 50 50 1 1 B +X RESET 20 -200 0 150 R 50 50 1 1 B +X D3 30 -200 -1000 150 R 50 50 1 1 B +X A5 11 -200 900 150 R 50 50 1 1 B +X PHI0 21 -200 -100 150 R 50 50 1 1 B C +X D4 31 -200 -1100 150 R 50 50 1 1 B +X A4 12 -200 800 150 R 50 50 1 1 B +X IRQ 22 -200 -200 150 R 50 50 1 1 B +X D5 32 -200 -1200 150 R 50 50 1 1 B +X A3 13 -200 700 150 R 50 50 1 1 B +X P23 23 -200 -300 150 R 50 50 1 1 N +X D6 33 -200 -1300 150 R 50 50 1 1 B +X A2 14 -200 600 150 R 50 50 1 1 B +X RW 24 -200 -400 150 R 50 50 1 1 B +X D7 34 -200 -1400 150 R 50 50 1 1 B +X A1 15 -200 500 150 R 50 50 1 1 B +X READY 25 -200 -500 150 R 50 50 1 1 B +X TX 35 -200 -1500 150 R 50 50 1 1 B +X A0 16 -200 400 150 R 50 50 1 1 B +X SYNC 26 -200 -600 150 R 50 50 1 1 B +X RX 36 -200 -1600 150 R 50 50 1 1 B +X P17 17 -200 300 150 R 50 50 1 1 w +X D0 27 -200 -700 150 R 50 50 1 1 B +X NMI 37 -200 -1700 150 R 50 50 1 1 B +X P18 18 -200 200 150 R 50 50 1 1 w +X D1 28 -200 -800 150 R 50 50 1 1 B +X P38 38 -200 -1800 150 R 50 50 1 1 N +X PHI2 19 -200 100 150 R 50 50 1 1 B C +X D2 29 -200 -900 150 R 50 50 1 1 B +X P39 39 -200 -1900 150 R 50 50 1 1 N +ENDDRAW +ENDDEF +# +#End Library