From 53173fcebec257226c0d0a65a42fe6e1075057b1 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Hrvoje=20=C4=8Cavrak?= Date: Thu, 28 Dec 2017 21:05:42 +0100 Subject: [PATCH] Initial commit. --- .gitignore | 3 + LICENSE | 22 + Makefile | 49 ++ README.md | 127 +++ eagle.app.v6.ld | 230 +++++ image.elf-0x00000.bin | Bin 0 -> 38160 bytes image.elf-0x40000.bin | Bin 0 -> 171368 bytes images/espple.jpg | Bin 0 -> 50220 bytes images/signal_spectrum.jpg | Bin 0 -> 199053 bytes include/dmastuff.h | 183 ++++ include/pin_mux_register.h | 139 +++ include/user_config.h | 0 software/apple30th-0x0280.bin | Bin 0 -> 3456 bytes software/basic-0xE000.bin | Bin 0 -> 4096 bytes software/life-0x2000.bin | Bin 0 -> 440 bytes user/fake6502.c | 958 ++++++++++++++++++++ user/generate_video.c | 259 ++++++ user/signetics_video_rom.h | 1538 +++++++++++++++++++++++++++++++++ user/slc_register.h | 281 ++++++ user/user_main.c | 285 ++++++ user/woz_monitor.h | 35 + 21 files changed, 4109 insertions(+) create mode 100644 .gitignore create mode 100644 LICENSE create mode 100644 Makefile create mode 100644 README.md create mode 100644 eagle.app.v6.ld create mode 100644 image.elf-0x00000.bin create mode 100644 image.elf-0x40000.bin create mode 100644 images/espple.jpg create mode 100644 images/signal_spectrum.jpg create mode 100644 include/dmastuff.h create mode 100644 include/pin_mux_register.h create mode 100644 include/user_config.h create mode 100644 software/apple30th-0x0280.bin create mode 100644 software/basic-0xE000.bin create mode 100644 software/life-0x2000.bin create mode 100644 user/fake6502.c create mode 100644 user/generate_video.c create mode 100644 user/signetics_video_rom.h create mode 100644 user/slc_register.h create mode 100644 user/user_main.c create mode 100644 user/woz_monitor.h diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..c0dd6f9 --- /dev/null +++ b/.gitignore @@ -0,0 +1,3 @@ +image.elf +image.lst +image.map diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..d65ad25 --- /dev/null +++ b/LICENSE @@ -0,0 +1,22 @@ +The MIT License (MIT) + +Copyright (c) 2016 CNLohr + 2017 Hrvoje Cavrak + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..f54c7c1 --- /dev/null +++ b/Makefile @@ -0,0 +1,49 @@ +# ---------- CONFIG SECTION ---------- # + +PORT:=/dev/ttyUSB0 +BITRATE:=115200 +MODE:=dio + +GCC_FOLDER:=/opt/esp8266/esp-open-sdk/xtensa-lx106-elf +ESPTOOL_PY:=/usr/share/esptool/esptool.py +SDK:=/opt/esp8266/esp_iot_sdk_v1.3.0/ + +# ------------------------------------ # + +FW_FILE_1:=image.elf-0x00000.bin +FW_FILE_2:=image.elf-0x40000.bin +TARGET_OUT:=image.elf +all : $(TARGET_OUT) $(FW_FILE_1) $(FW_FILE_2) + +SRCS:=user/fake6502.c user/generate_video.c user/user_main.c + +XTLIB:=$(SDK)/lib +XTGCCLIB:=$(GCC_FOLDER)/lib/gcc/xtensa-lx106-elf/4.8.5/libgcc.a +FOLDERPREFIX:=$(GCC_FOLDER)/bin +CC:=$(FOLDERPREFIX)/$(PREFIX)gcc +PREFIX:=$(FOLDERPREFIX)/xtensa-lx106-elf- + +CFLAGS:=-mlongcalls -I$(SDK)/include -Iinclude -O3 -I$(SDK)/include/ -DICACHE_FLASH +LDFLAGS_CORE:=-nostdlib -Wl,--start-group -lmain -lnet80211 -lcrypto -lssl -lwpa -llwip -lpp -lphy -Wl,--end-group -lgcc -T$(SDK)/ld/eagle.app.v6.ld + +LINKFLAGS:= $(LDFLAGS_CORE) -B$(XTLIB) + +$(TARGET_OUT) : $(SRCS) + $(PREFIX)gcc $(CFLAGS) $^ $(LINKFLAGS) -o $@ + +$(FW_FILE_1): $(TARGET_OUT) + PATH=$(FOLDERPREFIX):$$PATH;$(ESPTOOL_PY) elf2image --flash_mode $(MODE) $(TARGET_OUT) + +$(FW_FILE_2): $(TARGET_OUT) + PATH=$(FOLDERPREFIX):$$PATH;$(ESPTOOL_PY) elf2image --flash_mode $(MODE) $(TARGET_OUT) + +flash : + $(ESPTOOL_PY) -b $(BITRATE) --port $(PORT) write_flash --flash_mode $(MODE) 0x00000 image.elf-0x00000.bin 0x40000 image.elf-0x40000.bin + +credentials : + python -c "open('wifi_credentials.bin', 'wb').write(''.join(i.ljust(32, '\0') for i in ['$(ssid)','$(password)']))" + $(ESPTOOL_PY) --port $(PORT) write_flash 0x3c000 wifi_credentials.bin + rm wifi_credentials.bin + +clean : + rm -rf user/*.o driver/*.o $(TARGET_OUT) $(FW_FILE_1) $(FW_FILE_2) diff --git a/README.md b/README.md new file mode 100644 index 0000000..8d6fbe7 --- /dev/null +++ b/README.md @@ -0,0 +1,127 @@ +# espple - Apple 1 Emulator with PAL RF Output + +Try out the original Apple 1 with a 3$ ESP8266 board on your living room TV - **wirelessly**! + +![espple](images/espple.jpg) + +## Features + +- MOS 6502 CPU emulated at native speed (1 MHz) +- 20 kB RAM +- PAL TV signal output +- Original Signetics 2513 ROM characters +- Telnet used for keyboard input +- Built-in TFTP server for uploading software +- Everything is wireless + +## How does it work? + +Video generation is done using the internal I2S bus / DMA functionality. + +I2S stands for Inter-IC Sound, a standard for digital audio devices interconnect. ESP8266 supports I2S bus data output using DMA transfers, providing a way to generate a steady stream of bits while the processor can do something else. It gets interrupted when the 'bucket' is empty, and it fills it again with more bits to be pumped out. + +By generating patterns in those bits, it's possible to produce a meaningful waveform which can be interpreted as a video signal by a TV. Since I live in Europe, the chosen video system is 625 lines CCIR system B (basically PAL B without the color). + +![spectrum](images/signal_spectrum.jpg) + +--- + +## Instructions + +### Flashing the files + +1. Install programmer software. + * Linux - install [esptool](https://github.com/espressif/esptool) (_apt-get install esptool_ on debian-like systems, _pip install esptool_ or _git clone_ the repo), + * Windows - use [Flash download tools](http://espressif.com/en/support/download/other-tools) + + +2. Write the binary files (adjust your port name) + +``` +esptool -p /dev/ttyUSB0 write_flash --flash_mode dio 0x00000 image.elf-0x00000.bin 0x40000 image.elf-0x40000.bin +``` + + Tip - ESP-12E modules require flash_mode dio, most other boards use qio. + +3. Provide your wi-fi credentials + +``` +make credentials ssid="Your SSID" password="Your password" +``` + +This will generate a .bin file containing the credentials and write it to flash memory at 0x3FC00. Please keep this in mind and run this command again with dummy credentials if you intend to sell or borrow your ESP board. + +4. Connect a piece of breadboard jumper cable to the RX pin (GPIO 3) + +5. Turn your TV on and select analogue TV, PAL system - channel 4. Some manual fine tuning might be required. + +6. Telnet to the ESP - the IP address can be read through the cable used to flash the firmware. Make sure your terminal program is set to 115200,8N1. Press enter several times after connecting. + + +### Loading the software + +First you need to tell the built-in TFTP server where to store your program. To keep things simple, this always points to the last memory location examined using the built-in monitor which starts after a reboot (the one with the \ prompt). + +For BASIC, the target address was E000 hex. + +Simply type E000 and press enter, this will specify the upload target address. Then run the TFTP client on your computer and upload the binary file (adjust your target IP accordingly): + +``` +atftp -l apple-basic.bin 192.168.1.2 +``` + +After TFTP client finishes, simply run the program from target location by typing the location followed by the letter R. If you just transferred BASIC, you would type E000R and be greeted with the '>' prompt. + +### Rebooting + +Press **Ctrl + C** when connected through telnet to trigger emulator reboot. Neither wi-fi or telnet connection will be dropped. + +## Demo + +[![Espple video demonstration](https://img.youtube.com/vi/rCqbB1UmW8o/0.jpg)](https://www.youtube.com/watch?v=rCqbB1UmW8o) + +Take a look of the Espple in action. + +## Help + +##### Q. Backspace doesn't work - it shows _ + +A. This is by design, Apple 1 output works more like a teletype, there is no going back so this is the "rub out" symbol which tells the computer to disregard the previous symbol. + +##### Q. I've flashed your bin files and now I'm in a reboot loop + +A. My board is an ESP-12E, and requires DIO flash mode to be set (2 pins used for address and data) as opposed to QIO mode (4 pins used) some other variants use. Try flashing with QIO and see if that helps. + +##### Q. Wifi doesn't connect + +A. Make sure you've set the credentials like instructed. Try moving the ESP board closer to the access point and configure it to use one of the lower frequency channels (1-6). You can monitor progress using a serial connection (115200, 8N1). + +##### Q. Why can't I simply use the serial as a keyboard input? This wi-fi thing seems overengineered. + +A. Because the UART0 RX line is already being used as an I2S output, and UART1 exposes TX pin only to the board. + +On the plus side, it's totally wireless. + +##### Q. Program doesn't start after TFTP upload + +A. A packet was probably lost, try again and make sure you have a good wi-fi reception. + +##### Q. I can't find a signal on the TV + +A. Your RF input connector and the whole signal path is shielded inside the TV so there should be some sort of antenna plugged in. If you don't have an indoor antenna, a piece of wire will do just fine. Make sure you don't short the tip and ring of your input connector because you won't receive anything. In your TV menu choose analogue TV, choose PAL standard and select channel 4. The esp board emits at 60 MHz which is slightly lower than channel 4 frequency, so you might have to fine tune a bit. Most modern TVs should be able to automatically scan and find the channel for you. + +## Missing features + +The emulator should accomodate for the terminal output delay. It should be possible to upload the original tape audio waveform over tftp and use the original Apple Cassette Interface to read it. + +## License + +This software is licensed under the MIT license. + +## Credits + +Video generation is derived from the much more impressive [channel3](https://github.com/cnlohr/channel3) project by [Charles Lohr](https://github.com/cnlohr). + +CPU core is made using the fake6502 project by Mike Chambers. + +Apple 1 was famously made by Stephen Wozniak, one of the greatest engineers of our time. diff --git a/eagle.app.v6.ld b/eagle.app.v6.ld new file mode 100644 index 0000000..a04d340 --- /dev/null +++ b/eagle.app.v6.ld @@ -0,0 +1,230 @@ +/* This linker script generated from xt-genldscripts.tpp for LSP . */ +/* Linker Script for ld -N */ +MEMORY +{ + dport0_0_seg : org = 0x3FF00000, len = 0x10 + dram0_0_seg : org = 0x3FFE8000, len = 0x14000 + iram1_0_seg : org = 0x40100000, len = 0x8000 + irom0_0_seg : org = 0x40210000, len = 0x5C000 +} + +PHDRS +{ + dport0_0_phdr PT_LOAD; + dram0_0_phdr PT_LOAD; + dram0_0_bss_phdr PT_LOAD; + iram1_0_phdr PT_LOAD; + irom0_0_phdr PT_LOAD; +} + + +/* Default entry point: */ +ENTRY(call_user_start) +EXTERN(_DebugExceptionVector) +EXTERN(_DoubleExceptionVector) +EXTERN(_KernelExceptionVector) +EXTERN(_NMIExceptionVector) +EXTERN(_UserExceptionVector) +PROVIDE(_memmap_vecbase_reset = 0x40000000); +/* Various memory-map dependent cache attribute settings: */ +_memmap_cacheattr_wb_base = 0x00000110; +_memmap_cacheattr_wt_base = 0x00000110; +_memmap_cacheattr_bp_base = 0x00000220; +_memmap_cacheattr_unused_mask = 0xFFFFF00F; +_memmap_cacheattr_wb_trapnull = 0x2222211F; +_memmap_cacheattr_wba_trapnull = 0x2222211F; +_memmap_cacheattr_wbna_trapnull = 0x2222211F; +_memmap_cacheattr_wt_trapnull = 0x2222211F; +_memmap_cacheattr_bp_trapnull = 0x2222222F; +_memmap_cacheattr_wb_strict = 0xFFFFF11F; +_memmap_cacheattr_wt_strict = 0xFFFFF11F; +_memmap_cacheattr_bp_strict = 0xFFFFF22F; +_memmap_cacheattr_wb_allvalid = 0x22222112; +_memmap_cacheattr_wt_allvalid = 0x22222112; +_memmap_cacheattr_bp_allvalid = 0x22222222; +PROVIDE(_memmap_cacheattr_reset = _memmap_cacheattr_wb_trapnull); + +SECTIONS +{ + + .dport0.rodata : ALIGN(4) + { + _dport0_rodata_start = ABSOLUTE(.); + *(.dport0.rodata) + *(.dport.rodata) + _dport0_rodata_end = ABSOLUTE(.); + } >dport0_0_seg :dport0_0_phdr + + .dport0.literal : ALIGN(4) + { + _dport0_literal_start = ABSOLUTE(.); + *(.dport0.literal) + *(.dport.literal) + _dport0_literal_end = ABSOLUTE(.); + } >dport0_0_seg :dport0_0_phdr + + .dport0.data : ALIGN(4) + { + _dport0_data_start = ABSOLUTE(.); + *(.dport0.data) + *(.dport.data) + _dport0_data_end = ABSOLUTE(.); + } >dport0_0_seg :dport0_0_phdr + + .data : ALIGN(4) + { + _data_start = ABSOLUTE(.); + *(.data) + *(.data.*) + *(.gnu.linkonce.d.*) + *(.data1) + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + *(.jcr) + _data_end = ABSOLUTE(.); + } >dram0_0_seg :dram0_0_phdr + + .rodata : ALIGN(4) + { + _rodata_start = ABSOLUTE(.); + *(.sdk.version) + *(.rodata) + *(.rodata.*) + *(.gnu.linkonce.r.*) + *(.rodata1) + __XT_EXCEPTION_TABLE__ = ABSOLUTE(.); + *(.xt_except_table) + *(.gcc_except_table) + *(.gnu.linkonce.e.*) + *(.gnu.version_r) + *(.eh_frame) + /* C++ constructor and destructor tables, properly ordered: */ + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + /* C++ exception handlers table: */ + __XT_EXCEPTION_DESCS__ = ABSOLUTE(.); + *(.xt_except_desc) + *(.gnu.linkonce.h.*) + __XT_EXCEPTION_DESCS_END__ = ABSOLUTE(.); + *(.xt_except_desc_end) + *(.dynamic) + *(.gnu.version_d) + . = ALIGN(4); /* this table MUST be 4-byte aligned */ + _bss_table_start = ABSOLUTE(.); + LONG(_bss_start) + LONG(_bss_end) + _bss_table_end = ABSOLUTE(.); + _rodata_end = ABSOLUTE(.); + } >dram0_0_seg :dram0_0_phdr + + .bss ALIGN(8) (NOLOAD) : ALIGN(4) + { + . = ALIGN (8); + _bss_start = ABSOLUTE(.); + *(.dynsbss) + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + *(.dynbss) + *(.bss) + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN (8); + _bss_end = ABSOLUTE(.); + _heap_start = ABSOLUTE(.); +/* _stack_sentry = ALIGN(0x8); */ + } >dram0_0_seg :dram0_0_bss_phdr +/* __stack = 0x3ffc8000; */ + + .irom0.text : ALIGN(4) + { + _irom0_text_start = ABSOLUTE(.); + + *libat.a:(.literal.* .text.*) + *libcrypto.a:(.literal.* .text.*) + *libespnow.a:(.literal.* .text.*) + *libjson.a:(.literal.* .text.*) + *liblwip.a:(.literal.* .text.*) + *libmesh.a:(.literal.* .text.*) + *libnet80211.a:(.literal.* .text.*) + *libsmartconfig.a:(.literal.* .text.*) + *libssl.a:(.literal.* .text.*) + *libupgrade.a:(.literal.* .text.*) + *libwpa.a:(.literal.* .text.*) + *libwpa2.a:(.literal.* .text.*) + *libwps.a:(.literal.* .text.*) + + *libmbedtls.a:(.literal.* .text.*) + + *libm.a:(.literal .text .literal.* .text.*) + + *(.irom0.literal .irom.literal .irom.text.literal .irom0.text .irom.text) + _irom0_text_end = ABSOLUTE(.); + } >irom0_0_seg :irom0_0_phdr + + .text : ALIGN(4) + { + _stext = .; + _text_start = ABSOLUTE(.); + *(.UserEnter.text) + . = ALIGN(16); + *(.DebugExceptionVector.text) + . = ALIGN(16); + *(.NMIExceptionVector.text) + . = ALIGN(16); + *(.KernelExceptionVector.text) + LONG(0) + LONG(0) + LONG(0) + LONG(0) + . = ALIGN(16); + *(.UserExceptionVector.text) + LONG(0) + LONG(0) + LONG(0) + LONG(0) + . = ALIGN(16); + *(.DoubleExceptionVector.text) + LONG(0) + LONG(0) + LONG(0) + LONG(0) + . = ALIGN (16); + *(.entry.text) + *(.init.literal) + *(.init) + *(.literal .text .literal.* .text.* .stub .gnu.warning .gnu.linkonce.literal.* .gnu.linkonce.t.*.literal .gnu.linkonce.t.*) + *(.fini.literal) + *(.fini) + *(.gnu.version) + _text_end = ABSOLUTE(.); + _etext = .; + } >iram1_0_seg :iram1_0_phdr + + .lit4 : ALIGN(4) + { + _lit4_start = ABSOLUTE(.); + *(*.lit4) + *(.lit4.*) + *(.gnu.linkonce.lit4.*) + _lit4_end = ABSOLUTE(.); + } >iram1_0_seg :iram1_0_phdr +} + +/* get ROM code address */ +INCLUDE "../ld/eagle.rom.addr.v6.ld" diff --git a/image.elf-0x00000.bin b/image.elf-0x00000.bin new file mode 100644 index 0000000000000000000000000000000000000000..9dbdb3628c520baa9367dc0ccd6b212ed8634613 GIT binary patch literal 38160 zcmeFa3w#tswm4kfGd+)_6J{pKfF@#hlgC67cV-AnV9BMY$%LR`O-6`;#l4dOVo>ph zF&fxquR|~)?#8___y7&=5K)oUC4vGz?%g4f5O#wb;;P_F)W|c>>Hn$j>C7bH zuJ`f%zTfZr{sTQ#bkTpZ{9}|39t)QGDke z5kBE6kmDGJKNucKBSRIqoRT?2#uc7&PMJ5xEAX&1g}Xu{rxm;`XFN?LA6cqQ_g{m{ z)Yr=WVeqhAB^H@a;VR?CmPskw_l20x_!5ujpwP&nLO+&c7&kWe_rb^J{-G(%4VN-Z zFUKR{N)0J`DAd=&m0U7L;fzz5zk&_Rx_(UIABl~Gjpd_YWGPBY(N&Qu^OAF38^@4v z&g;h&yb{V>6#ijoWNd87ua%2w75X0 z*R^pO5|*n_C^;~9IUWfKLzS44Pf6qOzw#NIf2>4BvJzsx3WWkwLIpEkHpVM7frevabIP<~Dur$=MBy(($Kn)eku(a0 z48z~wCkM+@KA0vluIMrrem!1c3LKL^3LQ@2`1d_B{`ztisLV^|AjikbP+&4e7^kEo z@iI2DY)TwUQ?OwkkujNKJk{7dO6poF3{jwRTuI?Pay$~EaFWC8VHB8>SFSIGmy%zG zVj6{B!QfDVDfq~=OedFmd^{2=<1jDfqd*k?GIyDGIIhSFQ-@=J4~MA~d^l7z3hRJz zVSG3~KEFZ}376wCW;~zqbV~YqbP7%h!&-**iOd(Klkwwm3jX^-Ot0XSys}IM6UK)% zkaJv*Vm!4%ql7a4+V5-eW7|cRh&32XiBrlG$#pE1j8V!JiTl2IBrhd*7#7w-$uEaW zDolxEm|FgfufcE{<0Eq^DJ7Ko$Q0MY#zMx*io`|A3rkZdaQI(|N9q(AAJ1nzPDw|W zS&^&Ylu&_>=c&MDx^U`xJQeJmy z@$}*HDD*Og@-6dGQscvGv9d%NJ~l3w9?Oe_V~#SlqV4tahUG@)RXByQa!lc;z{jUC z{92d{IbPy_q~6r^%FB-%yB?40I`Tz({R2_y1ubgDQ6<>KAzWhX;^~!6;F2m4k zhORA$1kjPkyZ-7IUqL&gYNmF)g~%h1_x<(A9~v(8^&NS;@2ex-eLtzS)TTdr3!vt= z9EobstgJgS*kFu;PqR8I)smY2r>_2vuAW1;^gWY2wb1aQWqs&Rv1)$!+J=+0Q(sJOv%Hw{d3wu%Gs#`# znUoik)3t_<{M+Eed@h!!PtdmeABb#mH^ zkdBOz<0}w~A@y>6DMETOR*sh-6iY_QaTh{S1e}{u)ey<1-PGVxc&|WVljsp-@XoIeu{7n@O`=UZ|gmWWs3NOvaDK z6UaC@?nWq{G|BNr2*oLJ(BDKFWjN@JV^Udu0%oAZ?LVw6|C{=NY^ffMB-R4rA$_Dc z=;Iy?&Or@|waXQ_2=zsGm{R$Q2R74!Q9bf(^!5a{z;Jk_wr|f{(ldToq^`~1E9lS2G*K@UYnB>k%~20ja({UII3r+JWz@%HmY zzdfRElypU$EUz5oD|j4^$7h3l1+U1!X(fL$#Qz@te$X!)>QD*eAs+c)cqKd)q42Mi zfscH4KspN^Oov0=xCg_xt7SY6`Ed{C_5Y@PrQAvwS#})9@c$>vugF!xeVLQX zaoKSFE8+LaSLz|M?Q#73+W%G16F)fL_1Yi9@T168!vC+xSNg&Cm0#%xO1YKrf2;gI zR6cb(bvyj0qMi=Nw!jCk&HlGSm9%P6BQ^M28p&Oo2~IOYgNOpm3dc3njJAKqJHm2>Is9NXC)!Ad~VC_r zZOxK!FUkwzMVaz>Kh9YrAwmGhIJ`oqgva8Xzm23<;GvNJ2lIMeGS4h1ka;DOgL67A zj?6)ugL6!fJ_+d_cs_&YEIjqVYxv*9Iop8;!vB%)5!`?=uqefk?Xbwjp+rHr6WQ8C z?oJG34~gqO6-Z>wGS~$GumN%1gOTZX#dUik)B8pHu0KWqKnlBCw3`sSOSHQrIc$r# z^dLu~873}mT1?Wx8KxU&ADq#6Q!N;)-G6e1DcbHIo?)Ww{&h1Nwd{)`9Vg4)1~G{> zBd52D^oGdv7LlGiHf<*n`?M%g!#eFipJS7#x7nT)IW@AYP_&EdCS1A5`5K|KnGP7B zyg8@XROvrg|=qrC@uT1B9QBCScp%g$zFo0ccG0c9X-ic#pFQ`U3b=3Ww81x>&w?2hnR*yv;|Q7CM&bS>Pt6N zd2|INQ^nK*Ew}5BOqHR6Szfh_;`}})jhWG_n>oWzkzU zzCw8q`2ny_=XD~%-vAP-wrlBlo@0Q9y-my~6LaU2b|+Hm%r+C(1DKB9CbSY@J=7C~ z%^^CnM5tq(Z5GE^EA0!RYN3LG@CbV#18SH{LMM#9rO5eP;uHyqL=MVc^Elz-jLa#f ztLsu`Oievu--W&=xuXQbR9a6Nx;V!98^WFh^<{JijEsQ*t3M( zic(v*mXZfdB3Y`EB(-gf5JPPwY(^272r2|TLGW!tkxu0KOCZn68H7dH;Afb0tUD~T4THWhwmnSr^w>_3nX%4?3EKx) zPpAms33V~c?q~~wG^*^e2u9RA$oDJs>tQ15B!>44a$n*RsIwI}t9NBnx!4)nP@!LWDyE&sTDP zC4{-ixs0&;8OOf{tckqf%~6=- zT#rdKn-yll+9G%)hje+`t8&~Nv}G!6GOouaiseSxus2Iw>>hc}F=0OUfG#mXTav=$ z#_KVOW{smv5E~nz3nB4c6d2b~n2lV~&* z+yW`BCIlM$8&h+I0_-d}v?DM_Cfd1$P*!$u7*84C*oNjfc3^n4+(W~PPk3n<`-J_& zX`7Y_FUAYvYiP}?eUjXFq%!Xdmw6YKOnr+SZz^Tp8!GcFVacH~ zzxV@WZw<@--LUL|KQGCA7R$^bp%82%mf7|NvOP5n9**OgVP={E*Y?xHFfBuwf1^lt z8l*CB49RseFz>r|1$cg8A!h)KkFf7Y!gAM-miZS_ng12bcl-j^wJhH&$^Qg79vZf* zQBl>f)ouWvfPHuv42QjM*h8YGO)mdPVVS`rAeZ0g_4^8onW_y2$xaZRQdq{g-Ieab zdsdhQ(r0i-&0ygtRKpi0!Wc>*f3qIqn!P za$y=!%4)Z=g~NDya-pV+Z0<1V1^Rh+`9QmhVg?ih1FYI$mfMJ(Jxr$n{`(YUr-${M zF{+h}mBj;%u>U@ii9+bd|yxZSn`6X9`t8f1ZS+qMOiy9BEdoy}H1ghy>vLF#HPP$NH%>dQ)%u+tb0iAj!=zwU|R}F(i749V3iNU2UIJJ6NjISViym= zG@FMv_}D_=R0(Rq-)!z@7Hy*qAM%u%7%4K!n(rn#KueZS{KqaKc;BX5M#ru zv7(7X_C-kRF|hOyoq#J446(2h&x|@yGblj}^~<13!vqZbE2-{9K!8VI+;D2FDVQ`n zG>9wovFj))xBcb8P}}zp;_KR zKK75m9@-TBJ0$%(u>K|F;iq)$K}G*}B>lZ1{Yzp>_hJ2EOKbhV4_5GMSi+!bMyu5Z zTUzYfgI4~M;DSvll%|fNO|VDR4#OwxL-oQY70*=Gd)9SB4A(dZNW5*eJhW{Edrya- z(z7q(5@?|GY?Gq@>hIV8DMkOylKz{+^}k8c|2LBUWux_9x^a*xa$x-*A8eQbnpeX9 z9~1#^$ysgd9~-p7R8Ru8bB+G>mnG%#SeC|AeI6B23amWX&BK!NU^lBmLa*(J?8-r? zdf9KjZ%`SNmk;7GxqJ}D~Wl!;E600Ss^yhHJ5O!R z_O1UJHY3n}{gOdtD^V+LC4zq6x0ATR%50K%61CD!VjgkbjYJLSM4A|AzAq{`1H}1? zEP8X*4()xp;5P|*a08?w*WmRx%zHVsf9OQ)tijO!Vcw`00|h=|JeCG+1*7lOL1pw! z43ECOcO&Y~@dL06olY7A-`o|8xI@7t6|@tceGZLayY42yTW`Aq39*Rf2I;wAhxVVM zntA9b$=*Cj&BHT;&K788TJJ_@iP3EzZ1=D~sUO7C4%2lB#{4dM{J$NG?}`}zZ^Hnt zHOLh}K}H!se#hVdEGn==uSPBy#icD~kO++}FA{(TuRZ!O*_gFQP0rXktY&uP90r?W zF!wN*B4yb=!A!>OQBiQzqsX%TbzrvIr9z%vh<7qY?+wtT2gbkm2VmI%EmqVufWJS$ z-)!f=y2~)a;iCY#9-ui651c+QfGYzE+dUaUVW2*ov&L11b0!jKbnLAGXb5`@tORj0 z$Zb$F0|_yRJvbn@!2;y`5pILPK-;b1Hu(9N-COp>0T>fQd&Qu`O9Q9<*XTgm$LT=X zBpo(iM+Xpzb?}esK&4?Fwhq*!exDAe13d$_@17YrQItR7*y967O3yj;t8e47W6~#_ z{?)*NM+P*Bu(>`NCED#jM-+z~zZfWeWB^>lq74IXk3T~NX2DhsSgV+F4fxznTFLga0Wc+L-yKgg=Ll)H(|H4O6b}?F9RLsPz@mX;iv|i^ z{?k7n$WU3!m=cOsd2$gbXJ3GzLj)*EpP!HFgB`1-18m{ItX!8GWs^{Ev!>4^>z{;< zRG$kD7wo68t^s8mcqXZ=dkY6_g#!TwS;%#lAegV3=zV}KkRcW>n=CO|EzXy?C^mn9 zR!Li*h@Cf(rkejm?tslXP^b4am-z4!tHI|r`sT8%V}Q@~Gr29Zs<^f__pX4|Nn)DZ2;WDS{jwve?%uw zoyN01V#+`Oq3@Uh$K(O-W^{WFWDz=tiJLGO09@-Z)9GvdXOtP6L(sXzBn;QR-m&fY z6KB`=uQna9f?|yI5*s%#!yd)b1GDU$3imSBIN+Et0MhxnhO{RQtOhV_448n7Mvmx# zbtE&z050~t#)Py@T2?zis|A6OC}kp;N}0I^rtlKy7w-axj{qM0+yD$cxc_uHuJr3) zKe7#1#lUK*oRw)=QI4+zwF$3(bDgY7d-=(?ZnpB-gqe!t_Jfgb=X>&QD!bJkGmSi#5(GVm48((ivwwZDhX|ZC{Imzm51>gbLD#a1w`)F;V3N#QWd@{zr^@ z;wVWBVS=I_QAg2aQ=c~J=1eT4P^8hi3+c2zqr-@c3zjRZPLpP9P>1U6db0M4sHe<@ zBNju=H)7sJF*z3TNf&4pt(I$PI3nAw=?J}XNR9a03lJ|%KuNt=ho1Sy#l#865u2Vp zs?w3C$W%l^G2mzyyx?r&JXaP+2``-GJFCr|I@b$J4!`LQpm>D*fmTPL(~mm+;AXr! z%$^nP+j|f1jtemH0n%Yb)vepI1FZ+5I`b&R_KNlw&N3O*#HU!Fqa?09I@?&UI+97e zi$OUta7>GvyYZF`=UK7CcHBYilXPdL0hN7Uw7oB44&5?`_HRX+uKh+t(9c^9^n+~N7@RPh5Ehf;gqWSnObg7+&HD;?YQBU* zjM_re1!4_mYx|2xo)k$YCx0&2*>@Y#&#loR!i!NI#!(Z71JP=n6$+M4)O-gesIR6~ z`2_LJRUpiyb;b^;Kd`zSm<;-8lb&cB9_;|QPFOv0#CXnjl>AzisjB%}JVn-Ds6V+N zHisrUWfouyI-&y#dDeDZ=2f~=EYYOBsaZvpP-)Zq*O>2}0e1oNO_`cst1PAH*%v1N;CF?o-PNY}*l-L1<~FCM?*mng{O% z_|<%?19}+ef6%rcGv$`G5pQ5#3+S8}3qgE~<3~yApRpOz$HCVP6}kj$Kk4>RogOis zV9(i3slO(Vs<4c98m;aZy=kcUWkT)^T??2TIy08I7~;1CwX4wG>IJGCl6?xABA^8+ zI^sB+NuRZKDD{LG-hUI~v!jyvj$07ll#tBB%^1Gg@Anx4t)(}1mnQa>CUK>Vy_6M7 zIalcvPieBZG=(g^L6%fzS||9`$G#O|thBQXd5q|V|L^(8fR8Mlly*?CPGN|1SSPYo zoZ5;4duTq;%0i6<0+$jqxKc9}0Fxz7W0H?{3$y!95wwXbop|LW5ksTErP>K7Gp4sR zUMNlQ94Pn|@|MQsYf8-JslJ}IQd488!JCgly#I)K?`9_!|K~tv zjI&kD`&>l9Z|C@sV&ii$!TpuCL2TIEl*f3^01={Jb z;veBI5h9RCz{Q|p7zcO_z!}tYdn!+7C-a@RLR;)l;h%(OGt4ixjd0%Hb>fL5>-DQ) z*n2bxtf4)>6x%)*;hQ6`5Z_@%XwvqDD4P;(vVA7X^OfyWk=E0X9=j3SUE?Z#eGgXF zo5@2j!;w||Yz>62Odj@MaAXxv3lMUvig4vZ2Us=t^$)~tAK-XnrmJO(!M_C)Hm-72 z{{g`!CB!|ME+1@NmtnYPl?%RIne$v95U#d59AD*tZ(&s)eA`zEoWFxOEGcYHf@uao z_rN!|s+{=uki6Zy{sNHZOul6&*0FgNFV8NSH1Q73SoAz5Oqx_J<)mkLSCy|j0}vr) zy*n4@5LT?`wjrVg6R#VTSQKXHA#7Y#1m83iDz|eT#K={7?F7Q0-|SwMM?~YgC7|Df zg*+z`M{u2`V{`|qzaN5ZRQ#ZrNzkgKL&U}_Ky{#Aq)B>V4l$odI#i>on}WwdRk2fFl<-DWM~HO ziwKT@5Lo9HZwS&RWx9w(ZkPX$Y18AI;^-MxcYNndJ&nfd7f?f8VBQWD4KC0;Z4~X~ z1xI17A6!em+R=1&^#zeu-{!F{^jDPmL4^_uzFcL2M7)u(G3zthgeTnp2H%sIugkjJ zU$F@Db)^L0d$DFLU%ov!hXS9kC*8mkBVxLr9TsnBu#hubnDL>bCakE44`~xM&%1^KPu9`J8vc_iCw40419k(@+;{ z@|1C8ScH>>CRAp)kv4(P7cWm|?QlPVl#-XR9V@Mme20T`?$^>+icVqk6jFS!1LV$S zAZ{BFg%!SZRZO4z6yon!)1OMVMRa3A7vqDYPOIF>-9CVDj8EJ9ne9B-WPMx!PMoWW z3sR3cQ#4@&@cGl_-ZX#usBAU(r4mmHX2X#&Y9YQ3-fMzKmieYE^BLgdVV;I>fq4qP zN#@C`XLRgk5u6lor8^rsE7^-;+j*QoYaZh39EZ@evE};0WC_4T&QgnLw442G;AI_jP$*{c%;o4-+HUyzP z#pj-e0rnNXyjb-5MKRi*=wUp2+@-8cm)*fTbEO*2@n56!TihGae=-0!1F?8CzTMG^Lb@D7ILlj@1GMw;$+4v2I!H6XUb z=5LqQL^}HwC(=SP*7&kGO`1o82ZA(DKORO9Iy=?CgXy82i*_#ZAA$R~kEKFdjWUuE zzj<~tKRYp*Uk;&eW-`yc5MZ#saK5|rYxV-%3j7LteAxEe{w8KLJ-wX>$HU z_G4=MK_4vV593NIIwKytf5guAl*d?g)6ZDdQ<*#xH3q(;C(&GkWdp6Z($j5r@zsiG zzj>!=cOYo~vvIXOCfg$r$qYxN0e`Z*0Zk&s1{w7*tI_wq` z_`+}EhFL&@U^rvSsJ0)hYdtgqWBJQi0Xo4FBOFuN)pZuTb^0cIZr!Ze!V7D_HP0c4 z-(ia51bIxw9aD3ybtJ0mI-biQzon2XJ*Tr~5@hL@HD8G&+k@!osfA>j+pu@RgIA7L z*eHB&HF*;^7f}a9VmGc5cBe?}!jYn#kmJ+48WOwNbD4yl{gU`S27hEqfVplbj@FVW z6=@;$l9cgxFsOi1#7CC^M>JztH~V!fdmd_^WWU0$5BrSRwh#BG)t`;rt=0U8ShPv3 zeOG*3`Xyp2bQn1~gVC7Y8lPhqNY0W?!j9JpE3NDG- z#p3#4hw(V83{l1iw=eZ18N5kG;wxM*DD;;&wA@6a z!;SZs+gIMyJ{g%W=%T&mc~RA^L34g|W9x`{KHc0pY`!I?%sUmb{0(P0MV{yA=iVyN zXwl5fOjZ4Z+4jSmE*+nJ!mfukBJ?F^3M|=Zy1u@173Arb#KlCEFg>%=3%xEPbN1|+ zkePmQaY260{Mkoxh<2O_ChPA+P}=M#S|aB6lNd?C6s&`KIk7*|&qM^xP6uqA8&|7imneTAj1~EgSu=cPa9<&I{Wqe!+~LbL6y`F%yI|a&0Pdx) z%2)wsdbx}s==gkxAn2vO|tsn|Z9s(y6Bo=jwFwT@vuKNwb z{l*-6LF}5F=oO6= zKuD8H1HkCGqM*nKE#ROEY9meZQ#MF*72daV!-M4;i9S)+kWQRL?4Ss03jVJN8jFCT z4HlGkzslK1W)s|81Kr$qmKJ2sLNzNbDc203B zLi1z|p_CEcBau8h0zpkzehF=-YxetI|1)g=b?J-Ftv^zF0+wT^U?qMQ?}MFvuKcIo z$MEHYXfviL2=hUb_odx%VJVKWn2p_c6j zeA2J4>>=~AV1<{d&9`-+?t^`#e`8lyvM<*d=%fNuH}R*KmtP?03Z{qgeM9t|!a};s z-pWfuwh0&6M?~KOrr}eDcQP(oeSckH$+4bX=H5j>B&_((PQwZCv3sXsjh0;kaiCN$ ztp=rf>WXiiT4NIq z_Q3@ns7#(u#sg|D9^SC*vWsw3wfgLOU6HiVWS*qVi@%_!*FG=OD$T2rm3+fc)BdJS z>wgMWJmIVn-cRWKS7_lYyUD!6K-=Cf(z@DyQAncApJ~8KbkAq1Hp821+JUsHbII5w z4zJbV;F-1N1}@9aA|P#hgz&Oa9u^FqD<(>av^OVkOQXC?qv?b?M|AaZ60L6VnuY2BtKmvehijLEiismK3nJnggPwz?KO{C&+sQmS87N|PyGzDv*{6-<3 zq7!E23dICA$Xa=ywI`jJXu zlbTjRH)FQ?qdn@QF|C3I?@N;|nlMONV6zQ-%J$YPFmyQH59*Di8r@A=3pW&^%Dp}# z`*G0rpFyGdN5uI7c?hu|vchkRSdrg@jHzZL+a0uZ1Hla9vk-wR7h#{4XC?_gCSItihV6;dktVE#D9cf=`ZFt(i)w5 zC4IQBES>Pu(alM8=f3KusFx;b=o=afVsvWr5^6Uc&4Fta-6_~%xVaNHBeL2CkO1wT ztS6JvyLMFU(DvO>)nhKIgI8A4p90tBRd7}8{=Yi6i_m0ut(fIetKG{TXHsD+GgO+7 z+!qh`^Z_sGGBSIEtJmUJa=3f+fegmEL(W~}$7dhh{qTw!0&v?)B1fz7AxPUVNzK^by^gHmR4F+!GLfryv z{ce1&MCa;>n$aa7T7}OR^hBnLHsq*F9?ow}T(tOMGIxUWfKnEDjx<93>B!V&Mm9cZ zOMn{ugh&n5AXy5FeZAL@){5#H)>Qmn^KB9!)3H2{~|oS=7J=NEVJezY#-5B#<#Q1&8E9v`dJAa)8FI zrLgouBpD5be$~y9G>z&nSZGTltZBI{=X)rfZU^TYf~Ia~^;JTx! zL+)^pRtp8u?E^5!OLhia;V8z9&rYNB2yB*ZS4H;EBOIr9{sofsnA~wv{T(q9ts}6@ z-k>LIeun5hkt9$am;Xs{AkMAUJB}0$tUpmoPdK&@$Vu9mse%r4zLB2H1qO>R=q?l( zb+1|IV&BAid-hiI`&QUcu)Q@RydV&N!Y8%h1*J0asRkpvXT-J#;CqNeA-L|f@~tO% zTv$3wC@{jkp07!|kSs9e-H6O*2ro2I8Z0*|)>0MIH&Ls^YC6`kwRpdlYDJpKXftg- zp<}m>*q#Tb?ZmDS)3U-FbgzL@#Vt|n(<8R00qG@ngph3Gh^-MIuMsbVAapE^23~8X zW3spIj)ASEp4}VJoDDO#?p}b*Z%<%rM{Kn~|2N{95IwwSfECVvjh^l*FwUpj=3%AX z?Z=>JAWK6zdHCT;788@4^^(cpF+{rzQTCg(_b$3?%2Q|Tjd~i!GAL>N#0v${m`&SU zoVy~gRjkg`nY#1*S|o`!!na z{EJ8%=*jJW5%+J^+suE~np@56k`dby(03kjUr1jr0~K>>JEPB5S!Yt_J;qF8s_k~i zZ;IL;Aa$>mu)2nPV>z-L2{)MkeN^$&G_7&&LYgepQFOfY?CSpYI)^lIXVN*bHQgd; z0Kx@Y&0#$#n3*TjsQnM(NiPsgaCV7yI=Vg%iU$?DT_`Zs!p-+sSUtXn2|u9Co&uw* zzyz0)NJbBiozAe&I|b|?g?e7iODNwK+4Jtf<)}R)qVk1}v=R1LC~r(mL&=7!A4g}~ zpbC?zePa9H#NBZI@`r`{X8l|OX4SkY)}9bO2oLU_b^ZtI)bWbQ6z$v<-1qrqdAUatGk$*Ac#}%iVqS<_}{=D2^OA zfPx`PJ$!Gqk^OMk_TjMbLXi04Dijv_V(FiT!Rc^w@P6`175m<>?Y&{RAVc(o&;rak z@kX{|7{C3oogh9A!AmwZ#zmJ<-WTA0tfQ)CVs%`Ux4p|dQTuG&#+UVN$;!}6$5RB- zz=KajI2hth_=mluoYuFGzi{6RH^4pXOYw=zT)QR|-eqvRvtSn$7VC>mmaTRgrrG$^ zI3xS(Vf_Bb_8$>VA62#i!;%dT^srRH3UKHalUs$zQpBY145QzteU-eYO#J|#u zP-B5{<1^Bf=X_Pv5MW7d&xjHGG`neaGeY~GO|ketYBcM%>d@i73H$o!>;-TYiGCEn zQ9TzAEOFpt@r5YQDa~3tozb zVU5Z|w5j$p@Y!qrO|;NQ4!7!ED-3F4@*ug0H87(lPVxw@;S{E3_YnvNk zmuJTfo*3l&wZZ>~g^4@;{)G%UJax=tH+X3^xItA#tL(3bE#CKX+vI6fI zTfiZ@5$3nhY^5ExX`$}76E}qF9wyVa5~5fjxXh>>jXQ3HAqTkcdt2>1Ccf$+!4Nz! zgFt0#pOXxs<~On-P*stJ03#<{i=4OVX3J|OjV09K%k&z-qb2A%yg@ZjG6AYiY`-AF zXqS3}k^Owgc4kP}{x)&-irj%E6S%Dzrpq2tgZ(kvhtj(n1Vgr18M#{@AF>^XOkKp6 zp-iD}-92RM2KX`Jvk)A*YrC?yF7?xzZ-d~kb`04%fUcMLI7H{zKg7Wu!0o~zt8NpF zA3DTy{x94HS|yNLLD1L*ea&aMxL{`o6o$~Aww*(;p9~Jr)1mVgWgMW+VL5*ovi$+% zh(sVHhj@Mnj+nGJ8QGVIY&(X87ZLGR2$h;NH+43jWID`|XWr%P{=kf+Bsf;i!Mmhw z;t2cPknK6h5J$YGlx?}~--Zx_J!wHOf-!of_K1N)oQRGI$Ck2g@~(2tCs?n#AzK~r zN+q5h6@EwR?1Ci2VFrX-IRAme9Q-ClV8*LSY#*r5c69_^|FHcM^2{b459Pr_psHx) z5WSqEkgLrK)mA8}Lfwn6hEeB0jnF<7J{MA<*b8%@4X_?W>5%nc3*0*Zb8K>_TH{ms zTi9howq+pT3*sR~DLx^cKHtpVJ!HEZ5Z@9Hgb>*@T=y|Q7{wM3*@^-4B~c#2zz&JW z6zwuat^QPWFY`*oH7P_Jc*pQoyfpcK5Of?6y|jilqlr8!-WH9wEMUNbWwnrO^b~*| z_}*iOvF$}dsV^8vpsHk-`Y74f6@-yaO~XW?CJT%mM&}Eol+s){laTLXIg?R#lTP)F zTYI=qZCU5shiHMSg1M`Sw=`j|Eu}3Gtglw$G4Kvg$f1YE1cBhdJEy>SN!7sOSKeYo z@Xm2vQf<=bUXrkrsM=ren+89k5$`=bQc=8V-X>z|qD%@p0DR!LK1*OPyqr$vE6%Fi zudBcdAWOA{yH#+2!S7MQi82#V!Ih$w>|)z|$IK$=3hAX~hIE?Dfn$3*`!S*|DoD_{ zE!jU`fm6HpaFj|7%I6U6Iy{uaYBlN5Od^M%bV2qrxJ*-X7eTi=dhk!DGVBbox-n?i;a(dfQxGaY3Q`8?- zOyH*6B}^$v|z z)QAVd^6HJ3jVqVay3QYa4^3KI`B-%xb|LD$n;SRlH?EbpWYLxC$&Ou0e#`PE6Rj<& zUc9_>y64cOm6dDZ#6dm}%x^4xQOsAD=fUFb%A>}XT;pwdCCe9AcTV@VGHWZ-mqUv< zwknM5xp-e?{T8tfx5}H3ad^M?et1snBsAXz4b7j7XH+WKFdi_geL^7wx9u zpSHYNZ@%#%G39nu_28vGD^l+k56N`vDMfzqP-}ALGDiba6=f`to{P__?^1Ss4 z?XoD`kcBSFlA4f2)9IxFrn2pVSlp{m>@3Up&XEf>Y$^RRH$VOaoFDV(FWaI!1BVQO z&#XON?w$xg_UWxe7gGsq>EdSfx(nFhov@989}@k>&<>aI#`?TZf2{&q=XtU7g6tcA zyA6F~?dddVE{lW(F2w5isA=7E<6(n`NDe1ka*VUA7v@?dvl@T;Oy2-4v3`=MXLb2K zUL)KuiGtJo`0NCv=B-fBPs#S1QOk1Uz3yg>WnI7d7A+mUqilDcW%${(#`#3{-gMjf zi)#|r^`m$m#<8+(zA~%3%CI&eAC{DG>@y#MlVh>v6YKg3F<4@R!-^ZC7L_Et`V?e9 zE4TR`wnmq^R~XzcTK(`FJ-i!+T(}U!^KRkL%}>99qP)VqcpYp2!WBa|?*@*e*bn1_ z?ftmz=tNq#?JWMy6FkZ8xJ^&^AM)!a9*-(EsCPcqsEBQ zUu@{GMpp%@4DPFZ(y*Hjywo07QEqL3YP-TqNj2?*bsZD|e*O#n3}%I{8Z7)w$B%p{ z0R1SykO8)k+JlHnplB(C(s-N}C=HY;qBOOC7S~;IFR~WiZ796V7ZwIQZ7Hs{RCngE(Io@SH)pl%H16E7;}=JwHtQQ2vs$*mP&lxLSdB?T zQzE4XX|*t_n>t=Ue&Fn}{?oc`(oG>4rSNZ=(egd;>_(aw=Ejn9Cs416v;e!sp>Z&9 zQ93h|eJx@!<_zQLEg2+<>Klk+sWfCQg%Yjsh5_Ni=^Q!(d~0F_j*mJ_VC3K>WdwC@ z_yv_K0UhykjK*Di^!6FDM@!?YeAAp@gE{J%Ff_CX#@k?wO`C5VW{8~q z>D)~Xq)C$)=n<{3!o2K&>^FiWAm>MoQvmG|6Bf<~;*nSywoDm5F z#=s0fq~b^i(S8}~LKGhfJ1H<_!uB|N#v27k8dNOOHNib~?FB&IyuoX?Y# zm1_vSchxhKm~WKokfa91bVoKUQQ9b^b7ULo?YB(XTTdjZJ8ZWVF5&R-#K4Osp?MAG zf&2ILtB7pj5CvBUs|h%dxh$p|Ed;z2MN&=zWgB(utl1s-hryUjp+a=cH5Pp!^43d^ zBmIT0mJ(`jHDy0&h7wz+SKl_xYX4wwwPxL+pG@Q7R*@_YSv`Rnc2y?a_l{1*^79G} z{FU9a9B_DRZ@6umUxnagvNj&In-F+O8Xc-NqV@@>okDprs5Tn4>oG7-g~0aORrrNo zc!@@KCTI_Y0z4c%UU*#a*x@n3gB^}Wc$z`ztEN=b#8hrlsy!yv6`Sgrkm^;3x!~M5 z2jqlyvZY;iag$&d*BL#(d4A*kUO_Mm!bH51@|b}_vTx~Dg9@%&LzB>CQUm-96JxQZ zG1+!n#nF^+8ez@0&0x~Wj7b8D`Z#wU_mi&72ITR3?w?fjIKKR)BU~xI#qSWllJ~7Nm%JotAaIl5m_kh?q8V9J^BeWex2Y15 zzVXVk^efZ!G@Jvv1PxdjNourv)pT6VC1vR+(@sU2OClf>p~RJ>ppm+TTsCvFmgFXx zd2RlkF4Y9>llPbRCYG)2Elaq2jjP)KNZJ?kNtK?~`rL%O+_usDlSUGe0`lpJ#v|+D zCCexcBG?A)2Ec>gjY%dZ_~sFOzKzdqxGk?I*Epo+)q9YdB?ZE+f_IM{;FrKAL28OV zb-E@r8o}A7^E(mVv_Kve^5qeHw$0qEF2M?DKNq|tx$zmTM}Un6=&KO=?!l7oU4}2> zmEmZP#!ITMm7K+A?XhODb^$IpLdo%0A>2tzel|GgQFu0QN##F-5a}2cfPPmxx{ZI7 z%v3ccK_k@%V7FG|s9N@OGUEnt|FTKAW1wC3OT7z<09U6;55<*|#Q_8t*(t+_g)KcR zW|Q?z`AhFvPCc^>J1Z{DPpzxfNDEWm6WSHAq~?weBPr-YCD*xNZ2=>8I{VDB9B}b} zgi1dWEi8!6CyPG3EHC?D{TEvHk?lRAOS1s(AC)CUSAD88H(m8+Oe~&pwf&^H{ykBv zi)zf6NE{XGZ>arH1eG-(h$O9T?-iHB9f}>W>_PI}Q&nr?s?ZF|j$W?#_lMvwV9S zglHnM>=%{r+Zk2q3~bVt<(*sors#h{?bZGiyp@WIC$#tEv9H63bO&_X`s#dF331PI za>nO5rN0;btJNOOPoacv_Y>N(81`jM#?949!-(UwD5!P9q2RpqXilfe8xY~U{i?`C zBe+$>ky`L8p>0+#H1Hn3c;(=|MI)khZo`sXzZz*KqS{rcb_LShjWqG7_Fh!$L7F9~ zwg_qFBaH*qW+ROi)utiM&6v173$_0QX_8Pa{BkIV+UKEK3#!$j_FGZ=bfkfS=O-vH z3AIB;4&Rf@P^ImG*I#1#V)`;B!VRc<8u29p6&&n-!nO8Tr|e-^7J4OYbJboF_cn&` zL$HFl%C+{7;x%v==dWpR$Y|)u_STDB>!ndNbmXLk3R(k)c&%S?t>;J4uzQ#^nj3C_ z*;_y5T0a{_w|^qik1Dz0-j}_#pKBc$MQe_Wdz)p+c^?4sGS_-#6xrS-CaFjB9tCtC z*ZSQkT6089+ODA6+W>urYyDyrop(T_KU2_fE70D0for`uiiTT(isbga<0a?q7U@ye z8u*pb)+=1=)o|XOxXpi8OQ62X(Es!~c)f#HI_Dht^!hZ9UsvtE)tB9!8PoQxnB7GB zmzm#>$vk-uIsqMI62n&7k-mWHe1GY7CO1HvzR3C!*gzDT zF@1u^znm$_JWD9%lqp5rupaP)eqj;fVC}`Vah() z_8SpS6J02}^es{Qxwc&6cE=Z=8OAEZN&ivUo|%ZXexkA(!A5;F+~D8%vRUhiB{R(1 z{vc{+QGG4O-S?Ym23`pOu{p6O)me~OKP zOV#@5#``D1jhX8C(NO!VKe^~l^R4a7`zs)W#c#n+e`dc+Rg2p`xs*1GY<~~VQ0O@7 zw_;u=98#+tXW?}N=;tuJ&x4yWq%_`^G`c9=yPu~fxKbD0;c2^KF4offsuGq|w|!^U z=~CY;O1j~h1W(ehUrv~p^xVFL5|=4jFh#)+U(zOf9JM5O-js=$6P-|PiuRhKJk6_r zT~(Dz&=lUsp%ZqSqMJ=ojW3*id*{pPqfppY119$7ckhM#qtHfEbhRnUyWI_^Bx$2i zyD56NDXMwi(w!@(r!VsRFO}V>7TPAw zPfee)`kYvv0#)p}r@AqLs7^ra=i;+&zQ-9CMZ?)X_ zdpO4t#XmBQjC5=TPK|D*aV-Hq1yFRZ^c3~AdJF5^2xr5c)j2Vlu{Gd9O+YS|z?+MI z7QHMSqOVO|Z0>ex@{{MA&T;wi^98uf4>ByV@CId0EX2}dbwp+ixs-PHK?^&N1Unq? zdV4AUaVxz2BK?gL?2#DZ?RFd7IlwRLWvX;cPK=(Qz7{P6enAbJb=xDOw^CM-@Gi*$ zJo)Ge+bd!Tm#wlKUVIq-P6$mchU?)0a;`c@Lp=drk~C%DJ)R19M6pkF58-u6*M(Az zy;Rp*O3gQ(-YhO&eKuClp1t*i`rw}2LqyDX zFd)EcpnI|g-pJ+PZ-CrNGE5fYj8}=4cM&@REQsS0I_sy@b zhP}Q0(E{>!Clb38QFQLd@0^*crf>3PZeV&U`A;|0{}zsn*SCEo!s~vewdbJ1OK5m4 zpm3?^%dMg67N$G67xeK9~@ zUHfya<_=A2eoP~1I8(nHG<;`wNJG4kp(k}SY~rbIHw#`t%h!g~Zyu(}yklZZrlG8t z%)1%3DjVR%Yx6;!;P=lnt#vVz6BoJMli|!tr}>iz_XTJ;$NDGQ442?jH`TU@T@96~ zCtg~rnsEb}dd>s&a7HY8N)%EUI4Ol+W))U2)JoWn6PsB*R1>Lr3vP_T5PC{9_nvR~ zIc2Unzh*UUrpb!b4Yj+(Y901Q?~(v=nF(M-!S?0`L$svk20C${w%$ZU_vzM8z?nhIvnNS0 zU?1EQXdUb`ogr$Zj&+EgZ^P~4To85kf*|ibR3m_l=+^TS+dijAkhU1l^ftKafPt5m2_lB+38M=c#PdmgwXHrOe!+I z^@DyrSUK#QXv=VpHhlnl51yF9x-*G!VJiaSsR@Vqmk0<##Q4j3D;F7`qm`_lc?F&G>$3v0o-i?rRd~bkJ_~Xg zdj%)Hhi47_@v;rKE3>YE4fg0Pd( zE?xe$#NsJdS4i;O*z*&2@7=a(ZY*{St1EtZhsY{a%z9611dPj@E}Gc$@0A|=p4PIT zjVvVEhvQ7+4OASg-)7U=8%10;Y%RCNoxO?}xFHSc?GNg~UNFI^!gyqMCU11*Ibiwm z$2=FTJxb?PMJGMK(+_+p(ez^_xKVC+I~^O}wQpBoH}9dj8n3`^mqkI3h1o#YqXTX6 z6&u*C@%Zk>8P1alN8uWDy}C8g6UrU6>hKt-pL(&>ZH`ug>#I_$C4O|YVJ-;ZLZp#q)RhzL%`ngiMH*tPx)HT26vIut!Yif*7eF8pgN~iDOQ_SFW z84e}HLnwor8JMOVOo#_Td6kK#DF38!V)|i1>_&o0=EU?PyA$GWP@0&&X-Zc@+zCoE z^NSQEW`7z5+ZK-;q~p|TdMJzM*K5lX5t-gYCSgmQ*`JI;#BwQ7v5(%7Xxqs#sq?wU zZG6iGXz6Zm0R~<5u{PM20GgsP$Y&9#T%^E@@j;OnpFL7QS&J^THQ>j(SUb+DZfp_H|K_0I@_icocO?v+}`4PhvV)d zee*k121 z3Jj)eaq(K*_0t!a8L2MG+)D#YdmI8fJ=tUbvtyWdZdGy8lZ$oXb0rQpjTyBEvMmkv zs%Tz~1&Tm{Y<;V=((Osp4NIf$em4WQ)Vc8Rf>C?eO^)iB1F+6sAAha)`Gv*ex$74U zY8%^nkrTN1D5tQl_XF4=V=}kBNQU%RhA6Rr-HVj3|B1*yuhBA6#_Ipj0J9hfHbl$L z51ko|!iO+&>i2I3?t|vDCKu6EGd1VmEx#EsLF70v= zgu|BiL!rL#DjIIKy!$N;{{5EWwd#;2A?*KrjznbveeCbewPCsni~nUIWP1IrpZ~rg z8`jcGbVyXquFOXL9xGo$`Kh!Y8ko#vgAbaH2{uy(%}NlS{c;Y@itsSh;-6E-m*u!} zNP3R+sI%i>%M}BW>PEI?UX7)HCU+KO&fz24*C){s;Y1n3oo3jpfMV;jQ`mB@ii@k_ zt{3WRC0+zzdTY{n>F9vR6g`+465w$aHZr4UoxgLDz(?mrY5wbW|26v&9pShX!u|_>kUm@+jNxq+t%p?w?-73J|-p@gnoy15BiIT)k5=f=GJ1xw06qMayi!if%_Hku>|?SfgjKuJa6C# z2D-3(p`!aLDKi;Y8KDB1<&r~81BGn7_Tls_@@TH7Fqe>5D%|j!^WbU5Pou)}l`eV8 z`P2yH09yZ$W35l@x^RK5y4QeTt3xt zps0+E2&Cv$R%e2Zzj`puU>bB*XZ3ucKd*Y0*V_lJ*`w>Yx&~*vn7_NLHm{X=#M+wX zIHM1?_BB@%zcJHa%`gws(iEI377jV9#RD^#7gyJavXl$2bCP-IeFEePEl+A5q5s@6 zW>3<7tjypnA4%4r+R1xwKDoNa`3TXSS14f1=P0ImDZsYoE0Dsa&x5?f@#_M%b`F$z z<{5QCJkTi?ns%wsM)Z!ODo1P#8f0_ z(j3NtTNb%L*W;g{Ak!(BoW-6#M&M_I9$){1#oiuT;Mp|*H5*F#u5V?b`7NqfmI z;wAftm!^m1%E>8(8JeW^1JUE3>v1*O0X+%;t2veiO6hz8cxI(itI<+{gBd+5Bw+8$3HnFP6xUH3Ti zTRPrB_uFcBMbuf}R(q*l5f9ROF`p=ctriLcU`TXG7JZt9Us_C8mV%!|1p`z07d(5} zbT~V`p!Lz!RCq>ZA<6=Yu(u!)XA|h zf>SqMS5+4QD`_)ALSZDeSW^K!-S)ZQCM_~2PKhsS z(=8;iu)))wXlna7Xy(B=1Y>8=N!d9QO}>pE+neq6uD<$Z!~C}O_TB4^t+$OCclWjT zw0!Ipcv;YUOL)0N&r7%L?ptpENqgT(F5|Vp@ncLrwp5aLZ=3z-N$5bNNbbUu`vmSe z{y&`^^E1oy+oAE`71|JwX+~;~f>F5Q#G*^zgxmdCz+gPabhb0w1r%4`!UP3A$q1sp z^C%+-;Gh=pLbj_NGmz40Wx&UWKEi&;LZ#bhbBMo(=XY@F#^F!7Ih_H%0t%8TY*f3P z6ow`Lf}w66Au*RhaypaAQPH7t=Mst|UYlx{ZsA|sWo9b>te8DjWPAN7t@FO*lK|g% zIZk=~*n<=$fxT3}>}m?w(c8wdPr*#>G5rIwMI{>4GPB(-MDfqf?UHB5dJT&mn*81* zDRZx9FcCic9TmITY6QNVMS)xR8E{##T{vWJ0Ynv_Dsqn#Ih?sou~Lx|$df&?45T_7 zDo~enQ~ABX-xHZkrTu>;i3BY=@!kEX-X-uW*1JxJM^5w4=PvLz!+_d=wuF4i&3l9M zUse+aU4{;@3qlo!%&+pxU#7xm%1r%tb>z5Qi;!lysIfpk%bUD*(R<8n zcaKZb4Qa(Iv)$!z3@4G2p2ZFpuwZPsPAeXblDxfCgjLf^pB-9-Ov=CHfonlEKcbOF aPG|7uHoN^LO0e@U*_VpRD5Rvn$X@}(uIQ5h literal 0 HcmV?d00001 diff --git a/image.elf-0x40000.bin b/image.elf-0x40000.bin new file mode 100644 index 0000000000000000000000000000000000000000..3b8bcb3c50ca5d5b33220f61bde793b22986666a GIT binary patch literal 171368 zcmaI84O~;#`8a;g$qNBaAPE>>hS}{7fT#u z7Wf?l*AI!PubaA1GTi5Xg&f-wk>h2!=r53CnL5=`HkZxUr2|BDZ2sB9k@?+l{RggI zxK6;evX;#+PuDpD<8+SIJ;<>V>Z2lr{xAkP^2h2NweWuUX*T~Ycs>U8QCNZc;P*9U zsw2y!b36mT$Fh;5?i6yoHc98$HU&9aC+Hk)6LpTaM4h8P)Lo|!+umeS=ntmcaT4*) zZt~vI<(<{zojKs0fj?zoglw-dsqtP{s0e?;A3dQzH122P7FRajTi=|#jr1ha4ZEnAjr#rOl>S@BM` z{dcTTWyMs{)etz?P~Ol`(YHcB=a)qrLfc|aZD$8AguAy1r=Di(V)*ZGSjvplQW1H}J%sJIRw)FMxs5GE6sXraqFp2a{7b*>SfGEp1gz7fvf3@l1uFKVx=L(C|FC{4pHF-Tue2mcZ!7}fNR*e?@j5++gr!~B%)e7;a?9^H&r17E*-V};fv8o54 zh_}j6G)#Wc_b)x%W+iO=O!Tq3Kk5R16sndmVTP`5r!KHd1}mXzIkPQiP;FyE<~Ci! zgD>v-tn)rrzFHnCf%mJ;T&x@ER4YH*e$2`i^Y@;0ND5&L&0+eBnC)&xB`99X!8w|U zOL;lm<|l}YnC(s`_$b|D*muW9zb#X=*qcoX3pa8vgM(OeJ>*7Y@nDX@s2KWR*yJy zK;(p!O@6D__92^^85;YDSJC`c5)l=KMqL2$f6+p$;d5h)L^2fO^$1q;C#>Lqox>5^ zP^46up-5S5Rhho_tVEba;KgvI?Q+C zw)jB#dcmSDPut-=A8{=}_mxO)q_M&9027Su{WbzHc|ypOhn(L>ygd=IH)0Plc*MPY z81YoZqHygC<8SyA{ty3qqrL63i1=B=qu`^vGrj+c6n`3#2=b^!#i8LOG9N&JmBKD1X6|yHF7ey6K5o+uYM>6+??%Z0o zD>LxKfqFNxsDzI7zI%`-A6Y6F+Lg2`{e?_Qqyl8}%|0mF{u;5yxb{8&Ft8RG{`UcX z%NG1Lf7poC7^Tdx-;Jd9Zb4YT?CbOyVpe*G zM(f^V+k2}9D-4a9+CG@GSev9krf2L;zt7BEKYOOmqR5mo?XL$iB?0=ZNk(b)ZhPpl z*@nC{yT%^k<#~z7TN|M&KFix9-p3>2(>G@MX&K6`BeVP@f5P%#wF-9VQ!Ox0Qs^t& z6A@_v+B6_7z&B_5GBMry~#VR%WEy5ILP}bq*Ng_uEv|??tdLAq;_}; z@F%zd#`_q*g&OuIL24B6ulXbP^S`!b5wAB2Y=*-7K*afAL|kzL+!efrct1P}oGN}I zHS&c2{i=&f`egf zYQoF;Yts>`XeSme&X=Faaf+AlyMlXdg^>))A_H_Ad^O2R-Bn4@rbzBmVLH-I@LYax zrOl5enMek;YVjgokYO>cx6O|%GFYkVCEo5G*nrbv?wZ7DU7}Uqoe1TETrYsDEfqQV z8eFFTz87GpZS*3aZ35-meBncM(D;ZeTuAgYIx7GXMEG2(1U%-V5?I z0KWnFH8ge~)gKqn*seNbF&^YSow~sKFL2ha`91vUnHK2mXPYjxYK7FL2SM?36HU}!c1xXj)`i?nH$}r_n{Ef^l{w%GzIj{r95*ahQ06Gp}Cg?|le`E7EZFNSN zcaRkiv!x|Z&ML|cm(S2;r54i2MNdNqRC4#aID_F)zoHa z4x3c@rq?PPFS4e#VM5Ufo!HN8{V*N)WqC4mqyV{dO@xlwQ=g_AO-Y`tD4Mb4*t}_= zRn+KZ5-Q0gAd6KGn8Z^ox|-rw%pQuPN;(SflzU3D7mOivEd4_Y0{S8aV`JXZipLoK zg3lujK>=;B({av!vbY&{DrPtA&c`mt!|Kzl9B>H%4!!XEYq$W1GqzZKTs*38yvnGS z(Acg5jWM7(b(!h(LNMFZR>^#~ z`k&^1W2gdMq~wCUiI0L7>wji?S3#UQ9!c~UcDla#2h?(jsk8_vkmE%w-Gw->pSi1W z%MVQT1kO9pTm^9@eOGEg52vxGLhMADH32t7i4&mfwQ-7-r>gnqrZyMAr+URi4b69& zx~B>Fd0r82=stPE?vy8Nd`(|e;G*Eq#}g4`O;q4YA-DxOjGCVYZhbNO239{>=>FTS z!T_Lyz))aJ*c$_T9F>J1(m9gNI>!f~i_e{`b4Vd2h_EKFhInICU$Vy8%ukXi!IwEe zxSta@Sz8l4kp_e6Y=Y?s?u+7-mN_9!Na5NplqGO@b1-$8j$~kH-OKAxV(Ve5w}3m^ zx>@i|g1?vf+>g9p@?IO#x(@u2@2&=aSN&cDH`SJ!x^29qdTq_7kKosn)>Xckt2Q2g zm+Q~Gk=;@gRk-YHYg(&thYU(or~#fGoss#gX)34jSnU2A(vl2)nq8Tp_ZyVKzs73x zX_>??s5og{REifF{t<644lvsQr}wkN{OJdv+LiJmoZ-(mHgf1_WPb8TV4ny&hY9SL zd%@+3TooB9F|u7jqxaogn)+f48fqBnO&nwxN0+2#h;cfJ#j5KJ*qAGI{iZ%gp;A!pu-?Qq6>#NuWhxHhD*l8hVBuU)yoIh@2Ltoh~P*i>Bp)I0TlYzsW%)`lyQr?DVnQd#<^{NT>>| zEwUH4TmYM^_&D>Z9x+`m5_0$3V^$fIMd?m$A2o31=YTl~z!ioo1XmecAh%-0qqgJD zc5+hDe2y83YM4?2w7LcVEV{sm&N7z8`URp+MgB}uBO$+os6_<)0l&#fRvm- zJ4?h~hW{*=5hR{w_*I#tNvrLcQv6BECplWNo#8s(r|l=K zqwG@nd1p9qsrWh8vC&!SuI_SIRl5WA?#G(kkNVu3+|wU`4px zo8$qDN8UW8vxx8Ypaa{3AZ6*vg=I6R5yC zTMU!R){ksd*@Us-Thr9MAF1Albs3R)VmHH6c?Ve-n^@YU2GhlbNiTT+%>Wg!P(5Yq zi-hF#Z-D(|ik~wq$YU8;UOeI@pE7KcOSJOnhhcOWn&yJeQFD^<1y7b=tN81@t4ut~ zaH3p-Z#JMwu={HInRu9Cjr?vXasw6lo%$cJXI=(A5Az{;5%J>Ybx0sWhG=^U5Rn#K zNy}8mihi9k_|sU8C^JYBY*31Sir@>Jsf({hfOEM-g#U1jKfVN7^GX-kS|N=0@{*Cc zsO?HK#HUG`G?yy6P`0P#mAkAS29=t#9O6a6dBP206)kY%)Z66RNE!LR%bFjbbXz^; zbXmV$rUJ(MDVx3q*&qo)m_mM27PPAH6;9(dF#u?2N&R@MiW=dSO9)1dX;)bg zCY#) zFX)DSkqI5c+E7ojF^a^tVT3{Gk-0L)uyr7-ce*IwINO3WsWCH>ii)rzdS zG0(+rQ_dcf43K8c&3+?xZ^m|-y{k%U6v8p6l4^W3#zWOEsIFcRu+|Vlokv z42@+R@jzsL>7K~^a=@GaZUQ@}4(E55*$r&ye$D!Mm} zoiZ_BV8#8++0UY7bLFyrR{R4Oh0(Iva@l$2JjcAFt`~pLa@1vu!`Q})Z%{&%PJ=FX z8%-imv)~^BtzJCMeQS2<8BkT6*SOjuNzdx?9S%vCDOCY3BXmA_+->c}y}XemErj=h zzr9gYq~`0|5xaency#aaH`+<8t z4r4|I>`zc9K9?V==wWH^&jo8W{**sc#W81T#m1_9G3;|r$u0MXrM>F}OZ7vGTW$B{ zPDx#O5Yr^8GHt=#eE#Rmonmb*{`??LnQ_cZRdbI-Rdu--M~n4x@xOTODTQJen?t9h ze*P9tLH2Mz_#EC?c#zn`1Dfr!-@*1|L|OsTdNt_sU=#6G<#VyAMQ|kFxuDFab9kH% zA-QaEXq;54T8hDtk=30WbsP)oZm*=1>f~C6Xf4p5z$XmyKHC|qKPnyvf;*|CndyPd zsCFixi}6KM9YyXpsoUWai*iE_$Hj$ps*bXYs}9guypaFtD8IPy9guo8Ds;@5!|m^7 zLww>4&tt0jVpsJd)G8jcah?aFUS3B<^B9z7m}xX9Kn4&r?`!~+`p*g8HM~cX5NYe8 zh8(PV0lWq77CqiE3{2NSM*JTjU59}`kI(}+T@2HV2MLao`w_sk@F~Gthie<4AHPA> z+^WZ$p*`myHyD=OgZSvMM92s{s5ud(Np7R0#Dfulr=(5+{I~gILX+|O;o5pTQnW4b zkXbR`#Je1dn<}C&gnT~i^N}@n_evdJGfb0>UDv_dyCUlZz`WgY(60<%5AXLZ_`}>s z3H}Md2YvdJRH`p^_Zbam1R5W6Le`^U?t+&Y2wlJ31f)n%pd=HFq~P1c z34$XjUr7ZaH|$VszNLXfSO@r+47IUZdD-Q5o@Eq~+(4Sa2#f2tPj&Y*zkRmtG^2KD zK>$>2cOOeLs8oGWEa1UmA}EdJSQ1ch>!_QHEPlr@<3bw^bCKRL#Vn$IwBmQZym_Cd+0@wNlQBYM>V*&cdz_?Et_haEPeNKjAnrHDq% zA)rY66)zCibZ`T>GSxtb6l4LHz*lZtM+$CeC~LlSTbW$4X9o%WK-DQF54ixSqOyxf znF&V7svT!*z(f!IaNA_-wx&6fA*NIS*FPct^a%9XecX1ncu@uCBxjuMs&nLF3`#|S zLw=GNyOd@;SRqWS&hUa73~1&g;FtNtg3W)9GefgCC$W&???>*naPPUw-)rUa)4aUI zGYtGiHbOX4>2(ekk1!U5&>t87aWUurRmb<9dE@BzbEI8yQfYis1N%*bG?@zzkeZ2L zaZi=N;;s;cYWySKy$6GAwUrE0lBFn0-JCU}kV;OpTsvjjv5MdT1HYtkqX?C%dfDT8 z-QrNnhVoTA)`*K{*)iClx)~vRl3I0^nVMY~*>Z<XDMQ_ymzzY<(+xk@?N;LI3qY6-G1hccI7$6NmcC;JOxn7 zsL*8IH)Hkz;JtZTK+JSxs>IP!akR23=qOPb2B6Hu;ojkLqC01p?SS`(-)EQ29a+QQ-W8|KzbfC?i~2YAlO3JvJ_2@fJ|h+=hJ2T?X{acMAgp8 z^M?NfsA!m#!F<%UM&=&?UiLX$03JdRzrGX7`2;x^fp_Ih@lo4VZ#(I~$j&M3_dJ5n zbGc$cwwGWwR(7B4{NmH}Ospk2)S@Kojz}jJQd2T6;mE?2vk&|u zGb)I0;js$t4!w)N$sa@feXa+u zyJ%UQ)}Ne7S2neItG{AW{+S#qIRauQ!?U9!iH}{QE2S=#)HHUA72A0sn9(k9Pv)Mn zI|AG%(OX=K2fdv67Q@`h6IU!xT*0l03%}kh2V0Hqz4}@0s z4R;Rp4I~EAC(appf2Oe+e7I=#3rf(X3V>B8$%5wg+#>qVI1j6Mq8!ibudJINN}EPh9EUZ;+}(tUlCA z)x}AyGPF|I(0Sj62326Hf9r2`zg@gx)&Soj>h$M8O&D=*WrBp%Y>25%Y$*<9N}Wp7k(@yMcDwW_PkSRDav5a>C4vh%n;?xds*$RKrr zMgY;5AK&4-6K$C+8z0k4D;A2=S=>qcNtq?=yaLt5sX)zg z!A!h^7tk@4UoudW)%C}*Z{c667v(uqS#c_-YHraMZy-f#`U1Py_B4 z)ECv^AX-v66pLF6f`!buOpW`laq}Rof@ofvR0KMW9KeCi&56LdddQc`w@2Q)rMY(Z z`}w)zd0;H>Nn}-9L&cvWRp12`Q040D?shd^KBRFxe_+oV@xCD*TXb)VsnH+_JzH{c z2sBMClEO+{#%WCS41uOBHI2c`_@nLap{xb=Nz-;-&_l>G0@Ti%Qx#RaBV%sk<}j;V z;_0yq1_GC&lYuW^GSm*3aqU4dXUOc5$on$Ju;<6+JVdxn10(hdp28Q+7{GR6f)tuqdl>Ze(PIM=7R%7VfZ%yO_OE=L#jOSOmhE_E0K*giCcE z+<|he@L;76tAa0M?^)1S;ynH`*fWiWMh9mJsO&pVZwHQdo;h{3|7c?@cwe~ISThG@ z?*+ZF^O36~dgCK}zHGm`nx!}yHCrF%1)w)-ZUYSpKst$~rUO{y6P|-zc#;RF`tW4A_$|q+ zcW=i;)YE<0=W9N?Wz(IxO=jMZqu<_EHl`hNAz}UBhD!&$9MxgucvtrKoGHHQy)DMW zBDHO4hPc{F+q*+VF%MkZlt3Vt`i|>WI^b@$94f9GbcNR9Z!YoWdv(aHmlmvV!KnCK za9O+DTmg`Er$O(C4CPu~e;K=qt%-Oe-)O}rSx=kL)!b&RHWJI&fe=0r^KaH8b@EL217o?VxEt$r#NPpO7$tLiG`SPSz8@g0r&7W z0$Oj;SjN2sYNy7G`QWjI_hc{pOuTAC3H0q#3QJ(aAzf5LjxP2{}`K_k;3OC)2#Fv8dqpV`dd5- zCILBwRu=E)p-{5;(WseX+s7bS2_{#t+2pc}J(OzZNKWDapYAgGCyrJ9CSpy&MQg%7P!wi zaB-@XioD%SOIrTTtg4&@Apg;P0RZKa%3h!LxmCKvwqK?R*=d<~q&a5LMD+{Ir+wB# zy{n!TskGumC#?o4w_~SOnkKOFJa?PPtnA@?Tk)T*WIGSf8R!P zH|X&AZDpW?opD|*=Ke*HM_)ube>X_bwqwhmt0UBOon+&VvmyI5VUNO^2)s`=>h=ua z4)B<5OKF=bfc=wh`8Q|D|7Gw~!!bNCO*p3Q`nfA_kRi^0*%!N@&}_igC|@$TM}H2)V4n2S+@qt`FQMg0ncK zTqhrR?vm_Q0f0*91^Bf{#m@(6Y_(O`l~`?dJwCrO{XXx@k*hHS{&CP70NVh-zGQh_ zd~i^bmj1uE@=UO)WI1|Nf5vuP?7V;Q)HT)w+uyo$k3mC#!?o#p){euo_jJRXC*ur1%3Xr#D4~1PJ;#R64fvjuo1c_|x z3gPDt4u`cNyCCfOIu_T$Hh>1}_|9mn&cgOdf|Uj@Ys7mXvv6C=$*J~^j`g#x?KR)P zLOY+nN)WK(^a347N9UtxA@v+Ln4su zD_5Q*?$;u1g&JIo+U5es)S=xj}3Byk#j z@rPVQZ9yP~xN=$^2kBY%NzIa%r0*atlR&YLWMI&yY%g5N7a^ejV6$x0?&c8Lc3!!~ zQQ9K5jv>2(`*~EqiwXXVNFoUyRJlY_@gHzX`c^f1K9BfkDy=H_Ia1X;RINeJWB-_G zyYy*ei9cJzHR&BO?gd=a6bPgR1ph+pBK9Xyy!Q&Ih_#t!)ND)sUseX+x1d)Zf|O1I z0tKRn?!SN{J3{jC4$J}f(J|y!E)SX}$7XND8zrLtU;Bf{;%Rrf>=@G^JdO*0F>oc^ zRwuNDn%Xut`R>A_c_Gl)TQOXHy469XKe|8hrNJBm^9ez49cf8~q)Y6)$_=yX)ZHT~ zH!F8nhIqEHFLighoagOZx!V&`LWz{RyC|9lw{kaV!@D49X=H>3(1ACul;M}@bz21g zVhB>LYPRbqEJG@Qk0z6}xE~ z{CXzs9!c$nf?PiMq}>n?=QmJ5Y+tkBcGKP_;9K=~&I^9VL5Xe-<6WvW zR62gCuF^^>%ZRZDRRgfAH96Y53ZGma>`UV0a}!A1%wE>Mf}S70OUEMu2<^Fv_pNa+ zOJnx@xY-O2ve&w-T5xZMr{kwDz&htaLN}>VfX6$^V4vWH|J8qqB?2#h&beXZ$)@1)Ce6M`4l%A(UAF$%s?Bz~C47!(oF&&4X@bkxr^un54?qW?wi>v3 zZtT*4l|iA$pYa}z^EBWz`*=D$Kg6Hi%VSg}F)B678w>Xlh{uB@mdy>mF5qqr&lNgb zm@A})4zFM3Jv1psI=qi}@YC?{>M6Ri*9Q zbg70LvWE^U;ZBJzyR=RvRI}&aBjw%=GHTN|yjGOo$dkp1pwd)6`#@6go(SG}In9u9 z+9mFnCYX!V8c4ea-(W|f02sQHRZSwkxy-V}GdoI1WRnjPZvZw6@MA|=G99!1I-?8A z*XyK3k5(^wta{NS-5d0rJNWgLfBpovPN@R&^P(GeX*9kEe5?kvb8#LzBN5DMIsqO*lB1*akrT>`Ludr@)A zMF75fa&`GOU0F2a@WE;*aZTO=`L`npA`fn%3!schPh=v_fQavj8#wU(U%SzN8t?z* zOTo!#)0^VAykofu=P%=_-a`iywxk4xL zZ@6TIbZr>U{s#*V5Ab;~@Y@ghZSXCVXp2Zvv)iq5Cyjxm@Y$y3;uNrMu3l=s1~X>s z(#FbfR;{F#Wo*k9F=E<_Ux2ALWLqZlK_i z^qDFT*cG!hU{v_v|MEw{sKBrD)v`T39JMGw*s73;&_`*aY{qB@3LX`=3`20`>8nuB zM*}YU7!5*vWuV}(>MtRIJZ06}O1iMJAmG=G6935{AQR*@MfDIgs^+%?>zo7Y91U~} zIl&Ho$qxP_P>=ct?mBZQgA6$OiFMYYhm>?ePr;T}eXs4Z14G96{DF0|j){ZL5oQqG zTgGbjrvK2SH!3cQS<%%EJ5Y3W!?4B;Q>!wgX{yyGG z0@WAZ^ehy(q;T{AkITn9AX4}SfrV8+8h2wYP$EJo!hQn&M9veBi#&$K_3UhGd_?j~}9ZIB}gml$E0 zy%0|dLke)0H@3$cclC|fitI-8h9b7J@1UalO-09fML4X$<+7r8fn{|TCN57{r+tLt zwY=!6?Tqty@lkJ9CzbLW7wI!_w#XAKAuSlT0|@-=>p;YOqu)Cf&J%zP3BRnw9s4Ic zUW5Asu;2Rt4&iag-(d)?1U>OyC32Kb(K)&&BZm}<1@uA4fmGmuyv83OT_0T5a(WjG zL-9qfRZt1;2IM*5LJF_kRqk!+M}H(+;Y%FHg2X2ukiA#*?}=CV08={;P2B zvn*UC7gkyp#%#R|$x!(3yw&!ei$a%BeG=Dapz78@wO7@fZ5|7Qe8~sNDwdkA!8kBT zuNS|^8}Jx2l;A({2VlX4gQ{NU(xS9`M}xfm1Mrpr-p}9VBv`f0@30yy-?`sm9_^ri zlpwZTgv^y5Ng+uXhB+eRl90~_@`M7a1d!S|%nQLA@*)2f>zJ)If_u406n`TlX!(6{ zEz8X0K5LgH!u{9zPL40!7p=!%@|tk)rJh5P3%)O?)V5m)z*(sS2iK zEVu5oU9JrMqG|y@UQ)TbnHqfsjwWp>@We2ZGd)P~Q+1j}<)1soZ_(9j=lL_@pyO+} z1ta(edhihTn_|V^T{OGQ#9fi3`W2`X#2tu;_kf4C)(|F;%>7@EOo(X#edhz6-w78G zb#y=ToqbYqotzqEKRVpqrUQyx#nCuN3aMi{M9pw6NB6bA_QRnJI`GM$HSzEBz_7u! z9J7=Hhaw&V)$~OygeZoEz8X zqZ1pP2-1UT;yJwZ)S~_jr~g@w!@v3fZg6@65>8Aw5*F@=&F?QgH8&1=5a6(6s`Jh_ zQ`o_HbntGJa=xVJT4|>#$z0Lv?UTZ@e={ztvv$UQ1CEypNxZZ_IqoAc#R^bL8{|%G zEUta=qa1a-v`*#YMJHa`=FLHYfHE*=#|ymnSY8_ZKTi8mj_P0n zI>=wBhuAq@b;9i(YpQsfhLsLBwr-c*x9Ka?mN&CI^NxV+OSc7np(`)jv8J;o%*tUt z{}cmJjH}yYi_cUY^&YR_d2@4m3f1xF(?7(UB0eq3*t_R5%a1MZSpJ={cd2ucZMJdZ zNArjbvQLXsPL{Mp%zG*N=tI&n2LW>GIfx?p%{$M zTVm)I2NJ*+VVSk$xfqMO49YLDxtgs|X>1)5@&=e?VAa9#bDv!`YYa_XbIOdP0Js2mOGEl|hLn@0U}4-{e|+ghqF#dXZR%3A3E14~g~rP2(AurWwN#n; zT-f+{;x*L~7Y?JUGhJQfGX2bal&9#=(^O(Esc- zE|fwW(~Wnh(2wS+U=d)zc4RyxrGQ)|J_pJRGjcc56d$YTtr9HZ!R@VHIb2+*PB{s6 zYkJ@~G9U}6(-$E+S3sf57oa~8nMP4d+{pGiX7m8NRBxPjEn08DCT zB2$fAkfwxspe1cvXvUU1^PQm1n;u^X5g)jJ1>bq6>z{C#WB$5CE6quG1VQF|J9!zy zqW#00Bhximsj=~XY8IwJKN{yp^Wx&3s6Gbz)%HOIQh;fw8@XYg3%UzAYiM@-_g>+3gb+{yZ-1;jHORgLv*fQnyk*Zy@G3Q z!pL!r;#dlv>^t2iRTS^$4{yi!J{T%GX&T-b~S-5ige#0oL)aX-kVfj4>c{nO5Xkq=ib+8FnNhHq!6Qi_fB27WI@QLi<*~t5*{=)<28i3e z9S?6`Q?(Q_FBW-0-)+<_!poJ5^s(YP)O?MNWFjEsB4|0OUFlQdl*J{#WR(h3>4xLL z@Q^O+Snz%wy!WVaiofK4SiC>|4jM0U&YGl&_phqI$TJG8YI)4evh{X?YFDgEicOIq zsJJRg&No1NAcYfBvIWt3UoM=9D}f^mAoAqn7hESE$(tm>45Xnsv?zwnr-$Q$4{ND}vdu34e9BL#nzFCam;Ghq(BG$#&#dxWGx^G>a z^As!YhQy5rD+}*fx_r?(Uuc7`a5i`uihh7OsJ2*6tPU1kk3U%QB&1uNsXDX$%wE{Y z>y&B;p*ISyX~@%PUZij}rkW>YD6Lw}v{+T}m|+>gt2tDrPm5O%7Lts~^hL214$p8g zTG0y13~$?*>OI1s`5L^AHh*lWILsVwmBryt?=|R>`Ao5POqcShQn- z;K{ML2dACUH{tZ7;z4YF&(?r5UUAmrDZ7fR@zlq?QDsYfgW+HDJ~WN3omOpc|FKhY zT-4rZ_B5teHVUf~*2LsbSfnyf%TU1Kc>E&{vpr;jIBL}#4)++bfnhW6VjZpc?M=7= z=wC7eUWLX#j#7O;L#7|c}l4}&;m&}_gNyhrfG+iD?S1Jy3z=Hb-@ zGi`1)h|-{0!XmF=rnS|00>6XsHN8b?;8V{YX!DtKPe9C8+vhjLoA0fa%si0>E^Qyb zLuhR*LT|z4WsIvX-B+EQta*}PCmbnFAfe6eWS|QVe6ol3NDo4!K(x~*mKJ|BU(>ZL z_sSmPTb6rbl4Q!Yhc*Y}P-!tVfLO9r@WlNmUG&M6^KJjEq))-OIm$QM`Znk*3!bc= zEc~Nw>$JE3msTi%tqrC+Kj!3G@QAeFQ2;JFH!v%33hOenQi#QoWy#H%;Nopw2RRw8 z6Dsq)*~xyplAj=lNNc})raE{HY6c%xw(5feF*mJ9^d{h#d6}_oCy>L-^8&%O0;iof ztT4tH-062@(jQA*SEQz0c#!MZYBh>6OiRB*ce7Zwskpo8W`+c$hc1Yd@Zb0XUz0#P zqdj?p{OAK}llTl5UuR1UN^oQYpNZ;if6%}hou;2xMPOX*D#O(Dcg716*hV33-zvlS z^mh_X`_kV$3q?{_vedLq+b7?~N=>UEvFQ+2hxJ30XllC>5e^Wts%(VC!f_Es3UFB9H;% z&G!bWW!l2Y#h-dS=qlGP>F~7-VUT(Bsk|Y7! zc&T|go*u1tba~6iOni~yQVt2E70`s53nTmknCEhu03KAFDpyW`122W@vp{NyFEn3v zesQAK=kFlWgnXqqr+>prkZNZR3Cj2~fDewl2_Z?kKa{5@QW}UejUxlYNcoWTA3gON zwMHl?LcMZo58r>Dq_niN-&)_)&56t=HUT5W{>tJTVfQe##_x{+duPbZWl--ihL z&J$uw>Qta(z_8_IAz#}4YjE2g;Qdx2l9r|^vZ`UcLXrj26(W2i)(?!PhD4%T*#8~i zbIZTTSSsW=fNj&`HFSCPvHb(yq$aPfvGGcO%>W$r=~VRlb&Jd<_ znl8xu2_$^@b<X{~-Y3!MBcWh_;`R2Tc-5N6ekLj&=U-(hIxv{si%)Pntfp}uL`_A)c zPNkslz9yc#N#fF;kH`3RGaw05;YqwtO1vM9atLLRyHyrF$TfqX<-(;hdmdAtct4G) zt5eo(++2Cj{r69^!RHo|aqt{~LJ~-ujwC4JLk)Km$$D4tFHCdlpf%Ln8TS|IuF<^Y zeOCMqliVuXk{`kX$~RGx|BQW7AUikvXBm$+$El4jmD%K@8af&rZN36caK+e~c+>&0 zZvO3ojeL~q#Cd*mihIMDJsvoplH^XB0Dcg2t!rPeL#m!51qa78iMlRP-y<3Z#3W6> zY%_K4VbBSFgU^^Jah~0xNt^t#>b-G-UC5}-`<9_cL6cXP-?5|lUZZ(=4sQNj0pnzt z(%>Y>o8#P{57#$cFUdYltV&}DH3;#CrYI>=Kg+1DWd|Dry2`z<-r@9E8=i z8{JoM?0}tWAZP$b$VvucJZPT107h52-xw#Y)rh}@&Cj6{f58AM8O?bdP4BgSpL(7@ zt-N{l7-NGPvizxGqlPA>Ysflw=IajrDabK+1x(pjRh|*fC(V&w;ZP*|$zr~uNYpVy|X5m29WTS#6 zO!=FWqb^fdG#Gsg!LN2G5eg-8-p?~4K-SMa58Q@WoaXu%dxCv$7LvlaicbwhqBdQ~ z%*>o4rASbYH}OJeA4`8LOZ@E*_E5+psc5cm@`N?G>A?wRPw*6hq!Dq)4>T1Xx{0{` zhkOsDg{+(ad6gd3ztmvf!lxp-`L|0{jf$JunkX4~#J1l+uIW0R`^3=wpPK1 zqxKHIp#h}=W*Y(egDmE~FNqi1+jVxY_El>}v8qvBC0;pu(A=4$0W0WrzHRmpb7z(a z0pY{b@vFR`q<%;oeZ~EuI-{|z2V{Ciqw_!KI75HN<#U!cdVV&cZT{+0X`czV+P%Qr z)%KV>ZLwFN-(7My(q&($Bj4t_`{neXle|f&^pyW!Bu_*{iz~ILI#e6jpsNmT+qyFW z)g{*-yG)Rb^~b% zvxDBmdauqE2S>*rTk?!CWs2>0jLyUl%UE^Qi@JCFVXSS9fye7+m&MnQxu(oF7xRsMb)!!`#&29w ziL})SQWy1*H{#e3E?M%g?;RdPynWgPX`0nODcjRLVD>e~Y1H>H57PRe>S8?vs24sv z9^M-(u_UQo;RMj`eA6aCU3*%#N~3960C2iLV6?hs0oN@z-oyD^H|YE}Lguy;zc5CU z^uQT6b4~?cR9mZWE#@8>(6X~dzp+0h&mKCU+DFXdt5SyEU8l})wpE+;%>lSEO4qaW zW+#xuB2E%;r;tsRu={@$W~Y4Hmx1x~T;{<6fm1BsiSLwaV*5)SpkIx`3!@F!UfT4Z z3gh<{O_r0;xvM9U@b!RS0G(&eBuAbOqy&8N;SO-BZ_bwTKIeEOYaHn8q}Pa@U@-mm zCncL!Px%ET`(|dYoNbPuU7|^mjVJha3fH2cLD2wy_ewkKUCKRfUjbEl3Xdm+hBH=Mhrp0~xBB{xQLpgbq(cIMiAKzzD ze7o=d(_iwlb_FVU3CUZlKS(PfEh6d&giSlQrjwRZ)K?ycbbm<0@FikZW70Li4%In zi31`SoOMJrO@YrG`a%a*O}p>g{iG!gxxC{f@7RsIAXjnTTO}D!wa5YD3r7m%tM;sgcf~k8TW*AEUGf{uR zzHK0RUoybspd}sP=-3smKid1!E2QO5>|p3*r8uU#UFvB!q23+c8(^JC&7JU7G^(X=2mwHb-t31b z+r36#0-n16rI(00#NZ@=Q5Waek($ZK^3R2E_DDh=Z}Qxj4La{!^HyESJMc;XP2^DC zWP5^2N>J1%kbtBYb_r5~GB5)pNe`btfk&~JrSHO22d#}d6$}@0td~TiYe6c6&wlzH zEEa(15tCu?Jc@d}qu`@9@IEKOBUg2~Q?c*AYJnT`dIHei$plV&34qM;YtqmGX zucBRHm~OR)85jSPz-lGLd`N2UW_#|D60zw&om`PU501YPpDc6b_ zo)xq9)NkCgEoS?U{y^Eiff^@dDTcP`f!(c%X~76~FjX{9QmCe?YJFC%d&?Nm$@c!} z9MvALJve1;eVcGJzW$-L+|_o&ED41{oaU1}o_ys1yUQ~G`8RN_1szKb=gdOj>zNDB zb>B{QY)bXWbD(~_MWH6t|%q$$*#lKjVqJl7Z{V1 z?4jpmTkPLwfC}slOXjvpe|p6WUy1sLRX&FrUO)0uy2ew7mcR)fIH$9dsHdX(KfSym zgS42@hJ7S29aWr=-MI^6@vnF|qWVuSXW$BO^?c5JJJH;utDx!}WUm8f{6qUb8^ZR( zbI}ZaW~R+vgtqSEr?rX@|8S6+>&KwmxQc+xk*yVuAgb*OcT~+cf!%2z*Z9&9g^~TRpK(p55Fs719!>QQg*yqnv9lWZQg74NWgAQW{lp<-Zgv zb2V0^o&?7eN8BCRp9d0)h3_UU0(kF`mbJe}A@aS6ygVsNo9?Cm!pMZrP>Z z^*){{%WA!9SoyN;>=(8#nYfZ?VJ(*D@}3Jc<)CA-6gJ~M^4u@KIoWsf3gQ!In=_cR z3qA~aP5#iT9e^~zH`eTHr-8=dlr;{1)Fy->g--3T5J{^Qy~a9w==1NNWgr{O~IZKe1_5_m82{sP_$5E1ZQao9KD^o?*UAHI}u#tEk( z?mv4}Y%MxR_zc&SfO$HNPTV@6v?k{L7?mWbZEG%PV>p0$#`~N?c{1^gH+(KcrU}M6 z0#Xgs-P{34$_FNtAU}t}Y}3Nsz}g9Lo}!tk!D3PbAbWlRWX~_OHuZ?=fe;29m&4gX z)vqHp6ycLRKreQDhpV|}EdJv=K#fQ*Lj0NE_+E4`8Eur1>b}t;h))9ws>j%VcTJS8 z4J<%7i!Vt3l(R6sw!BM_;!jnoC*ji>!RuqC>C36MwgD^nw!%Ld=qej8O@9Ci7;ode zf1qpS1bJ0pU-ETvSB`>{jQ@wRcY%wl%>T#Fb7sz5m@_!TWkeb5%mKM1qs*WQyV}ki z8N?M5rbH}lnbAfpZDF#nx~l~kh{f(A)~ZD{Qkj{%A+@GuyCVi_i-vSjOAIL$Z-rE* zDV+cN8PvAE`}+OAzFs5e`kd$fe4fwe{ds>Na#VGUIQ~z|SA#^L4=(z_1Aq#KOd`e( zt#!BWxhh5`2l^`+O|n7VJF>w~^?FlFl~C#dM}0rX&(HsO-DG|1*yyrXWH0Rur9Oif zo#E&1BMkkgQSwIcsrwQfsS6Su7~=kb+4H09?o>#f9NpFu-p(7m4^)7(!`-^u{R!)z zndF_BXrGzPW`(m^!@!HwYEj{#Nar_lYxGY*bO-tnodjVZ(exZ-7ZkItES6>Gk8;KZ z@i-A%I$FG=Sm`mbB|nz&IWD2YYDngV{l<{XBEz`f_*YI3CMS0>Rb}*qL`_+~LMPUO zK^c2>HlDFZviabv&k|e}gG2Cm@C5ZpAchWf&3c-jp6(BnV`wh#sU}RWro38M)6=X_ zG%DEgca7kYY;+gbE0pz83r*asP`VWgzk>Bkd$GMOE{^g_t#0XkNui>ocv4#^2P($- z12+W*<=P_9EW6ZOc9czk}a>Cw;R~jrGxFAN5XZM#`E^nan6h1 zfWnJS9arm3GAQ-f5sXU)wC1QKwc70J_8UqI*Y(=mx%+Na0i%JA7p(oV{0%H60>j9U z4`LKS=itEczv#N!J;bd`Sxvi?2U#$=XfB-Wmql~2{RC@|EaCT0)Fj(LRTb7-?l-Ki zWUFnAci;80^Vr}ErHrBMoSeoOFe?{xtF#v`wSMC~Bimm47GOo~g;`#`JARL6!i85K zRTeXX_QC>hm_L3`U%Bx1QnKbky`E_dbiKNfOz#4GK;L>^Zq_T3quY~P>BCX72c0Aa(QIdKOwVP9CdJc+JsLOL13MW^ zOkbdT?kA_u1hE^xOU3h`$p*G|>N+z0>(0RAkVjULujpj)$3?+KZx+w9Joqp?aT(T8 zT)bWF8^;dYf5b5Mbh~m{*}f!;uRW}$VC{p0mC3iQ*F>gj_r0dv;@Nk*_Q$4JL7cI_ zW%_~G_9G2jZ(_=au$D0Iqird2^QtqmfR?C>WfhDV=t`Fg6v$9}DT;q71880j31UZo|}n+!(Hiwd@r^D@Ki!8-4Zoh%ccS-{TN)&F4z zZ`f=~=XqKye>@&M{$|HVmd{R*2AUze9&><$H!zM(bUn&ryu-?4+dC4CNvR)Z6u9Ft zBi^?9QR4!CxHnwMKo5VH5?An$@F-^*9=0PfEft94pQT$((~WJvnR2TCR@zAoAK}d% zcUV(b{NkPEKYwSE`#;|ihgD3PvqKvDi+4iwnz^M z$Vxb%)wUpFxHRrIK3&IlGe!V&moCwUfGyeRR0j4go+9aPbYO}!AOVyH9x zix!t%kR>tIE{>~xZM*qQW9ni$vn^W8-+o+~U&2+MU@K!5z(phga8x|_AwWo9ZrG{7 zY18)TCe14I-dDfZIFDmE8B~NxRhKft+mFr~UVINGObk9^ULw>*b#FHAB+YqD+E&A_ zt-K<|7T72>?Q}Nhk@`HAnCU>V@)*v2~m^y|m))ZGJ6g+xm zO~tIpc*rVWo^E{+7?|T;e9`FKJ!p>~SM`GId>z*u4g<(Nk}V|_sKB=UbfQlE7)1z3 z62nU1J>q`y7|wm){YD+HGM}k@qYKZ0bVOqO67fo`TT)C)1OF2%aSw^y>7V;?UM?n9rnu3E9FrheZaHJ4l9j1!*~rnij3&s`x>GnZ;a& z6?XBJM@n6MxtI$V%5ll;a6eq#1<-Zo61#OApOv9-ID|A?bZw-ROCCzKcM-R~)t$@Q z_wGMxX~j~}ZlZi>p;ecMo=SC5PV(>J?I5S7>-QvDf5kWJuj=xx+PGPNum0EibKI=I zpK%ZcL;by5>x5YXhEY?U%C1zhN(DH9X>}Jc7&{p~yzuYsX)2*qRdo3&G^T|y#%|wx*7u?HR(XzQUgW>pG=2F0qa@|#<3d` zFdxxIp|oJy_>0^zWTR(2iXM-u#iKk{0Qe$zQE7^x_S#H~sV>?|%&YjPT?3q+HHlF* zWSPaE!xWL&2NseZ7s()E91}Zb8WE@9I5r;W)lnvQ9C1$}QXDBF04{@;GZ{TDg*3;p z%@WfX$L=J7EzZX2WV^lB99Bd8af&e;HWGgh>y1+^BgG|7?^MG7PX;ls9)kpr-|vo7 zx~H&Gobu=C^#q9XL)o7nRxfA|KXukEkc_;d=w;e`cd)el9(QYR>Hj$0`MN-ouw_I5 zijNw>vDNU}h@!eR+MMVW>e7(2%CzWUJd{1_dg~xkuJ}x-Q#Ow&&j?@f6>Nu@;Wc%; z?pmhl+h`0dHPkH?mTSDJqu^|0(&yAQm}-<-(=O(Pku*?Lzhnd=~-S(zc;d*&E ztcPMi0TT?w>8jTaZVRk;CDD0oiD_X(3O8E<1bp39q;tb0Uj8jMj}yV?8%h(Ty||>uQ>1X@9SS43AMRO%{XeD zo4VzCv#vS#%l&af=M1#vGOiZqrZiCA4W11DPN+ehC z^B)pP2R+SJF*okE)6>^&H*OxFXI5UbcK@c(6q;Ot7m>!^pFQ<2dIl>ye1l+>{6} zD#R8SQ1MFgCVgVeonm{o6i%dE7ip#!w*86aT|IgdHg8vbbGGrri^k!JrZie6ap=`*4W+xXbx$k?W-YTu~B2>BZc^NH)MI}h*|uU zOkHj|e&Zoq^mvs095qT~0$1p-_BEMrwH zBy$vGBi%_QzaYgK+y`T3uaM?&$)Y{ZXrH6#JeW}R?!d8JF_*Ikx>5_55$LYiTxI3H z<7?(DO=R1iWGcRpC*7X<`P%1tk*ugEEA?ZfsheTKpa|Vm?sOa5_7Jn+75%H5+3dN@ zg4>P9c8hBAht4rwc>Z)^yXp_j#LaB=-OPgcv%G4DG}^6KNO~5Qf=)e$4&qiT%ex3O z)7UW+wpXFxS3k~rn((Gt-}2)~2a_sZ@+Q00oTOHCs#)|ZN0%VsrI`fO<#+?9tDjf9 z)hb^!-n(%6|Mq;RTE$0qJg}FLU++x-rdJwtrD% z_EFiJaezJmfAWBzdLj6LfiAQyY16L*BPC+ z@bc+SJqs5Xp-bqTqs(3}Z_Z9V4dcKw@@Ajm^#8Ec>+ssu!Y95uRtt6pciXkXPrfX< z>)4{=#X)Z_pZ$~!Fxcrt<*{wmHTdp8;lN2&&7zyMJs}s~aq?J0TP2LqDv>GKwrn>f zrXCg90dP9zAGYC+hT)Q$03gfD;6b1Ih7#21qQI{%X=voRT3@}2q-xghWJ^UsJyMyf zYZ3)bEw8FA?qta2$z)+In`$s`X>jx~RO zByDRjuwm8uw{auHb@v|(kG!brk}-~`&4mMJu%A_US>k4yav!xbDEsIAB zQzL9;8?RPa8k+lwU2=$O|IEmPUYi18<7>QD*&N z+Zg-^ek^_p{FL}{Pyqb@<$GdTzg*Fee8;bj7`OJ4yy+71efz5sW48CnZ{Ny&Cvto< z_xDIY&ppipN?`lq|B(M9a@mppl7AlRk8Z8U?PN5a4f$H9ol%Qe4WKy{1=tV-!Il_d zuyT-@|JtXAOF86QSoS89rvxuU`0)jaXJ;2ku5xeNj|+_)MkZI{)EJi)u)!b2sZaP- zdasIFBxzF>f494Mwhc}x#{BZ{7VI38;Y=GHI4=SfaZ=V4l$5bdWs$Ac08Sd%iKkQo zT<&t@FM%N#u|zf-=JDJzCmS3r^##N>gI_hws|vrBu*q8Y@+lloi>M5ujzdh%dxWNXuW+hRKUnfp!O) zD!MBKKIf|EfK75T`+KyO2B4#e$SXEKgP;!~OA8|~43tW?L_@4q*+v)`pgwgwXP4P$lussACxGEQS|-kTLgF=U#AZ2o<@NTVJ_p9-X~tw@H}hRmlh8nw z4W#OGx%1$4c39=~c3LqAS5+Bej>H1EIc^3Y-g$5k;5U&cW7?_hhvw)zuvt$2p~Tpo z|J{NK)Q|=R;0e@(8XloDDuBboc^B$I$rn}5sF>c4^g~Iu!Gns0VT7xN6@&oU}#_0sDwaO5gYA8Y z=qoih?B}I5ONI>T6{qCV((lTb221Z)m1*SE1f0Vyi$_sU64zs3Iz&hpr8T^@J#sR; zt%rAQF!JRMyOQg7vQ62}rlxzaFa@v%zANlv8?CABBUuT4g%U#H3;tt zfKyU~25Z)@&}^{n8oq2}!vtTnlAnoQFSQO()^f+)tLP^E0V#w*IvqfhIaB#W+DO$JU^9>t|1Iik0-&SK&jREMbHCn$}Z%Wc{ux;45L0h+8 zS-21w(Iu^MF+A63QFt0;X{63RGE96>?MN@zjC7i5+<~1;@w*0;9*4V_wNJ|x!|By! z%+US?hszmHB)ycwAWjA{g~Mq~TH0HVbfYtTC4?3W1ZJJZ~wzKSX#yb1_TnI7@>T3kF7PF0%166CutT<8wcQXC-QD;y1${vSih#eEEx%LcD+oY7$=aP&)D9n7) zO+TDLLOJT9$H>tT{|`NZeV(DRa<{giggxN#i=z%I+9!f&CygoC&{Gj5H%ICkBf~aC zzOxlIouMsHH{_?&2G7)P*UJ@advI8!ZJKE)pGmLI*4q9=FYVN#m*ej)Z6NTLW2b4n?Jq4S@OtH3U&+u^7Cd*wK3qR*73*(FTNt|D+9Q`V z)Hq92x!MIjjbB5s?epglR}t+o=tWiAO>LB$WBWI!;5bpsj#<`IO-y+3D*|nSj~-4?l|o0GCd-8GRkSrRuvaw z%ZsS~HFHI(u`ctJ6!_g?{zGXf!SE9CSO`rdf%}wm9i^P+Gh!o;`%jr zA}Q;X%V$zGxZ6kARBf+eh0^i0;jCf%)UP%)penIBUU5z+rQ@Dz8w_i-B@Mf#6{%Jn z9eQoHN{Z84hnc=oY-re^U3zw6ny{p*Yq+>b?aES^{8(G6o;ShVj0$}5)YaO~q3YAq z>iZ9<9J&@*Tu_hnzh3-g#OdX~f;W=3fO20`@6`bo71_f!!H&*qof(nkUz3c;?p_=A zw|9oRf3gQLqs)?7R}}eR*7=#UBc(YyUg;Y(vYs&Ng;6^jG&&}552JFHGeQ9C^i~Y} zX%&EKY&Ea(n{~<7rMXo5iwO3N}m0W^o&=jYd`DbY@7~pB>jdOGxbN$m8b~f4l zu*mG|E1i9L0+Ecm5S?ud@=`DpUiVb>E_ev>zIGqY9enDIY#mCA1Q@(F<+w$#XTTURl$SoQ7l} zkJ#hxik%|Oy$k*puwX8rWF~y(vdDTTTkllx@pdQis`Zs>7l`r)>Tqox_k_0t!*EE-^5R@PATUNf*>UP8ux*uS_HG(!_E^9;UCAkTJdo+o5m4?(3%d0`E!^ z844Z<-X_?z@!{I}V?^TlFJD4kMyI|kNeF5{iXP$Pr#>2@hf~aYlrG+C9fk9)9Yp%8_YN5cV#{dPx|peAwvVaABCUtGl3xQfg&{fj|o;4IE~M zS&nw&uO>_gk)&wDnws7spL9FkHR9@qFlM8nX(N3w*;BH8*`~>SuF}kH469kYhPal> z{2$HgoQ8@ge=Jg#MCGU5gO6d9ER5RHaATyT3z^CdBUi9OD4C5THJ2(ndqUGXVv26j zt~lQW$qp0x8akmLft7u>D!Cztg(4r6XY3+%BXKnAS2jx;W~77JxT#(WrwgLP1a;Wq zqbF}Lz={E;>apPhD~PFD?91SgsZM4K5k4@_|?A|_8Q0LprX5Yrx_jZ^RI(HzDKPPB-yjm*s`pZGQs8;#e5)|$aEovw9hZvH*8#ee*89L5hz-r}2XSK)9uQE==%n~RsSXg5 z$>CK)PjK{!6oS0gJ^~PY3mnT+NXc4_$!iMp3)n_!OaG_5bVbl#q-(K6*z7%g)RyPB zcU|2uGI>VRM#ILDCC~Fqi?9Q`Ay1g7oRY9E=XrdsX48rep-G8NNRe{I>0vFJXMdU6V?dI=iFE^N z5pH*r>8Led`>>2nSVZExF=r%`hj2-<7;JBykrGUWD!FsArF)rEcum48z$5utuM1rCWw{fBXU&)IfbgJ_wF!KR`rq zA&cGn{1G`{qlu>~!b0u$n;Q*x7j3xK&7p>~kGs1;;kFpuqx*c(2j z*Nfsb&u2tC7Z2F?_GU(B=9%0&e*{zXyBcibeu2m2r0cD}X@wb>ROwIqK_eL)xB}1j~&O+{WZO)&b z<8tV8_TBWkF^EuV+_I^DtPmQ%fsH}*wdT1x)AED3opU?-JJ3J3tL$=e8uF3-g}hi@ zeU!=pA{$d{M?9$7c&crr+7E^)hkqmXS!X?0%Z>quf51uh0(x}#=mo1H#X_x9!d;kz ziKI?3|5JEsKheKv9Ht#L8pR*U3{5Rk7j^$aiT;o!eGInky4Fcb0MzX8Dg}@- z0CZ7|6wtWcVc@8lORK&FT&eS|!~BKH*L@3#DDt0$x`=Xb2>Z(7=hlkPW zMBn;|Tx9fo0t2Tdg)DH5=6}^FF*SrA9viX;k`hoxgUW`u#$LyEcnSttEZzrJyXp}- zyx@S=&|300H=>R^~F$DTAx><~cVc2Jco@%+K=tb+W91bE=7Ue&j z#$`Uvy%GHjFaxN_X&kHdCy;#&3FEb7=WXUy$+7m|LD&nn1bbV4r&~Mg#HP+4_Z&Tm z&)4YC3Pbd=Yjg$f6P|+<3Y??+Neia4<;!xvx zP1jzm0fWwM&=2?wYRw#05HJ_>jH&6IWVp-noE&?PJO8dAc1vmBNpp3iwHvu?dj+_W zj;mz{Kv0)rJnPZgE^=i1Nvje7JH@5<&tI+J75&4WnWWUoeSJ&%P&IOZ{erN;C$wwX z2gZ@vw5ZslDiAEVkRB6HZ}M8!@EAKsS#`fmUOdTjujfvSbLa9IrQ#g!?Qi39D$b{t zWO;M-Ugi3nES;}#Gd!e8Hhme6DDGT+OFNZ0ZSr-yhix5)@mA3~=pTpKWA)T%K9=h3 znP!P3ujS5P%OJnrD^6h#OqRx`VoCQ!2|$->`DA`7U+B$c{JEquS5cqK*5@kgb2;~1 z{-JhuoaMmWR~@0XKd7_v2Ugl1Jz15G&xG`ctSeRSf1^ui5&9*J@K)cC1MDQ1`3aU) z+5>~z>fE_t>;d7FVmc$f(FGeUpeGNdW(<>tDai<026mY8gWyB$ZP+V^p2G`RTXM;L z?JWGOf`k=Rb|I=E9gDXRP4x5?`&etnJbQff2YaQl<8qW&${dc-wO@r6?3RJa;VFM| zeL4V<%+~8EG1km=o5GfvAk0?I)h`=q0Ub^D#6S;zMH8S+CH60NDI$vI#1 zWPA2Dx_~g{SSvapr~ofOqh;>NIN8Ovqy~7l82rKN9GJk#Y^O8aw>YDgf&Ay_>3#6Zzx z6{N+ZTA%!p&UO$3%v{-rz1}@B3rW@HLH-ZU53pe`nEFF9lVK1#?!g`l^FyX#Ljx27 zbdKl}jxm_)bT^dOBg+2rg_#Y_bkOtvuepv-3=Qm~4Vf!6dND7YX`-4V*i)$H2-Bus zv)0NV-F^d^fcgyKeSt&8Qm~g#<6V|7yLGv|YQNDdt@KKdxuwS)igm2C;ueH}v4o!m z9Vs8F6QP&GB0dT8q@i}m)`AYMH6j8Ad4@KhhQO=N5N`WHmT+kmz0}4sw(moykJD4j z{%%BQvP-EAt9a&iM=;I!t4W9|su*R@vPx_!!z`JacE-x@w~*)QNWXy~!Hl5bvu@>` zl+dL3fYyA=phIN~9{VqTq&GreF(4P0CKS(m`AMH!RnDj#`Ht-lhtd%r6mDPF;9hZ! z8SY@7-ITvZXxf-o_lzNJ&8o`ma+(Aqcm;f>h5EsWqK!>VJE%w!V(f|bWIi_C?z2}l zgj3~~>+T5b#dS=qgIBu~Cy0sTV`sKYc3(OsuguEjwJpB!k}u8eo8XI5Syg-_P+1h3 z@l%~*ouSO1H&7so7BL;-i#c0h`cpN9aV&R@zypSN-4mT1!4&+ln^!Nd^^RU7VZl~O z4=hsY8DE@1Ol4Zv(OC!i`E3Nqfa}5Ll|s3_E7&h8lgsOBf=4nYB=fGdp*(Xr5&aLR z9~{;=c0%*mG~HI+yUh=$H9kC{)(q!0Uf2Ba_~wVxn;)LQ^DPgjQN>2D?G~*l?KfH@ zc2{EUDzhRjO@J?=3XQVpI{^BoiO@c1h+9ajoyjY#42J-AH!AN%ilT4U3U=5lYn%YZ5QyJI{*Vhh=6+;zQik)b}M6OwBd}z*Do?IwRbqxX$%Qg$&eXo zRg&L^n*L@}hn^>{JDFOkl`z$mb%R%MBYtY@^11}aZu~+Wg5>uvh8IJ@(l5^R7>Zmu z9Yz1h2)bLZ0k4mFC!@PKQE+}IBaDKdaa9I16k+LbwLVAKP+Wr0Sfcz5KX~pO>R@)2 zOQRan@!7LoXS(&v*!5>L%%7B%+4ZesZe?N)`uYmYt+b0<_KfQXgAVUHW@F-&rbeu; zKS0~U=DL!KwKVfCJ(E3>K|HL6mxkmlDR2$wG@b=)kpk2rT{=b;0RjeQkL!!o#f4-B zt;4F92m;U91EWH9L}(rH`hqWI1dC36sG+{35<{f@j%5VHr} zU{)wi%YG0818twl>$^FUNmJgyZ_uh29(FxXs%d;Ll!1k_*Wjg41C7L1(e7}$HV_Lh z6Dxh*^1e*Q(1V{UEg$0w9N!pPKtXDaphX6{`0&L`d!oPS9YA1qfj++ITg$U&(A`+^ zIjE-Sf%iG?ucWGySej&S;77OK1v{D^x*>O-i$u^@c+HxDWxIW|j866oa4b^>u!PRm zCrwx~p{qpJXs2CcGTp_ARzn5}hBK~w&>?Iq_~AsNL0#l>b31(#GD^txJE)-p5rei( zKMp#AYjkm}$!*^W?u{Q1Nrr6u92Y=&1A=a(=nfFFgW9PNCai{9F zRqG>YpMUKt_kQHiHla3xI}k~ybM$)#PT1%R{QSwFR_n72fU=o9Nt3c{y+k$r&)%A% z7~AGcaHya1EPcuL(j{@qb0L2Ty5k>$@?N%2%(P51l7>sZ=;XQ~`V!S;A>xBlzq+n)wJH`x0sOGuCtTnarf-$Ov~s(muHb>w zzIaoGriGZKt11V9ctyxcrnD)zG{7cBnDWU_PsMom<*p%2=@PDdu%54v z-B_Pnf6J?nkA$Z-KE^=rQ5krG|F~@R3D55?q5A0358o}ls9MJcWC8AwY_JrOdOw2u z=M&6F>sVFR0i$|+W^>YX{;XwR&z@g@(W~0@wH!QT2>jh3d~INax>7OV7A;ST>Ic-r zA5b>D^LG7+p^{~1t*<-r3ovM{`AB_t?WpyqK95baXJ@G>92d6uvUw*~e9E7SeZn!m z4&N8!E#;0S#iBu+XPNbMFKs_$R!dy}xP>dndBNCATEcR!>7&)fN&HJ{Mfi>BErK=_ z)C@V?`uVzye}sm!o?dfpzxBTJwo5v@Ju4Iw$6~$|BJOiaCo+~;-t0d!PbtJ3QbI8t zi$m)f#w*1jivfsaRXtJ8i6;tP>(?wf-f7#?Z}|aa)P~F@V~$P72f3=h$ST6* z=L@r!+>%3p!UGEM(|hf91q)Ga73ivG{WXMw8`4X)V}2{e_cG!8K|79z#GN=$mg6|6 z$oOi}_n0Fyzhaq~6enO@CPtUs(E6iHzLhUXQiq(;ASGmY6+~-U@U&q}x|I=Iz9REa zB10NWEsZZHFm^;vh%`g|Fnu{_DeR&9l7Py-*Ff$adaTetAej~RK;Q7M4q1-$@tR5p z1twQIG|)s;I<%#wtKM{c&_!u z0n5HVmTf{}n1?b7pdV)OQpEnU8~8VOs^0VoDLdWePXf+}`I6j5W$_k|0;)0idUFf0#X8<%c}vc)k&|?;-rm`@b2>$< zy_3CHPE#Aek*guT{Aqk?vW1mYW`$*|3@+AsTH0hI*iHyp@fnUmiv2QeIZsO$pI(w} z+0a*X+N_3AX!dCoK??La7y}9bj0=BcY2Dj=dG`4mYb>FjSBOpZR@cejo(aXKn$-}B zO*NdxrivkdrpdwuZAgy&XwvM-Itwut<0kXdtx%T#K+D*`9@Q5f92^=s zH{OEU?3%m*u@F~c)(f|qGVg+O@oUr#3z zy_WnucT~UL5kCdU9(>-%Wh*rH3C{@gL%+}lkN z1PmVrr!Xd+bE?`2)TQRh@>ws(Ie>@H6f?%?%AP21!c z?{W2&E$VWcW>+Q^#m+pSX<1O@E}Tt5t80rTveOdPV~J)h5fOWe0XF?Qan$g{u_JtU zzQ;SXyQ?qC^>K=+3&X)`c1`AmYS4M7Wnrg8 z(O;d%6vXsh8CCTCmD^05#uMG=1*-0U)US_AISf=xVW+Ch(C1gX)C=ZYx@DHN=*kOo z1Uq}XF1;{5KBr-*Hr>=vS{R|U%p64M0>q!C?Rq`J{Q_?thMsrH8^=oT2g}03 zL@u(0UX7+Smsk$Xee!7CMR zJN8ZYSh@yEVno9|nuZ1gydU5pi?tB%=hNqE>qBry-`LJ-mg(1Pcn2d3{dou_27^_n zC9=m7)lVA~i!~Y)NDF8mEC%jP*~IE|8mwffe)41_g$-1L2BdP(i3PNKM*7^@OyQke z^2yeXD1#jYk+0GZ@~cFAB>}pTj6GtWrt{%Z)^M%`NFTZ_;69)Sck@bD2gw^oxrAB| z4}pLzhN_Qo#Jx(|!Wq1Tc!GeFF;)MhFU;ekTx~brtZFBkMYRFggWL?*2jiu?(6vLE zHW&pY>v{T{5>@eoO4I3!74_fv!8|Z61bT91qfCFlU=4R|Bh^iG8Qb*aH;rM>>b4e+ z{L*q{Ak^*(T7ts*FaK-ny7tP|tKsw7cC**CQemU8x%0OUHR`QSCv=U|(; zYI+Qmv%j!T=bsY9gM%hE#(r?X6ge_+F6&!)tS^5F9hs-X#dalM?RAbJlW3;J_85{$ z4~7^Bo@iAKW@j)L5p4>bJ*fXyZV*gm3Ni0xNtV45Vqc`5%HU*eARgS;p1B&d5^db z$@}0@ng{(&=8b=cPHCl7?It_JP0wAjx2K7FMpM{R-%(4aa|{IPf6#|))4HcyQkA5c zo>;DZt_c^#rJ^&zN^qXN6Ffjr?C!Ta$3Ue?HNcc+q3R04o*?bk9V92*^zr$_8Qr&% zkH)nBB25b+!DzY-p>$9EBHczA^92p^7gPPBj9N(Z22DG3`Tk(x52vksUxo!iO;sD^ z8b_sOXJWFFms&rTr>BxH=qg9+q>CQ79wu<@U>J%?vRC+-Iwxf4Igx>1KAG)LCz;|X9n6Hx|JbF6>RINfzCy`Y9B5Fxp#K$)lJk|cAyN1y<9~61^1pn zx%Y&hxc4lvT_Ss@FM_fHo8q)R0lD8giV?oM3{D;alxG^u)=YkJRAHgIKF~4EmT5^b zdKuCf=;IG{pE3kqF@UXLp>x~sEX7~Hwnt=LTB7b5F&VK$Ul+(Rx*61vEqm~4t^NAg z_0Oi|D&2uvZ=fc_V$a)>^!0o{qg0={zY^c5F)Y}S+RTuqrl{tB4;21UFEXQ46PVgX zR-Vo&w?|pSsX4EA?UhYb;B)Bv%xtqkmvPbbo3Lf$97_$Q0NY$N{W;9ekPfkK;ykW0 zBH`_re>S@l%uktnaJ3%puW3>F8x8io18HN{?KCdf2ro&>ZTD`l`QO)@=jco?C=&0g z_5FE`-8#yYenqm7q?g(16SCW)OdQQ-AIH8Bg-5VZAo{6M31l zQ2qS|qj}l-47U0(q65(TMYa+PLU~mml%+TR1x-Qqr?SYz2*P;4`jMr&KLqj8f_Yqh zP^e~@`e00rk(m~JDr@Q%wmK;9+Wy*}l*4{4qb2@*6@?4>V^eIeo(H#I<;|K!RiZ*o z&6ko7@7#_A@lr~`7PFRY7%4P;_s+(Rl%U>jVNjH!f~rTahsf&c&sk=CnPYZ~9h#_Z zqrKu`pD9l4L};#3Q%`S^XnLmiAwi1NolleA?+J~hTW48(TR z{*%~Fpu~2k#GuNZK#H^@zPS9M5n^x$M>8TW|GU z=PTP*LlHrB7_6xC2@`yYc6&fSaeYLA|2Ut3=}dB!^HHsD4?sOjE`C<~D)wawR;D!| zle3@E?Gf~wfm=H<+W))##s~=eknV~1I4nqfXVQA$Wx)t zV84y(Pz8ViG_mt%?TBfFKlU@hF)%u=90vCRyf$JiW+RxbLdvjZiVG*2-;PVtl(5 zaF3rgUa_Jdi=skP$<8b-9=~8i$PeoEbgwkCK2Yv$iK$y}sF!%Z0=synUn+Dfuzeiw zmS#x`0(CLYrqo80!4cl)KSkK5j4hAa86EmdqGI#Xe)_chsD)bJU^o)l~Jq+jDs{ zH~==cgM52mW6J5{iIWr)8!S2R`No^Oe;1q;FwNy5Z0YTXVp>NrZG_ppTWMD9+uYS+ zF?U+xdMu;*Ew_a@?_w$E-S$PFnV%H{O-b9>>N}Wy2tG26>3$qKj1Rq|^z10!KPntD z^p(iDND_GeL!dTYZuDAW{FV`}6SC@@?7Q#^&lgVO=s^ESDIN-=1a^O;d#l18#iiW? zJr^=X!YlXA=TMi-y9?3oy>PnJz)TF&q zR5%~)NZ*46_VTB=tU|yRP@18PAIbXyZ1!$h^Il@UCNYh32L}r$d_8@v-7<2BvGlP} z*4c}wOY_sS64>nRvL*gADE>$BK-~e93qKC-VY&Ksq}ZWO%|vq5TQID3zM1z@4Vp!0 z-F3Z?J$tjlVyc=*c0exdK0||Up`>5~1su6eI=|Fy!L zQ&t_m#>eAh3_i9%#`y31oq*K4?-Zm%F;%aVwx{48MA;_{@D&2FjC>7azJp?BhV*AJ zf?7vexx!Q(Hcd%Pa_WkYU};ZDHNC)^e$P6^9w}kZ#3ga?)~UQk8#ZrP)%t5RgOil4 zuU?ys#&*4R?eM8%qIsBRr7%-za#@^re~l=tu*g7ZV1Jp>u1vEV=!`SSrjF8JJq=EE zXvCMxlN_vSDPuPq(rijodK|*3Apu6P>a{B~^f{zuUMGJycdv|aY%C})xvK9BKUbau zVd9P?Y$Q`S0p4CI#2d*jv^@nEzV}Z{JE`TKAW$+7Mjo z|McOlH(zU*-S_1xm691yK+$VeK71m95x&ZrY8W(UQotRqd=HR zIEi&@$D3?+rTkh&ZO>~J5>#5((%ubDeVxr-DW`s)$4-Vs#f`k4QNdab$xxe0bB2j? z^zC&8@)0Pci=J^WHkcG$n5nD&F8|ekoGy9oGySP7d?WxCNd>8O^~17dUZ_nCswZWq zN47os?F}8wa=PxowlV7%*uWe-td-b>f(r~_{q=qXQQL31p=`6KGFYCDPsu}WCM%`) zzvf=Kn!;PA9dm60_mmib&`sPZ6c|5U?-@M%K7r~wcb7kRr#p2l?0)V=W82Q7&&va$ zy%YKMF%A8i0h665j9uTafhXsXCCmvdE$4RZayxd~g|XDWP&~Hv?>~e<=xNxG<>RM$ zJ;7lM#m6{c(^?L)2NaeqpTPjl7Ey{;wn~={t2QKEb{$0TU39(5A&#uNU{)Z6D|=#^ zQCc*eyhU#$8#yy$IWODJ!}_z|Mn3%n7jN1Gk=G&fA2}&ol@gQTW-=SHQXmNK`v;&D z&@yllOtOu9CTCb$9GRKLDWyE%$zDgsPgY^uM=)xnJd!d`oRT3<$)u{+q5UIimzNo8 zf8gysY->1EJBM1Qf;^LBZGYc#32{J%tb-~Qv*!(n(Bup)KDb=0 z(5^<4jkMg;=jhA?8 zL4a2I`p;w$Tp?@aWJ5y))uIYyKm9Pa8COA!FBcWVygVhjlqNFN0hmzzfL*=$sFt*( zPAB3?JkLh3qL~GM7X|}ieyUz3VW9!;Mbty~Mq7yyEV)M2A>>!|L*xRGy(koD#U5Wx`OzJrJ_VP@@+r2vDSgZ;yp4ROIe+bN33xN*b>r+QEpM zc#g^11ZpI5o-U!-imS?ck7-D~`aa}`25%@?<(+yTP3WF&2@VF&AR#c?w0H=HG3h#X z5Zd?IT?${s7&}wsiyUn+A0=K|MV66Ahs@bgv`1J>M<>OHc#Vzp)U5V=+KpM~Kkcv* z|61)=ya+qjabPyLa>t{xL#8fjJ8*p-RW)a@_IWH?j}0DXj#a}1y=hp*4!I^~?dP(( zgsbZkFelpE4H8dRmuT)j1gxa$-75Xf#$ssRf!|Xi+5*RK!nTBuwG| zrgkV~6M(NFgTLzoxjGaPL`&Kuf0pNNd>>|#A3?ksJh123VeR(AE01W{qj@lYXjxY} zEDL-@;T+y}`Ns^!o;{!Mjt9pVkpc%K=a`TNiNt@5tWLK~=Aqt6pvTA#Irrm`-bllQ zG)JW5h{ho@;D&qWf^>?Zr=cxgPU(mKZwQRK6=kK`v<6AltfQ6g3aU& z>e(=QT8$Nw=ZYBOLT3ETJI7A?CWhOjBZ!pccqd~DH5)^72z=Upp#7%9&x=eAC7Csp z|4I z7g_Kk<^XB*C*Dnt&`A136~&l#EBygwWq_%iqxa|blDFtwwyCHK3at7Eb)=b|Zr}C^ zN=^PUxbwN@;*UucO%Vei$q)-yEZ&~SbC1g)9f`=sbQ^JN{Xu;wfa2jrm{Nj^;Dj^^ z8dXdtFeF!1$S|!i!sfx>WQF=}2kX%sSesdPkhNWtv1imoY9lffnQZGyIj5{}iH7&K z{w<34SK+@(7yhf~{uV|2M{ONf+`xV@m5I@%mW!Wqy`1I3r_jrv<5pbu=AQNDp7Z9O z_vT*k=3aCq4VPx8h+nY5vym*ftTFPdTU$B)w~e`H;e*{Ayiz#*GLisBfj&2sOg`V4V$@oA z_hg>i%kl2e9sXl}UXf=up%{Xpgk5_$xV|G}(XBv$PzMChAf>UTbdzUouvV*9UUiz9 zz{m&-nShz?(yA+&1>%Q~r63?%KpM8PCG}A4`^g@pHlKV)*UD`Y5O@lPL=Sq-55{&S z{S!d&0|laLC75cHCLd@+^M;=-fTXd7hv0q;b7(hE8wJ`yH&?EHV5G#BdD(}D z%uT}|FoT+6f;ScDfo406RQ6@d0t)1^@e?Mk^Y4*B_4`}MyC4EmA5k+&z z!3>3=1-a^^-j%$b=P!Ldu%b^}z-}@y`om$vL`LHu*LmD8GFm$m*52R#k;LfQpKvpL z`vhp18rq)fJ~JHtU)*K6reJlm;$V16L2MTa;)TI83le$&XnymQKpjWff zt$D2M&4C5~h>3NTjt+?8A+W2sa~=a(M}}hkJmrFCPE#xX+R8z%c4gUX1MjJJ+!50F zTuwBWRtLnALw4;-o&|t&+Dd(2!)Bgq6i1a-1*GId@Uwa~YFJ31TkynYEF$cpBuNi>- ztmAkqa#&|=26_bfhy`=LU9L5tWkW91OKa;S_*i-swr-SlJuO)Grm;bj924xaD0 z9uW1H(_3p?HJ`mg9FMQZve5gO1ru@UC^S_WGs1@%Y^!u1le}4#R z0)-FE%Uvt8zmcKg4b3y?PdD1@x_r#yWvaT^ZPk}%)AqU$5XT-m5)nz0d6^~yxC3@u z4dK2loxiZI zcxK?-@RD7jqv5e^`4yhWnJ(si2Yh{ZcQwBfqLO8?wO-5eE6t5?_=7L-4m# z%9TWt${39e8madAB*5ij!YcJk zNyQYpUZReqXHOnG z%!h-_I1*e8?$MoMM0jv!kdMs&a+NxQW#@z+Uy`x7A-(o~j8hYnvDiCAmYf^!%@sj+ zVYebQb7h>ea*lzR>1V~488%K)Zyt8Z`w6o#?bU^R?F&Mh!8*(}bpSUq2G}{nDCEED z^})>%=7txrjNUO7HsTWnYB7U~ZRt^x+H7>`DG)e{M*oun$QlwsGG>O!<<2 z{U^CbAGpdtrq@W?=l#X8qIC`~d`K@i zQ$O#YZx#d4wSVJ6D{(|1JD>s*U!=Dp}u#nUQK~7TP3!kubehdl1H4>|;^VKuR zf~0gMJJj=y{2g9t=Q4+P>N~IwyLMsh*`=Ku9QJAN)Hp1gKDOuV;zryWL+`(n;BYjA z_He96+2Y}jc@9E<&Rx0ANB)1ry$x7XSGqsC_s%!$KoTH+fKIZ-nABiHv?ENxk}bhd zp#xD7TWLwK5vMo@Q$6Y#dnQDoI%ehowieIOGl9-n>o})KJJwF^2q=hcXpweW?Z_1A zmrm6|s#aTN-{0Cn>dZOkp8vhieV*H=nEkQ#+H0?mcfFs#_rL2%*$eSO>+}ZdOi+o) z3`2u(8f;l`&F!eh_;u1YVMW)auL)W#%o)=cLbI^9R+72Hk8yjmDt3v7a?{CzizED@m3{Ns<* zUb_Y6`+jm0D!R1>xDOEdn(P=+mg?Nn_v!kN2B9C=e*V$DOa^qez;vS&6|&Je29W%r ztZ-(reTE_Qp1A4%iYN`}tsfoG;eq7HY##g8B5NPn)8fgtc-oj2Pk0NlQ*IQG-fMuH zFGW!X%(-&>A*vqk2VEYmQwV8zHg>% zn7QRg3A5Lpmg&3~`Wo6^IJwjOHE*T#pVDM#(F9nX9P}gN&`yJo6Gk>Vt{9R5qi)!k zaA#ns4#No(0~k!ZF&>UgDT%V-Z%JRvJ{06|C?|GBD{^KS@Mp%K$v)NOH;@c-jq1n? zfVMYOg!6&utsWsIug|!F#4~x{2qj;QL74|vy8ff7Iq)eG*$3HO%24qFeM2zKO)@<> z*9)w=UGcY$uLGjn`tT+NcHl#)(x-?4aNW7+B@hiASdtFK9d;w~46@FMrSonGPZ6as z$^(6t1aGKEGSF5V{^tF!Z7$g={6m|!b^PD7nUT;9H-y#Yz@)yY)I3OGb*rUtTzE=l z%7`^>GLTQ1Y|WEU3`Lie3+p}xMjgKByLRLHQ>wF?b!VbCdTt2oUJ^E~?zt|=uM5=q zsBT9^hejQ@1(!6mtwVa}i=oaC@uMU5Emxrz5<_n+lBn#IK1y`!5>Ymz;zl31hX|1E>9Y}Hr{zz7$l*XIz-5N7QMT!>&tds zY^yG&k)zx82Z-XxLIKMKG9-?HnfAcDjz5s}HD=X!0hucL2k+w2VHNcqlG?%f?*dE> zSMNendkP_LNR1WlPmxs??@(O;aLK*3@!uk>R+IkQ0X`f+9_ybgP=_#1US1uS=YaDk8oH$PeZrtjX}BcOhox!sZ|8!leveGtG+GuB^rH$S z&DPf|?5t$@Cz)FYdQ{jz*jyxx)ssTSCZTj@bJDk2JgZ8hMN8PXIXUZLd4V}s#0VYt z<0wVgy28&w9*|WUf-GG=*bzWJul+Q9)q|`&um`B`hCtwIBP)HYdxxC@Uilsa!7JxF z)E(>vMW)*Lu0R(N<_4zh^MajKEk66(7hY^r8{ZP>2gU2d@Fs7Mqv;bu+bdH26W7KY zx|68l=q{mzzgorhoB?55c^vU@=nF3VQ+t?lh>BKlsj_y#z!)q@^az6reIKb)sZD?J zJ;%%eh{mhdajX2?!U9ZlI=Y;(PNVeWGX&3AW{lI1Vdx4iGotjvmBYrkxm0gLY*WIz z;lBb*_*KKEN!zFVi?4cn$Brq<8@x+m?c7YiH+A`I0KBq$X9Aht&B28zwbjM6yCmhy zYTPcm5mj(x1=ss(ye=tfY{#i9r|6BEb{A9>Gh1EqMs6mnt8a2Nx)kEOL9Ut-Hce3( z|3&JiB*=oZ$9 z)8Q$VQ}^BTqoqIE|L_~HPYG}D2rh{wY#)VQH;TRPS4>8bgIvsS`D!}J?FC!&Nu!1C zlRP+sT^KdfF)dUfGYcxbiXH}H2Ylol%U@;eVWbdn2EbK^f|a^T{U-WHRcjQz(Rxu2 zFuur=$OOBRZX9OkF)t5_q#oE{55GeugAt@r%N5A&1+t%5dqM0#)IrnNq;GbpidR6v zc+!)Jz-DOw6H}f=;75jbCm{gs&Ems%zB$@Ff(1%{fy!GD)4PsN5-Xc{zqr4NbveYkNOt&VoqrX~TGDm)0tHi` z;tG^VQTzs46GKo*#HORwk1WOORN72hOvnLOD0U$l|GDs!v<$kCrN8MzcYI_e^3dt@ zpK#;rFS?)Np_2|^#rMhBM6uOim@{bp=gC~?Cf^$w05tt-8-Y*oBF!$b$hG#dyU?dAl9jO zme*@p!PvdY$ob8?AK&to-Fq)q9v5DP&ml@W2wxwO_dx7Hw(}3kVIOlO`-skYQ#h&4 z>VQ$0J;sMuu}NF5uPIzpwj#}4IGHb#+simhpK4G^N3E9Pw<22aQ^JEn%o--YtU3?2 zdzQQkuUSGQuW_oxR^S>yq6vy*2f1!Gia3D}ie>K1Su_y&8<{MIK1kYSIRH{N3Nya> z2z@UR64Lj6Xg*+-qU)N;eG=v}UO#!GRyo=nRt_Vv1&r?+*|)+6JPGt=&<5oYtFyqD zXIwKyWOT%W@)Am=WfZN{u8|TJO2SOzJ~ZW6|0PA90a%ewlwzG-UK_VUIDIzUG))*1Rg`w&?`gd zj6-wkA+%=4Th`IBq|)XtG&1=wASSWze0Tr^{cZ?rcpI6u8S6q^#*9peX5fRV&_E@# zdp9*-A5*DY%zaP-t`Odcx^db%bkax=UsT#lQdYyNdxvxSw;pKDq?>_O=&R&h?4kTZ zDl#v2?yOyx#CKZ1n)Qk*>zP^eq+C}&oTI|!vwk1r4f|$&9~WLyj}$0oy{0OtoRxvo zlTjfe+V=z}kz=UtZ{c*YyO9Qvw)0zIH$yAw4gf!Jh6&3iMi5*vSyMF8R+A}=R4S6& z(&k(iOcREAcNaZ7g0xA=my-v-_|=zWR{UM?|CZ4nl3tSv{OkU$Cp4md2Fe+zXbfEj zfVfV@^xc`x=GMsn?71NnUl*D%sp?;H)c@Y`Fcm1G%l8BW)f%dDL))GX;*$jyX-~(V zbdZYxr75Qjgw-+9HzMd%v6`zNEw(nL_ZHW0azF(Ycu5o3uMO;=!M4>mZ2<28)?%}f zzDUZCgHNMv_&zdj(Y!w(?+j(-X4Ox{!m1uXL3`ItK<(jdEjeSaF4Aqm$&bj1$^Aq2 zPs?==ni{1kdsjEkFc=*cpgGas5=Q6}16LGa$hl}BD~8(B;^-UXG8vf0;1hSt}f z9vh+71GSRBAXiYPM4f4Ae45Pp;TXf5PbPi=_)mH2mlQR$e)Ju>Bt*fh!nrA~#{xko zk@F<@toK5=&*)6qMn6jWgzH2+6T8iRY%=|D^xPah*U%;89Kxwso;N1YZgQc&%mAfG zN0wo2Jg)gWHTksbRBcsU@iXrhU51_H;Sf*zN$+!EC`iZwHisWSe87vi40RxT_9?%nUr|JM-?fL_iQ-(4D&NG?uOyQ2X z+@5JxiE~ic?Ukd)?8eE&%eE8}2I-4Vz4J{Nucx!Q_RjS*6}@Id`HxUu0!KP~XHkmO z>AlKz;ws-+0v>jn^Wgvz^tbg62Zm9*JcoluYW{tHK9eWRF#mp<&rPAeSVV=}hZchICVR z9kyhs`=t3+SsgsJ0GE?K&OK5T+-1a9Nw{!1EH^6S8ZG@%^gTe+&(LyRrPvXA$(0Ox zv9;hh-A#^RG}%vw8u8AHgqMOV42)Co9zjzq>{%UWO{6~~H&Eac@=KEQDUIX2XBqL0 zJDJY4VCPX8S7_-!Mqh{}&-O_1o}C=t`CD>Psa3J?!b!R7>FC}}_y7Qd%al63O{SN~ zm>FgB%agODqS_RyOS&oAOg162S@sh;PX$j@ww6uIuRz?iyP~XnGwlNufKE({z6;SFY7>4M!LWLkf+P#m9v}JtuFr2QB3AMR&8S_{sc5dc8t_mOg2>lZIP|oV1 zUyOb^Jneo>jwa+TJg=ggIfg4^7fvcy0%gYTErYtk3AmW(EQHNCNZ4@RTWa^-PhLu% z`Ht#$*;KUI+APj~V0%djZEWT;=(i*Dn_(jUN2Y@sr+ar$c>0*(E+Czv1}=0-oD$zR$!(Geu24otm>2;~0h>chr*zy>*%l`dlcl zF9D-W|A9=Y*9TA%Gz-hGO2F*6>(k zoK)3f>9kub)*STBnDXKj#%IwxK0{!~-xj@V9C=u(ubsrMMY!OLQ_fGQF-MJwq9(H( z0ikQ9`a?- zgx3YS|8Eg~B%JEIXD@YB^ZFG<{3N@zygA{Qb3jGU0&%P-`?2dKGiGv;D*HQHcq}!M=k>Z&^Qnw; zytS-=By8fV%J97Yc1-MA{rA;=1pj?K$&{_U{*>%cAyd~Qj65wZaa|c{lIkyvKrhy6 zy`QPl>i0A4*0R-Krox-v)hWL9K(`;EotFV7mvInwBn?VA|1%k~f0ZxeivFv~yj80| zev#dV!U%q=D(AX3{Bi;P-dkuGJOz zxOXZF>F!;0gxj|+@{RGQV^D=iYg>|GU6IIsC6GndPxyLsCmkWbT-76b`^R7(?sC!&MlkCPAjlA^T>2Qw zB06NtRhBA}zF?5PkVY}ask+W;k#>&L5fC?x-Rn~gbQ|yNyufn#g&^R6s&bI%MFOLq z1l1MJGaZ|+*ORgt(8!trk9OFj8Sp27rCtu+K?imlY6(qa*j5Uk7zYc2iw_|#+uyWvBL+O{=geK=H18Gj9>vxnb-54 zBv7Ts0#G9ZQviX`!4ycCw2HRN20!u|m23rGU}(M1pfsL2X}D}K8I*RTu>-iBmkq-O z@}W|P>y*1d7FkvKAbJ*zk3Vgd-!q9bPU@a6F>H4P3luoUOz55_0kMQG;lm%t;DA*@ zG0|9{WCm$_U#i_R^)|(IKxv&k`fegp9}ynJnCv!A9eKdO%f9u>zKIU7S9bHx!3`X^ z|3nk_KBrVn`6v_rm5cu>_^^^ba}wV_`tDV)af;iR>^DwzcVG3Nxa#*zb$gOmKidrW zwymSaY0eq208RO&728T5d4iqVI7kDiBVPvPJNcE9e-w?zl+f$hDlS5Z+A&fvbTm7j zi#YJyQF3~?CZpy+EaLPpevgY(WXB?Ah<=^iz)WEZykggSdhP}5nxt=x)mOw~`p|EZ z0=Jn6VJJQnS=0i+z>`P!lRK`?&@*1+WcSO1Kl&#`^81akO!$cZ#F+lWq@Xc2*n3;= zO5($sjA>jX-O^w`Hji#5kD0-|ab2n1NkT>gl4(lm=g3ve^b{#_klK$Op&QACY%slv zXse}#{slQtqrt7UP^B$iwCoY$KA%QP@C9DdsRbVTWzxc@f1K)0yFcO-Nra?q?jAT263SF6EJQP&#{QTh4PvJv{otidi2gZ)z0kO%z5A!L*QCR-g)}m z6A0qcM}xT5k}Kx#rQa_&G6nu2*8Y&XP>pO{EbSwAvg6%ouTk&rUgv&HR=egVn(#By zgf63Iz^ENI>PC%7Fd0x@At7>JBd3?Y%Yk*>N|&BPsZksT3{;1~u*=eUkFZn7yAQFK zwJxEg>n)bEr>$aB?8nAzUAyXL3GN7}Um}$j;E&y~9}{xsy<(ed9QmQ4dnsT@8k!p;9pP(7B6j)z8 z@og`jAinnI;ta=H)@DnsJqGOhZ_;R_y}{%o%z4ScO;%m^xj;2TzTv|;_Aq*E2-*gf zqYp~C`$^DF*8%!GsfzH^J6D1?RhU_H9W6^1u)D(xfvd_gjtITQ-S;L>C614ZPkUkH>Fh0kM*sY59XGsdRW!WN(!Wefl&CX?k7#`AWbxtAqWNeb+LOMhhN%+ zGlptQ9=z#v@C3fNmgGc-Pe)X9N3>3%8D>7@M@uN!eX7b_Rc8u9&$z8+BCx!>s?2ZI z4OC@^RyU<=&y3x^BeT(3F;J!RO<@MAmZz~y)dJ^50jV*qkDoSz#Xe6K=mckq-W(}o z06V1#%{B8Tozgy2e9hx!X4%gBR0N{zH{IWPF9p+EX^&I$)_n$68G zK;RG;DX>apUyX%=W)=(vD`3SS6+-ihBNL(wzHzzujZ5zSMz6P=d?fXqJjICLS^jtL zGCuRRJuJ6ZNzHCn%5iBsv&=f@8)Kp2Y{p9F1J@Oz9pY@2Drvr|{LZ(x5zl(&M8Q%qH<>TGgu{*lj@`n}c)a8o{0(K<-*qfaYb_LmQ^xs5Lm zrYvsl)G!Y++=G(#2WhB^_y=Y72c?(<>?G5u)HF;#MOh^~S9&}3E;iaM{&=aZg-I!g zI)g9^3OH&<3i$ALR%QkS^a||?BLYf(FZ7-oPoDub2y_7Mu+3IscnUF8Z7JJG+wGm2 zHRm>8DS+S|w5|dmSFm?1Aln6jr+d{`5ItO1%;&slMuO?O)bu&x%>0o8Z=|Y}B?gVm zba!{DRk8+1Mw*>fy{BY5;2%fcR}_`)!VsCj2S92 z#gRhLyumdDJOA+$ILoG^Bw^Y>74!rL=%eJ~K-K*d!r2|kjMd05K*7rHW))-}u1>gn z9heq;_Dt;~+huf7H!3G+M|2XQt(oEs z+PjpI!>a(E_1ows(ik|tSSv;ii35ftpDwvlGs&mtYr^D~KTuXURN^=7AY1z{5BV)UREN9dWcN)xI&ZB1&FsO+3 z_9XD0MDWpd3aCx}AQ4I!q%_&=o>vLK~GZk2C3hZfKZ`PkJfnwNhwnL0c_r( zjA4chyXZZHL%j{mC^>MokUMBCY5F(W2jbSou`~U~6lgA_yu$(hfbYx!bcNlKlYU6( z{&y;y0rkBISPFP^VR8qdyL+LRZ|Q9{Cbb*&&od)?I?U|r+Lw%hMZ5OUPsPjX1Z{`}bD?296wI_)z!BT!}MZ@~He3OumcX=I|Su2`W zG+L%_w=nsW`{8b2xeM3-@~2`v4567yFX&ANBDS)fn_!hfn|uBuG+N8*cT4rJ1D_kUBJi2y{Y2|d z33VR|tq{%k!&we3dq+Tc|KW@J`xVI4ThcE#-_i$(%eSQVO+nr#7}MXv+O|iOSlfJ< zGV5d&V~9~81)MJl2p<_AWvE0}AgH>JAF7i_NQ$VEJrP<*m>MeycY0v{?OLgu4C0_9J^s0a<`1 z?+|+S3QcGAYc7bV=)V_)eP{Lma-N*lLR$S5KBzev-xKLD--?bvZJSWHN60vhknO~~6Ngl1&8L%Z(Uis5+SUEvPx_H$)h&cj~f_m-{bB6~AvCxF>NJAusx zg&eCnt;1Y(lRbZewTP%zVrPkfEDnl5jGF0ig?fk%y{z`BvE6ZY>Va&eJ5+sU?UF1tjYcmlyl+8L9V|G;d?omKS5apDve}uF{Ad;LlgQB`kGnDAEao%Ov z&*^r!L#y}cntl+wXU`A3Ufr55w|9lO*W;P_;uF}A?>yn?yBTndzN z^s&f;ko9!7pW_}r8&s}5P;b)kcKEzkD15dgGHy_K^&rll%!f}2Y;e`X6RQr4WaKy4 z(|!6c{nq9BEyJPI4E9f5dO>Eg}(E64^CDeo-)= z)wh2m-Ww9~&PQkOpwRP~FoOM>4;#Q_0PgE#r=_MZ>NUHM$bhMtEp##&T62q4QhOh9 zM+9HL&3R$8v>_Y5`L0bXT{V?e;1b$=+8a0?aFyL&rKKrSH?-;o7>=t{Tg-@VkbQk84su-Y3a&>tzuuC;v#%~nP_Pu^)xp5y z9-!T_^+n4akM%mI*j`V@q{`Jz%iHR-d+48S^q+7!myT`u799~wI-*z?HT5D6TWky3!5Wwf zEj&e7`IZrb{1p_s(`d1Taz-csCVl)OGea8*xVDCJVatVUsL!A(T^MpYM+F#ue3~{C*>6RgI1ETIBaPeqWh^xaU&bs6t78f!Tp?pGq*yK^OLmji zbI7frFt|1timn+d9o|B@yHLg#N`IS{5vsify(T*qku5=R<2Tva{q8W(65$5qFH~(x zd3&Z}brPGvb!!+W+vrpWopG(s_)RIK=@QcPxs5y>8UdlLTDlkLtJ z8|rYzRyMb{QsvvWx{kCRHstKwbvRPrWN6#5E67s;D9?C$HrM>lcDk!e_p_g$$gpI` zF+APMQz=q-IC0%rhG}d+*U{KD)>w#DNWu=r-4kHLK{vpYs@|sU=<*mDj{$QZxTf4B zZxZtP((s#PWwn=t-2>9817_0XL0aKW#4UBdqk|`zmjcd@h26uYSH`Iy<55~#d- zsRnWrFzn(@o%4N~)nypF-=jA*G#N{t`(}IL;q>PwOIfbx3t?YcQ+8X7=Cz*zTu=U~ zV6IV=D~Q43@j57`svcKreVnW5d1{A7p<2!c=AV}rP^-_=>UgGVl|7Eik}gW1+3&%| zHd<8?@>aIEt=`qYpGv`-kc zrS**r_=8(}whHJ4sO`eE;!>a2K{`Rm!?@L;T3 z1*dC7T+v_D!w2a^LLLs0v@@Q1Ywqm1^Rw^!x<0v7dvYd%OJ?Wh--nyzdY>eD;fM2j zz~FvSKT5okU0OJg>~4g4?5t?~KpuM0hxUeN+=~`8l{nahyc}0%NR>vVJ@|6mkvC$z z9t95_Sj~llCmWzLl)=-sk(I#d7J(RLSSA+F3Wps60Sgh8xYq{e8mi|SMC0N5Tjk7O z0J*zpfXd^kd^feYoqCX?Tt#mf5bbY6bjqLshMu;z^^rfbtGYV!&|;QOBwbN?>U3wQ z$BeXpE=QTVdplji$I9>76w1IZbztPypQtRAcN%$3Z8 z`7Q9$J$X)b3aqTb^r6&r0?6!V>sX!XYpLlAWKWNDaaIJPa$PqJ{aeXm%?1k#n~i9o z%%g|MJ0M{a47`=skd4RRnbOTMmQFnrv#EvM*0`54uWPqjT3Z06!GyzZm>7eD&+8U+#{b`+8pqd)AC z)J>h>b3NBTHhV~J9jFdJ7JAQ}zM?!O;xSMtm*5JrOwNq0;(R8|o!x^eq8cY?QPL^3 z)HHtxt`MK=?bP@?6WvD5igoVp$BK%sIujT*Q{q>E{!gLqw`A0?srS#NpCfH#w`J6r ziyxVbHt!Hav_Tew5pO<;|pgmav1?ki?zH-^XDElSS1r07ut*EMGNR_@K0Y%2CHk^&l%f?r0xDaRYO<*WyL+Xfv}%C;3( zh&pQXxu_<}A!?#-1ms(xIg(|KLe*lv;({h>A)7R=iIPDO|MN2$A*d--M(>F{i*`ji zWQ-FzX^_qj^qz8-V^-Z!Mv=F8;@qBi$T?jeY>^4rprou<7Kex;4J!fpSV($-u`cl$ zCUJd_@#-?W`caslF!gQ7UDm0QJwt=d`c&BR*>c_b62IJQy+4R4(|aK3(S$xoQ-TfO zce`X%3hAQiexNO#D^$B9t5%$Tv2sIcJoz~-7S=iUvDs7P;tvz{%7o|d1MZcnx#VQBG zIrRYJD>q5fIoL4{Pt!1_5frHqB=0%!dpQHj+49$10jg;Y{(rHM;11x>8VG@Nc4 z6(jk9O1VqQ9$PdcjYKc`j@{UM{Mrr~%i;TcuY9@p)$=&9Tf{&s-;zJ?U3z+tj7>m$ z@v|gNl_`(XQi2J?lf-@!f&~U{Y!m~w72Rak9$+#sJnTF+$@vA%VVY=6oWe99HI0J1 z3)uhw^rCQ=BORy`$?kme6!0A-49DA#X?z9?rUEP(-Cd{=0y5GoLVoUyo|)|tGtT}< z&M;s1u}xNG#GB?Q&GLg|9t0=@Ze>H{wg zu2o7^rjQ%a%e5z{-9f}9+@+%}W6~s{3j0il37h~nJi=Fr{xKIYn8cO?qj@ejz`()R zLeslRYYwG7IM6p{m3%mt=i?|Mo>x5$W+IKX*`@FfoxOmosx4G=XYq`I{snoWP(4sp z4?P5n|+ z2YQXSmR4qWPoqm(zX@8Gu#9hVPidMy=~kn)q~!H)Tdhw0!vsx{b*aZ|zaof9K14xV zHR@3hd)PAvH=kQmbFzhCxUh4*A_YdMlyuanL4eo0gbK^vpw-Ymqha?@AcX}|*5VJ3 zwOW^WTcOSV*NmEWMqNHv)B4s}c=J|Uwjl@Z7`z%Ja;^d4B$dTBLY8S4NTofO;jBws z#Xb(;5dK`r_F}(F3Z=vSsrN5H(1DSR*&_?g#q2ha^=ag+vUo%!>aOC9bECPBhF&oj z7seC9u8)o{NcpXXQj zfD~pJeFkYZ2|2SL%PvjF9OAnIy~SKtZ&B(ltcGtoQSL4q9sEI|Esg`phRPrMenXdG zP{R7VU!y=#ht&)yDx;=BTH%clC9TwD!_d&xD1q(Hrb`=|pFMGT5*BiT>?P2pr;cKjHRoRma9Rk~;4R>YW#W{+pwiSP z>-k*pbu?vMVG&BtS0JL4s2Cb5udveLlJ|Mvn&$fmdYQnjl z(PFNFOIGdg010N9c1N0<&}QW~tZ1>+_)=wuLNAR*ZWPAvEHl@vRdXC)yIUx6-*mNP zH00za=4bm7egQktJ87Wy`Q$S|_%mwYHUZZPCpouDPrS;=&j|K+9xG&r`!vu|K0vM$ z>w6k4`cKg8*SJu^T!q40v@1l31VqXKPs}hBb`2{)J62v3i8i=6*`{%FkvEI-zCgJl zn%@%)kF{HuWGQ%%i*=k-T|HOR{`Oeg&j`6Ff;B8x-BesY*AUF7HWk~Twper*xhNIM zg)-=rG!+N)MRGAyBo|4fp%df+13?J>CJ&}pB-K+ic)l3y>qBLSi`{2w@UKx`&)uCD zB@p+Kp}d=cr@&K6NOVWb3NdQ*=0OrSN|>k70VXSV^j+YM&{sR~60C1t{is`Ah9xd? z5fMuat+aS4w90SbJb_itc8Sc?|ZB)4hZzO8M&0YNmrtU+3K>mO%B;vTqEma zJyr5CJ!*svKASko{a(mc7si*xRTihQ!zD+M6*=T4l)~ww{~@Z9@YsYif}F^3^?le8 zmf~w!#+}r<0z38B;Jq!{=IT2u4tQUY)=F)&9za}ciL);h1?l5~ZPA5DPb|b*LPF~h zlba ztg<+qFH@u_?kytHy*{XjI@mEKL5baJ(SOANSy+7bmttj+)H{!N|CWFT5MPc=@?;1* zQC=*Xu6GOADE=Z2!3Y}BK%T>Hj}8E9umFCYpxhyH5ku`cz3zMsQGvp5B*Vc)Qn8K6 zSiQH(;;y=f4vM98K!hjjd4gZ!BxQ``(Q(3>W&vR>;brjb)>5Wa#y9UO`=ny)-?+Yc z_Kq9w$Z9_FSbocjgP9Gs{;RgR$LSlybW<{X%iIEYPBp!TyrbXcC~a^TJjxf8p;4z! zpBpz?t7?3S`>|4wk(K%wDQWMUhsBDsN648w^4R!ttgNBU*Ga|huj?)gqU=o#j6E=d zzkmULEAZv*Cq6qjYY1-)o{#v%AXgf66daJ#MW`KULjW=CvvdCAx5~}y%c=F4zt}t! z^EE4n745N%M2d90^EIF;7Z z>5d+JoU&oBF5-y@tEryXhK{KkToF7_~$I~%`&PQPL!uaAM75&UT|I6 z@DHV_C#CwQN3xVpQ%qey0);{^6{?}Fi*p8qo}&mJk9LL3A^^mjI*h)r5zs55kixkF z_R3b zH|`Xylqxu`c+Yun?14P|6}><90F{U>XUOYe{2mD(R)W8FfIt2zUQXFt?BxzBDThT^ zNDEia+shXi|3#orkP0(s<7H_1i#G^Z8;{@bef)<|h;)m0w8jer%A!kr?-xR?igHu| z8rYs%z^4{E-$MXjM!Kt}`!4=xvY2}ed@;j#X#KE^=85u_-f|oeG@UmEeZ7Q>97U_L z$YtL^EE0DE?9thJt4BfACR{;OfBO9=iZ>kvx}f87msP>1Zlr%lII-HqE7@#kfnWtC zq@O1ZvdZ9wV%XP%?O8yw6g~Q&thI zLab-9C^tLKtWy==1mQaAA--(4X3rJ7C$$ZO39Z~oZw zDEE$Om>BZ2klY>xs+|M)lf!Zi~t7LemItX8|D$NBF~t8ls-oy&(V`D zWNQR65W$0{myj>P$rrsW!4WDVr|1lqjBSO9RaP5I883>Jbq~PIm;Nz%CU5+ikD|{k zB&QR`!KjT)OaG)xnWJp;6>&i6WM(WaWlZHUrs`x<;0J8`EKMmZ2|a22(-;x(zd<;N zIG}c!dIi0@V!rX+G3O&fDQ&Q==VfYd_-J{qhIguafQv&)Y+U_4U^X-eO>|JDMwvih zRWM(jhtH7!`k(!z2eP^Zl1;RKkBr$3OD$U8F17JL1;8_+t@LYS2%IioddIp2T`_|{ z8UBE|4YjeCVcd))N-Mk!1r&DRAA&@Jbj*JiW)}6xx`MB3z7jS*3w}1B9(fuUIRN>2 zzF}jYOHv=aBycTM+wVKt?m@CZHi*$q&-wa)sW&MOaaMl;yltSiBQT3Rhu1)Rj?|e% zr;R@u{?c##AGUA7H#MXGrF~fQ|5N+y-~2D_8~^S>fmLoyVjDgZQkeQ@JL=7(rTBJ= zjJuc{I-23`l9Xr|(jd26vHkxMz0Pvb|7^{io;gRgz!C>nlZRA4Rz0fv*CPiG6=d*g zDoeKfneTbkv_CSoaku`Yr%^2ls+lMh0|jt~K)Pvi(w6Z+mWd3{VCv!c;aS`_aO~FS zTN(L$Oy=58gvF78zFU?#pCdohdbMTZ#`S3$E!I#RhCE)4$&J1uE*9C03-^yui&;Ua_J(o5_k|{WaxK zt@deDWTf^cMJpP*Q_lG;)n0wqj?>|=Svv*Tf&xsx;KT{Rcn3>p6LxC_NkIDu5D@WIV||5 z{c1~N&mmz;SKaT0Ev8d!4$eiy+M1K*2#3Ob!#fJwM?Q*!) zv^O%g`q>P>TGps$TFJObDFnA-Q1%eSjGES)QFx4}pG$02D;m{ugudbm3@!Eb>82_F z!>H{X%x1eHH$H!Xk*7hRat;WK2fHF<)T~U;Y^n<}0E}h&sG0&}J$n!=3BrreRr(*s z3_YNoe}L&RQG35P4X&TXc=(;@!==TQ)03AOkQs9NisI$J`fRWDpQ80F_^KCy z*3$Gfa-n4Swz)?1S^oG2O~h(3p~JdE(NHcBP3gjW zrVeZ zB8WHO(SYL@$uT_H5vRZ#r}~XXcc-SH=kClfjFAH?^ouK`9C2zBlf+O((508-@72Sk zU&HX&^OA_QB;JGMa2mTu3thS<-N1+0+7lx>kCdHA#U4=ZuN=P6K%CAZZa}Owx6hgR+^pL{l)yG@vsLC-4IT``)+_!wNlR3jSEPdc?%V zn}Ug^fh5y#jA<0IOq43JUi{ke*NA$Q*k9&XBo?2(kscc3yT)?v6YV_`;vb0|QRzr@ zcT1R5p)4*NOW!&MXPT#R{K!~(aI6Pg4US*Fmfm}#XGBm`oGe~4mcDtc=MtG)>sZR91qSL{8V}<>3VL}- z^0G7;Vpq*86|CjE>iwj8SpL7O{;ryE6So#~s404QcTFO10OhIv6N+J=3a~A&m(?~C zA1VzJCw`Zym-;`m-PYdt|G;*;exj1QZMRFW{lBr@dT;zcwcUPt1T*dbN84@6#`&+U zXY+$E53&hcX0MECcWwH_9d;S6*?Bg|t4-TdeW@v|1+G!P&omPy$|Rs;rb9DDdr0Dq=ar4THps`d z^6~Aw#?I@4e1b`@@|ph3&cM{oMgtOC`J{GUpW?Igle|2g62w|cSw8=@b*-56`D{W~ zENLd%jTSTPw_;lrL0;O<%UXH)cWqUOZGB+k4r!~x&f{5?X&dWHg(6!{Q@+G0q)k`{ zG3|V8E6>{bxF8>I(oZp&62(S;c4MN7GD#kIsu}IPww2e}`Gg>k4^2wRL!(JcvHGz- zu?IgdadW(vTbL~+_NgU2=kOU=KL^!ln#%bVf!oLL7eFz+QC}FL!d&?y12`B2CiWwd?7GPa(McYYt0@@Bu&NEb;VHNvB#!|?%@o%3wDbA3KWOk>jLjikYcRx_)OfL^em+~997Q^-0>mVB^b-9+_v<(AJc2QUcOEkUDn*+N(@eIhrt(Rq9GR(z z@m0g+rCT~-c?A8{bxQ!fo8HbW5dN@4dVf{$?`Sogwzj zJ4yngd`0{cN9 zb0ok%Y{PLs{$SsQ$)VG5w6_4(2cY?z4F-d>WFQWHk-TAg`s2qk&+$?@J(F;Ibp8pq zq2Fsb6-qMcXD+r{uF%uTb@P=d+HC(D(UV`lDawL<g z{g3Rb)_XR#I#TJE$Ym0=FrEIVVfzeZ>#|Ga$P9|BK)ftIA@I`s+%jtZr=0#bNKJQQ z-P99aQ@?-KDZPm@O;Q_=A~iGFrp>IZ3vTZdh@>@doCumF2b#D}D|?K7f!Kx8UprxD z7cbno#Q7zHL}U~|AFTFH8{aw4nKY6&A{c)s(1WBdcotDn`IQDv)Sbx?*38T)LcdqX z&&)Z3ym%E|)U-ZOt8Ha6TJz$t z(FOA~#BDB58+tz*3K3WkSCB4*y{u3Mb+CjkCZ{3wNi}g={VvTB=JJr+Bk_6=s~}T( z@{JZcQ_I>Bx(JK*x3Xq;hSp_7B1?yo-c-z$|0}XC0_&47oFqKXAo>eqEpST@6`{Ss zGzs2vr)y9M%nkm?`~<}UrZi?g;@*hLF|+d$U;_4%OoC}LsPZhNeSmoB+&Rb6(x0o1 zUPx1?NSp-(yl%9Iqjp}goU81FDps-i)QW@2zBLU&Bim(+**kezqfx!DA^FVyJ$tS| za~y{Xr-)N19^Sg!XgxVLpUbA<&-Ne%Wgd--8nFPI9p4@Y4Dq%%ZS4;!=vZ=bXGD1= zc~J5EtGp4xAF*~Lpl>V(ADo+c%I6K4&p8+2%kEZcg7Q!!5#a&!!-qmF1w_v3w)M9D4S|mJw)5+4BkQ3htqyMpRBovEh3of+>z9S= zg>e1laD60PKNzlmBYZgaw7Qo)A9o|ZRJJdsQXbx46ZY8v@E6#(zGzuZAg}@GerN(7 z-WOx5+_1tkBGlAx4{ulEWjRF~sxwH{mGb(zr1DZ(h6GO)%_TKdm#!bWT(ilI>$b}_ zRFmQFh}4wI@LJrsOgW`I`-R8DzLpKAhu;Yk4n)9 z+^_VGY`4Lxf5X$}v!SIq;q_wEaFsNDt_|Y?h2q-ty z=ZYPH?wIHc^bYBceKFAvDV5!bcS-B1u#(Ejt;wOpKFQgDuiws~9h6{09l*?YNp0u? zQVd;?ApzB-FuFiQTvmfN;mgnmD332!uES+~bM$MY--;`w_PMrd7ip7AiklcybY0G6 z+a{fh6Fapn*B0$D(ha?2M9^u#?^oal89Kin68zAh?VPlCWWQ!;nI^o0< z+6ER&`b#(T=Un3#)9%F-np|D9o=mCSa6kN(7c={GE@>}Y-oF8J>$JL~mni2)ay1yu zp+SwUm%O!?MMYFZ6K#0wVnz7$hH%HVuEnx}#Zr7*KztYl!^A~R?&3;i_|WhMagYNM zZBglZG-f2C31D{ZCsm6*R4rCc-DxbpI5ubBhMb~n?!~Is#Yz(7y*Or{os2A6Qa-dE zACIq%kgpxuZ?m1>AYM>vftEUQS%a^?QGDKUr;YJ<+Nd5_%o6e{wk_@szeepMGAJx> z&@{fnB6TS}g={DmS`^Izx}~MRCL$)x(MD0%NsaF_-G7+aXINJ z)v!C@Vb2&e?{+y_ps$cN{Qg9#VUv%SB~({B>V2B}r?FXStD80iHq!Mzf_+vEolL+h z(_uP90W7>Sty`vv9uw#%Aq8 zB41o)?bGz#J^0O+yVXR^vN&~$r7PH6X9r4W zgw}04VJqDbSV&h_Xo%)F*8m7&7^dO2LQ0b=vz}y+JKw|s(qsIQ{LHN-KPks#;#ozl zc<(D2`LQ1BK0(v4=O;{(+pnBKrg7`dvPKM4BcAAlfM5 z$&kM70Gu?~W6ndc*1#p9c`k3H;Rp(?yjJ!aCKRrfya1iNQ%BoVSaX5>J=hCJ;8Icx zYp&Xyl2PFJPodzx?(@Kp>sG@dSe=Z4U(Xb%ub0PCnjGeZ^GFd@2e$Bhm%Zic>0;ys z=ZJ^ZS9B8zB}58NKs!0$WRM=6<%ws-aYx?5+d?m5Z4X>jh7J^Qns~b=j%1^}$`!@K zHH?+%Na}&uxoC>LC@z9b9I|){SYEeoeP<*Pr+Md>(R!@PP;)(}Q6J(Iv37fr8a_}y zohp`xk4ljm>BjKvk=y_9EFAtZ^BIPYMxPFU$qRcJS)I1>kFve zxG)oza{$u7-NIKyJWw**7Dz( zHc&|jmz)kN?;En*mQsLb#P3dAl;u$JYdEQWfI_q(cp=CS${-+%Od6S~p79ki-Jb8J z_kVXECisczPX)v^CgM7(w5MhW${=*1ZI-ZIExF(b&2T-H-{DqE_19R}&-44eYFU#( ze~5KGpFb2-CA6w^SbM-7#J}*sX;f*V$KWA%)ce))b8q!Df8F#u;PW2dfP^(eCpDm{ z9s2=O=os3gY1;#wL&KghmlFqFZu3Wn-yE8)3A{}c18&67X5P?t*fI2m2Kwv{sI=R< z!c>1jfR`%ut0dR0bBhPi2SqExrM1~~(WVW7$LXP3LJ0ysLs6*%8wp?(VMSR%JDX@R zu|&_`guipVb9Ll698j&gI^+>B<5|Z^PiignNn@I%PXaFYxx<*7O8R7A!VW0TrRaPJ z1?e{ldk0-M!u7|yv)?k4(91hm1^RZ?=@7@7Wc0ku5|T3%mxfRpN_JW)IwfQsr~jF>!ugTXGVO@2AUFM&V_rsSRe;8M zd8MpJnf~0Ed0N3dXP?qMEqzERWV_!Zt8f}{z%XCd6VPae3bOaHI|yT6aNpfAK72IB zhsbph=>TsttR&v+cYHJ}G&x5-|iZUxh5LNmLoiR_i4uYD4~G7x?(Yj%7`K^~GJZ1+lr!|@+u z1^3nd8V#sD3H2!Hgzgi{327@pk^jWGPB1D?2m6=F}Op%L=v9>0Ho1*nJbIM?7h^JWJHdSSPDS%m-+Pn4zdzi)Ruy2v~ zMRx^RMRZrlmHmbSjAVLA5W64Q25P-nm#$_{Tu)Ah1A9REQbPT6DzP5`ObLuRA?)3c zlg0Z-P$_IN$GVr0pHH0P&sYB!X>SAHRDJJ{f6vJ| zd1z14BrPe>6q7?qQ*E&c4^6ezG;K=xGsEXBbF5l7vv_m*Hw`5Es@RUWkN zhN_5K6$?YXV_T@Uw4-d5?JDfT#&9Y)(29V7wCDf+o)iSO`~SaQzrQbO&g1udA3xvE z?(Br3=PztUPmqRSaD9FKk`@ofN5W{`k0L%ZMqnr#2ol9ysFh@-veJVx=mH< zz*nU@t99x#HZnQ!%&%{JhMY$dsKH&ZIHn<+G&VWboDwkW!h%jULkYKNWvE(bTBuZa zVJby(lOwgv;5%D8wx|D&Y|~TnXXpm9gTtPAkU=H}8r^Uz?=;z2{7N$aHAj8__$&CC z^*GX2}!GK zq1nAc}xME$yk0dGMtb3UPpvg&+vY)aGf0S++*eB(fxm(!< zB9z|JCa-<0P0bJ8*d`vAW&Z8<8{4$&rZ)9X`NuXj+iqx6@48#sqv+93sOOMgby6xIN*?a9LD3tun%RpHsf~pX0 zI{arGP`BrL4q*tZF;7rwmtEro=5=_f$fxNo9b+xJ96p5WV7h54{wyo|vE;R^)1%e8Ubwhes z1+i;tFcZWaeV(OhMT#oz>*!y*Pqi|Eae;hpsj-A%;)^cLRgMsKdj}rMQ3c)T2yb9zpLt%Fg-?+w!vH z+Meil_vE-G|9s*0f_vG%*Cf+>pZ5zs^XyH^|CAa}%8J(nM|`Q0E>&nAlO;h@{+=W( zgI9sD7Lc9$C1Hcm`nr0Hi+NoW8(O3Mm9(|iwCHLiwj%fULeeX>%Jq^^(DJqY2Thge_4naSsN&vy7S3!K!`CBP8`5C$LA zgoUZaq+{7#OYe$C)OUuI=8S0@Ol-yS(g~>2^o4mOm_=>100tww*9tIZl#R zH-Dzu0pY1mFM#me37Mkz{HT&8wtTv~us0$qc1$GDu=l$B=uSb05!BIsy5Eiyqy$3D1QpkvCr`ql@I{sVoAP=o#Fe`&- z6dD23NG-UGWNV4Q7FLH!b&*mwP?{3krtdB#-KC6Jst`-nVyQ+fO%h9!#Zo~m)y8(| z$<9Z>{=h@3DTZW6R1$12e%LbI{gMvZ|`Y_8&UiyyUujZUarF#xb`^ zoynF86b`afEC1EWe^bO#y;zzimW~%lpfoj5njR?4cx#8$UTSDB9TzS&hD%N1(uwV* z6T-12n|>~3xT~r=wNsN8yu2&vVvQ=P*r~XaE)9j#Yj&ewcOBop8L<#RqLKG8XtBV^ zS$ZtdZh+7kd!OVW0s1x7*Qdok)<08l3981g6SVc2_AyG1)185Zd-;Xxl!Y^e@4uSy zi|&9BzHeB0S)w+LDt2&2Aq$0seOxrK7$5_|h*St5fSgqAa6LO%j{ObRk7ZABE>quC z$!;oWSJ+c?Oe@)-QGTCVq|=IEXeJ&HbGJx~Bs(G7yD_(%v1zp`-XN&>1)U3u! z)H?|ANV3gc0V6X!D8e39yN7S5mUki>--WP8Td#y|zUR%RYHW5%J)UI883`x~HC1bB z+>gv&hj3X>iU-DY1*uU#qS?fH3`hjLyf);~sg`)=SYZ}BE(^)VISy~pFkGb9RjsbC zZM=j^Ocb2LtgxRE{iK@_y8Wz^(K`JKk z!TJg7Ps`oy^rxD?rN{V&UR}~(DZ4`{tcv{=cli_pVjo=hrI`yr}}Wd>;rx^T)pbJIOmFH6v|lq6+-9|H~>& z9jgMr`C1jc^KPmFS;^kM9uml=EJ+*VBHdkPa+z=(Pc_m&e>O6LaQ2sbpDia8=R_Nkz98{mZ5l2@$t$3%4Gn z4t^9+GIuQae%*$dT{4NXrB1O;OS6@-bq{m$cZp@q7-E7g|D4VJrQpVEeU~Lc-$w&# z;-Cjz_@|fW_wx`iP7F9sS6;4lluAcSG}hznHiHZxdWLDFH3>Qe>(|n`L*{(d*5D^@E`MP8q+rn%aBN2ze9_D@{ku_mF`NTiCmm-u z!qba+n_dwj?Jvc)6*K$k$x-$YJWG%Yjqj4Wr}CXs)$CN}?Yl~VL711~=#`qE{*u~7 zT96dLU}wm*MDw7#b~OJD(aJ|kPE~#fG9h?gidAVBO`2Vd6z06+3z*uSQ+ewi*#Ccl zZ&`Oqa6dtmbDoy@HD!jeIQP+C!P9bvl8Eot0cUz#BX(vnTWKASv$C%G4zABaLS~LN z^FFh@{ubYtpJBn$1Nn4%A&Bu>w!nEx=F8MVSAIax`}7^XSg6x)Uz~wens}~3z$@W& zx>VQZe+-quoBF$ELId7=QnDGfHs|ei9(X%8_sPCK+TLG*a8RGO$@6`3n=l>4C*j2U}aX1Iu*?RMf;H(!J>X7?$kGVm;_J-fMw!kdN-l?85#xx z?%osp;dloso-stDJ=QZdbYd_yqvD~ZJ|^%cL42hp%aYSH1nbMHK8O)bzEwvY7DO#{ z>YEUH^R(WLTsBBqSf`I8qm7&tuixsvmd&QA9ad}0qpK|78R{%;)V_0Fw#>&|e#a^6 zU6JV`VX6|0-juI$7<+rv*bIQ07S#vEqd9p|cCZ5u5=5l%DUx`x3nd3rUO1~Kquj?hdmbp`&KHAe-CYK%sufphX zQ$``mYn*_01AH97Yw(^gY{rCvFQ1qiC2u~?zXE_Tl#~ol`&uv-Gv2;0*PV^~%=L_0 zT~br^NR6Bx2x@R-5u&ROT(KN})gVAGzRF$#V|tUQ2O?}!0eq|JdZi(wI9)@J-C&#v z)n2ZG_L5k#XYdOl^!-@^E>(rEr0MZ^@X|#veQi(2gUc`GI4ry3ow22F{}-$LP_nMX z95_UnKff#2%<-2Ifs`B{sd6k@Gw?WD%3Mb>z+}6&dGXWHBt!IMbddSO2z;TUZM^tJ zuoH>vTFg|N3o|0~TUuVT<%^(`D;XGRSmWr9gE3rH|46=^BeQ84lb>jY96zy0@I9V? zzus*xf$|;d*rw?WwXpdfp{Op%KWXO8U(^|?T!AeA=2S)5b8-oLH*3Ndl9F12%0gqKG-&D7h265$1(5F>4mJ2!ZvDA z-9yi=SzF|ZL-Wxv+eS`1PSqT>?)#mftHb2qskw zuIII1P+AB#MNFZKx##Q5dR9xU4I_kbEcD<;neyB~9mo)9!cgg-|Ge}rd3{;0N^C7- zXOV00Ob9+^l`6+KQjVgd)A5r_;4z9-umoej5I8u+&4FifF+&yVV@jZlVp`=H$;U_E zkG|kign9wRS`&&Ta3@aSI~PTbV^o4ipdD1r6>P#WNXVaLb77H-rF}5KF>%M_jC23&*Aw zJV+D$1s6!T5v&`PIX`g4NK}8aR+Zx@w8~M8TyxFkzy2E8sID**sHxJqT`$h#;Izi_ zZvYj`6ix<5_x`{-yAjIKEzY@JXse z5M!Hr6d38otLl;?wRys+)ng1W=FBk00r7-%z8l!><1OTaBz1t0xopQT1XeI<7~8l< zZBx?gW@ud&4w(yXn)Afif%>5)9r2sF6JRi8S)nQ35tW*dcAQaMjX*<@+ZnamGJDr zd|?W`!r-NVn*da!{Dc%n8H72Q59F9W8b>S3(KKHW{9R?sU!DKlb@7Ze-K8O7Cs?1M z9va54cE4|7-k`n6lu0?O?{+r6FLo05G`+{n6v&tG`erDDTR`~!`GxGdF1@>@D zT|C%gvbvm#vuO31S`)5$Ivc%e^um2Se& zwrs5}c~jb$vtbW2JLk1&_F4gODa=7J$H9D$mRnyNvXz;3aP~@Ht;^xbqT>h+8NQHA z+?e-S25>H#5=?&ZF}F{CO$=Ht%I`#X_-ZlqS&3IdR%IYY04f)#D+B%aZc*4*_itiq zs5GQrCyQ*LvfDob$8v(EVM{1a1RcFUADSc*M8a=L1lVYwbzbmujT%iFpZ4uM4l-WpM`1Bm~HNC%JZESLm7V$vHGT?!Z z3eF@39|K?w%E*rK{R!J)7ZG>#zkzs=u@aw2MZ3`>h|OdWg2Be`lBZ1 z<6S6SD6{9ea-R^Nn8y5tp1X2=c~$f4OP7}|y5F^=aA~;;kBq(W;Vmy5rsrzLwZbE$ zRr%|SmKQIY>zSKYxRUmc>|vA8YxE&BcuIOSw3rpe^k7(WKA4Vmyd?c*-3ITpr6V#$ zw51oc^Wx-mu`V6@jgzBvL;FrY;+6Fy9;zSlLO+5~=9~2+&BNay> z`*XrN(sXs_EUU-O7|srM6uuPzCKaOQ%u?mrU}i900h@vSkbR zj%`DRnwCFv1gOu;<={>NuH#Pvb`-fa64>C!#CwNJ7m47ejw#YR7I@pZeC>Mg!0^(J51 zva}-F_kqzoIR++$8FRW7V|t+fh_xK-M^z=+zc6{9J6mV$q}w-d{j#13?zqy}qdYG) z8W9%TyzOkA^VzMHf^ln3F%#AZ5sj{0qeYicrd>`w37Yh%qdD`-cbJ_HsQ2E5b}w#v z=%RQ27Z$Rnu0kjC1sPwenV|jx0%%R-N|S}L*d68nlc3%EjB2(np2s|mZNH@20OXs$(8)Enx15*Eh<2jO2_~}6Z$N*B6a8V`^mg1roG-XU zm2WJOXFMd_wTb7hTzk$byqCROF1=)xd~4|yTCR0v;CJ@c3pcMalM_ zu4-KLnR-6kqzOz(4|*k9Uy-k966wHehr5g=RrEDamQ*m^RE5Yo$=0i@H$^u$D6`YY z7A1YhSzaNR+ao+)FnxS&GL}w3rihQ;Lmr-W-0K6d> zkiE^}`$n&O3O)1z`fT)YmFQ!mkJ#Rayixc_;vzvmg?u6|lwG@kcfdf8y_2|i|Mh!` z^QG6$Yd~)(F9L*=LU>Oe3&lJN?`sQ;i#fN zO-*dSD6Q)~XllAzPr5F;lp3b)y__nObt#xSz02}R)I=ZoaSPNqiOgO@99#!k3Z9bBn zc1Er~X37|IDfcCPKicDBsqd>2uXjqvJXo^~!2(_nNBZwvUVR2%T4Q(J(of((N2dR2 z^j-`8p$s`UtV|F(JROr0CBg3I{karvcQNYJW2;ae@^=~3`h9U*4G8V^k@D#83g`=k zNXU}j5DPESFaKJcpBb2+;TGfKd^3D?1d48?lZTOQ`3hvfaZ)muF&cVB38*IuYJhV- z@dPqj+|VW;TWF};gElok1E4rqegqH)wZS=uCW9206@3o+Ch)a799`yJ+t?7lAu)bMB_6dlw6Z)p`c`%e<}q-pgBr82XqDIS;c#DISIVx!!Jnt zzm=GOe*<4|Tpl}63)#g$F5|NGpQxD}ftnS^{E;nT3#yPm*@B#U8fGrPSmj-O&2d|< z8}TM1{|D-+ZzA|_DSTyD;a@fWSx4mVf*J9s)y{r!Me3l*K!pZv{o$Hr@q(F(VkHz+ zPHtN1UF z2oz8>vi78I?pq1|{rW~qbu)5qbL#gn0(|zITI+Fiw9-OiCoSaURI+a>(n103Ly&EPOg`r*YY{Dcwf>+UGPb zcEeL#pO%0{bq3Fkz}2{`uj?f{7d0wxCQ<6d&1X~IF)n*9$%(R`;(Y9ev>kZPGYS`Dndj`OWx+_DL!1>2BV)ZtH>%Z~ z%NxgPZ7yvSVc@C=-fDf<_w17e0ja=KdJCZ7w2RC|S(MNYOwLmi&BM>o=uK1DZZ`fUEx=G;S^`auNSF`#p5*80v>o8D-1Pp(^Kaxu*|Fucuwm&$1l_ueTu@&4tz z%oNyc?eG;Ib&0NrO~|yPpw8)_M_4~}?NHyL<1Dglc56)QHKzMDmyCrBd)uU*+X{z? zVO9}yh&vEtN&LK+mzo_i(mZ@t68BqxD?7w#*%k%OPL4}+skY_!xKc;d@%<%rguR%1 z@M=X~N)_XKEPhYic|Z64>P2b%cs`Vus%5k5G8!HWr*k>A$I|C&&7DH2Fg`NZ$GjV- zeNl@f?=ml*aCJc!GwL*>Xu*PWaWH!^9+^Ak9t6;m3 zY5LfH6FrCB1iY_NYsE$ppnL+h6&{rlNzGsSQvI8TMcz_YbGT^vedx#t1F|vS>fF0u}Tt_3|SDj{VuqIE}o5DdMA!a)A#U^pFBlt7k)WwNr zPV8Zr54+F~sMDZ+GC-OYdN;F(Mi=;>0#I03FjvvB-mYC_ta6^tjLvWwu$t@V)s^$D zTA|?4@!*&LMyo&g?}OEFTG1kOo$}5%+3n=LmTq}RjEK|FW*uUe)g+0TckPV;{0$b? zKxU!9cJb=QjuRd|=W-8DFKq0SwI_OH`WS4-{U9%k+UyOZgZZ2=@g#zzkSOoeigSbG zO%HHzR-L4DPU4Y)OME~HC02pcfhmi0%HD5A^K^$NDcMOpTRo8+k28B{<&k}Hy2~@$ z7)`}mIkn<&^~B(>zfEjE->pc@gDNQ1*>TE3<9&o(p!5VLLN3QRCuZVYiqr-_+<@9x z&k7GNGBrlBpEBFsjSHja)@k)A)zS6bO{SrI7tffXrJqZuet~mcL^!xHNBy zdkAx_ddJPaN)WFPalt<#2}3AV-=K)38g{Zm;kJnz#YY3nJ%vV(#}-g<0gvEO@E(tr zhF*JgK!DMz*m*v2UJ9sdB{ojSDXCZf&60X6IJ-_r?bc@b2?3<<+^k-UWY>Y*l*&Em znVO2s4olMmS~UUVJ6Datv>uflMIAdsEym;-9=PunFCO#&DJu zV@sJk>Fh)_!8!X>C#yx64{V%ZxSec@2yM?ahKL4Oi!9XA+3SS|!7(6WGWW_$>SiEk z>#}dDdH91-xTPZbQWK(L3&J?xRCt5080Sf27fm{9ZtjJ@w-2(1FRSvX zpuuWfc1YDTV?16huiTIh-7g2 z7zHR6Kmi)&)y?9!Y6aKE326AobW|}>qeXamCQIo6kMxdgf60-`Q?+A3V=~VOGhL|A zh&8ml``Q}v!jtjZ8oECrlY=(^IY&B8qfE#<6_i?|hwk87)I?ocXsmO?QK!CJ-HEX9 z?v6IqOrfwFX*N$uSX5qMzJX4REhpgSs);E+8WzWuGXx^5iH!Q7L_e>NMR%!Y{*k`t z{X{}qpu%n$J_5#+#5xY&xQ-{$b=+ZGm%iKPwAnl}v5v!K!qyG#x2$C4|NoVIA~416 z!oM2)!+JPfa$S;xpKl@JZuDx=G=yczkEb*Dywr6H zZfP(-kCamuBcbA;d?7xTZ|Q9rwf>N@MWaQEKa~a3%3ZGAo`Hvh|$!kH9e*^m1<3C+8hV_ z(wuh!V(?S0YnC_#s2herq_N(>=_A$ z)sBxO>Z9}CNN~4``SA4yx2Zr?Ut#)G=~8(VGgM3Ks+0kKhU&ow?3swAg>dH>eBCu4 zp$H1EfiZr z2)_1y!dTyZ-5!7(`VOvQDdzCbNys(Uu{%%a{g|n;`V4kQMOoYvF#dMIq6mmtp0@ln zM2hCWG60Z6Mrk?@Lm>FA{6NX++M|`1k$!tbc@}8P2b~)y+1YasBsDdyiTD9A&WHWl zkWLx$>)`3>@u%2Dd69naRg5)~q2*lGT+Tgh?lqmU8IcqTOl`+mwQ$Lxw!kQmKm1EQ zLjB(c2qHBxJcm1K3$}1E23-pOtb7__NW`sf5E`;W3=^8AsS>KDco^2>O>zmY$sz-n z;^cjgiJ2U>mwV|)J((P2b{-8+=^bWHo6k~r?LRWnU)zN$XLJE{{3+O00Hj?(=WJr* zxU`yX9YDfEyWxkz(jfPaPFV68{vPyb@4dYB;mcT#3qbZ5kNn;Zym8|~c@M^W;3ueP z%)60Srb7ftJjyT=Xr2rl5=mNsjO#cj+ob~T zaSQL^>x@Zzryy1gjBy{Ztel)+3%S!0R@ILF1b2I_o^bbl6@HLAgq^}`Sil;?>5`+4 z0k|6q!e2jxbF~KH=4~g$T`Zecw>fDr*0h4Dsdpf%+N9^Ai>r)2?ZUJbb^0tH%d+F5 z?{CS!<9X85#l&_xlxj$$+4&h7rfDCu>m7%ZBYQ32;>NAbS3O3WqVP3zjMeG6^pE+v z%`Vl)Gl2i1CZ9h0&67#Mra%kM!eu21Mtrz6N%h9a|54 z`rL1xOa`A!WIhR449tLIw&7@ru=Kd1KeHH24ikL^Gr(o|VJ_f{HqvA2%OI?G`UQX- z6l?n(7{;0-CG>{%JDD7|D;TbnJFCkT^DMA$l=( zBD?JeAP=cTSSn0r9+xa9+sGHYob%G2nCh}JE9fT$_<}g+jq3_~4&6DM=@FTLNCH11 z%+DxI###}4pbNb;`?_~KW7h?{;Vp9$Q$;Pzr>$_T_%L$0?@~g!NFx-eT^VDyOv3ay zAy1EJ#P0s69h^e%kuj%>j*~Y{f;_lf49`Z|Y+=qsp*% zvM|Tpz&ug2Ts51Qsou@{=6xYosz;F-P?!PifRNgNBIDY@#KggDrb<*B-ClhK(a&mA z|FBe;0@4F`rE9uX+35qgL8`;Gn$z2lbD$Cm(-&H28lT%%kgv+)dfS+mX{0BM@dzma zL6<1D?z}UA+=@bK-Ge!G<+iY3hzMiet#upH#ad-V(6$TIL`*|bcBtE0TH%EuSzF@Tja;fd7zARs)Vbb4%IPE571QM#83BJ4&^H8GDWp!sLPa*@inc0+~g zjGa(x>B_R`3)-E+1VLT>S-6CalrZfj1W>_?nVOoVp%R5h%X&&UU?Vt7cp60G8N9Ri zHxlv^+H-fBExYsWii`&Y2kiKPU2z*XxTI<^W+V@+mR<@K7_ZsZ+ zpG(RC3jcaQA}2ua33TV6uh7;)7lfTjR>fhKA5z#AU?`p-NPmKc$|M90H7cUQG50kV z<7V|3M55H@7o{9#vuBi9IchoAMxAGLk(_m>RvSTZ)%Y4U3A!;8OeYz^DR53b$KQo{+Yv!xm>)9G z!otOo5d@4F*3Hl%ix>Fr9T%;G5>i$(wUpI1Ir#yjKwK#r)-<2@DZ0`GeKD*7aEx0j z_3?0DRGtF!oTmdQh)Mf3s*3C)Y>W|?kiGe-J$Dk|ln8|>y#c76nD5aNVQlI_XEs+N zZ&b@~C@n8eX#t{!Jg-8vOt_Y?wR|A}+y`oN9EXrT>o}MDf&h)OcPdoMG(4)@j;)t2 zIM!eUc_nafA_j5LR6#7*SHT;zSn3+OtXvEhIF_+eKCz;Emof_ZxJ2F}h3D$Ir3x%1GS&96vMx?wLvO zFQAyQ@WC%&(Lz6YUqFvHPHvvYV6&l1lYa%{aHHbk#Q%)mdx8)K|5&Re0frn%^s^N- z42qi1P^~eA9K5MDA_7L+7t+6RI>Udo`YV=KptFSL~*TRl6FAl~~Ob#6~oh3PKdF zHreCGYk9cDlK0j#Ewqe0APJ7C(R}P|f>1S-aRNLFRSBK0#SW_QA$7WwV-QA^2oA{4 zpMC-wwEP-E|2p__%&{;+8;)A{uGb$iL)*utc7?!jOk}8}Ec8xbz&_$XOoa)|P0R-_ zV$*>_$$M4`hUo+846urUj%_wAOP^@2->_w%oz>P&cu%-pNbU}(x;;XtN85KsTK!|m zWmT(OipKXZKkuygX0#^6}nvw&0hHD30;$Yu@&w8F!{zZb;0qTb_rUFkT*bFU~ zI56$Gpn6K3r<)DGTX00Cp7TyE(|jB08))ep-P7Ot2j;6m@Y*-O_T|(Kyo19)(gtz44NCg0;CH%uYncy z)o6b%)Ci5NSrr{hwm>m2R;%Pxc`~rm3aq~y^$?(Bb$ZUc#H+bco`N36Sqt?b+x+hr z;k=!eKYe}jG^Tw=9FDC_MnG*11XZ$SNd6}D^{f^-AT1~=jWw$BE$5?pRksH7`&$XH zA%3{$k9{-p;?tp6V)gLh{dzXWg(@|XO7$Y*#^zBzus%PiU;9jQN#!>$SrE_?V%FvEu^{rr0~TG6l?!+^gtfRQ zTNbkFiprAUgHj7iqK{-ZYZ7NP`2{Ti<4Hq2y|8FAjpq|$_BFX7606C*ShR%#BW%CC ze_+$;w>0YxzV+^EEi_9#NCc_KsXQ=~ZJ9$3AlJ`*L{n0v{itJHkB-Us;@n`X>3b8| z!JVcP6NH~(Aq#H`TBKw5I{<&FJFrXRXAg9*^e;Iut^U9} zT2Jh!2d1k6vD!to7+wE_ZME_Tgd0EY41d&~DPR8R+IM%>qE4}{_-n7mVryO&E8}^F z1Mehvqp-X2m0GmLuO~(E*ZzP6$$kGL<^F9}hq0<;>*_iDmS1EY<>mU7zblz?mA1hj z*t)819gBoNy==Z;c@6X1)*VOD*|o_|=c@J+Edi4u44iD?wm%E32 zB^4|6h#Z3_Jb)k}@il=9M@l8ckYU(wL2I^`=*9TmtcBeTw!ubTG_Cs9rhma^nO2wo z#>J6mXz^`!!^Lw?R9P=xXlWW|$Vo%f3=iSFGh;ky(yJDR>B+1NS@&Fu>%J?#ESV_YR5?kc#O%t{k zuDiTi<5&&^1R=%67BWY+!wp7DG;if;g%_BArTq)k98t3ow=dAvz z-&sZuyAcZ#kFN=iTvb~mF-c=hrl%Xs6OX5y+LR%E_PX%XToL*+JVy}biHtPK;ADNc zHS2}1c5cr-l~J?Y8o}LH)o;DBJ)^CStg*RSU}U(~^+5ZQ-0he06t}9xC`~;I4UIMP z%z(kpQk=++2sRwm5?y_6mD#6-Qy7xvEKIuxRJeN7(ql1oGa=lsgG2+H$NLg;sI$GB z&mJ(E@kG(+{(pT<03?W@je<(e0CY5xP)D_3LBOHj;QmC05}1?V(nkNTCSCCWnNi{9 zR1fM}Ee-m5mM-c#{aTYR`bX@r`c?&i24b!HE#nKYwdzM4wXXpxL?L8E_psayAqk;P zrn+mtib$2|5jy4bq#HF!xU1}l%iUz`vE|EYTu`|`P3B{l$AraWDFh&^RI zu3&WxGQNWC%_kNzeY&kQmH!XQxZZ4ucIw!3It3xqParFwMB^Otkx0a~2FEyX8hJp7 zsHRO6jU42&Z=43OH^rp+VWTYnY$VFRQ*oq~z%vl_8NSy-owFLD7(Ee=4S#h+a_Uk& zy0K*89-Se$F=9pKpt36zv)|p&5xB*%xfkYLTXo zQo&SHDl6W}V83pMGr@Kg8;odn-qsx(-u0@!J9gsjXW2e0fop-#yq5I6C^6e4QKxe1 zlu*ON9-B>o_6D5M@}JYLI(p~ndnq>o1j`_>&~+M{eufPZ$2DyEBw|i2(9eg1Rto=| zbS&UY3B-m$R06RR3)9r6DQ3^)W)^xYel-oQqasP~r%1WH{Lba~F28Mgin4`%0Q>om zN%?wuD5N4U8`$ahkt-3E`wsrWO-buI zBrzo#12kahw~}iznXGqL_0YtYR4)asU!G!L+M<%>jz_IM26K)9Vb^)ulBv7zE`on% zVXf!AG-P?4U7299dmjKZfXp{o_Eni@nGmlJ^M(j-4DhM;{WS0q5ughUG!9hee-|-x zb?SgDA|{eLj%kK^Vwh=ZVl9;D=F;XxB-nigSlyL^3cB@Ht2z_V9By^Qt#R8C(4`E! zRW+fKCYK?<&;kMtx_nnrgD&jWH@#2M8&|o{ud2BKtec0*?wb~N8*1$mPQq@ZXj0=K z!xMI=dV*?;Z%ys!FEONUgq?Z~q8k|1fNuoouEpaU8U{=I#4$xt8Bh;;y;it~meD zg6~&{-6<6{7m%Xwp#}JTXhGvepgsrONx+W`xRa6C$n}9ebFRQlcrgy6W$mnex_DXK zo+&7PD>8a|4Y5yx#d>~+(V)&GVw+P>?jXN?FT3qR`5O|H8LubCeWsRM64eRVB&Bvww?PK|Q2yp0z2{D5%(Hwso5adU} zpbS{mCEJYK#BI)Y&x~!6ZS9BHZC95!ieL-JOOo#It8JHCyv)X z_e>_96{KegkvVZX<&!~g)P{cOpY`-pl;%?nt?VPs^2sR2#Xy}xwZQ!jDkY3PiRXGK zlT1MN_q78LYi$kRtC3ic{~DC*O(T^*`&`YdI+SWb!#Im8jA^3QPc~?6>bJ-SMge9FUvt=va%@@2q zQC-CcrzFH>8X&U*{e*f^`JUP@XxNA851ieoR%Gj^SzQyQ;S#oa!{~MI-6hedpji|a;&kPJ17<+Z#42sZ5Y74yg}4?0 zNbp2^&xxSZ#y(RnUvlEg#Uf_VZ!7vqo&PWP$C-zB#6d1;lq@?^Xgs-?JGq$enJW}( zopT|AdKRky`s>Kko~k^OAcVQ4%CnNWlBg};byT-vW=Du=IHIU57v^cOK(47VOr=8WvAK|R#0APUVJAM&D$;alP=_z;x zb02<9JVrjht){K*{FXgRD#YZsoe!}>gK=NbHglTI#@3lmeVEEdjQkc?M*hq3SBxzH zoHFv=M(+QVdIJ1^?d}d>5hBltEso3ZeGm1x@)yPz8JGRyqeqP`fT*JM;Jft%bv)ZL z4iV>&uTKfd1l$c3J|2d^Mf>P8@{v6vn9A7XYwPNOPgnlqq6%#gDywTkWFLq^V%$~{!( z2_W|aNWy=QMvPoIl`CZb&)RT+M*7I@kd=mt=BuE1{RRlXh_FntdVyb zIShq!F@}>Hpz+dQ%3(uBHDfun{w8J>>mvaIam_K*)UGluQHiM>3)74)JO7753Wik7 zuWKGYQ?juZW*dVWi#_{~#_BU&LP`yQx( z041@{W9H#$StZPRiaGOO^jG0bK7?IayLK~ktRdKiU@NQ|_;K6}BGK3ha)mk$SSgyH z?7+?w)&#wz`W2(qLP^gM`Ym+L-Sj*C0>1M3molQ>`QS=wpuE{ofjLU`XlfP$ zN)wDf9Qw(-2ZoDiCv5khP>%W!Qa|>>8#cO*!yzNTY+90?t(g|bfe5UgiDolKc~Jtgp4NE za3T(c>W}tKV->_Pe|Og|(a04T5hcT{rt(n91*qY&r<-6u(IRb)`#k@RMC&=WO02VX zw|tATEZAc?d7mBg^`>sAlp6T5w1<%1ZpKI1h!5;FF8E$6Y zH>llBLrjwt&Z(qpcd;(4(?j+N=#qRTaBtT3x}~$M{AlxQ@UpoHrgx4LdG-?SWn_*A zGZ?<57rrA&lr3kpv3HKV*P0K{)bdqC6#EeMo}jJ zv;<3ldJ;e?OWH}2lL!&SM-rxosNl~99C}C{3HSu+-s`#_XbQk1!j01L#4~)olmVv45M2#DGqQ}kSLeoqJ$2ISitfJBy)AUPyk6YQ)qL&{~)zm)% zQM_rIrRJBGd^oNdy4~t`?{Ta?2cZ3aMYmgn-)?u3{5RP&$Zh2W^-f+SWYs%s5Xnuw z-^_-PS^swXcz}C|1j-nLWvylHW{urkFTC8&ZZT<|6#9#TD@L$j6b8wX{h4StUJ@ z(NGzFKwtPk;Tz6*x%;?qt{8opQZIoA>FR^pEk=C_0tnxk!$9Swj;ydi!Q8lUi*Z@! zBu#LlQqT_k4!n3iX?)^sqm|X=7SvREa;HS^x36e?7;cbAw+DS$J$5MOl^3u`kN!@a zsyzIoBvat`z00JdKPtZ)iI7dsucNJ}oTpv=$pg-uWUn5pg|Cy!r_-up0YR(npog<+ zvRNT()(IWHV0xU0xk~4o7wJbXA;CExq919aAL;u<@|ay6PX}_X(PrA5I8Os#&=}{N zmkQdccF^~R>3uGM!X6azIPVXzk@81L-$znNr!S~W3UgXvJY5}S+W);vdJCB++D!xJ zqRyRfbgr;;>v9+VaH{qA%dFWHw-Cg$XY09)d}pwwar0Ec@gd(1hJAO#&40i6r^v{2cuBhu^K&GU}x1hcjh=JXzYdc z6Eehzk_{`FS25g%b|ncZ6%?mHUVzOU_KoZDz3DYyF>ie3*!yxlJ|5khA=b}Ce0wk` zbAK!9}jO!BFz$m7Qzb)Ac^Cb=hpTU^IbrqMK?_4oqQBgO`08VvK)5Wp`OAT(N z-PHy*5rZK6)v(pMY<2zs*X%}UnfE2IjFaXRH3L4gdXjlEuIyqc#{+Ga!utyt_4)=V zRLFE;yxC%vZ3BPOYBVWY{NAK$Ft?t>p}{L^$-$*-?esz&WI zohD6#DQQ|n#kQ+RNQHI)K#USC^dkC?{|er0eM+LLQI^zv<>O`_4x2P4w2sZHK%NOt zR`0KwYdaGmHP}~#_42NE)cQf)=zt-jMZSsbdXw5yXPGV-1G(wGVu8Rt)y=M_f~6(B zK4|yY#7diUUP#CyNO$4SN|@cpinVvD^DL|4o4+9uv+4HMrl$C(<2gZ3XAUvtk2Hq6 zwYlv=R%dJ!8e&kp?zy?`{w$1@N64z2{?)9%DXREI$IxN$VtvKiMjF?b{QH##e`WuO zExRIeV8k@u*nZ%uX`89@z_+G9P3%5!#dP;=?19UsRpZ11mrR{j=Yem6yDy^a?3)xV4f z|MevL@H3`w1D&xpB5HGKniS(-g)FC#B|NgokB#1mk^6Tkl*b~e2&&btCSf&GGp#A4 zRwU+QL_J2tn-4JMk4PXIy;g!DV72;Hk8q}0$&r|TLlax>Af37C?Rl^o#Dm+C^Mr1E zB5w$@!=Kfhj0l@yJ8Kc*!5ULZ*Rac3$hF83(y-nKw7C@vIMYiXeXwH~rDINpW9%w< zTJmbfuC+wt!B%0bbDLH1pZ<}}P4CWA(6;{a4Fk7AJ{WA&VPL95GeK2GK z+qk~K_!O3dFoo({{aMOvD7IPuJm9=AsZtJ!cDkGgQs)-Jz!{t-)jl{JiIMhLQu_*S zV^M<=`?|9E8>Vl9#Hi`Rhn=G0K&s7IL*VKYR7l$w4GUT!rtu#wdMD}KqJgB|^Gu5l zoP41Z&IP`r*-q#jDld=b@X^EcJ2kZzNAnbgO1!#y&TxB-bjFf8S8&^kT2&j122^nC zR!Z>1?l#}?u7l^0X2WH7MDsyEt6i*lQk{`Sbg2g;UVVq#%bC)CCD;OJ+S*6`P$>s6 zf4&@TE!yaWR*4WPPC?F2TwZ;;Hj(w~gOU-b=(Ku4M>x(@qgLlR#fc$fepsAvR|}aH z-~4#Fy;!w{x6F@ko{?dBI3CQ-+?pBIq_k^vi3zwC_TL{9<#NL2>fb3g<#V06fc}Yp z_^P60{P4MsDS?ixVW+iXiPguQT%jjr@tU$YDKog;4!5=D`(^oJ{C3Gxoh5c;ovWT= znQXmXI#+EI?o{WAnHpD@smBGC(GFAb?G+XC;~y?i*jK`uq*X4P%(i??LQq)L#X|w^ zWPrD``6P~es>xrFYd$!LeNi6AbV6uH{KIa75g(SvdvnMcGMmW5@rUMDRK!1Qb_AE* zg=n+Z=Y?@jwHAO9V_bGaNxm=5?S1M#Fv{n}#hUEB!3|3$6rFC{qF?>G1mK@l4^u$< zuuq^mexJ5jnZArmrkJG8#dN`}UP_xn%bI|YB4`qtwqUDmgi>7Dl8B}C z53a2!#Xp-Rm=VoKPp?hWm#?3TSb_RA2*Ft0FqoiYRYs?(;o2EwVkI z=kxISgx;5P&-?kkzhuv-D65QAsB!`wW1lKyD5v7-NgO|KD6_}BADvv`s|+0js7@Sj zab75z!l_?I_L)h|FA;mrs62aQYbp*gL7%gHDemx9Z+eawo74%Ly^Q{nJNirJ1#*;a z>G=&E6M`KXojGZM=1psaaA%~m%JKM$S2nd(nRznE?WVP90kx)SUHjt& zz$q4>^J-&Q{vWUm5qS|Obl@pD04oCY*0=^(%up!OEcX=vH*Zbj01vGOIK?czDHM(4 z2zK}?jg=mEVnJnlsmB&8lYN0Iht+5`dG^Ka=`$=4rd7>=iO5p~2CkS?Bo79XG3Omd zPb;1L=zvPurc!mO)D0>Wn#+qpueE_W6oI3k5Ae1!>R$E8s1USyCjH0m*|fsJFWv#y6M>UnU~S~UQOtxo|c z?=NwvUuTr?O|-C3?hvVne1%Qa{IX;b_s$}8-yb6g{Z3!uAj#9r;CdQ?4<;3^U`k6w z2q!RctTfpdefd$s%(^5-VGh;BNNL(HVJZt{=>ud)CpYKUvmEJi z{jDZp^Eb>{59%>`OBm(UqscLGLQo`jlhJK5c*UfEI4a;*EOgB&&-bsRvml&biMU_c zg0SHm&pI$?H?^2lP{-wrgP1=+HrBVCwAvi5QhIxNO?jg~I)k<>vI?u#MD(l;k+s3B zUWTI|V%?Cp5;N!d@jBVj!Jo-KKTIMGqYZ`D4O7&-RVH;B>0O+dtWr*R>6>7;LCa~$ z?P5oh-C!tcPuUZ8kUjV8DQV>QXjY_7h6=1K{#e^T%&UOW;DQ z9<=jGc6XB7owm?j8z}!D35eot5-c-_ybelh(Wx_^y{z@{3QfnOjd?3Qg`Y~G1@f9d z!KU}mc(JiFXfn5%#ywmKCNGc~EAICBT}$4k%XD*}YTXcdY9$GJM>!`%^aIY9B3o~S zxr5t_@P+z;x`GJ870Ue8HGQaPGM^L@_^ir&RD2Ota=Edr9a_df=ElJ60+?KqZQyca zCj_V4Rb(HL%QIRfGeN1S{{53)l-1qGtS{l-06T1LNv^7ctTN#k!5|dIC&MO|-^WEi zbypb?x!=B&w&WD^rU3wpuc#qqTp0L2jKe_YqRMjenK%_Skm#Cb~dkpI=CjUc6N_~=*G8%t$7Db zW3$I&PuQiEGlS!4aH--@YKWQVtNsZlzxjX!`B5Gu#)On@$aMPfldY-}RNE)#<0s3t z_n#OCw)uW@uE38ttUp_7*WXb+*?_LD-v2_-dKrOo__56ff(Pp(FSKP}c{=qQj^Ad^ zOM-uEXHK>~B6Yq?JC|mwmYnU%$>#N0x0vw$*wXAD>y%^qKoI~;-b~My@cQceQ-u%X zj#Jh^6#=o9wL>ZEv^ZWXz8^yrF7x?h`}>#8?a46mYOB6Awfx<9&8fImdr-~QbH}?Vj7rl{qZaxfE+1DgAo1BW*Gpn3&a?E;}3tjZb4Gfv;e*{gEcVomaBX-^M>{s?m1c*>n)_5UF*=45(v zvKAV%X-#!mL2#}J=A%}igq_bufj6w^(#XSyB{RPA@-HJ}tSAr^b&5^&$LCP2Mp)9t zyjpIg4gAYzOUA5dD0!JD>fO?@Un$I!shBg$o|9C{-(H1H*^q8jog>3Su{DraLCrRk ze$MKFbIu@cg1n=R&mRLmA0DWVryb*XojWI^t;Ests4TuxGEZh6_63ajioy=)>yJOF zjdPp(j!jnZBlf(u`}DT^59>jZzqA8;f|b>Gd<`s`gE{ZL?c}XJ5WL-XVkY41LDnih z#FG;<2l&JH?I<4CU-c-qOg@@z}Mi(D+zVc5KRjwjVl%7)~0P+yxkcHKf-HyjhCb?up1Uk({DV| z`b^}peASb>WvX8@JBmCxu!^Q-dag#bVHi!JU4dhD*gKk#7YT-fHAj3)A`7M|7OCOC zl2_4}2*-l{uOI%V1{&w3?Gv1l59sjx!#Z)_c^yTtsfBEShq-fJ&lO4d>v=qxmw0l@ z4w3E!NqCz*yEF03Y)4i*mTqM`z3C75?pR4045C3~^ZH{|Ggke;7x~}p1jq_Uf2!} z3V+8F5pi@+9V>AhD|wk)n%T89t8=N9+2>qm_*jyN3*mt|3LUn`$%ppJ9uVcVvIm5r zzZ2xY`3>w{hTEMl+b$>8krSa=-F_sqbyB9m3c9By5LI9)LGggdK!%&DvB*{opc48g zTwdKv$GMl@kolC~tX zbtGa($(xzS#7-zHWBhjLH-uMfX>G}n|48G^m3iv#BvOKWM6NZ12*g{w*s7WLkS>>ID2LhK8fZ#IY>-ZXa# z6u|q^z!HoojmzaH$xOCW#IrnDMfy3>YjSG&47$Z}2nM9oDqG4*2~01Wq~ZcjsfQc( zkddk*Ka_mVwc;aYTCB^=PSUHI+19CJy4@C(%J<*TL=A*?v#`1wLC9cCK)W2_8kEKksXh>TUy(5_0KSLvJT3iNJEv{^X{Oz|2q(8 zuS(282OB)Gz3hIX6A*q^^*V?tDDhd~3J6$=jm$`79)Xbv=T!|`#vx9%JJX}jd@w0< zf+q83<*K_1VZ=P%ZORXXo2E}qwwq>@@7ea_8gBZVDGgl4s_%V}o47M_6|gx_G$Z8! z8V&1?F5G$EZ#?`0vy&f%=^S)fUqOCMn zZE3w=UNCZ&Zqw>tYk9kOuVER_-}o{OeI^YeC&M_a$+5Y3^*^T2S2tk`tIJ_`UkF4D zoR5HeDf|exkjf_&&EnKvxQ*`K33D^Q+42|54$JcFz36+kT&i`AEE%Q{*mT39c$h#n9%+cto>#k5yON} zQ#~=5z24lZact(5|Co{w69vTjTQ|tQ=}vX5k9G};!bs1KK`fa&thLOcMtyPT)r z;d*+dWt{p(f_kA^SG_Rk<}aURkTcllOO|^Nv%a^e@!4 z^$kXCL)9%EzqF2 zZ0Rr6V^wFtnh><|OH%K#PClb6+yqR}S&{*#6JBl48COXw_FWK{j_dhAf^8(PTn&@s z;h{ptaF_!W_Eox?=CiN_3@ zOM^LSZOO{cWK~15rYl*E5$s@b!L9ns66#L5jtg3(qV&3ANu9+BbvQg1~PCq(|Q?OznqPU|?R^^z?2`U}-i?XiK(P z$`*sx!n*vYQQTU6V@Yu7xXi4~&Ty;^JBsKTwEr-DU53X2wI7^BcwtnCkr=--Yh%O}u376n;0Q;A|DF*hOSd#2{1(A<3AfFg@#>Dl&b3W&$T7B>0K|K^zJ(;BO zP6zdBG(ipX(`7q&zA>m#HE7_ZJLJF62YK&vNVRbkJJh)jR_O8ll9rI z(<1I#l=RyVyo8X5XkNa^D38}$GLTI;-E5k*={i~_0&D9VoV?vh+)l33 zskMN1bTS7|P*SoL5{2hPr&Gu1Ca3K1=}eNZncF8WI-SXFPULKIJ@hGXwo59h zg%Nm0(PLmSj=W(h`b`Wh=8VDrFo|QtmRr0@N6l$!Uc2Y_2p6R0li(OT=2@*H%`scA z?6|A<<~eF0xnILZWEm+Y>qy1ac%h2)-ZF=U*L@A$e3ls%KdZ%unwG~~YC$B=(RBvK zH3V+*a(4s+hB3&GdWY)GVHPVO-sJ6WG@sF}etwHXZ4ca3tTHRL+X@?!G;K-OZJ;Do zXVTCH+E@U{)2UP2b($s_B4Opi^6u}{soHeP#5Fq)FBqEIDGoOX*Su{HVhx(67}(pF zDBfZ*>vprf2TPD0D=et9Zc5~%*<_Kj5CKzkbJVq}Aa_R_db~F1zJoD*m>wwp|Agt7@yj3~8=RT-pCMEFx zHEvJO6(ZV&9i)kJ{V%s%LnvN`P>{!|@xi8hY3p?=meyevOUMe8vWJN^?!CRF##9R& z0;J;kI;Ge+3xdofUcHvW1-GHtC>9&|B{)NWivfR(8N42QFj&KcTCCk`>3jOw=z8zy z7sr`D=B;-iE(@o3b3=N_$L`U9O5oGVlxr9g41j#&G-P1;<#L%q>{4EFW9C%TVA;$Sh^jC5EyR*WRXMs0Gk@WWUcDtA>XFg){%F+Na z{A{nrgLk4l9M9!-VwjXdAQh)$nY-5?>qA-|y;jH|DirLQn8gUF^eoKk4N21Sd z1h~<*>R@S~=n?jOK4p=$z?9DUMkiPs=*NtHG@n--GF2iFavdN(1 zvK7s8fRXx5EJj8auWlJAwp8Y2{hpgXQooFm6@AEQAa~6Hn~2_N`B{KF`D*wM1>l=% zdbqmQluPJatc!B|bP8Dq_P5S>xjYeD{*!w*9t|?tzc%;mb7qU?yNjT?k>g%F_SDZb zw>H?q+sWhz-O4IB%wa5=TMhQj4)$#G&@&}c&^e&>oj4hx?>Z6>t+`s0W~SxKv6rxO zA`Ux3b>zYmW&?ZMa+{S#U{GZ!1ZpQ0mcjp`6l`m@57)LfQ5&ND+7?Q}Eo?EYZL%Lz zldPjVk_bj{-mm?#{-hsFDJX2^rLp`PZUl9YAiz^jVuAB(US4>*2=CIqyr05=gg%Iw zyWv?F{YR`2hn^6(Kw`_w$A4F;3WNuMYXafEB_p$n;SY3uFcJPygcEU22@^S%i0257 z5C*pG*VE3V7o}umI|qz&TgTqz(V^WZ(kyl|@fkOY$22_D;o12JLUnmNbXK{P)z` z*O(ot+EjC&X`_m4ikNFnupUb%t9L`IdJvv&=9tR$%|N57Sh-Tjd(rT+F_^AfveDYx z&{49D@14=CAlvw&8+F@^_Hf_y8!5b8M)&#}cth3~RL}CL^V$waFghXnTrm54|te(enxY{u1kG14QnQssAXM-@dYWEwjhTcO>P*Ob4ML z&9TqduG)TZ7GJ7gHp1FcuDB4VC)_w?O9XMY{t|=S9{>72w%F5kqM&jM8tqs_;c=?HP7TXyCzEPc4#XFu ziJXc&BmtCd)IpIY=r3$WBqC)?-)0EFIRZAcdOcsvFi)x8+@iJe8~tD&Hr_DEXWhUb z9|tFc=u5Fxoy`#G_?1}5PTt_NE^pe!46(bMN||<7X}%hZHHEKuae4Yk)q^SJr?wWm zEECp<1!{Q7+6y#zVTLV{ki&;G<}q6dh9TlXkjyGvHuFCaLIqWgsedruJi~Y zekwWZE^Gu*R;t^cU(}}KM8@}7~;x^@;`}WMy)NBemBjG|+lO%rgs~+C zt3uDU1T^VdXcOwBY}lZKN&3m71pp{~)sEOvs)Z)6H;L(6+zA|*-!LaQL~jAV?v4Nd z_+ZT37(x3(_(}+$8zR%JCOVb9In3ddD{=~`as%!lfiHol+%*AqBHs*RuIlnvpWJz9 z^9dG9Nl)E+lGr|rsqGG;yo{hgye+K9GB@FM)GfWLncGka6AT{t_fv5GFe)#dP?Fohn9Rh){ zHo#fdO#q*lOy$%T@`nnqn@gX)>N41*GVrTzMQ3SpTd6iz&y^-cf0^Q_7fX{MuHZ^x z&#AgUcFJyh@>|Ht!rmH>@hv{vF5+B zC-&Drj@HJwFfj+e$9R4f>)9ghm#lr@X;U0&ePcBO<=a>sEW+8b@lr5&65Cevb`e zErP6&uXI zPocLxg}$r?xv8odT`M#;uN=Lwna*Sn9RkFk#qHZtH_qO4qgJUdAk}xx-fc18o=olR zy^G~%&}QhUPH;5kXJj|g$?P!#kqYULGH+To%3=WPnzYJ-h34Yl`|sGORTkRG99#80 zH90|A{WJ<>8<8tQFk@KVG{7EgH1eafZ^+ILE>x!n=_2-sS8Ow;Kbrjvoy@A#8nvxf zV(W&4WS%7x8J{g-Ib5^V_BNsAtbC=>Arz0y_GG644_7~+tI4Z)2oMMeg-6WDp;!!* zu-g^iDGN?P|A9b~81TCVjwgf8en6ursWPJi#K#cd4+)mpzhDp1t9Jk5 zotVq-q~zHQ;z8?fUat4&Yz@sZVy4v(RUiTlm!Ck#kD!{^i2-g*gb3+1+bBc6jvpzg;+U(+U2!-!-(a zJ}0d!2X(u#e7BC6>!+8#}Ai?`_&t5;`+ffwnyP8}0~w zkLb$JjEnHUb^TMC2>?wOaegbu9*T&@ ziQ^f*DvwE#fbqWh`4ik89uM;H9=qw9esm7JeUY3yQevDdBKG8BHv25TL$T2lL#Q&6 z?kxWviDX3;AyYQv{J`}^^pNN`XK^vC-9mtB#5X6LGtaUf5qwy)3`c{1rJsSDq(DS? zN%Gcf?imZ46f$+Q`*jIf=3J*G7Qdi?gp!pAq{xa{EUGVA)d|kB35TOsig=B87dRlz z%uLlZz);Lwm$?Q-^aHl2B#%?%^m3avKyNl~WayCZUGF5mwHGAbyWSqYPrSFiIb5F2 z%(Xs$^K>_~$D{-r=U%AaLRYbSc!i4jh(|k=Vwgf+4j%cSF?7!`8%{`g1G7UKvYWt^ z#t?Lr*04=08+s_CER;j&8yIEuHqeXA;z0G%uq(_Cd>fI!@6*{93Wr>^;B0f1)#J^= zWmZiEs|JgLSnaA$r5-&2Xi7neq3%z6LEfTMz39K9_-smS1EZ;pFSexf15UIdn z@C>GJJG3D?BWT92wOQNt|cjPsFln2SNT+awO3=B>dw1 zRF2S%d~xQ#D`qKIQe>i2hfW~^;4Hm>1}3r2Y<~_|^(zT>X_UKv6y3=nG2AvgQWawA(1Pbg%MrWf=$^LB@zZ&7!qzV+w>w==KJ8ZCdTM|45@@`q>>PTE zWK$iTnzv-YZwCE*<45j`)L zVL=KY+tt<2aV;!g>Hl)`$@Pa;Gr!oc)w`-yNDT|$+}<~$$odKqI&Pb>;3uTM`$2Up zD`CaS;u3K*4?x>b2hr;e8&X4LI<+YPAyg#EKEAR|1X6fhAQ;}1M z-Qh$Y!eMz$E6zBtSs#}y!5yt@!(y@0UaayKYu&{fcdNpf%gCBMNB zsPmTXloaeF>r&T^Z(?wjFW%({I zE#=&WdL+oy4;;ysZrd>szfF6e!6}CCLqAlQPLSxqJby;ZUESdbHSZcOqe`F#@ z;X*?A%W~k6{olzj5+A_u7)hpD0Rn882NQ(Z0^)`sL+IWS${#WmG6-yYn4$uYU6a^&I9ei>tcs_5 z8EQaHZ?Kv?R<8afsX=q7deqDOjqAT|2Z{@3hoBQ<7quZV9Hw_ag@PRNKp6 zZQpLfTeo_KcS4i3!m+DNj5wzRv!?LDOjCn7?eP^^Z_(i(3k6%_w=Krc`BbJ;`cC%C z0aWh4K6l^e(%xoREtwF8A~zD+nfzTl>qY<1n(Ui%vZip&*@P+MT|Mk+Lq-Tj0tiiB zR<_(*a;SRb=v7~`o@RvLfX*%!hpM;)Q5qcuj-NivM#+oOsr;AaFpC;)#`9|O*Br_h zmy17Za-<_tjOvx-(;RJNljA+D416pFdOq+*&n|g$#*xVp@t7obf1pB^yk3%@kvV>b z#y4F!B-suDEncE`vyar0Bpi_FX?9I(*#Fod(LU_91Y*AyOxXL!+knslTM1l5d<7On zw{b7!J%39suaE~gp=jkQbS&_*rrH+#<;BVk3p>P;gPxPg_}|jByw|~tp0AT(?Ze{8 zxg5am#rt{AIVZ!tf6zI8jecO;0puvfd^@n`eE3_3UlV@20UzW8l*j>bm^*5fKAHb~ zj6>qVKe8U@rntV7(%a!5G>_m<8vVt3JQa{YLFFxd*IU|4x3FS`quB9j)Az0hJ78|m zl0a#1>qBc0nTkHk-e;!Ym6=o4UHYC{&qDmtr`bam>o{ec|uBmrt{~77O~nP zIu4D2vZ1^5UEw2%KFP`oAIRY;&f4fYb~|($?fQ48r~jim{Z&?HaHvj@CHzRbk1d70 zj8MDW;T|ajw-6TMh6n?3*aC9BBKK}`fpdI2gE@-<7g{kNm-i(uNjbDCyaj_+8G9rPt@i4TSh?D(BwH+XORs?9#WNj&_1WM70kHJXcjkhSA3 zOiDJTKW9adcQ%q65xd`~ljIGk1iBh&r8J54kG>bzM&x~zr}5ea#o~G!#((+yn=fLZVT^|$9%7md^ZJ;T z#7P%cct<=_OwM#yRR_633(4%dOM95LJS78EM=4KjtQkDY&d9VIg^`kNBzjUrw+#0r z6*#a2e*v#7ZGSB&Lb>vul2Mg1Y5$A2EOoxV<9|I9Y8`V*0N$ zoMdarep7oUIN?0uw5^B_r^W@>i`s;Sxb5?}wj17J_7@41)4J|qh#q0{ggIKj3r+N~ z;4g{8aO_Y=_n~v2EN{c&_D^ndeHgbMw%zrnQ)I9``!J`sSC*O$I>$SGKSVCRQNr_X z{fzVB#bbK&76%tD(=NLEu7#y#mPpnvMbbkn7hUYgKo;F)DfA?p$n}4aDoZ!7d&cn& zKH|qXZ(rjsoslNB?i=%Xbog?crLc9iqQtCH@Qekb%U$hgZoS=@i1FL{AbSEAHBa z*|K*MNMq&MQgZ}p%jqku!r_@CSS3OXPs`^9-|uGMbI}ipFe>g^hwp8dr2qQdgG#BD#kr0o@Z%dld)UPKkZQ=wF%Yvl@h-Td?eeUs0@H_2O) zf83|{e=YfZmfGGB{3AJ1e_y<=&akpK3WPdrDvi#Devk^UN_pt7b-WDsV9|YU5SpJ} zqF0*3$!Lw%$qh}B=>6=%_BV7wNR2}{Q!>q6G99F}+@ESO4N>n~x`p#`x|kINK0&Gq z85KN`Y+ggKIqf-oUVeuEv-QHK@aN?!XS(@m*h+!Srn>3~UBJFt)o=gfZS`-yRMWfq z**Mrs2q*V=OJ*!wy0Bzn)xs9{($wHmQ(d*8w%1!?*Y@%&(71)o2U+KOOp~-eFW%Z} z*wpJuyMCBu@_8Q+b`1iKfdt^(Q-!NAR3kKB9@36M$G+pAriLaddXHJDee(r*Yn=TZ z`ZEMk5@)Fl^Yk@nEqP^F&ZDE72X#j6VF;3aT=RIMGE$gpry`S&MfEcU>W8J9>(Q6& zxxDZ%@PL;5!*ncbQ20gyoGw1>)lUOPqBpQ-fG=}0D(0!mnM26}%~t4M20M zar%5aHD}=J#T&}sl0aKn@|N36CiPC`HF+9n;n7JQbwgw@uzXAbtV3E3V$e-;a0PIA?vwFJK9Bo<0{wub{GBU;d(GA+(bgg zh~NQti8Xd7ac@a;|AO?4B5n_L*$Bi`q^OQa>a=+DqjCW^=D#se-V9-HvfTP|3wP0 zlcJN@OZx03pAZGA}F)QLP2n;_C^rv~YN$LkBOYwv5{g*2KbjtI4sjyy(wo5&~LN{sQhZ4T% z`8&F^wG-A%xw& z^(Tbg_gg%VPLJs;|JX@K2M*O5w*nVgM+r?J_GF=lqrO3PHUhH z0ElFCKZ><6gzmp!*VoW}4{N3QbBWlRW8yvVb+A2(zccg$VVqkh)XqEb7voCk*I|Fy z#+p6)a7-p+s>f>%_>^w_w2pbleW{>ma7n6^G{y>DR|3GOY0yk+&(i+#Td4=gbTwZwO?t7*v^K(5M;V$*%|7fgja zF0m}Pt%`yB^ycQb{18Fd*2Y*hd?vHoW)l%&Yg0*XN;Fq0Oe3m?7*DRC2L~~dPtIJV zUe@8x6w><@s@&bt^-mT4zTK-}sxBEXGpQLLpc zR41{dfTa1f)Q|SYJ!97&thh_*&eh;+F{j9$OF?ObP9;%$E1vY{YB@{OSN({Emz$zG zE?J)~-*>U^j_|-#BZN13H@lEJY6i@wNp=e=!e1_DE^(%vQVPMCEf{le`58?enrOs0 zm76HESmzajs4G`mDW6f6(VqMOpP8<;bSe3{J0`X#x3#tzTCMF64XWT-lRLv4_%^~3 z^&Uz1HS+(m%6JpB+9V?HivicAtKi$Y3?4*n^*zSpzHvYV7N`gp^U=*z4KfCj0hv@9 zB4cd7jDdL0-yeOR%7!)v6ZL=;`TVL(lvk&w9q-E#yfK>>16WNzWypvT<)DYES^L86 zG288U^*B8>^vaVWwYU*#8;c~qdc3x~G(WUN zZqIOhvGU^LpB*N6bRAOcb`sxWi&vjtd$@XnTzs)qcoBy=U-g{?;}s90aGa8Wce19l zx2iiOq`M1Zaz7tqeeC8W(TQpHI0(XoB6^RLgr*ZD!8(L74E0}lFVTAo>;2xRukt2s zZzTn8FgGb(5ZDv0#KDzJvnxsEhFx!{M9&ByEKxNg(`2VB*+>F>elUG>wOPX>cUnHY zE}DMl+J2U|a3%SL6kq47`Vnw)h(zHEh^=OewHGde(6MLtR^M>?c>f1IKLF;=v>h8% zy~6c&GomdY0`(p^AwjJ4q2yI#HDD1~NJ5hcLXr?N6Y{A)Z>|>EPY9~jk!HuI99d9{0=f4*UW;mCL5r`1`h!~oRw$ca!jsoB>y*(W~#~?S?pbE z;&M_o)3gmoXv2Y=hNY?LC$e_4QtQ8vc77pg-d1%+Iyw`j{-PC+FfoF-G!>n#syS%f zm8)raAbJNADn_SC*Wxj{W?#i7aqQPYu9#6>rpmKEm>J}%og%L7$|;#^SoBrl<*T+= zuDZ8Y(iubB5XAgXOp;63VzaSo;6S&J{^BYa8JxQ8ebts^Re?nBzKT0r_SI@bwss%m z{=7zE!&Tb`RJep@4pn%-hpgVydOz^=#XCAf*~9x;c;c$<2^9P_O&@NVfvRU?m?@ak zHvhmDK$tDFaK>y(pJt`3bmjvx={fgF++8C*iA{Uex-W;x&9e6~p3eH$3C{gGRB#Bq z9ex?_O>oxWcNV|j@5SFE6P#h}L)(wczAX^et~$QzEI&s;Y?}%4Xua+-Z}(blRP3JF zN-RypP)7_taDY)dk+p35@J09Q|5|-Dwt3IhooBF7d2{_}eCsXp28!l!Mde)4U2O-} zb}dZntTkB=1#44f7SND=h`&qtr^L;%dlpeJ==?p=Dk?0C*-pT~ji$1y1tDfbhBqZO z$akD%`#Zbiy>lNK*p12j$b*@v(0}4k=#UjvbfHw`PqoGH6#ASX=saIRd+P$;$oAsniP_+r# z&SWqI%&@%kcDiv>jy5U-UR z*$(W?!0hSbUKqBF#(amK`d0L7PjN!hRa??k_e)Cp2Zq1_9^pEHB1{ENPcS|;Jyg7U zZGCWFvUgsRZ7pn!UM@}p0Ei50Rg=|w2**Y4RFDuvohRMAeVUWwr zHgUO9S-wZ|Kg^ywls?(s9GCe@FrTGy$Lf+tVK2 zyI@8bMjXXz%WD6XT1`+pvlU@dnv?_bGi0xPu;TAu7OyV;eTl+ekkb5$L|hB5$Pzf9 zpa+!47gOE@3KyH%ReohiHdm@-!Y7F4$PwTh$jGr(fw$;UHhV(R72Bh&oXF<^Zv}YI zH^VG9&)^d{Z5Hf(SSN7e+V?<8%pZgwfd9FHbs#uZ_PAxO-PND6Jt?i2Yr6qLy(E5hHlUf==pAlwH zat2a`YYmd>jDGgr!i>y&jl!cC3ckCajvV?9Ih}f78EW$2FN4f39&_SO8x4Wwovy@` z5WnV1aSuNd{9@*EUCZJI^~|iNkxCxw0J!{_Xv_Fj=k)__6IVWnNXYK_vcs%AGxXAB zezEABDMn^dE8E6D^(ygx;Ibof{2n8}*p3pim;?h)*h+#H8!jCIJV})Q?D8QaM{b4* zHlaVrC-t&4F^5A&p;r1myN83IiXf(;o6v9B{VYD+jZ^*f^SHh?DZZilj9RYzOUaz4 z$u!uqX|-hn?PK3KsOwY7p_n@bBww-!YLK30k3$L>4}2XT0*-h4+-gE2my-*$5qBXu z?mGkYnpxME={qfabs4gWYVl~UO6-1--p1zI^L)I;4wYCW9{nU zc?y)X(Q&jwyrTLLsm(6aJn`;fU^;LEamaOQqL|4L22HH{J6Yb@|#{LM)VXYBj$MMcGe}^ zZzZTvi)UlPF&?^&HB8r`;{Xr67lhwlwr?e1{2&^&Jt}3-9%^dpM-!aLmjpE5yy`eV znx9j?3X$yOlhx_T(R#$_Xc;A2hETGHde~y{CfB!}d@`+PXxKT~;p}qe!eTGqDLSv2 ziZh8J>;HC1Sd!44t*X~!WoVSR3fGkK>r@4>iCcmeiI>t>C9^IYfY?M`6LNLcdK&f`z;ky zRb@9@+~Xj>_ zpLb!P(Ac0MPLHdua1D~KSO|T)CBF$Z<5INDAA{#D{yCxtr zZx+V9^-$uoBaL8QW_$9ZmQH1(ho4ioG9#Sb-jul}Gs`F(k!(lt^&I;9p|6p4bmx_L z*CgeE|FH;fZyX|)-r8uZx;%6yqmQEEHgdurpgbMs{!+Yb=XVia~u zw%urA0sY^hCio!C9~g%=$Q}&u{4lJhczCYfV48DtAV5NFE5)DbhC5aAP_Yw9P zB-4A}m&2*%C^bG^=$uYl!Uq}u^8cmojiUrZfFq5GL`5Y{sr2b5abhKJtKm*mk5HK97LlU1lf7PeuNgNGk= z^g|tVs99{};vYXiK3(_6l6(IL_I+oI-k7E>S@rn=-v?Vhy_ ztr(ovi3RE`rbvB))q+X)L;zS5JcaoJq`I4Ad;(!NWYkdFLB*w!$C=wC+}knJGBGDB zkL$UK_4z8Rfe)(Yv$KDR-2kItaIULQxHFn2&OGu#ggebtchh%Nxx8_0!GXTKTqW$# z!L!`QirYSuj^spUh)heBFHgX*mTENHur3e2k(WJJNR@1DO8m0x^Xa`DqSAO$?nkxY}5 zn&ai40u`t(3uq3;x@_4}eD5_C)U)RMFVlaGXh{Rz?z1skN=agG?&5E;2;X2nQu9U0i=a0`|yy zyTiX<%9{4n=I;kx^_v}Yvnhl5bCE6EzjocCBp*}?p89ix4VuZ-qm~@FXNB;}(^SPK zG@5}R7po`6gXQufUf{RG=K zbgN`GQQ@(;?J+FXN_zXyQn_{xY718NAMfJ;VP$HMg7{EP!eMPAK)1kKHczhtj(AEd6h#w5P3IZHf z&j?lzsu=31(C~wuQ&oyB<9RQ$HEH<}0lg=H=2|jRxk!zfLPvyJR;IX9o;w7HK*8b+ zSvC&`2fSBe3<+KgWZC^}9foIt5GT%G8GaznAbti)kfANgEoS9HX9xLld;bqvzC6Ex z?61FMG-R4EsY(TVq`Im+I`;=|EIl@sBte|CJaiEfV_vZ<_aSs|msn>nxdCvEE4d;1 zgR;MyJF!aGDE0LVV@~(G)%_;UD$YuwW7)3Gnl<|9dQ6;dRp=80Cy6eQw!2}xRAOn? zl9Q`|XqiCepmA2p3E`}be!q5T0L+Yz^Q=+#kLA?N1%WV^yhkKAe}g@hll3@rT-5#y zEA8TySz)g5l;keC;m6!0*#2R|=dcm>`r^-&LvEQNk+mJicPvC5`js;p(zmhn6ZRL`1uf&g(TlKmHd#YOO&Y*7(4>jS_A-| zR<2nfZIK_%*(sfoAIuXCnc{IU}gduTg_*e5M-m)28Wbz&Iu|8ES85L->K! zxsT%zHq=CE9{aEvC)E`Cx72)0q7&Hzqj?hYC4uZ_ADaJ5$Q?g<4rIqN?rkDB2_n&`_okRwo@QGUza*3k{z!!wIvZm zJ)cYH2=*rWmw0oRM3dOHmI}yVNhXpmfbhR`ISEy>$4o}!OW@NQH+-zsN`8XImsZ^7 zQ06`4%FCNq#$2?GbwD{v{s0HhC*+VUGt@O1s@Ik_wmCHLQzY~9rn#I`EMd4RLYk<_ z{1E1Tk)jQ$q->tee3htOdBhNg$FmAAahFxcSDM`Bs4;300v(m~d@Zr7!hcJRZN(a=+ExAG))k+< zQ2yF>Cpt|mtUU$VE4&~vo?$6|br@%#*Wlc92Yh%-4bJWO$>*c8?U1o@Yz1+N$q0Kd+t)AynnYh*hyH+=fi>|t_TK@L++d!b zL|1*sBHYuO7JJ<}r26eqF5U+r*r(DJQU&gm}AnkO^?xc-#c63eM z@fYKt-`@SdhmyA?1$!;w&Z#?IF}|{C-Aek5TyclhWXYI7kFeUBO;C$XsOebPvR2(# z(6m}vw{}O+T69Q@UD|8$`IU9mJM7g(h|Zw}3w=?DNHoSOq0K{mipV{Dl-)5?ykXcP z&q?$T*I-Tk^f*7dv4|*~-s3c&a@4hlea@Yl#fn@lFh#19Y}*{(YU%Vi#M(QVW1D|c zoF7$Fxax}gBkEN;2HaFl3Cz6tt?qP`?YPQsW~je`Jp+Y9sp8-qJt&8uc{3q@-> zgo(}z6xo#kSC~7Owp*a8f5e8zwhEqMsDCI%EZ5?uZh826q#%}&J#07R_>R@3=Z;<; z)RsCBI@|}%isii1V)2RHH$By_-r+XRbyhB}_(56uC$}=A;z4D{)Xb^IT~l@Z$X$z* zc@^6;H?uu6fge@Yx*U88xzR~Bh1cG&>Izx0IlLmTZmnV64al|;WJWE7R+ZejsdHS-op)!7Tod6j z&h?tW2p=EjEO%NdI<{&6pOSPZnY@{Zd6v_L=5HmhK)e%l_6YOc`uWoflk+bW;lW>}KCA-7urM;y-1@x9~W@b3<3 zFGH#TTRITqT9WO@F<((P*Nv9alD*NGcOHUqaDcLYvBjG~SeOnlC(&40*`J*AjqLeb z&DTl6;a8upg!IUK{@ePu6J3C>tl#r?tne?=7d|y#yv|?vh4fgRny=mD@A*u+h-Y`d z>}Mj0H&qpXI0utF&touXrqNTB4EBeAP&1cA&k-qfCtN4Z z0qqHQrRlv=POqIavc>R4D^f+w(nDKUaSX{h{l9tQzyS9}9e1%VJ)pid(`-IIfa~1) zC7U3`s2-IApNRLQ=5x$>!W%}o8Kv;uIyaKSx|<59v)JZo`*$JB59c`~At3Nig}#sr zc@u?H8_%du4H=sbA0N;-eTT|*F4+xUeOl#IMb3md@Xznf+R$JclaZdS(v3=S_t_NY#^%X>A<&b<2Pio^3FSl9fv5f_QJW zF>_?mQPeiZN+-=ssFZs9@xA=98bq_m&Lx+yNE-5eo0MY{pW&y{IHN52RLl5w=z~G1 zt?ZCN5&a{hj)Wg#yn%D4U7HHh1bnjkfkc@`#UZ0z>*;QTrb2mO#bnK=lbG|zA~kQ0 zo`a*xXxo*T*ly+8vk!FATwc7(s@YBvX6~+R-qbS%VHxOVTnG-Mrjr79RyoZej#$| z=#$*u=^g%EF>E7mVH!Xxy^oc{h0Wdp>NUL?cey;|0I~}626oFt7emt&2G6t@I2Z2h zP}X((m7-tA`4wOKFV~+e=l^zEan@veK6Z8-r%;P5%Qzi4k7TFCJMO7&nWm(Er5E-Ee`WwskS# z*%+i~?qS*(z5OnP?x~Z>x#x>lSARAE#@#0vDnehE)}>t5D&-p$dPS zcIpJ`D})&;^ECFn5W}>%PL!YZU#e%0`iR?r)X7?xUC?H}p`58&(4KC|i-_-!w(o-( z#)TQT+V_o)`r|EL<`oqU#N8>>o1$E@c(>->*Kl&5kAd`&PrZ^)@A<35=QO_~$raAh zm!?>J5%2q>7gFo`Ku-)o9qzk7&@;N$2n|PaL&fp$tm{MTAa^PJr_5X$e+*8hx5l*2 z9d_dk!jY_edMZL!J%%9)j+ld5-7`cMyPZDF9%%(O=cJ|1(dtk<_5kGx!oUr2FGIGZ z;9i!oqST5?yDf7&a(Jb+MfA<>ZLlAq_I*~n@Af$@w3?N<&LUDi~{t<2gTP*6ABz--gfLcCYDXy#qc z|NEXZpu79~`;jy6dCq&kKJRl~82aQir`XJi_~zn&o*c#zVz0~0^GzPy)j_q$|! z@`uAvR0S{SMhQaBAeZqdN1XmUGWo4$XD;kj+if1O%cb-`nv15Ii>7$LVjA|OHWWSJ z-IM7png;oc^6w~J&N;WY&3Qw20EVP_(*ZF(Np6+ynHzdoicl~)bh7G(-_Y;JThrIq z^C94vSYuN@>i_qvMA@0=Tl3y1W&(|r6W({}JO(_VZYsrbx^URwZce>-UNu$TJP!&_ zRBJR*&h6b7TjT4+0#@GF8`n%%GK6W634de_8%?Os&I(~)FaOW#-F2ONw8n(_7kkqOeR{{J#C$kre zbCRqsgAtrU5VjyUn{?l#B1;5wtc~74yPZRH%N=LCaMn|ESMoU!FzN zs>48@20akL2w0Q|?eFEYmOCC_Zly|3S&FhTF`!K%h@NgxXQDRAnFAY%&UZuayJ7lD zWD077(xu6C-|k2kqFFz@A3%Pqi@*S!_CUU7>%e`L9Ag^Vx*Af@7j1E}1fJGCqLTyDcBNEq`-czIR(rxh=oAEtlMu-e$}6X3LCbOGUF~ zVY6j*v*r0_%S+9cmzyp7nl1aAEeD$|Uz;tDm@Tu-mP)f_nc1@3Y^gC@c9|`04Mn3H ziYDZ56CKS|w{aG?)@zySwM_F`O1+l(UQ4#ulH;|^@mh+#mPW7Tb+2WE*YdX4vdL@t zz-!s&wfxm<`OIti+-o`FwH)cn$7_JxYb(mqtrATuM03#~p(x%2p6m;ve}xz*8Y&c}nv0TkFNsZF(Nr(` zp9L`8Ty$S^QLIq(`=(m4+#|Y+_~xRKvTlJLaExMzs8c&0SlY9$Q5F=4UucOA)KmiZnZsWjeW@)clIOh>`Hg`bRoM!$et=>mkQb0LiU{gl|jhr zuW;`%5BNeD=Ca!>=B|OH26;Cx#(3hj-upB0mxb_h{2s(Fm&JwSoT|Sf8q9;~?HAYF z{j2~lD#0VTk|xKFb(RiwPgQuQD!o&eG?WfwOYg|w;LM5Xq1zAZdxo7#*r^OVm1U=f z^`l=%0Z}_{WBv)ze?sJzHcVX-fGy-UpK;zA=TxOJsT!u*PrY?F=UYAYBM-xs!BPfK ze8`;r!e@-EhFJLjma94@#w*7qDY!g{t;83MOIB#q@KMb1@n=>fe547d0);)l!Nwcf z=2;Kh1)#A95jH`;RMt|pWh)+2JeO@V!sE@Py362BayymhMDX{yH8nqrU}AC~D)9@k zhiVYfz~kpE%AfkqIC4p%O-nQb`dHnXW#a~c0L5kFF&?CB8CH1$dqm|nx=~25t-#lP z_~Y)Z`ZuLqA2y9=t~w%gXY=>!-FtfWE4uewf#7I0WD#-JM6)uF&#IJ9Ub-q`$xQTlZ7(H zobw)$^;Hs$cYr8-Ih3iVBe2O<*+YgKxe-M46EU*~gpFVYosl6HYCpb`5luZgm}a83 zMuS3O+ZB^ZEjC2Zfl25bnIvoG>hkHaN?XG!a{hS&Bnek+jOhWOX^a_4^(+KjQ2WXY zeKI7(=OLH>{sSb-h)CjamfR5izclOLU5=BmAt3-cIvOYnR9&VlR(C=GmM>|bD8SX? z*OssW<{D|BXahGEze2*>Mu7r^qPLs3L?f_vvd1oG<`!W5lC1M6bd$4Xt-#7{bOFQ zuLogwLd|X2)Hv*30Bs31eHE-R&883`p{B2fHPSNNWfDbwQ?vBxmA+4};1l?^zoXyY z@Bc=dE)>ykKVxrzOpIh_Pww!Xn_|wSUVZB?tdFoo^K^|g6$?8!^tl!?Ko|?T*YjU# zF8|~g2VKV?7M8@ZDf1pBH0PuS9;$}-p2vlhojWhIj^v5FHi?iJ=#CIUyx)$$D?0K1 zAoS>se;~%jIWfkm)%lm9e4Nb?`TZVhp(OmH%-j+w=K2RJRLOW-zrmk*V!`>Ts;%%iSxH1Ozb`e~q!DET0tZbWc zv4nSNx?C|pZ~>i1>5o6qo%~Qn!Ry!6(GzyY=fGW5xbAB)5KM0lrfz56)0$h2%-1wh zVKf(Ywx)XqV{S{FypYT7yix_k3Hf9d2m5ibVrKpuO+8hBzFSQC2K&9@`jR&QT3IYB zg|DKsWJz&{G$S9eINQMHUs`P5W#@*>V{C0>XKI~(VtWUs9cj5sqPZuql{rpPc^>e! z1ecH5Ov`0mZ{m3&SaQJ?X!#3;w7UGwTj+=cl07i9O#?2^+7$dYxV$TO-Fo_A;1dj!J$Ba3uB$@ab;w424Q#N zAd6lzu%8T0NZ6a4=XyvUk_*#qrCe@ zk|L5}4g_VP#E76kgQW6m)udJKurON~;|wDn$yBQ|4DQJp;-Z(Q@*!)mb{#dqr`Dskiz)T^gwz~V|xzsxRTnuU528m)TRD6PC!6}hVXSOdDskyjlTt3s6KT1haBjN9Sm7Vt*7Ia=I2`dKdqsI6o3K?ZB0F%4axN$d6ZoB zQWuz$Ukptd*Nf(`Fd>X-MhQ}P2q+<&A%YgWUSID#3)A6d^p{ixsZ7eC54c{CN_Y`Z zA^Am*yv4g-l={oGP0Ww4UVQ=I3`zAsS!keGE?r1^5tk#Hs#uO3d*Obfx^TaG(1rVP zc2ZRtmO7DCzz*qv9pD{8<};R_ukZzu92>zsy3wWLMpD3&OHB<*#;pvylyE1BGva!) zF*&a4(dC|MpEtEUTs?rhFPDKyJ^IC~q<#3>$_6Ftd6;%#dAB%goxQ-kI^`2s|Q{!(8tWP*}NyQYbBG)yteY$>`ae>7htuVc~+Pr(E87%%T(7XHVD;3 z?qFy264Rvw?Z)J&rpn$=BDa3%d-o@wkALr{iQy25u~v;tVy<|CK!reI0`ng8YJ^zc z0{e(?o(Y6zr61S~@^q$D_pPGp{7*#Q?90pE3Y%E?WJs(6@<9W0s$g{SSJUX_*dPw; zD3X0v&vEf@bg|mb*}YT9Aq~rycO38?I+qFM=A$vYXT!FT@~SA2L{2yU`<4|dnYSJm z7Dn(d#?dR5_lo)J#Uu2%au$1%2ePh*MjVU_|_l~+8qvXhE9 zh+u5l%BJ4le3#d{i{|92W{h;7X-Eo$M!z_KSLaAirgR88AN9~^BRyN?1uwm_Bdfqv z2AqAAPM(I5RWd5nAia8vr9G&a?m^ySX?+#H$hFgKl4|?vT?^F{nt{+M@A9m(Q! zF1>X`m!v z+j)oMG~LZ?E*Ok8Ta=g!dw@epeTAJ~O+04s?u@B=bZ)5m@;7+uBx?IDXg{rZe;A~v zJO-r7Ox3G#|3MrEmp#Om#76orvvNKsXc>sjBlfM_W%+c@fGFHRwI+?BZ-Vp|oUBl5 zf`y8pOOd7xE>>^`kT*MA+MXF&mZt{lDVFk(B3PmD!Raq3pSTk&R0O2}rWqoDu}yO_ za0zOSm9hDEW7v>1n3sqx`PO&XGQxMQ&0iDx{>QQM_uwoA3hR$}yGeQidZC?Q#Lya< zBT20cP9x_RSG9mGj-4$1WO%oUj{Dt>LyEIH?dR0kEr-+k*qB7RlDhrN)f!Hq4IYTs z)2%&tg;BF~E`i1>0x{U-JOUb8)%jjJmr~7rbKFd`O+U%Sg;rDPNdU}uH!|jDhRo3- z97sQN`kESn=JYe+k(d74ZU>ZRd{vkLl$qLj-#G{@Ny9Ko?szw$gz4E6jcY+yns&S{!ZcYAat3qPIenAVJqZ_#(nhZ) zEI&>tx`515by-@gel`PdfXtwC^g~t#1306VUhYM(t(=&Cr0MlOQJ`7SRfg0df;K^o z2ExGDLQW-(%T*ISvW_vdiBWW>B?&$!vf(s0S;OW{yik`ZY&H0fGgWnf5}TI0r~%a( zmc{duK0HO;PZ@k)_S{|9BlHNZ!%2^}r$^5$qH)(o5wn*2RTBoU>sOC;ecgGD5vV^OC^1fQX$QzQo-kt8hsC!%#8!M?|rZuPBmCQ1wfD~Zoz51 z@c%1bIO6^HR3XQ~6GD#icCqDWEEU2nhpDvq!H5m{O97JaXZ~Z~egzpggx=g>E6^{h5R8i% zq&4j=zv^#^giEm17r0njq4blyd@_}u>@5Eov)tLYP*JCsAg2Q6>N$BvJ%+MrMY$PV z0k*JwX_}Q%Al=bSsD;z`AdeL!??-~~F)53M$aE2H6Rmq6FbP0u&~9reHv_~!t@of<>i^y>q%rGOLS!Wms5$B43>EE5 z?UQQ9p{g_W_H^wP|DJN;fSOOgC^3U!d{je7mvolmL`l=)O!@LkgpSoT?Byov3{+RLy&!ss)-?_yiW7W0}i8sVQ@{iyMQgFc*K~ z#z3ToQFp;83jh<@R0i_wJ%Scj7oOLT62Q;|gH#JCo{aN@<6M)F)leVu+zq^jyAs(~WpP%W2|j4Pzy1^_L<_#fFeSW;Q>tN$pN^6ACEjZeKD}LG7{`lG4!cc{~ux*BF4AYeT z&?OYwRd$cm6fO~w7t)600?J)PruTMaDsaYeTok~W-PLrSqABM zQyCgd5@nf4Ef)SO6zfa+%XHGGT6;(@Ol8lQ_UJ-Om7M32jepRx+`Oea;9K;_@kb6nvip%wxbBpR zY`cRv_VwqU3&TQY(rzcU&k@y1lDnMd+wLK?m85nFQ7t6xWh8e#X`hE}a$?l9=-CWx zRWnaqc9R9%nee&8R=j@4GkgfQSG;ojer;4wjab!-;Zj|u`xIMa5{<% zQ-n@n_|>sF2O(N#s-V4K7=1ye<*V9<< zmVKYAm#H<8A1Eeq)9L_tcis;fR@jm&wXEP@rv#5 ziY--Q?mP6^{^2dniD~Z^Q8>Hhhsrd3&HX_HnDsqg`C0(q zz7tXFaMYQlbv`Ig41YarS(ql`1BGh8^a5j*G)66~Ske~kDh;asNrTNMW~xWEj|SN- z8>SA!d+i>mS|A&M+S^2pdSZmI&*m??9#PNf?TMC~Pd zT+N+%Bv(P)b!6O-NXSXbigU_->U3&`c>i_eVd|xrk~i!o3?vdF9i5E06fjln#$X1j1oX^!HqH&h4P4MC`PBa=gA7FG#Pu zPsnlNUQ=OciK!`IZgZ=FJF$2fU73l$c!a;iFXy-}U756L5*n6&_IjslS<7^4i`blW z4eUr7D88o8dkO&|BU?Tu=#3*Cc#SYW8`m+Iv<~8+IV)B@yo^>hBb!o35SX8xZ+gmv zGm`bx6JVpGNM;>?7I>FT!ZS7@{;TD24A;UU-we*GXW~{Z)qW>R_64fXS~TRnT6ny z2J>d|U}$@0(BZVXS|oMEj~fox@3>)}{D`J!AMQrr7h|Ex)0y=#5RnOESPR)_+c$wT zD_5#Q*D!7d^YIy6UkNt^?cPhTX!(=Za_*MT=yWot_g&aarAuY{txKgd{h+-hso-9* zLAq3W(+`G>XP4r_%_6Nk{U9&XW=jt;#dHz@*qnYa;0xdS$-<8Z(3>fnevqh>3NpnE zrcF>XFQ0+GY{h(LSdD#QoqGuJYSGygq#8H;0bB)jDu#;Cj4FGq}7vtkbA;tT{tO>meyZo-Lm*g{Ed z4e5tMs_UiFPLY0yNc?DT=^?k0F+d#iW3sPW+HqE^@;8d9`FqN(4#+O$z#6QyixBcU zo1q++#=g2?0JuZ&nISpKz=iK(G_dHA;{7+U&rsnW$!svyuIZe1RV-#q;SmX0!XF|8oEMd^SFk9)Blf@_qLtyOL;H6x1C4P*8EZXCN=KfAI*({ z2#XNUa=HAW3gFo}xMWihO38&czF$JE`euLhvCnZ3c+7r}kbVbOz;`U?)0RU!OokzP z0iyqe=ntS1zLqZ~hUnS(O>lZ*1Gg=VnvwzWH_yf|E{Y_)olns5yd8H6k^nj+F;E^@ z9)A%VCcSC{2kFWG9kqV}D@O$$ENF$YP?dkLsB68*tb0yiOAS78TmuKe((ijUY<{NL z4yEKLbk{2}C(OdZTN6kK&H3_qbOJerZ~6#a-dq6a3w(x>X-~yt-j48&~Sr zR`E-G_fg3gD_vpcBF_WD17j99oa;)}O7W|Mzgyy2@?n`98i9@P_*YjMU-i8|_Wj9- z+??RSb36OMmo6tBeiTh%{vuOx_G66U!-`F@D+GG zN@kJFhZ6C^886u=F@vv*@5mGCFvcmFc<0O{uL49b&X}{c1Uv31tHQo?`BJShZ@JyR ze4cJ5W1PGk%hIaF{%Y$ZDO>4<*i7H(hHcgLeWP#vF8avU*OHAM{Q2;ghrbV$uY<_IK8vF1>gzTz>~W)r5o-@(B_TLLg3%@b{Y59aC$Bq7&H5 z>GmFmBBX5{6g^6{Qc++yfLsjsXO2tlY;_Fed)8cSv9=g=11BZ0s&`17B{i}rE;rQ; zr%U5fy*qEleKN9P=Z(0CQ5?q&2smO8lk+08XqF$Fd7(NZ8u^)Z11}{Ggx8m7v;QK# zwXyttKwoJ|Z0F3=20vIGKy3NJ5JG~K8y8<>ZGtzUP2Vr$=11#VAWjzl=zB~96Jz#+ zOHPl4by_c@wdrEOEcUF_uhKCGo8apJ1?z}$-Mya80HJ;ul)ezF)kl$ZunV9KDfUPCA21Fy48GIT$nws#Gy9GB6fS(fX5SW2X^z9$+ zrXMy6dV?-j&}k1au@{(u5&^>_GM=MM$02>s75&J&@0)0F#0m~=@>G_(pOl2WV@d}W z7$XkoVp*Mb)X`A{veF#~baJA~SWgdwlo~cA0~CGk!ZP;MR%RK|gn7Q9nU1@g(L~3K z%c4&O0rgyF)SZZZBrnTfMy7Z0**=g5321`@Gle?VMppkaJ9?T%_mGHb!OWv=4CW2$ zJaP)JCBuhVj4+by7}({aPKjp@&n*^NW+1x2Ll}8e#|C$ee0yNnQRq-Gx{l6JCEH;e zkgF{cr*gSY;P!Uzg5Zd0w?oVnBWap>)sT1s65j|L=A4jyXJ4tO2yPy31-`QMTBjo> zcLowJnL5Pnh^e)SBxZfGku*|SouEt$yqX`Oo#|g%pH@D(O7K17u~0oYqv5Ubj#C5K zToXvbAPYwq`Xd(|RX#amW>b81Ktp;;gPv1N*BQO%ea82${+-dk$K4>c3Aclk561Y) zD)zktlhX2(U6Cvt@(&|Ez5-&Oq+-BPIW01|m3(tBqGjA@jq{&pxL)~^Q9A&Vu_vpKR4i>!8bGzOmu3XX?Bb(8QFH7Nv$H(p#Voz;~m`YBS4&+E}81B?| zkQP{98LGwlmgr`kBF2kqRzjvFHYhH?Ma)Xt3Sjuf9cC?)e~L4x2{%|#t)A>yUGr|(_$r@I zS@11yvMka6^9m-3#7U#Gh4SIB6GXTAyMX7n&L4_e$Y^gO#P%ejp^8=vPUa+LM|4`f z#Ozpn8;}cEM^jc?3KHH*j_o!2*7n-!(8m2fCVmkY3B>$^pFyhBVSSgTo$uLH7Z^%> zsS4k8xJOsa8sl38?ylm=8NQ{8Cy}AT=R5JFFiY^2CBiMdfP-hE5=YTQHvT|nUPa!F zx`}Mrj5;{oe%QcFR6;9^gc-M%)rX&6gjI&!ve_{x@1d<^hR5cJ9e3|SAHS_Fu2;83 z#669fKAh71@7`b+O!^!M(T+Gh~7R%LgCMtbP6uy@fzG}s5D}5g+d@n0LUFX}b_;j)FWBTx&i2v@j#m_GGc@n{@y!0?L zF&w@xCq^18>O70<%E~S-{v8Evy=eI0XR>4KeLK9PYMV#Zc*ZZ^TDIKdS-y4qa?Cl% z*Y&){#GkayANuLKvL=)L5#Og&xNaTY(BiK*V=D3_W-;R%!>m(2Ifk#G_kzro+Oo0@ zp2fZ=sr@mpzsoB{8&A`?{m0y)w_*DXy1`ryM4ahBEV;0!=}^m=&MU{LiBdaVvv~`s zPFC=63tc;~;SoU>g-tk~H&cgzS?DrO*3HV6VBIo|6^@YzT^WqKZ^L$epH&L0PU^*%>25I@@O@%tEUV`kS zkZEBh`B|os$y3J6ZDxjznWmA+)M@cnrbIih99h^O*UxiE34&4<_2a7w9oBLQvO~^d zWqnrF$kZugR)n(HEm5@nY3YYr_B2VvAvmN+*`OtZjr#E?xk0I8NVXp&rL-}$KW;vA zQ}k{|7n=14F46WS%!II5h@en3GyjUnCDH~a6y^!=rX}wjg|f|f5HTnxX|L{_vSsI` z&M`|7kxeh0oIj*1F(ZsQNvV3w@RO9gf2X7?ug<4gr56tA27aUpr>KZ6!(*HgeE4rW zu~_ix%xAHLHwAxZ@wXL!xMSS2p9Cz%5*jSnzADx^Y z?wSz8okM?dpVu;ko1cqadbnfz6%ePO(F@;c`QM423*X~&w4y;Irr zYA~pk!P2@%7t}nWkoGIr)OVR}Qg!Ig!8A!hx_L00r648K=r^56we(=dSh#4W9T&Xu ze(v0{#w#56hYSI+@<3h&_=tMb*L14RPz!0PCJR}byg@G@7>X(r3mlTw*w3U1Jp zhG83~RKrNW&sb5mGU%O5TyuWZ^dwO_BF6s?{K)93T9 z$n}^XZF{rVpvj)hKs53BUj6siBr2lvu3ocd`@U>fDXWYK(?c=-u6xgg0mD|#bii{} zPJEbCr|LN1AxEj)$uJez%kvzr+~cD(e>_S$J_J%Le`!a>HRr-6MhPu3(=^Hp;;}KY(!B3Cpc z8JSoaLW0=8{JA;tzcu)?9FO0GW{*$=llpa2SxnoWecC50opH=M#vAqNDs7uhp>Ao2 z9^;NST-)RwFg`FK3&>#I;@|^|afy*}M&HWdigzMfk{5jv9o)fhkKR*tpiZSO-#A;r zjkxgOjzjfl{8!{HjEjNMpcK%QA3$F>NOara;e4toKfXos3eV=iXJItAfVq4*_=qoZ zf_dMy$yMWW&gN*mJ2egL^2o$*lRkO_Md#kIM+IB)E(K(+y3GJDK|ON<32HeaXr?%Q8hMO&-AZ^ z9UEUnXS_p7rDgtwRKwuHbxDhpls@?kaNc1e@YsvxIowlqYL<^hm4 zrWe6asre!=TN+?rNxmaBd1w-+Jg~(xU!&V0a$i|n4j4@~qcbNq>~>Xp%zdmlI0bAVoPV7`C%?;YpgyL^BxzIOD0ChG!QB43VNBXU|kIF2>T zJ=n@w5QVa!CxYI|=_XHqy|19#`$UEtq`WoY zBV-fdArd5f#5Bmq#ONUaPK4q22#+v1Z?aOIlrN(NANPa{8sl^NoPUV_rDCGncQJ@P zK$yuV{uOj*ok=)wMV5jI>4Y)~$8lKsPzl@SP3-0+lK4x8GCYZ)#4S0hOyVMy-d+>4xlq-rq2~q=i+6aj&trzxHJaboB}+7 zr-8XrHn6V&EwxvOUEeFhj3GVp8A%LGyHGyEo#-xbry;gC7Q zFExx9CJ1>1hUm=c#p?}if9t(Xf@i$`qXwn0c`GJ~nv*&_OV4~Knm3oGY-_x{tw#{KMrGiQ4P9unEMby*Ndm?VhMG>tfbw)QX0*IHJUIy93po2OW=9 zo#R_(Hi0eMu$fYD{Ua`BecJ=g28l2-{#$_5-&S_xT7ggmef4hM1S4dDk%cfX=5Lri z0+_>eGN;a4K=O`Zq-|qje z4iInJ9vBaxfq8pc$`*0qOZ_x{y2q>=Ao!w;V|{S5Y1qlYm!!O<<&38M2j;h55Bbe} z;im5ykp4Jwv~F5-YG+nUVN=^=@rVF!xA}F*>P!l-!fb+T&F(k8XU_cEQn(0dQ#&e; zLvk3QL;kdmVK;j^Dh~Ty(^dfB_~F-;x>X+`v_$WA?ZI!M31ZK#j?cC+(2U)3h>KX~ zCjqU`r_b_R{bWqtu<2;HVGWt=f9EYGBMjeM*r26}N+FzK*cSnj~7$^`DAX~e9kj7*n_r<1kD2PL#QuLdAt+YLSbk*^}((yr`H{1)pa#1@(Jt#p+uf%GtsUY&PPy2xc6 z4Bf{LQgQq<`E*RtuYvtH3#R~QlzE!(o)s5)Y@YreU*AbApt%U zizM8!hjoN8i;k&{X*w8O)c0PN?gnkeH&b=`DyqF@NN5~VltyVz3```~z|Zv^iv+l<^2-(r|^uh%K5>g-k{JTTP#gGW^lv+Tly0% z667N5v2cN{eTZZz0NhM-X2>Nx({vE8>-`O(b8M;!3LywdB6pW443d00<^l~J`$Wu& zV*X8o%;z-H$aA$oc%r0I+35A%AQ^UqN9cuUUw8Cta;?5sO@yrhUyyGBGvHCor8Ty|byruG!yWToBIWd)W>0>{ z{x$8R2)`g0p3K%xmHV|&8Zm)+pVkdhFj34qQb>!QeOzwN875WByh))_FR!N|y@NJr zEe$d*8cp@C$UhjooXFOWlY7Y&%6JWpBlS}~4N3h}*xyg9C`{_78XD3+K(no;K_*Yi z_OIeGtH^24xzp%-TGjaS{2bAgBQjIy`|K!CvldG6C(-w;^8EWDa&MX_rdBa#3Yn&v z9mPQD7@VxPZZf~8pmJcZZ@7ExFXh={f-Xq@&^m0~+K<3|ld4>l#tg0*_eaB)Hj(M`x(Mw)8x?A=jU}gI*>*QE_~vYF)uP^FHHrjZ z;jIkL6QpE*<~oINZ!sI_lHQ1kwGiAvEWuWWq1)X)#)Fxz)+6evcqK9E+UXWaVvWS; z*SV=kquKda=f1l*CDjtm^atItWiPFxZ8qLktAGDjo$};5 z5t}YpNb6*C{t~LrPg_5$Bg0^fLrSfbzWwxHl_AnMf^U0ttn=PW2DxbGL>w zGALhEncC2R-c1LzvU*%Vi}9=zxy(~uHunWsWl_O=M`q;-pjWle;x%_wXx>1d&3oa9 z5KlYUz{6GwPXqQ7Dg`OML@UUj=u^EoVLI^xbnXO&5l66$+5utdQ}x-Ph_$BnS-6GVfUOw@GGo^f;|~0K#ONN8@GRK~ z9uOfxae5()HvxEx@R9fTh(8!P!qaGk3?z6LJsKL1+(=}4!|=Nme+p5I#P>wdLjNvS z%-0wCAaKfQfp#J?yCZM(q6b#<3~q(QfzX!qAOu z0i~@vM_WSLk_#-b%LWKLx&zuhyoB_z3p!dP%L}aw{@C;n`n7q%too=I5b%zk9-`td zpm!suzs^0nody=Pg9WaK*)U|4vEx=&^LMm-CgqUP9g^&u-yIl00{@`3Y8Y+HTNeY4 z3=J=^m47H!9a}_m8$IO~F?+!jy=0Cd^?Mm-PWzr!#HarRgU*N<7@eQjX9gm$AirHf z(n|qu1{iXLvSR;&d|^v4K1RRv34CcCeT3f^L-x@kTNfN@ilE4RAmiPT<$(F`)~^w( zUZOR2kG}05yI`i{;qv?!DO?ym(=Nn-nMA`2W-`x;Eze0U9Q`o4Rp!I~TCsiwg`>-E zAxv_w`8@r-2A&7al8TE;`R!t!6kDE>^E+}|enkjPpcC96*j9J%@rsnG7DEA6IL=S z;~|uXGNOmT!65dd5#s$_A~B8t^e?38n&`hK>hGmXQAjwoRfzG)v#{tCAPotpZ_SUQ z(^WlU`S0mj=}b6zYjGBx%>PL=K_5iQp`X?)6rE~1f6jlJ!W$CK+?LOosu!Mc`-zm# zh1>GEfE{dV#s6kQ=|m&wJ_~OtghVko`SJHX_QrEzXtU`mtU?J2FH`My2}5kYdCn~_ zArk{%a*NnxFEJ>psfB_uBe|i?TRy}02EOE0o$Mu*X8?2avqQ!L?6Z-;wpmP0K$=nb zqVy&bTw1tHo?Q%X3?OiOdhC33Mc=3o~+7le;zA_I}!& z{rUEhB>&?cE+X=+xKE>-At3d~XaT}f?!keb*W-o`Hf*MLnryr`vwwL>wvzh~9eIHIP*$5Z4&$ z_kcyMdNcy+)1YCV7ER0(Fp{jV6kRcc^RGRQw5fwo7kT$g8zs5DAp!M9P1U5IF=;lb%y4a|K2&Cc}*TB1| z2^&~O0l0k-78n)2M=6^%6noaqL9X?O~pYUa(Og3kpB<1s9s$PGfS`t?VJ zq8eRB50cu1leQu{-^{`(a}n)hG<622ny_0CP76gV)nQ0X_pmWY2sJR>8CqcZT|Lby zr$c6B=AQPqo&>NV?c5+DRf(*fw?ESfd-@J7Opi1b(QOR6ZKr?ip@ef zP(P_S3d=$SJYWcp+ms$!=x?H)?XmGX$!|Z@%uW@|MD*G&kW@JPpDtc*a;EHY_QDLtR_V z3Bs#rJv6aV6XpA&yoD?NQ+&PVOGZE8d`8#FDERYy@J9J@v1BTUDD$Q& zhxAq*6TRxCt4lbxrlMDc3qh>1qIas2gT)l?PHuqdnM=A+=e^vY4XuN~nlle#-ecBj z!JPB%86nGUc}hNnamJhgvv%soR~4L7dHhDrY*-0rvdU5GX1-A#4a}w|xan}=!Nqam z&Gtrr-1+yInzwqD1~zNz$4`t>F1%s;i)c!8(*`GY$V468cDNDh5ZG7Rrb!a~ai9^S zy=`BRmJi{dd8vVW(#$>82JL9Gx(!NFq#zj8sAM40T?gI<6s{yNjKlT8ji-eD}0x!6MaP5ZkVhmS2y= z{St20xC@qtqrVM4V)jL2V~*?QjA{<#ImkYNEQbnWC0?W-xFKqe>VIRL76WXWvo>og z7YkzH_^CCsaa7wht8r8}4wgo*FA2`yTIo!d3xFkVE^bLapczp)`)}y>^l%D8>q9m7 z-<-zkPF*#ZQU<{9Z_2tpRMRJu9%B;6L92_QV_+6)CNq`C5n_cJ`BY(@HIJ~&Q%}&k z#xlz(-HTgMndR6;)>i#+P%!7IhG(@#P^WfwL&u zo(W?{Tz8XK3LYkKYRJT27j(qyBVOYxIVUi*u({s$a#&xcsTk8z{4Ky=8U8S7RaMtT zGfUii{t(z>G+T`ZmYF>uQ?D>s%_Bb==4y^)n{&d3%~HM6E>Yh{2nyIG6RH{J8T*0-2<7vAq=vz=Ctnawd>}W~Uk_Da_XA z4D7Vb%&`XR3(f4bt=rJ9=L7760qcuy_784ros-RTTIskX_Xt1tpW@k2AUkk9a0@n3z_KywL*WK1eCp+6| zeZ$QD$!vYo!2a1_^)<8hW~)EIIs(>!n{~RaZ#mf_r*(swonyAXZD5NH){V`qtJ(Ta zfSnt#zUyX7+}8J;>^!ISeKY&0+4>g)`7#fxryD10_0kz=C^d4x1(e^h z^^@rF;Y~L)U6(*aXNhMOD0WMHBMtOqbR94O9E zd@}eHW*^IZ_?^ucj%1QzVv4-tvD{1HIjk)r3}t0$)jE{FN2YWS!+Mm?y|%Aem^Lzy z2U*hGXmQ1;aSE!!2>$J}C&wz_Ew&s9Q&WKxB_neNJA4O)wFMzxG+?2&B2zdEi&+|#H)M53nQq-*>ZRLu25P*k5 z4C;+v+!rVxCq$AbccHEf{we4`{2;)HJ7H}~1~KJV;Dzy=IsBRYOJee%lH{?)j^D)O z?DFL43(VnFu0$<}|0qT%I)taQ=x{EG@?)b+zfhoA0@{iW`vWi1swBXQE=5Qiii}mK z#qvCQF?A-GZ@ZzGB{ufVw=_NYWGgz<^gYd|x#)1Ml%cce5d6ghA`~4~{U|Cxn?YZ? zM6v8f{5<&AyCKTmC;QjCD(VX!XR@W42Ga-BDke36l6{-%9Q-5eYiKtK3AOTF7V7rn zzDu7$Bi3R@VoKa@DTR3LDB{PzSWX}VxSr09K449ff$Cwb$Mel5pXB21&g_COb{ZXKu#VV1DJEpTKS`4 z4ooRZXBq=LJNhFuC7ZC8kc&n_dlCkTiqXkzuDKC8YVH` zNvyqOp>tB0YuCRtJ=qb-#yw@p#v2P@J9u8QaXFi8EKw#KD@Os2KRzArCCSDO1Cos= z?npKg$d(F_56H%RBPg#9yvK=@|0iw{$KaQc^FH-s#CW5G%AjuD>!)0wtDO<`T%7(W z9U)u7fe_uL=zv6iU=t2NU;tmzv~1A<<~*I(=exwtE)kA{{N1ACkeCmlkHez=un2}; z%Xeb^_u{dSerftv^!~FaO})LL!FgA!^G?<&7@VnQ=Y2M3tkY=;IPb0cT68-{9B{^6 z4V?c(wL}hL_$pTyN>2*66v}#_a*B6`S zRW3ZT*xT0Ysi?M(tsbvidOoEziq*U#Q^`Asmi<#NM^JnCg5bhf!!y7h+_AfY$m<@ygq5PT4JC%giA5R5M_ zzDtie&PwP{!mIfw%Vo7$L&8g`TBpzRr~m{LC-?aBoTKo)&FTiy8l=DW$+496l%Zft zUvDjc_$7u5%Y+17emmwx_|kl`wPNM@?T*ze8=}d+@!ZhBw2${a0A3fw43NfFKy~FYd-n;rJomPL`{VDWX+p5rm?~Thi=wo;EQkED0J-mxEp9gk{Y9c|T{mwH%vgrhAehu?5Fo<~UlQVUDcf zyP~06({q9&gy*F^b?iIgDO;5=VxICLOC4Xf9X9ZH!a88)Z{uOVNWL)#4@>rpwCXNN z>e{M>1$;g`C~T?f$=1RaDeRby$~KyHa`4m%n0-j$si>At7WU~oH*se@oWIv}G)(`O z!x4Hm%($PuRCbqnO;jXrSQ9;2XOtxVAZC!U4D&{+Nsye3)*UNfwTfw)3n zltd|tb|lG#knb8TcQAbH2$JVRr13DNyqAjjCV3&OH&luT=|1q5G+^E8NB%~Pw8k|# zH__!~14?ZwAX^o)X4`U!%YKfEI#Q28RNlfR<0O0aEjOWEY~bFA(8-buIlqdaFPNSG zueWcHYwEuH|DF>90S=IWmv*xCjh3M`5+XFll8>G(a0K^fuKfI4SbUwsA6IYPJ9oTd()WNr{5$^EF4#MeCrQkX@4ru1tH$F`L9Z?tVNwapXY&}-SZ!0mZA7v0=@+Oi2{JMu3mvSNwq8yx z@~V^~P-_%QmkBIG1Q57H@!Ns60IC2xUQOIiJl%GlbFc2h9#4Y3C2({;fyT`DLiN`P2R@q1_V9M#U3Skxd*)|GuY^ zPIyb^fWKJX9i|c-AX>8raGQd$DF`T;Wb$NC1jpIG$$zBTSIQvD0iko>n`+aW{IGoU zbOjl8bj~7J)=qS9lewuUy9jGZG1jMYNT7(fiO!B1x=>so@dFrcP zhqaLtJb}{iX*yxL&NxG7nQ1w2g{$RkVBJTjkK*aW6Tst@`AL`pE2X2nY(8tasyN3U zNh1PF2H%)HsK3pRz5{8|v6#Wr-TKqr(2l%E-QlWGv%yRAsIFvajgX;^J?igV?D!UR zfB~DAEDO2fNifR$7FWmc9`(%^cg^^kxa`Zj&IJF8>)FGaE9ZxYef!R9UT%zej^^-} zbE7q31y9my)8_c|%MZ*r^47ISd0`nr0J(^54))>$XO8=?yqxpRM2JN4L43*ILdIn1 z)k3hzFs}5G?9YH8>51*-Dlf=y;(K<$VyLJx82q4aRogq2gyne_CdSd0O6JpZD}uk5$n9Xuwxl5 zw_=tscbt@V2oXC3wdaefWNWk$^k1vxCn^H?JE1_5q;pU(fZdoD<4kKs4OcDYiIMWObe#+>Wx>?|{<_b-1F4;# zh8*bxd<1rTF*pWPxa>N37pN>!a~8`T88?UhxVIoZPy`H8P%x(o1F2ZvAPi9zokfXR z&Q}rbctgI*p^#jJgNH&YD~yKopB@&4<+<{nLHVV*INs#ra18|Yji*Gaz_CDEpcy%T z0$XY_mkK88r3#u&633~MRr`e9`LNA8{l^33O${U(xhl@6lED`(vGb_RC&fD#zM6BU z`LI==@gkq0MLv@)ibPQT0JtX(LJoBB4N<0X^$w`B2jZ=!zI8! z9*<$eZX=`4O_8_31O;nWCmag5@2%MJ1+%(g9OKi!lsV0E>6qW;Ba3f^Sf;Fz;H}%0 z=R?)~JT~Mw4G{Qu^Gajy-V;w)x1KK>s@@$pTLl*fT=x9iJ*tO=!pBGI$AdrH{`Z^p zv(A$_8gA~a?s5AuVvjPnHAfZ8xnaor-{Sy-%0H>GI-rwXwPusNI6} z|0N%q*MDkcmsw);0$V|OHY5T@RLn16RWp3-JC&=z(8fF)ge*j{p*fo=@4Re1WuUta z1fKt3DCRGhI-ypO>1rikuqaiTC^y)}tuaeX0FPWVvP! z&u1!Brb-Gt3K;_=a-Ag94oFN&pX_`(ddHA)xe#TFn$V3SG`8(p$Q}UJV$8dn=-Yw~ znpMmj;3s^GW$rv-eg7&~eG&Lsg1jPrOr_veHxhD`^ zrF~p;*dVMzQ>l~2-UDuZAI`J8FirL~Yy-#fWf5am%PFXU=d;$+F&L)Y4o$&0h^^QO zts2rAMmTT&0H-&;e!;_TkKe7=`wIFmE-a}?VP>8HL*4NFp+Z4L^2hlsZFVQiTM7j( zP3|USUy+jE$t0c78-ozlnJjl>!8k&3bS|D_A@88SOtYGqCqgNbcN=5dMv~&%o??=e z+%%%sRL%)j0({XM$ZZ>$F3RK09#9jdmaQOLf0i|V+y=Q!c4Gy~nm-^XqdXHbZeuLl zh<x0MdC6$$S7}+F}H69)y3ujcG4}xe5 zg~g2lt_vWAJA1tJ8zgI}Y-H~3OYC%4$9T*#*y%*e9&)*t<&S5LFvpJ1LqmWNpI;P2 z2buB>1>^ZcDl#umZ`2kv>(2+}^iYP6ZOP2x<0>q?S8TT#7j z=Z~OT$dbeulSuUNXG-ov@ao+%lk&=7Rmmq@8hYZtj%SUl2wEL2i}`^taNKvCQ^0Zl zbw{dg>5@2F!DNjK737SS>RiI{38zJj3EZd;>$me*&<=?46C$^2sKHRKjqg0Q`)ofI z|E6MhXb7XM>b>dGkaQg~@RQ@|F(6b>;#P%=MV`{7ij#B6S1OtEl?ogos)Ne@%oZnE z&m}sHY+7|{k{N8Ww3L0)`bCyjVz2SyJ}Jyz1H+iKEX%hrRPx7HWSl~&I~;Y9Gg_9x z%M=%-%rj3w^jvad%E3YDdP-j1rDW1^6(>m~wIa?2x&gI|a{3pLkdr3lqK?hsOyLN2 zdYMH#lE7q^aR|vxSQxfLO?$q~5H}mgF`PcYLz7F~YQaoZXn?*9hTWLW*(G5!zekeuOEjlRyu0+LK4!82x= zPEI`fiT@W&e_{RbP6oYB-u&e0+jB*s1zkg`@V7( z=aA%qnB#3@w@XsSaNMEdxk_n!$04b0her2uLOjzqcg~C>F>(+Hjs>1{W-x&&i9eDI zoH-S$4Iu-F7>kGxP?i>Na;|hajGJLjmRcMO*Bc<|=+x#QHKDhj%cGa};dG=UzZ|G; zGI8!umYnWa2C2Vuz=fR4fYU zsJPQCl0i`sl6i2{{Kz#2VO}nZS$N>Y{&;KK_n?WB3JG}a<@67NxfL(Jy0;&(?czE)`d9D29Am*n*-$fhiE zXby?ZBc(>NDU(X~jMbCUTS;s#0o4ih)l&D;3=*p)hrUJLS!g^OGAhPcqQAH`(Gn|G z#VD~9qNn9WTBn}L?VqDIbH^5|E#BaSEjEKA9_2<{3A3?0FpzQkm4Vp1bO2JIAWeHL zbW)2$2+mP)`!OHGXQ)Esp-)3>thV$syag!wGsf0JjwkXd9l@JD^giA(rT>k0EQphh zNlfXxcq$K%6!z&Nw1YKOF;6v}Ss1!fPIbmfCK`{LGwjtM?T4@51HFJK!; zF8L_5?e*~y;cSlLGK33hlGr5{i+Ga-M6m2I@JM$BWfan!*-S3PGpehT=VT~~*vsSO zGb+dPMC&X#zE@ckpPPa)oOx>G;8^JA?6zNwLq(s46eXP+kv&TO7TWgAIIyj!*{ZSJ zk8fd1UnYBg#~yl#RI#~iJS!b!*>X1BGN(JS<6PMYWDb?H#ubE1u&(*krUZ@JP0u?i z1UWuTGz3`85Y2xKm*}^!o1P>IDddP2n(}0RR6%=lpZJZfjX=ScE7(=!wH`%DUZD10Z~nSwfE3&VIDFr>G2jQ453;n1ee*ra`9 z=*^-xhGu-hqkoc&$@d(95FKeD<}Ka+4tm)-OBgQhw!{>Hr9@um+n!|Jig8&^YY$xc zIlAeA&f6p9~s_>TV& z26)5%E9qNIJAyrCzAG_QKVVB`mA%*T!GTRH_WeO=PKa@l%N(tJ&Cv?3woE`<5;#D zJ&~|EumlnV^u~cK#6nkf5BMX`Xg=oxMjWwba=*<1KMdvw9`kmGbXe%aTAd`;K3XwrAtF3 zB^di%tcm7hk9B-(Slxj1g^UyO{hUyHqW_ss;L?|IA}mR+_5`dw8OJ~uM-8>dxGa3V z87IS3fbuP5#>w!#aqUSEUC|Uzn&eHn_9TEcCDz^;O7D#|0*BprGjna&Lh5YfUXv-N zYDIiiX1w_KP;=v_I9r1Jv}%E>Do$lRFvcvP#S8Gq{(h;5<}T?L`10m#L!UCHK)ZEq z|E9m}PbAML8bAQ2AZjfykA3CNYcLz8jX@-7wV_^n@Z}JM2QoJu(;mNO{`nZ@aB&RQ zRtfq9^eX|MdjcsOEB@&kr*s@=fZ^?W=c)>zCdIB{#!YMJyw<`cV*j9WmnbUjNN=d) z%Wiuw@9AEh^z%fN&bWJ~LpLm~7(tibZt_`v|Eb;nq~mVfJ-?`bHsD{N?%k_>Iu~>! zg|{2;#*q456oV}eJHzo}fjQgS@n`L&dZY(ofMIDOj$;zA!wSdr(#0g}IF{bSJWr*t z09|ttq9Iw0!lGe~8m5P$YbdTJpozWT_0!Eu@(OWJNpUm~Pv!Sq80*$_cVB2LQ2PCY zSZg^|zy?mlwgpO$E=cVxIDjd=k6iEZg&O8FJY#r5AqCN!a2EjN0cDQ`tZnAfPBjm` zeiY(HlCljH8N;GTSwjPd>u1I>YyDA*v;HWVbGoq3GSmH0&Ao-S26jT&D!Sw z(&OKAMI$3&m<)>K|%4IxnP38naxWEij=)Jt-#i%z!Fs-uYq4b$C>A zy-`Ju`6u*bon^>3Tii!S7m2`FlRQp%*5km7FUtxmf zVji_Y44Z!CFmQPki&sKXcqYB!;}5`@D?cPfLx1@I z#z)HD-CX?ErMmQZS55-gwxRzJLR{L?|4RQKpM7Qs@+fA#`m6ERp4X*+)0MM4tjmJA z<*1~_g@WM{G<{u>1#|OJ0JFFPjd+#Dp=eY~3ba_DNSdP{tRtQWy5SFO2a3aRM{(F4 ziJ&FUv4U2;bw9X7ijpdlna9>3$R{r(UrjC>0#SQWcd%$XHn6gB37tP!9ITplaTF2G zYyT@$HdMr6H(&xZ&ht6Cbf+r^B%m~Ns(xeQ2vxt)K7^dH`XR`sXayUe9>JL}+BZwa z>Ld!;168(j%m+tQKzho@#ONNyDLInG?aZmEi5xnIJz$>%uk~Ku9WTnXiw)LQ;{`+I z0CVI{JRLXke~&dO0#;*3iB?8@cSAUVxW<)-;;qUL}$^_8J`^B-S5JdPC{64QdX?G@9E z+B89{fj|#=AwPH=gp`i;ek1H)W(p@g)_yjlpb zob|BZw^OeT44XRw+p{}z<2R2$4|BrD{w}?p1m7a2T0lH{5c+O)y_KAsa*kY$ z7esaNeM1Gy*BDlf7b%%u3h=Im*wO++wz((?%#%B*3r>`3F0R_}in(y*+&fL4jlX<} znYHpaF+W#U+BdevDt-e1y&~nZI4VLoDW+|oIBmZ;efTlM7}B){L=E!@O=eU(eL_3U zrqx)qsRhm1ol*u5Q6E*ao&@td`6q%;zsMvK&s+^8Y$mH0n|k$~%&#c5LOuf=Nc9Nt z1fcXWYfZcz^Pt*VCJKwdk1VCsOjD9mKdpF+l}f_6sbgW%+?+o^Y#OOZUY&B7LApn@ zX}rD@LjGiyVH;zYrAtCfy{NSl`(W%wb}93`Q@caLp>FuFOhZB6Y6FgO#*E8lTD`ts zhKQMZ7jlrP4lWH*URBzoM8cnLXfC%p#8gbc_)awSr6tl?52|B?v1S*ALE1gG%qA#U306kt-JJ1+;z)^`d8pi*($l0DAP z56b%l%3vJOKuu_W-h^4vbF&$(yB_;)VGpI_!sYVr) zQ94*r67wLJvFgPO0)tHxg^PfEAKOv|2HLZtoc7peHh=Fw%(Y*L8Rzq3Y_Uq{0-d$e zR;nzB;U+rNar2p^5BKT5G$K#S!tkatUQW~e`H7XJa17n!43a+6Ws)hST;l!Uf` z0~Z4aRp-gwmj?2i1?{xaMdW~moasM|Uc!34OZjt(u8)?np?sE!|aW5^+< zWn0TBt`8`{*a6p2p9ty3Jz^@blM^5_!i1p2;SwJ69MCM2c+9W}O$qlB?Fb!2KyGe} z3OR}K+&$@t5Y22@%!nYT9!zwfrXrd(h*KUFT!vO207V)4vJ_y zW?9=i9Rm>QtEt|GyQOo=%bEsegBF8A#nq$Ki>}5Z6h+R;dtrOH9ASK~j0O$sQsQnv zm_}<|T1m@Qg@hgmtEYNs5(RdWB7Y+Z{18Q(f?Sm=ygsr|ex-5Zq~aaXqDE>7QpWAm z(3x=~gbHibxDmi$6e^gLBQk!h}748DU-GHc+>hR`v5b8{K!4F^! z^LxjfeThsewc@4&n>pT0GPQ<_tQP`&jyY*82lR~A-`1h}mdwRVEcil$g~e$$TxsW~ z&&gvrB~EEr*ucMhjSy0Wyr}wMrvCIBQ20_X@Iu{te8)jVGv=%UT^=>KS8W3Au{tg% z7KB@eVnBwh=JMg;%~=&b&AL}{ilHA2N`Wi!7Ti|)hE5fz-2;4>WO19&zv;h6d9C06 zi+R~v!%V4%GA1T3w1iq{_hX7F@=i4`*4ZwjcyvSM9qru7k*`OA=YJ52&iLug8frg5dE z(42%H0dK%(wPwdkgt%ZIik0q1D}nQr`o8N*NGCw>I;SvS2_5_>bSMsx%W0N4UA*l2 zc?eWZrJzitK|Bw2L8(S~<*n8pl)b*oK$*>fkTI>&Xy+0X0 zYnUMmc?GHSb8=Y@PK21bb-L7f&j+;czX$#e3+vpOrkkB2YoxZ>7lpHymHRsEi%^+n zXj(zj!F&nuvSH{wK~Z2-6zT-EE-guym%wG1r%NqUSC7Wi@DL^8f3&lVIzbt*wtG|- z+Aj#D&6h)SyjCmYgjY{yRol%Hg%WMW%)9u$>8`@R@h@e%=AGs5=<4Zx@Qy9RVK2*c z*KNo6Co^4h-{ybW^~)`XCHJbfp5MU$J;M@Ww(>TUXN4XNsUz%ommAd!L4gkl5OCV~l3-~v!fWbEV;a=&?O3if-Z`)tj zIpP4r~r#2?m3s1ak#la;-BIGN?wyE*dA` zD#p2{&2 z5nRD8^Y0#@iDYy}Foje*x;g1ROjC*yV`FX~u-59->vj4ToynuC1dD+pO&$(?U3(V398nB3?chwi}`0WXKGrMG_3j@W7p|Xa-cTro#?UrBs zNvBTH={cP#URN0#UOE=WYBjV&Y(keQ!n%wVhI^>vCAvR`%eJL*|F=T!#1)2cikOF3beIJP=)7 z%q1%QZ@;c9Jj7jHyW8xv<#b(Pwj5VirzX_=OS;NTnOa@%gp{v`x{ifhTdS=3@7k1Z zM{j7&k8U3zbV@|&;N~36nztq8$g_bSw7EY*6=lxY=A-^;pY7gDOV~4a6DBq_ ztlcy@PYAIuASShjm@20elRl4_%yo{P0bMFs#Ikfoz0Q*FvZ+Apig-fZCEOV(U@}sZ zb(o@6ZPX}AyP|^{n%RlxiIH})QMfJ}x87!-$LX7g2@ zz`9a3Rp*F6VyXhf2$hlPgxxvJiS=mE{ukaySlc|yu&m+uK8tjtVVqZOl4bGSSKUF!P2ap~@+@uM zzWb{2-j?d<$=*4$;oDe64$^e?_m1~GPvF!1)A#qD=#I#EdFDi*cMPueC%RoApW|U{ zIDt5?&^TQ=SNmTI3HOnb*F)gH9_=MntJ$HVtBm^EktC%6<#>&*)Mh zQ#zPGy(NvWmjK|~di}IWKMI+HBi4I|A;zDTZs-rb`lZl&nAu7T-2AJN`nX}2x*@K# zKQwA0&70rpOug!js~asNp5~aUwu@k`g=7`&gOU#KT*QpQWK_N%iaiQ=ii@=#q)WQP zSxL+2q#L!xX~Ns+ESA^Xc&6m;Nf>bL)q`J7LVF)|D!-hB_6S)UyqO#}#S$KO>5#V1 zW4zIgsbg&5d71_5E?0sr8_UDNWub%jhQNTVEClx*9Zo`yB|MSAbSQKMLds1csE7r4 zO(pWNZd3pIw_w=sHsft^TXsV&Ec)HOXq)~b3_b2*t_nVd-=kr@ zZks6a);ZkECEqH%ZSK3JHyu6}_bTcAUFp4AdfTNph0ef_ExldRdyVv#u83qdP4|70 z3zZvWaW_WIb?YeyDKk~KHmkQk-73*3?iVuKXKqe&q$O70bid29GPvp{$MQs@FdJv0 zCwcv=o%>73J)h~nt+wa!#uBDSnB7;R=qX_X!fdaQsWzGXZJpkd7>6+10&AJcUfgLZ zQ5uCzV@WL1-uH@me@R@QFdHtjmOKrlT%OU9X>rV^)cC;uNOFwF+SA1Sj?FXUwU5Nu zh@yUd<;s{DD>?gGW!1$*2Vn~gHbQz-q_1}?z=OWt%}?*p>{l@W-}e9Ct5yGz(4+cR zY&J=c2ZI;^(|1gO#PK`!`Bzf7cZY_X0lqxY&ta^BeuyPXaI5+K7l0$$@(nEX@d@$F z-h#)o{e?lYDp>jQMoy`(+L&*+`_bawy+KYXF01X{7yRWuCh=MOS~aJxtlVz5Z%4|1 zy}bvGrg??ga{I3``vl!9GwZ*xckbF1YoGn@tG}Ari)--ZiS!1IP<9q+{`IJC>h8X6 z)1O1+%{)$VD3!=9h_>IGW)o)jKi4y>>y=rLf8*D#eLI3XzJY$=(gKdzcfSI;J6=bo z|CgQn%UQIgL&!ug_PRP9Zey{uKnTKl|OCUa8soa z6p$8LTulfmSzcL_sW(z& zX+@cN8E?=hOh$2u4Q^*$A-~S)tm7R`O+NlRMZ95tUL-_a)4Dl6zNOXUY4Z9I#N`%S z>iI^|GhYtjb+-6KFSsh3_|_IDeZ0J*VI6PcGuvqhAN+aI?RAQE8+eb{(&DTO$CQiU zbxN6rqf4Qqqm!xm`!;x-(FxoTA4wXJsWJRebHpC0aGlfX;alAFomtnCK#O?8ufbE8 zSvNoO&uJ7}?z_QZO662|o$Csb9S!Skq9OjVzoVsv z|7Y>*oucQT`8&PdCNCP=D>gcPPVdy>k$=B?b&InWox@8Zzv5rp>h(I;`D(mPt%zRJ zP{%j4go`e<6JizeKC}w&@isK^3#0vLfb0IvtOV2 z(*L!qP$f1tJUl#-5DHx)moC|sEh#qT6T$$Fk5MvGsaWYvUn7$>Vzw`ubp6K$#T2ip z^QpAK+t?<0o%~(PmadA-gBBjsGT*phfmW-X%ui0p+34|Y;G2A&uzM+b+s!-MYn>in zL(@7wE3+=UFe;AIQ)?)~VA1Ed=kTx?qb+&YE$y}I#kxB0q(dFThAmO4VLUD`zLQ_? zL?>mo@U=~i9y+}-8_lh2c>WIFs5jis8wv^ycNFGHtAM9|LpXR{4)5_q%$Nc4+`zii z&ZBAx;gR05p~dHHln2;XIv3%tuOo)}x6Ml+Q`>W@y{^6%^U>)=k$Gt)Da?`^GW`DE z&d2NUuoS2?uU8~zovp}9V3S5Iw=K@*$kHU&i`tj1T)Gq~qPf2IcSf2t0aC~mO3gYLhp@~;49oOM z;X9_o&OXY#Pac%)m*&E*_%ZWm()zXO{r`02{y}E{!#c53>ZG7`qK)90iB}>Qvyd#5 z>{%JGr=(8Whv&nda1)47^^$)jfDMv7d(tk+eU8_wa=_O?)9c-eS5n!e-Tx}=D*L2; z>Mp$%`)RUc6yS=Cr&{5ee|VhDIlLxCA=?=`2DC z{@J|8j1kvk?wHGq`J%|wk&4tPwqu!NQ2Yq%-0(Yh8lT!safwte|u|MJkHu0(dQFu z>(R_>8n6ohgY2wb&)14>H}4Q@@0;8X=<=WnKQz(bADnG69hz;TWu5xaTrXh2)A>io zDSe{rRKBjk$1g(nB0qSMO0mJ))&NM84lZZAM_ku}cBek_Z!rKD&lJz-^rFo)#=lDM zE8#2dHDYrHF>M?k8_}Y3A1IR6nD``Kh zbvFP8llQ~<^P|CC>=#b7^oFKZX}k0_)bb4;I_e^MM!u2!Y6Ht_qst&CT|;3BXG=?s z$KBd8pIRtHNH-yxe@hKUrPr60U5KIG>aOG0;aJe*@QHxyJ+%&L=0);}PMhXw!;mOz za$_=D0Pj0nJhZ+!u7-7W(ln`QY+1w8Ge=}q_}_-dVCGSbdI~{aZ_2F9mhi?O&auW< z>#3=8x&Z^DS~*hHZfK*`EboD81qe>FSV^3N+mjYQ%a)kuV2Q)bG%EIF+`_T5HtIK0 z?J~yKuk}nP^H$Ey{y1Y|EMEh9A$n9~_oOK;N@6h+n+6C<_1sL}bb;4@zGRWf^f@Ru z1;0hZ=lg(n@?>lW*@c8xqjaLU%ayqpa${$J6DEmEE-;r{SAnT8E8Uo`EV&qZ=ZKK5 z93-C~<^~5ZB%F?Or1_IHgC~9DG!F+(Jv+k0X7o$Y@9!WqL_!QZ8+kC~f`v-L^sBW*yCQ(-pt z3y-+&cdZ3);050P`CQ%oF1!8nwL-^5y8!ZjS_AMuUha%H7l2V~m4-@re#3e?4oKPL z+}LaeWL(=&qZt)3Y`QY;H&Bh6l}^Sk6s0K7hP>&@zl@HZ4x;?Sv|>=ins7dD%}oC_ z$krY{;~P8AU)l!8F%l$WzRTNU&xKTGs!-@sXO_XgOfxLCWENYt4WcwmA8bcMmoX?) zpq zVdhauzrw)%Imb*!BZFg?yz81)lvhNZifQ@j{f=BI)U)< z@Ynk+DtmI>|9T%r`~R!{bVWB9%1rIFfufowy7{PoP8*O?(iey-8oo^eoeFtsmbO~N zk3@?0hc}QhH1&utA&BepHZ@9!H|jcdd`-1aZQqvbQ%|b))b?B2DAwBAEpCbhqv=!o ziYWVr=*EH>n86$NcY2<+TtCiA>jRBaR8%MRB%R-l4K4I0yq=~{?KCHEqui(X!uvWN zL7J?EyvOaX0pKYiR-AP$ys@!G#>LPXMAMJ{M$8fS|E-P?>c#(m!HvaRT?&Ub6H#}OE{#kSwbPf?5nFq!{^-C!2raZ?R0hFaZYQ+ zIwK(}Z+u0{Ldzd*rgqDna+*k%{%OP;g814axqh4XPMYP=G@y*nrKn=Bua<8FDv2&q z*OSQ1L%Ml&-{xs%`1xpi)7A*r8a7Y8*y4m$inOA-@@@l#@4oIqh!xc}m3J>%VOxs! zX!6x~q-5%vFm}S`+NMa8M!sHG%^IoIrLiVOn3{Y&kZ-cv^^(iwUDw)JbD!krX=(!k z6^Bg8Ci`Ojb*`zAM-F-dHNU|Vfjn)sKCc^Hk7IE-AYkz|7!^`_Qt&!JwYAX>QeYI{ zDYsH2FZz|nD~*m}3dHU8(I_=oF0BM5!;>C*cA@wJ3Lj8B0sX!f(3vVX>P&Q9pm>SM Q84X5K{E*JH6A$(Ke^pf+T>t<8 literal 0 HcmV?d00001 diff --git a/images/espple.jpg b/images/espple.jpg new file mode 100644 index 0000000000000000000000000000000000000000..e65ce5c619f2b3947724a45b009f2e4f0bfef035 GIT binary patch literal 50220 zcmdSBbwFEB(=eLgR*DxVKyZhm#VHaTf?KiR?oy$YQe1+&Q=9^&6s_Q1TuKGk(&BZ# z6AJv~dER^9@BViea&~riX3os)tel;boX(zp1QDq!!IVH?Fc_o){DDpvNn{iQ9PL0L z4Gj<{2n50b;e)S&&;SS<_#nYVXD}83XM#yU=s*qz{y<=A5at;S0_B5gF5xmT`#BE4 z0W5GvO90?K0SlhNWB}ZTM*0_@M~)zjb3Sh(ST10+ryvkIo{^)KyQ?E0nIl9_06;>? zi@NupTp6aJ4H4uO;}zrw3GxewN(cx_2#P=i1SI&yB=`kEAllH(3%Sr(Lvt=+-Uz1i z`va^Z*#5xi5D+NgkIoqUf`a@B=oj*$i6mfL!m5ejGrM4b(a-_ofbjlEgl2(6yX0?& zM8B+eLt_7B4V8wGkM0*dkppP!~Fw z34}%rMkfZJc7YfGIWd9x12u)5CtxsOU}9n8;Nsx}2#vs$0Y*nh!$8Nx!~h02_zr5Y zU=U-HFbc?Fk?L4sGkKB;-cKyRVV19ZMXo#c1uA6i6^e^TagCCSnuV2(orCkbu!yLb zxP-z@MI~hwm?~UP-@wqw*u=&bVQ25)=;ZC=>*pU381x|QVfdqn$S7n|a!P7idPZhp zQE^G>h9_7>mL}On4FrPnVp+oTv}dPU0dJS+}hheI6OK& z`TFfUN-tnk{ZZ>uvw!JD4CsZ1fq{;JjnWH@<_~<(i7_x41+Ylubg->FNtp!iFfhDQOtzR0qNQteE$|D9r?|DQCwRO~Ok=0ODLzyKmfCkDxYPQELs zYI3qA;DJ1ytb*>Z;o)+F=wLO$x_hPZk3*%$jw7*YAT-V24?pS7(g_5K&ZNBiDL>;5 zg%@u+C1NvdH`~+av`oXl&cJh>UwraUWY+)2cs~Us9Y&h^%VFWF6A50>Gw1#`=A}oE zw9_M~e9HaOz#b5rg<$*I_4r%5v7I2j(5sNRLl?|^M<*7iyN)4S9L+`7L0cR`N)BL$ z!YE5J9YxT;KXRUe3RHn~I?B4eAVcgWErz*y1*wiS!O^=#T*)lhwUYMns$+ZRA7*_i zsZ}zK)<9ftFJm5zGDOs1clkZr4)na^W!6tyQK(4kD%05`yDSwvp}ORPXdJ0tQuE2u zp3GTxPiOMLhT5RVeJHD({;pr$^33{1-#`Ox-obc|!)tP4*@{X^K1wkLjxC&zKLF#H znaSF)iU{^u;QCMy^Ofd5Tiv*-#3#Qmk}8Gho0S{f4*2?d$Qh0X_^nzf|6J{_4GP3R2qqO6_1M zWhN{}y+0@uLC@US7I(!^kuYXa?Pg5zRk!Av{fRCU^EtdG)wp}1+Bz?oWrst&HOt1P z!u9Xd_v9(QG+{4H$0XBHM~h<5zxWVTTN4Wx)q0h9KP7#znVVDDeWW4dbD$7O;3OzP zmoI9T^s;{?X6Ctt66?cds}xJU-@+?**$ou5glk4-rCk&*Op9`vG^P^NR>e1 z`Rh{~-nOB#8V%)9rD*I4|3M`II~~bHWy$XyfAIcsykc8Q zc0Y-1B`8Cq^V0!Y0@l^L&ENH*6KqOxuLpJ&2=KYouDrf-+{F7_%tGX0?~7H7`-RSq z6()E0ZdaB)X}B58ptBQf7-j6FMG)=G!SzhmzbC6CVZ{Sil0HX@tGb~%1MR&@Jm>DL zYbxvQrvqS~#Ldqm9R23zxDGp`x(9~S4>%3EoU5W+eKSQ~O6h&kJHdYc_=oqspyppC zvF#ZhuitBRMBObcUvNylhJKYgaYM;j=u_3bsATiH-<(n6Ry9zU(wV4r@w7}a)P~1LGR0T7uy}y85muKt97tg$Eo70;2aD!oN|?0 z-n7ak=`ZFpPC@xt8CPB%RpXQn>n0M4aFtE2t$wE9bErP}URRFU_;pp7D)KNuJAQ(^ zfM!K6#aUNO$ZW)iqz$1D3kG&|teHHn^kdfHo7!oi!rX5g zCY#)UH?`ZpzoixE+2U2EgW*i8K%++hZY|&7%(XBk!6D@yBI7(^YsAsg4Q_p#ao-Ud z2N^~t9>n}0ypcEkn7X(~<1GzAPnMt{jB0cZ$QIktE}VK}Ub`$uDY+V_JRJa=pZ*WOAfrUrxH#SS9%$H9uTIlN}1QRFeDUI9O|D zTBc^S-1JSPSsnR}Qk-qNCB5y2W5tK!vJV{5S6-}uL%w<7u2@<(zcZONErM3#q%b%^ zEp6j(`xNgsQa8Nui(bOz=F2FBz8(6|#_&$&J^2Ud)<#uz3Nz%vq=E3W>cZ~FZYn&? z1D{-93b;veHihrnrtreu-um8t{kY=6d^2b9uN5D-tHVgT+OCsg_5*$JV>IX7wY6e6 z)@K8qN_ug;%ohZ6Xf=W?MT!iRl~1&y29<|3KTb zQf!aJs-vBgo7LkeJqCiJ)HJX=iVt-ZwZp9|M@a@rdpcJwFDELB-2i=IBuh2tu5acRnT6(L3LkJheX23?C=d=|C!b`+`7F}X!y zC({}eN2u(EBl9rOb+Pvfa}+mYq3U_prjh+bYvCJp>u?t_WoP9E2~YOi5o%GFP+!ggcR+q zNS@YT6Le+y;@N9~75LNH%~haube=6x)SIz?Fn3NmMUr0`4I@fe@er+f)u3mr)O*j7 zbwoe&SR^2x+eVxjSTCk)3STdE7vkFOefque@weUw_UGO3=VOf7sp!r@wdA85-fr%c zZ*4rNJ%mT_=?rFmOMNzebYi$;LBc27Tu5x~s?y+P=-gG_kd6t{I+d5k5yUd&hZ+ry#s%9V`=M z-ac2yV82s5Us%({#j?DrOw{^phWr{O9?;Ox^4#sM&C8#|T2#}Y@9stQ2+iH~2gv3{ zh3rj5DxGbvWq3>E@8GWd!jz-0uGmU97o3#CJq4vj7sSLPss^vHj?c>pRcgruvfhaG zAvWU&flXNL#)MOoR@8ajYHV9u&>!7JI|a40m+!O+Ul+LACVg8kF|ghXhE@f2dIa&_ z)~Jkhkd9)n01K!nN<@KH+kA^+4LeC6nhk83;tFGBx zI}g7ZjH-XPu4fs`(s|Cm`Aioo{!x_o{Z0_xQM5bOJg$1v&AuHkrJ!FLuAPrf-}W$v zlf^0{$jqNePH29~*?+4@_h}*5%m$y#MM=7}@fM7;RiB@$K{5Q4C6UtN26@M9ft=;i&_?z$@qI;%FG9aBx%!@S7K30$bR~I*Li2y0KGv*QijH>2i z1CYFI?Id(>DxISMB`LOZS^WL|dHscW-M#Gj1jNO~`S=C-1O<5j3?A=5Hy^729yf1h zfCC=njK@ucw~d#hhmWJX+c_@;a3*%i>>rAuTFx{B$RQ9(R8d01${8X3=eSCe@2{G_ z+TCptF4AY`SQqVQHJ1olUXBPrER+D!dhQ-PE(klHbIiXtUE&+*BD~#Qe9!Pj1cp+@hDgLAVl2XCl)x!(n?Txazsqg>Mcy+i*0(^rZC9`4@0UVjWMz$YLI@kZG2p6mIK#!E$&G<{vI5nj@Ee1hB; zK6X)eiF84#?d5LoW#uZZ>FxsoPH7z7E_>kL7?-3lxE9<4fw0vD{NByp8#SE%3`aP^ z^Q`cv&aI7d6z;lFVEGdLB25o&<&I_qZ?3^^|VhsPIM{T<~} zybE6g`Wu+HtX%#VZh49NA53lnJbWC35VrpdE`Q-(vOzhbyBpxy-U{w+c8>PGUVr9_ zGh?6(^B*QNhA3D=6uclj|F4Gof8hPcfN%$2A7DtG^_78@i!Va@Iyb)vM9~1k4mgs? znImzW_ribTUg{v{f$;JHJn#<-z@>;0SN-|hc}_AiI~ABg`#xTHQ)QQI-# zVq{&EFGm-!_+My=GTYx!FL@}qySM{xWo3hq_SRLFKS%#t?Ik8ajc_r#2`mn7XXE%f zKWaueTWSB@^iLY&zi5R1Mf10&OBy(eKj0w<9|w0^X)QMdkBx&PaD<5x6auVtR)Dj+ zdAoaEbjd%^|KehUa69u3>6?yT2pb=lK!}HfyANuD^l-SG7vYy!mxLEn<(Y@d`TDp+ z5a-kO`3?Vpa7kw9;OK*pw{o#^11xz)=8vj@SpTUyr~L=kCGFo_5auQ%?CPrI=;A6Z zC~{8p-pFNvW(2mvixP^zpSt=pyVOe1DA= zpatdp04G-uX@2oPK_%|X{{Bbv-`Sz&>pw()?H|sy0BHVdy`+JmHVW>*tYl^F=;G)T z2y?SV1V{sMrgN(Q-u^$5UyKA)aO!{HaDf7BJI_|IzwJALz387hU_T4&Z22ztzd+$~ z2aRw8w#{Cs{qb3p2n&e1U}9il0kIcsEF3%{JUm=nJTk(o1Vq=!C@HUzQBY9Ru`p26 zFw;^{K)4~ytZW>d98?TE{5%1%u|&HjI#PG192B5)JB zGddUukX%HGXi#B0Af9p&A_DUBXbKQ&p*oMV{JZh=JX%474n#=7-}6CWJlPNs1SE?J zn@gE=xSr!z6gGL76LP&7Q9->gtKp^)2Kt)wRz@ShN1{$M0lPpLtLI#*ET%w`k$Nx&iL~v^^_vwB}uIC$II^wj}-??qHWWC=ynV zfdpXymQ6UP%|FjCGDv8hUMK~T95McAybK?fgqgK8(>VgSOS$)f&&q>Z{i=qWC_SGL}m!^;FDO02hNE5R`OU)JGOmx@+G~J z)9?H3Ge&SkW;&XaEJlI~MhNFkOfUo|QC=44;tMfRIT(XH#vXcroh2fiqyLJ&^_t}a z&TB?*)zvC#$Q3+=4{FTX%*}dkaCtXP2sczBiXICW#JVfj5<#^|a6xFYpaf1!6%Za$ zJ0J8@^IW zmcX49TEAVkYj@J6Qmg@nppUY01q-wRc2EJ4;1(yz{);~by(h_=zFeo~l;WH#8Y4j) zR zC-95wZ>Jgr6d}QtW7GqIK&aP9E|Of2edhBCdo78q@M`Ua;i;Y$*uY53jKqFS54w0I zFMCgmezm=vxZiTtf`)T;OX~Ze%uJGZQamZE9V96mE{nX8Vfm&sy>3!^ zesY$$82Kaxvj21!$h20jv6_^@V+I}@k^{r%nYT|`5p`}hB3EF(h(7I^2Hc`jF5XtS zNs~xJ0c%`pgQ~^aTDGrmo*^yo9pR#d3|SmKZel%EgUBAS!C`Z~o~LoIc5JHLu5rT{ zp`r2*|JgGbF#+!Og~r)@+jgvT}A`~!+@%y~3!4j4^V82lD4X*=|; zqUmnR>6)<+alPg@GIH=F^+sK1uX;wXwHy=_qA0JZ{n~jj-#abaXRn~TDlT$Dg0A~dW3%dPJZ{JHkeLjN_+S1-#IF2>0=H9MLD}iFLC*PMPVb?2&^|V_`qkUd7)u21< z%IeQc|Qp3agl-b}Jp-WS0%EWqy zp}McjJB=$t0^zOt!`947k^Oa2t zsYO#adahQrD8qA~O4t{aH?zqEZcsbNiR+FVLAMXy?`s~caW;-mZaRzGXn&f^qB(f} zLQbR~4K1Mz1Y&Z;3w?rVP(t8Y<(R2orI>}~&7na4z|nvC_8hknNcVbW8e+SV_f7uU z-SsML#4|@YZjTjJ?NnFB=GZqM_6nso4~|$Aw*-x9!J&a${r36l#E&hC4+Qsw@m`NH%;k+z%ho5`Vcc$Hn|o1Vg3?#X30ft3^qI8UJp z6iv<+Ic2RoW!>+Dgh4Zbd67N4-CiqC<9E=8v$%vTO7RN49&1gJ^=qQ3mJM#tCrp~% z=9=xsT((VyT1N}x40&6qvq#K5d{^I22jNcD*qLQ6+FKWAsBeZ1$T=OEj>I_7^uJGw z94sB`NV}eop$G$$l*#dzMH)dFZK0E?e70$Ph-j9IuBmY~Jixm#*qGs2y^qzXw+_2c zP`4*jO%}+$vo<$_95fC5P$jS~R8gZQ<+s-`_?XambF{9!Ajj{w;neKvMAsdywDO6( zqK0~tVVp0Y2+GsdBD(60LP<*=yRD=7sIHrtxwns+OKt$B2UY@HXb23Nq^ps}W2i<^ zzdC4a-EZAB9vT7ys9oqV*FTXodOJEt^=;K-dHPUi0G4 z)MjtS%+TZqRfE+LxWh~uuG2BeH=3O96C=%uWsMRPK~nbj`IOct=z;;N z+!BQw_xN1#T~=!_&yu($GqrwF;k!PxIp`gDi2r8jATy(?r!9Tg$*QlV6rDWihH%M{ z!`f!18l0-hUKw8PUB|{CB~IKl`nY0o9HDm~F9DaeO5LQNM^{y)UJVb~HD`Tf+n&a2 z&SSuB%&mdR4g$?I?w&B+6}o?543#qkUYM``W?Y#DlkaO&_E*gBJ~P9o#9^%i%m3 zF(eyOSEy8!a!3iXK$O2s`Ph|a3Ft<^1CQ{cm8R?|kUDX`SYgTVj zWz20-tc?dERWm*i|KJ?=L&_r`Scr;=^ePksD|4pXj)nWD10QR_xjTX-LVFF34-NbR zol|%TK4<7}j`ALK4^O8F`;e+rj}NRiIIW{?sU70%_av4!3B1%!VvOl-s; zXUmy*5W5(wCNP;1%YNK0G~d}Z{6p-3_GEZFwl&vjSyZ*B1ux0yz>_Do+TLkMe>QE@ zZo8_-+sPcC!}!W1E>Zu9SlbO`v>5+C(`ezZw zsAm&o_uyPGk3s#I2n^DQTi=azW-_TU1}p)%hfxAMyL{lV#w{7Q0bhwF2S5lMNVzWe zev`=5(*6el;p<@wRf$CwEh7}})Mh=wLeyP~1`kz<{5c7T)8JEPU*8A6ojjy)G%lOb z;*+X6IOur6v)3rvP^*(tS{@zm{b(YOaMXPLQ)>y6pWfWf*hnb`!q&hAq#T4jfl1EV>By%&wZ6Lw31cn6m_j-o**05Gn*n14W)0&} z1$puM1b%kTJup-*6?6h&YU6O?sLrjNWPh8jon6eu(0+1YE1p1XGg8nsvf5$$QT+510mI})gPM->Xk&3 zaCQ|IBd1NO(zsJAkrsm_fJ9tool(~D2Cc%CSp}n}L0NAHLMmXOP#nhVJ0Bf;)~kcX z^+nw0>iDupT5gQWuNz8CkF+#)$LrgpwbOQpAs^*W-*Fu^uyFC&Ch#((a38fR>xdNb zk27~$`?l%Kv!wCUy=gGeuJr7|x*m-}4w}k`*lA2<9RwcPIG9Sf8xR$n*8M?sK6Orw zHkvFRLvLH`*SbzZyOelstc6dw1{USDRdhOn7gB-l4JKVKO1syPT zVQgSv zhk3Hv)bc&*O`AYOQcl%@D6jjvIibIuajK%a9Q0u_nw|;tP=IB)c3R#AjvMK65?;(8DSl4&ITH z4KNNU1UP3fjGwlbIB0J14ex3-ZXu6q;dt9%-MAN!0`q3=Sf5C8x|L}-R^y*!Vxc~h zHN0G-{;o6CKJ9U=Z3?K2n>;GH`i_Q_x8jx5j}I5mh->9(Dg`fr=IBgO5( zt+^DX<>iCLN)<;*YJrC|qi+Itn4y*d%#>?1lIYR9zfISU_ zNRA`ooAsiI=H_(l_kzWd)PoTzH{tb?k8`1BB}Ib1l~oyd)dqDiXC9TP3Veq;)U9x# zxVE7;DL^40Qh8Y@1`<{YovH#fnPUN_9AFmAz@LgPsji3pIe|k14(Uj{>HVB1bM%^1 z`)Zl_n4WR>we6Ud6dnPuaAw?xIq6$-ksGCgpjSd| z8VfYkz#0b4xEU#n!;Qoxf&$OG$(bbVs+cNJ)P6%p7Y0dyU5DYxhx}m(oa;zV`7Gal zAb(5DYiJKCI5#~}k%5C2im3-;g0eqh#fQjZCW2HjLU2I|xKC8bKqMg6SHLMCTJawS zs4E)yRh80^Gws5(p`f7_yvW!kf(UkYene7+CQF0|LX&-n3&J3kMIl2$8mR0t;Rw)j z2n^;?j7hlex=n>(08dRJz~K`P3ITYq0RcBeCE#i5AE}pBvYh8|v>XC_V+aJ&RRLiV zfryIF2>y9K^A9Qz1_$t1c%CkddQtwr%dvrjWFFwF2Ecaa^oi+m{Fa|LR zCMgjaBa_gU`*hZq)!yr(ehSavIHV4748EsY?X+F=n9T6 z*B4a9vp$sH=e_ei+Gy2u0FNUwWk18lNBUOf(Z>Hs6aLC{ zOIPCR)r@!dh8wrd{F5fRdpf}JPfkHS)0Hh2+$NQF)agStnj4fKfAm6<`GV;@?mlZ& z>pm)iULR#hIlu>Az!JfM-wxeE*gfqsbqT$74^zES|HCy3bPweugzERPJKS?NcZhp# z>GtZde{#^2;8>yK*cEUSEt~CB@XY2j{8?(CVne8$CK&5Y<-{8%TBrP;@<%EKl&k#b z=r5Pq6#W67*H<237gVyhPx8jWadp#nD7f_+1&^B=IN}W{9@BHBTE9F6A%%!?6zT|B%_f@4fT6ceK8zeae#R%n> z+U@okZHSdkyK!!~Z#uqcK6=A)(>|_tuk@=+YuPnwsnC%!hpw1jpQLJwl3y6DuE=lk zgy9c7A{-oP3b;tvjvU#9!|HqO2$dv;Qwi)eWmuMlq>6szD#)xF)$$^v2H-O7BO42i zQwp`Mh9hpu^@QXLL27i1N2y!$RV~9;1pH?y-Ej1kbjVnUURvaDJ(dYZi}F`67;i3j zp-wY>^5(;Qr*OoODTr0(r|o#Nf|aCeZBfbOlXP+Y1cs*7__k(6U*gql;=2w^4R88) zElv!7^(Opqs-ME<*2v>E#v$fv(Kp*yZ7^UKc;(vnj=QGIZxWYCQHPvgtt900wqcyk z!{IFMwJ73<&c0^ldaOIur=XzfH%4y^YyE$3uV}Gskxv`Vnu=On_me!4H;hjRk52m` z`qTF7F>wR&1D>Gl551L9LqSWo2bC=C2@Q|u(C0O4om-77OW*s~sp~Tk1W|}7)!i6a zu%T9p7Jjedu|D?hO328Fcx#HGumaC~*iFOQ@_YO)yM$6HiB7DYwU7`!yamoT?}oGt ztDofu(aKp!I@DD;l+r|#=UdzSFw{xkX8%l2oX)1bH&9aJ?F~m~-z&VGLPn61U0C}` z2(6bTLZZq)Xj(%uJY43(O2@5&Q;?WnB->(buW3 zNxx%+`Q#zvO@w7Ys0Mc7=AiD$76%gJN$ZPnL5=R%@RqhG`h>j34{baa?5Z@|{PYX* zn#zY7U#m;XKEEqz^^s1|8oOqXlZ3#su`+reQzvlyIUiaw{N7BRp4LdklYX9g*9Wq! z%YJ-#<;(L=D(~bF)9_r|6ve?cwZeTzq?T2EryGRT$6@2zVH`p~KlHlpsdOT{$&WkX z0zY2~XVz%_D!l?cVbw4>0Pi=NiZ612E!0q|mD2+!0 z*O(B8{$>TAlt1_-y!OL8&7NTSV0j-I>M4ee0Vf`WVJXiHRCi35zfBu6K5({^T%j0x=q4$j za!>86;W&$$fCcB46jOJKw>K`?5A!Qn@H;)+Puet|8sA!}e`>q5fN3$OKg2KxotTuVLX{vjPjuee`tPz4QFWSmajyPX32qP1lpr`8;S!n z)38$z;qR*!A99~ivmB|@;dx9u%S|(T&GYtmt`|D#-`raKEJ*E0(8JZz*DE1I`wBGN zw;8-p_CZOuAS<6IWYIucG{MMyIc@}oAY2Rb|ANkvL9b-BWYyG`EsJT{F|M>ND#|d6 z<7g%BQi`>!1`<6;@QKR zHpGUwej-iga(1h?J}&fKwH6rfu}KeF7WK3pz*ovhi{k2(!x_?lJd^rmPOM72HGPO2 z@B0AleMnnOk@cgm+grR-wX<`N?yEBJC?^Sgp@RkeQU@g1MQ@JrCb&ykbJ(y(@z6kD zO46%J=t@JL^Mg4_w_Ah2+wT8kIt5XNM~Q2z_Pk0^yZ6AWz8W)WHnG8=DM8@dikdg| zhtPNBCe21A{=Zo$5LKgBa-UUFz7zCti*pc3WjK=iT>$Qj&FASwPs>73eoAcB z$M>foY{*S7p(E<+2BXVVP9M`tuKdJ03Rs=D2xZ|T=qtlvL4>|)j&ZHV)8j0xdidSg zBv(8-L;UNi1wZadR(37o6eO9v3^xhuQ}pL`sW4cauJzM?GVIVTe^=km(dIyLDde*82jGrJGc6jO5>Y(A6IK!N=DdTE%3b*5o6W!tM zc>Z{&m$@QWv-<^J^U{}>qwJq-l2o})lGjG`nUJFeL<`oj{t+~N`vH}26=tYT%nX#P zee7S3J>=Z_6oJ+~HI850L^rv53bG#CFp;E=;yk(a!dKd1FE6E|KPzi-Cgu2t+3ZT4 z=rwq!KGBEqcQ&2BA2o-)$DO`&??tD!poXPVkNRytiKH!j8u3ltTRFGS2t^{05BhArmSt(U{M8tKL9mWd4B8H#Q(nAY>r^uFcd$93J_;$GN` zgnN1s-LLi47yX%p(M@p2wPehK`9;IVir2^96q0A@Y767hPfCkxU!$(2*|e#A-Ueqp z+NsR&aJNpN5zToZ`lVdK>+`3y@0@1eCp-I&uO3t3Z@7Pww7YvXMUhl+uQ!#m$X6lw zJ(^sSuvNeZS{GfhHhzMb6c6RY82cL2>SvSMRbIaWMm(SCn-P7_DZ?77()^y4m6cWz zKc_f7$kmHoJhm0X_h|BkzSA!E4(^rGN5@prQ)P`r!L=U%1L8O@Jj=Q{oHI2u=E9Pg zM1@aVNzLM%EMq7tGmuJfJ3ux;zZN62?Y`{pVa;&wrdfhCG;;orgY`LE#R}Dm4er=~a zlOyc8UD|zh3R-&)V>}u0`}_2*N2%{FxTk)Laqik^UtTuZDde#C2jBFmFjuhKY5MXT z@sqnyduFh*Hbln^^W{;E$Tp8(yGarP^E%NS$BfR4?dAs-z0KEoK$EAS!w}hb$D>f;`)-zh+<(V69DO#AF>-^}Z$2lmT(+rCa3gAf z#b6vl#O#^3wRLsDxI=nxY^mO;Xc+xuaVZ!RroAjV5KnHW7lxCD?0pxoMBF#nTlY-= zVa_f`km;ibo$cmA@V5w2uQf+~y`EFh%&%aDI*%`E&r4O?e;-@_>eG~;i%hIw#gwOR zRwH?RVj+>2ycU8>_s-m0f8htn|GS%;fI8lu44;2$9c`FRt`ebekl^izs?MuWy)Tv8 zKl2kCAJ*TiPqOXo?Sc$0H?}ir6EV8_g4=$D6@4tLD*XKbL6@XJP#sn>bnobQ=XL4y z7e)$0=%J>+Dt}?**xU1V(fw{ZdSuHu-2L@KQ?GPtuMXVsI68dC?aJ^V2ZG-tnl}c< z+wZu#Xf^X&E2%U81w%IF0mti!ts_Yd8c%Q#?azDF9PIgnoOPmRwDGPtm`YYCMs_|U zH>1{$)m~(|us&JETGiJxH#X@_{M{)q#qVrq)517aRbp`p^5^}<-Pez$Wl1HPp6l90 zMn*LS8*puZbNHW>z<6uqQ-NN@6=?`7h= z?X=>8c&u7FxoA~ZkHxK=gzRV5xF8N7u0Bkp$5`N%Zwz{U#4Qa|x8C{4fGeL@xxNHn z-R>*1@iriC4(}_Wf#H=9SdK1nzwl?5ihSMMfK?P~-9+G4@N_tRleFrm4%sCBhUe8J zzZ>{mLd5B5OZzv$i=`izCfe@NBS(gN{Y2}#(x2f}RE!Gs2Hlq!&?3)&ZB#FuT&Ee7 zxv+A~gOASeY;yPUut}GoSpV=obeXw6^J~F%4+_R#fluXc^eF_^PbMLXu(g%KJFZb_ zLw>K7*cz}J7%oV^Y;mh0>*{-&wSQ$XGCWZ5OP^%W-3E@_DZ2-`c{Imsfo5)Y^mmrj zvyp-7?qofxT`!P)G}aDqE(R*H%&#lF>6N`s@54=#Rs_~QzKf(#p78g+g;(9Z>?RH^ zW4o4wQC9i=ndCLPJpUUFjn-MNln|1N$|Di%eTLrMK;c7fi-eD-AfDKTr4v{kt@Ahk zLnA6+1>7RyMMyM;uFBwZJTTaK_MBCOslLrxU_tRg0u`gL@b|6Il6@h86wm%0Rp&*0 ze{^~r?VqAFv5I{8p(gi}^}*YGTT}`7al=emOp#qekzFRMcUzx(U>WW{@x#oNuBuzZ ziEA<;t$?LH`*6g!ylk5O^;ut+$;j&pOWXG}^^y;0?(#8@CHMbQNX}{qg^K7HrFp_Yzf6JY_^XqX^A{m!{lwG;LiVhcsjcS9WYJ2> zf*(U-Brlqq4!c_$1_)gZeJK8?fimmh56gfZ`z*&Gy6tGD1stCRPzM&W4xeqUPp zSSZq|@?pI0xIS;x9Tv9|+v}EVuLjIt3Gj8+S3FFvdz5pP@sV`XwK)50kMuzp&PQ(U z%epVF6uqH%a|&WeQb<~@c4rN_`cfwMZr&^Fyw@l>J|-MuZ7sFFwxy{T8uSO%t?298=e_}qdue?XqwQN@6|e)(;z}0v5J=Yv&?W)@NZ~9oT>AEO z|7%eLZ3;=vZ#wcfQ=?=fw4)^xhHXQ!b2&M&eiA7HrdqExwHuAoe~CK?gjKfcR+QY~ zJOz=$Q7L2SNounLl|vq%*BkgauwS0?o&omEn!KKRHBSrzkx2k7KB7+5cY zD)d!rd-nT}t>0;3<=ZKzRj_^k?A+6u67G>KM`A|YmKKlj_YpA=YqA!W!~d4f+vYDL zRHnhzF%PFzn;Dwvgx|VyH%H??lcv2;B(O&p{*%6%r07;SIqy?SVafk;MFV1ePm`i6 zF|}Xvx-+VI*kWUcU;n}_sNX=YN|5?SDJSPZEEDA?{$P2bTUT!X;US(0?Dy@3&a}L| z{CN%-u|Int5X=4pIH3~ocyLj2-v9af>C0QTC2zC`sz>biB;$YZgtgki>M3ks67|XC z!kB)ZM%pBdCYgWIIpHOT|0OW|#|n4-6AkO=rA+NlLF1jiw;Xqiq7{(lxh0qjCBt&& z$p*BTI0mJt^!WTK=3M#fOu1TXa!`o zFAQal$u+u(5)gk(9Qqq zZd|5Q18E9ya0=O zHmZirIq|EFYj}#$2Z3!zPp%pFl(ZmGT)a(Z`*SQQO21mgt^{m^g9k z|FRCp`RJ>#REAw#bHhm^F5yvV5`joD_7C>_t1Iw9H%UQqVG@LPh531#oS2y+vXA`0*Vn_|=l0R?fTNoqWJjapMsewSdiHuicHYH>F4DT)kR;Q7 zMH|S@5#315yY=#;SgtC5SpypHM`vj!oA@xh!l;)g=;j_`j7wBt@~MS;6k_`EJ37{d z(c~1WT+f%|3-5qlSueM=3w^WkMXtc7xtc%G-XjwF{m$~~q>iG$R8hSC#}=WN0wP%K zO~AJ({iPm1JL9Ydul@!O>i|#UKzR~6 z2I@Clz?gpy$ic*bCz0^WYBLI0qGOQCG3j`Q{BbC>KMvJ}c~moIe@G$_$Sl_Va|J2t z?qI|g*!}aZZ3^+nQFQ?IU7d}NFM=Fs|)kvM>1@P_d7y_<=5nD_Q0{BBc`_NwQ0 zDB-jcePzG-aq{PF`Z|YnhMecjP$pQr-cPR{m+7C2*9>j;_9p!OBJVF_5Gfwf_rf$) zqU*jc!Jx;L*TRe=sl8J9nDa|a@HSmwi_mwmo{&%;=&?#bYiqxl03kBvfnT-(f$eRd zkNXbAktB1z*PTdPnDJTyp-2xPl9bIBQBBoGLd_(yWnfAqLsxk-@=L;(`|k>I>J`|i zMsQw{KG>K2p;}&lo6m-Icf$UoK9Z?`RR;Tbd;vkDMxpXUbrY%;8vYO|l>$d2ZIDsj za_?&kl#w`si)018i=l2XFLA=?e&uJ(eDdr1)kBxxGTZdH(BFzgYahZUqK0k68tvzp zO4tu|zp7^gN2bCP?qAuxs?8-5%E%>IqQ3}BWy;)yzfz#+-TZp5>{d38yi&3wxyWtG z)|=!Lb4*VeJ&d}usL7!w8U}u*8%WQZ+~G0=Us(T$J9I8tAhE9|eMiS6Q5uf{$sfpA zZ$DOeCqav)oKt5`uOPXDdl6ljd_p=Nh)Q^}f0VSRYFAZi#kdc`Rp<)NvX-zods2-I(5gvYWba&_mth5AxI)UGzPo{W`E&)tW_KyPE( ze%4o)Z=iWqhy&4^tFP%VC=ifZxxv-5)$m$5$>>qBZ)}N# z3i*=GxALsXQA*NtdA^6Sab}Y)+~E0OGr(Qd9dq>oYk|H!jLz1zm1n9>Brz#e;ccKq zWJ|>x2@Sro=#dGMrS=S|oe|AKuS7kK{5KBFh0&t|S8>^Jn8q~{wd=BrbIOIOBRi60 zH<;5fECL6{!i>%1F@lXWNjnn_oLIl>mlK4)6WypP--w19goF%bIXw395 zZV^pHM#roSt7y(K9MhlVLAvF`@|9KELv0-09j*Ek_0)pj`Qim{#RIN-h}~u=6TYz% zA395?dJ@NCGN=0d=D4GwiQ*Vva3&}#rLFc#>4M3klVCATEjrm24gCvEClCC0B6kcP zD_fS|X)UK=#IJ}bF}3x055{z}b;U`2hOJ0I_Wlmp678C(y1!;bD!q%|jidiV*;mK4 z88qt#ifah&?i#$s-Q67mp+IqIX`yIvcXuzPNRdEs*A{E>;uJ4XibHScC+9oo-22CU zf5|I(pWU6+ncd9HQg$J#6nU!3(MK}IC`(3n$_}5??paAU#~Yr=FjQ}Cvw+G`URLE@ z(sr*G%SN|JqO3~t;~W$0u$-qjipNWI7x$coOqIPvVZ0t3#8^;uZa+?(+Ik%hl;Uq; z;unT1CMqJw&8DZnt)*XZ?^KBgC5kUQRJn%g{$ z`x1^u*N7DZ4qwy2 zMt`|W-IWaK(l*1q3?EcoO-n~CELXA^V+uE{c5TzoS_+ng6D@esQ`)<^JABIwwzk;! zlDvNaDd*1c-dK{a%e8)yZ&B0B+3f=&O~f#6!q9mPr&6C{Vno~M9uR!BFyl-U-v+EU zByTPt;{fyTScD>gax;9etmZPZLd?BU=8I$Gx2!AhpHrIHM-3nM_L=QMf2shqo^ zY?f9@#=u(PO$MgAQ_O+5AYppteml%O6BKhjFv}L>QfwT~Y9ND~ofsTNiue7ZUgD&q z#=|yKHuTlez8(XkW2raZaJV09>05dPhT+gcf2z0QNSpeL(NuzbJm2*>x42~BX!S|j zFLN`ijeaV6*Xx)1e6-3e4C-}h#NW7Jxi36A$N61UOWBND7)#Cgr*3Z7&$n==Z3eQa;Nm(#mL9_{^~;$VLgRtl=Pfw$N>w ziyZb7rJRb9|3mx`OF*8{2lyYrC?m#akeBHzEuPghwIEHYBTt~0dgyhA(+u=Qb<4b9 z3~{CG$4)0xsW3m5?^G*ECySHE=CV@z`Mtg!(KJuwwCJ2+MeD)4#C6MZiTK`lkqIji z2v-_o<4we0O)OMVjH|YFNs3kJ+@rn|waHnGGHex2_bmuc)13(jBH6HL4oi_w^!Ev) zhJW?uXl|Ofhh#8J^lY)t()X^FpwLvVLjtmvTDo5wyszh<$Lh<-ImgGLA>KwMKq!$C~ z)zka%^-Tv0sazIFY_r{00@kYSXui~MQ;7@Xi1XVudWNEBR=NwG>^78jrz{=-ks!}q zk`6{stl&%Qt|X&FJcfm}(C7F;E3PeOH4jWPU0APwFfyatwA~R`4~qmN*=#6#(S&K2 z;5PViYy2X|kjEQ+Q>A~*|55caWYnaT@hecBW9;|2{JJ#Qr|KxMI4dM4ju;;47kD03 z%IB>OK)(y$%^+SCL6?3n9e7pVH2VskZDL#H(sta9Js#){~J|2v$8*HY*^eGj^ zky5DWm4PT$VkxC(1&V9Xol5wp#2EMQG@|t6+-8~zC9Zp4DG^n=QD{-@yAA#-(dQwO zI=2CoIt%J^Zamy5nQvRv!F85sM@45H;h!>Kd_^A@YKYB&>tpmF%i|a>I6Wg)B4fH$ zVUxu6v^m~D5&;u&w=VCT*S~u4u;>1X!$*r z>tAq;LTDu@Jp07Uj!SKj$mneWBn<6v4HA|2X0l&4GTM*_64q6f;^}2YdEIJDMfOkg zs@9^99m*_mL}c=khsz8<4W|<3z%`C2#u=RMYovL@7zOhY7+)+i*r5vBo_(#0x5HSR z|5RpT{_f=%w55B&M6Q$I=m*UF%ulshe?4Uvc$!yw-u7ZCe5b&`8;pO^XnrBD;~eSf zo+PJ_gybulu|=30!yuh}tldB8oWD%}fkIdTUQY>Aq8Yq)bItmEB<#LVh|p@l@xV2z z`WB`MertQ3wjnSkIC>e5ZeYwW)5c`Zi~B?7q0GQX|@}&^nkw_kQr^^Ea&49TzS=`=UCxAueKY6>6;abu;NDP(_fdu;gagaj8xG zC_KJ%mSB}Z_8|p*gS9W`z4T9XbYMUdep_Fk7iFYUCfqAcz^2VLZ#D>}7M;|i{<@Sl zv~R#)uc4+0LwoWA^F2mdT+k)ydQPI`P9RvX3f)(hlPauf_SgA z3hbJpL6rE6Ui;jj@twI(LQ(##TABp$EX$m-<8eXwIH8`@Ta)QO0LJsQ^=Bzs0mLDd z$%$lOuXAA z14dcb>7~rCZ-6&lBi^0#2&V9bX?VTWMmekS{8V`Ij#f?zIdLa1?QV2kpCGt%Kjc!Z zfrb*A z!Ier;mtX8x;^In6Fe+m0_jD!ZZjB`-Nbw{LCzTY1!xH?cqF1T$(e4pd9A~Oy4YuP77!FSt*^O6|-t6rtvW37++$l{HcUZYk}Z7$-mK#dmm--68wo z+sf04Gcg~$1>;_U(RhN=AB>~U2Ci>sQ2CWOzms8+t!`Bxf8rUop^_d{UlCku0}Jy| z6?#xJanE`bg#Q6-?wYHk3lFYiVC|6XqEE9eY3`k;H^HtAe!1HOZ8Igmsiw!)NwW?O z-xc?fsW`7kRX0^G6Tr-_H@S_Fw_A;UH~&hTzkxr@eq_Q9=`iUURCpaRnaT4LgL}@u z-h5rh);_-qUQA}sAP`oqd|apCIdo_c7H`xL%aZZR$i2$f)xpCp_1rYBjg#9DE8YCJ z{z!cE_wF#=I`!R%yB&)oE4sB(|G@yvzI5rsNG1wo9YMourd*xxK<;{fq3^K z3)c^%P{v)6mb{rLL%5;*h9LX|_?EF}kf^oh;Hxif_`4A3S7^-DE4LqzQx3R>m*U_7 zLEP+66m=36)Xrb!u(7$o4N;$G4Q+`?%-U<>ir31cqpH(Q?Bqfb5WVd2y=PgIRr;UY z3Kt?Ju<(4Qj#BG-x5xTz?VRiKN6I$yv0f}e2nT7HHQw%F@tun_w1su33R8Df)3Gq) zs0Q!HQ_9aqasRTAxC*D3m?HoFzX$2${Osgjw9>sxojp6*MtHlm`QJQ^|N@ZQ#=GxbQ zbeIG-NBA-o9D3;5w2FJ-89?w!V}6^yL5EsYmK!TF{gcmDI*R-14;q7N|H8uxdX2%i zvuUh5x+QCBz9RZb-whhV;gg;;jFGI?$Wr0`4t8Ts$GKF{?&T_((<2ZS00Ut>hm?*G zZ2EYdgIvqv&b9gmD3o=-^+th{z87sXTX`!l*C@7}o8ikZt3Su#TRn2*-#OF~P4@&h zn?Y@{eLQPZQE9=Yj3-=!YsOWs!=v?WwwB&$QQ>E!wsvTYx#lY=^?2KsyPBi;Irt9( zb?lw28L8A)ULN;_-TQKfu{rP6Im+EoDQL1smN<8*RTqn9*(!8xpIFCkakAi8EQZ$g z$N@$E02+#_wRO44S2?+zR(V?u+nU04PI#PrUt!%sSM-0e+FiCUYyNn&MKZAAZoQVPZ%e34VeEc?5qIAU!$ewK0Y+V~0_3l(2iA z^mNLzTlGfl?-P2s4}g2q_byxwBF26blR0f0^ZFJQOX7S4Qdrf?Cp4RFsq0VEg|v$c z%e_IlVKDppbh`}xUgVg+XVA8U7H_BxFJS4th$#zBvAnFG|Ac3!<>@SI04b&ZYxAHG z?cO@4mO4|zAn&|y^;OFHpE&V<%s0%IT67r6mJlAl4=|~$gr-*+l9>J`wmEsl ztC;VZN~4(JTvzDOzar^6r?v1j?)AwRbdjdx2qC?QAvU377JiRDn)_(uiME=eqk7t8 zXX1vMn9i4`X&=*#&$ZVYGfn%e#eSZ}q~SIj)mdou1M^^~cxXgbXuE|gOH;^FPRVa$ zI2U9_%U3Gg8y%<<1#H_A-+7))RJgtHfnuQg5e z_TtX?4}i2#Nz1w_&G{y6N?Ce*G#}{4@0L_%j4KwYu1m79#1MVme<`UUJ4BBlmk zKDvd}8#(PZrgg12xh;5`noHtsyGh2#8GJV5Ry2qhO3a;e&$eoy3ToUYzjT`Z>Ve&c zsw~}hfG4lG_ul$rtM*y`Xkl2Hlg?>6$I<7{obCpT#PjWC&V~b?Eu20(W;Jsh6CC4) zLG1&s&6Wo5@6#fN5qxZnEvL}p_G4^SVA^nT7}hpHZeVM8(Z=p4oa(y>=yM*@H0PfU zKMtFv+=drktDb!zUT#jamQ;Tev-N@ysz{s4HU@S7Q1MzX?Q7VtO6NulEPYJvKY+BO zvokEOH|&m~hbh3ryzt|f24dC*E@w586CoMZ_!UoXDlw3b)Kq7+`&hN=OSzr;_BLl3 zm(C7Jnrwb!XTRj|l@oQVN2r?NSN8QrM2#sMeIC=TyGadsUwdd__OSQ3w9E}eEIl9& z#PafA{|X3wR{#ORv9=D-#)FOz;Z?g{x<}i3>g3ctX#HO*uTu1X0M~8X_pf*#<^mP| z0OnoIp;xVe3e`Jg8~FPONwa?Bwbt^RKY&`RnA1QxrUYlSYXcf4n(g2{`s=)UH*2Dr zjYGKKX*&69`$dGyQ_p@bt(%D}lK{8g4N_~G?RU?tVV7o*m#fVp1~v>3xq-oFaI2J4 z_OHJpb0R7&+%xZcX4~eu>wa^9Nyr&_%kl zCc+oZ`4d@k=}ISN1A{te#fv;O-C!H(PKT23R_Wxa93T4WkG`i^j@hwp>@G{Qq&^$b zCthpW$<#DtN9j6m8Ga!5wRUGF1pEQ)zaXnW>1KVwt;REi|NbvlZNRMls+FQk6}TDClVpPz(8`AZIQHMK19I-{M`mpG}a_FPe@~7$q(GOyGGsmoYNm%Q1*%O1KNrx*(S@S1$jN z+$@gVErhM%I*Vom@VwRoih2j{FHwk7*uD=nmdL#E4(-T`j&k`pyR)C@f4BA^F0bzlP;7gg$gH zT#4SAez3-9ptmVAMH|k=bf>G{3&|VD=Ti=t4s9q%e&*yp_~EHzZ6%(R7pjuIiX%sx zh)`&?Nxt`2*+4=D`=(=;CvB`qRY!m^xV@}=w_!pJgSrwM6!JrCqllKPdwI|$*T z8sba1kcW1BO%YgemLdQ}+xanvCAf#zFA_`CKk_O_xi5?y#R7|=fd5*0LDCK zsDGkE+bHqV0R`S4s{M*dR19HVt*7sPxSKm%=j#592}*l@N%}Mr`>lKp4Ukw-E;Te? z`&maf7wV^!^Bo`l7KZ>H@^f&RqIgxV1MweJA8C1ZvVWh8Sfp20oicdnNyfM5 zH-`4emsyTtV7nox*PEzfG`D-{<=`paXc{=Q#m{1JzEZ|Uld$3!&;X&slL_cHdYZQS z0=+UPXmif9-zBAb!-GNHpH>e<*|5_U(`=3>ELbeABmXjV0s9L@FQYmZMyFJ@D}ZoY z5VvU>vSF~hy4O~BRR&zyHk$>53kFy;fqGf)p$18*SG}<3B~WP*!%Z?a_gO<7n2xMSlO1AaFcrUT%v@BTbMK=VcDJ!uQSz`4d%{@KX^6v6s(62$$W>Eisyr zJ-xSeT30ji3b6kG;%uv9rJ=@IWqv`ax@kyx+-g0?bou)gglg;kN3Y3@_dm@p4x~>- z*Ap2ILkcqq5k7o>vgx{uJi+2at4)VQ)wDeO^oC`eG-y`?)+Q3MT=v}h#{qo9;V@kp z7g=;C5ssG#BgNFs=G6=yzDam1YPf9LN8oD-d5&hDV8qp9|9R@i1Yb-dOZtFZiICkN zfYr+~)p{=UUYI^Nn)gr8wD}I=AJYlFH!ZC#iFjF_cUDVk5Y~?pWUOSuFoGXZ&q1N9 z|2Ij8rt(vJ>ZAsi{A@aOKUGqs)^Lozz*$k0g*ne)!Z3&>ds3@|IbZ2^;3a==0?xy{ zX|cn@6bS3cEZRKKfrH>B9xD>3%iF=4)$K^AXYMVM%gQl<;Tjlsop(DH?5p(~4OxAm zpMuS%yd#12yB3O;xq3B$uuXQf0$swnM(DMsZ(7>~T{b<^a;xVy%cMr?%c$ZZi}fX+ z5HEu5_!O#A+oNp7{Gx(W@^W!zKEFt;YMtBVn}qO$Cb?^esE0Zd%|v>Ga($eq%j1$0 zyg((N79^@xwpW&GfDWQo7>Cd+0$cJK;eAw$1_gV4=T>>#XRQPL>08nR$lF>+U=4Hw+Y&93t>=S5UtI}j$>mpztqh#f4FK5%S z4MbvKLhU?wxl_e+dn|*;oZNGJ0C8#c++<5*i{OM@TqmSL&!$>^Yw(xAutE47VhM<%TZhs}TmnejG}HT?TjY^mJpw6zl1 zWuG_{2i2Sj{0%RuAGuh@n|IyFi@~1Ty);@(wT<>r%KK|=;O(LROCqoHZYt`i5TtwA zmw8igGmIA3HKOXivYz*Ylz7Ysd^_Y#S%;(iw(<~aS%JcBP?x@#Svoxz@?6QX$g6oi zX{DDOV?fl8wVs`}a(a9wJO|4~!VWbZqf~J>;$vyc5av^~F)!j9^`yyheN#5ws1O{S z4gLJ@W=C&q(E9HBtNbAS10HN2)?Ui6D9RmkJcvfxAGRf2S^WW^-Up$Fto4MV;m}_O z>2bE5gsyVF{RWPro#Z#JZ@w_Jm9E}^cu1sbY7 ziMIE84r(WQj#z8>+XMc6x#8`8q@Ik=x)+fJta9dlJ)CbcACK!}(Vq>Cn@g-QnpZEL zCx36xieOr8)J^~?hnN48QOq)I36DJm#h2t!B8{s{s1Uu zaFlm+>(x6HH#Jn_$5_{BwtpO!u@QyWSG-v*d|;;q#8nB1WQr<>i)j zQsyxevgYEThqYz_5V=-o6&WU*zVHpaTLG&c1(#o z!`T-UIZ}eOcVrmE_Im!`*FL3w+tSAxr#j`wFcl%>GXleU;e%lm(hJT6_NR@3sV43i zH27W^HSU)9P(jhmh<$LiI|<9M`vO}~|apdai@kI%ZirfPb-xlt~( zT)a1IF^YlRTCY8p<|gZ^VmJ)@FoLRAZ0>QJfua-w?O)+BHORz+97s29smlvygK`JC zrJidq$RC3m;*^|=deR{_1ybrA*l$IHyP9RLzzS5$0ZsWsGQ~7%oPNiNFwD|V* z0-IdWv3nUv+wRNgp0Ynn@TV|=v@@C3ZUjBtsawK%*8`ZXt6ntmaJ3QCbc=1}{_>It ze21Iv^ho(Xs$gvl2A3N-r$GZf_62h?xnmPwDh!9)_s}o$b^UxBj8x5j%%wFJgK+Ar zFs6*;$W67f_FT2s;|-@Amfw@#iJ<=uOiHsx-KI#2hoBL+Yq#jyFwi2Vb5jB}ZCoQ^ zP57yCUlQS^)N+xYAEHLMQE)uC5)ccm7qhke?%g?5pPvyaW&FI3yYDUxeJ&(l>;`<1 z*uxZIg<&0^eW>Y@JA-ZLn*?=hs!|H}kIyO} zQr)!u7gki)Wca1~nN0!V#s?b&Y@Zwsc32P^vBt)_f;D~etzJg{)Uf3zb_b1i?yzI4 z9qdru&yYZ{F>$e&XD)j82e*lVxnLLs!A36B{`Swi$FfC`HE|z;L)R0!77>EK)b={~ zod=)uZEZAMi~aLm4=ueBW|5s}xJNcGu%DfeMeVi1*r^ja7#wi5Yn#{0^4=;lj*MZ_ z&(^NvT=oZh{5B!loO`*^xf-SUvsD%-tIFN_IOl7Kt!`yBb?+Yl|8-?04-Ww8Y=B`H z?FrRXIpyeHdz$-Mvdd-0_I!t_L9Y7xM~3rDZM*lU-%=4nxAI?pZ=gvjp5x4b_k=N zPJErgSsa;!Msg#KTDb8XqY-aMu6bRs?~=!yjL!zYK^BOpPc$65`%fgOeQW{<&g63{ zUnwgi*a?AtcCvL-yAAW8BwCeknDI!E)>KgVi0NE^U&?v@*)PyYQme&!~p84lmyJ*-sICzG~=Z1&66b$)k`sP|CvF+vx#al+eAqiE)`xjXdF(b zsoN|@1{GD|sURTkiqhg9c;7@}x(ZdJr`VL*;2gO`bPYuDIa~K2dOIz8&dnhj1HHfm zBQW#U*`E*EE=q^c!=f-virTZ~w5$BZlT&es=eB{gneQ^JusAM{^}FLuLWAfLaK!jT zN1+8>TiX_k6D!RuX4a&7--%Boi`bdQZc?gs_UTjjJ1E=>J<2O{!N%rNh>7L8qV<)< z={~~X5Jv5<=YbPjqtQ`cDaKrwfzRKOlP0Rv8j)@&7H+(lUv#O6Lneve{cU1>U!| zvY)~a8)kg=6XpuW?`Q@Vc(9*1 z+_#R+WjtP^$oqg}73oDUNg~X{Va>_ihuLOW=a-3=gR%mnFDA;7YiPPSTc73`D-#OJkf1Qb_nAAfO1*tNQ81g)L7CA03 zL>7nnN_=&i?{U25U+c-hbhlZlMM3;{0 z#I*!BZwhDm@%#M~(ksN^7rHMQe8#_y=rv4u-QmUo&;{}WUO2#LEp1IahuK+w==p+w z3(H6$vnN}BrY4sf~HMNhDL)T>JPOyZ}k2u?U-fJazD)RWDU zt|eJr!Tc*7AGHHO!zu@N0zGIrlGQgne|aBHt2>@$R1eQ}ymrOU;Ivq@DfH=mUchZw z7I63~#6h)MCsB>cNi>vdjNF-!M7Qu{pxjsRNI}_{983(+7w;P%yHm?$n063;H55(r z^Ac5nbDamyY3|EDyJIzzWFKS9T+UNc7(m~H+Jf9fUgWX-E^HmmPkrW2+46KRzGk`i z+hMN5?}#fH8Q;m<5JV5Q9t(4R!wQg45U!>sID|q91nG+l?~TjVCs1h}PqoFOytRXM zGO<4Q7l-mUkgUKHiucTAKc&SR2RqNcto~7DKTq8+6*C~-!`UW*B*2$ZRmYFB>HQ&f zfpZjd{3?7?7-VX4#0ZXt2(ox#2-C{?*2q%}2>zU@>N8nLCbF?2wDVU-XcAy{@HPbE z#CC}kz!8n@hW8MMEPaw@2iMXjJiq7_*NE*Ua>Ggi15RrImq04dXuz!yncJC0HN3Z- z|Klu)OCQiEoX(WTEs|uaRrf29^2Gv&r%Y?KtPb0~s*{7`g*s9RIguJR`8F1AQJ+}*)2PpI8XQ$hPYMSIv?dtD(@Fu%Hi|dT&IBU!4 zVIwQ64es3UWdtZs{nQ;flTn@)rI}TA*=JNby-Kz%boC~8wYkhvNtdV)Y*GOz>D*Kg z_*Utbsiti~lYFD+HJMYAC7<6e(Dc9koUq}bwd2|;^Avl7D&prZCGEr3AHW&?(!Jat zzzE)#eVwu2oL~O{2)Hu~ZorEHnC*R${M2w2PC^XVi-TuVn z(wRheV@jZ@o+|A|uFA5O$mcpHJKjCow$18ATv-(FEXdP%#`Cd~ZDU5oOKC#YlQbLl znGLdfuA|9#vE$hi~CB`lgZ5i5B_WJVW z6LT1h-XNVLU0CB!|L94`J~?BbtyO-b3ZusWMtlu$!X8bQ4veQ7j<0VNUfW6^vz&j5 z9Y&T_48*_q40;~9wVrtZmsz47FvHiKsboRPPr9+^?~*6&sYOXxl2D4++z8Bg+k5|o zxNv)IdwZ>{@DAa#50fq^?Lk2`akrn0k{niL3z{PPAl`SLEa5(sRQZWd@p%;qif!vX zvvm7={(IV!n{=5?$#(Q_q?->2#)Hdn@8Iu2chfWj?om=KgQ&Nb=xN|tS@Y^s z+`?N>rqrf2DndUT5QOxIeypG}geQ`aPni&^_fPW>O+K)ckn2UUm@Ij2rjRc&htVYG z9Z(u8ZT-LC{|xod%c&*j1E;pSKw|Pxc_yeQ?Qr`Al)$R_z25! zY5c$9bC{DS0p8?XjmQ`zYA(&$8qG`p454a&$% zTId?g`}|0DH8q*d|DpAV$bTeRN2 zxOGS_Ov%!M$?A`EPXD*m=|V5}OLLf!P<}K=H=ClAA|oL@u>wHO|0_o_EZ>8OqK6Qh zMTI)1G8gYmBoZPVHzUx}%}Xw&|5@~j7-^*H6`?6S_hW`^w6v$V>1tesn#w4{(kx2| zI{qX1BxlkLgHz@akHXe3dDQiBZB!j{k{dNWSADZDBb0N+5dwQ2E;( zh)XrXov!9ww>9$LkVR$+GEb|7#`ACvl)?9%+7L}Jz7*1BESZU}`U*6`ogb*GH`j#081WF=c% zq~`p$oFnCw02uqH+#Nfb4+tRP0FdP-puE_KlfkVtIa%XB%1Fk}eBg`G61&D~G11^O zKO1Y#?UQ1YDnN=nhB6(6Ml-^t^FJs^B%rA+{6WxGWQ&2Er9tO~w{z4|xWK-T%v8nu z5&3d)rt1&jpHO0ftkE2HL;br2J2B~3SiRjzvdVOQa<|i+pKDzl=BGzamH&aHrx*;P z?vQ`lf~Efb)A)?F-DC37udW5RD2t!VcQ7o#8EA`i zklb1Gyjb#Va$n~#&=<`29cnG7KMo_7$*1oj}DlEy;tTl%3 z@?nYzR(c+AF^RVX`|q#KK{}s1G9@cfJ?3X-<}Dn!T=vD6e3Gv+6ZE7fV)|`YCBb^& zizX}Ly|7P|Dojae2m+W&UB!7)rq%GVoZ5sXapBGY>n|UdB_}R=r5{pqau=)Q}tNq4tY_V zJXGQxWbdGy2*6#7QUM^dl+bn=RQ{k$nJQmWo(DY=$@hl0ocS~ zs#H}wjI8o&++9)K;}rOMd#}fIT-fOe?`{+`Mkpy@MSV8IWU`7v(CKtuY4J_u<7K z5@C&`j!P4UoMIyPL?_MuOJS1=dwJUDZ{MFD;Ot*di^?DzJ9APku}(EHStHv8l-o2O zbhl~*^*Ae6H^{np^P`Q~2%FTY24)5}rI@FKm=@er$cvaj?|hP^H+sU38T$H@QSXvd zTr>d(+=-KiD2L|xN;9%b9c&*AF4pe=bj{do1aJ7FMdJfM+4bQvF)cONuV^6Wo3gcH zzO1{?zkL-UK!n}kQD}K|MxX_cW0^B)-Z~?cRl#JL&U`wS9EYAxE9&qgiAY{s3bAeC zz%Q+%8P(n6&)Xi9CfZ$QF8ax88X`NmM_Dtn^EV@_vC4u;>YLV9%ICk&Ey{Cr$J+ra zy;qhIj@=`VtfSh~cP+1s?mfz02dUh(t|hQqsR^^5tBvrVHTi;aySoTiF z)kitz;i4Pq-$=xb!@0E9{ZYe0vxeYEGXn9pF&QCf{sBDlNVWgYfs$1yl@ZoTOD+w` zD#$8=3kVL?@$f&n?mwx0OrqhRd1Ok~_|Fvozwc8J$~cS!2(NRG`<(pUI{vSD9ufhN zj-C%JEu*btZQ~yFZ$nQY!s{G|)%mX!(i{D{D=_r1IPk|hs+_h>^Tj4~Py)m12WUzx zqWt9=3?fHJNlA$)D4u4bQPTSJ!9mJdo?*Jl$py1fk;@0iF&eTGs6>h$Pb1yUu%QS- zaOC#E-59KQSJzCv02dVXR;?&!*@ee$h1ZXZOCjq)1}7AeU3_Ilv%cCdd*zXW1SNti&fHkpK(>J|*w zDJo)-De~^;O-?O)@QA@QA~-15p)Z*XXPv^3;VxT)y~RzfXuv1Gan`(^`!$_Z(R2fj zRprUTU`}<5u0QV&h`!_Wh1J8s9)+JydtKN)=;^1F6Rs6MQHFXS5cU&>*pfMXT(XYe zbt2%=X?u20iT{92QA#6d7r?1@=Q@*@0N?l|k-Ut%xnKsC@WXRF7CMp*;fpHw5BD2%{HH zEn`>J&0zN^?#*=aS67Rw3?U@!v!$+^;v2R)bgQpLp`>X5;e^UY{v#C2zk;*$0%2FC z6~*`9qB|Co$x53ddgjuLk>?K1TT{lotX`_J^X zir&59oSaNqx4jT99f-p(*OrkFPvqPebOOiA_krGrK-m>O$8ER}k;<9Fwk26pwwD!Siu!` z1iECs#pOy_6EKU54dyFtWf;FH)q5n+)yG0ei73d5@~7xu{+l-O3FoT<^#;N<-=dzD z&i*2${7-CcG-8x$L@Kx);I$kYF5^)d9*t5#as+t61%Cm2aIM#OkolgXR?fWCya=tHKehN&CiSe> z_UudCJ65va{sIH^1e4Qt%J3ynqD;z_&`EoGQ`NM4uFV0H-uRtc=+(@}6HuI%7-@YM z+6vp=d^-JHeJN>!uZ<`_UUZMReJC(wcqE0e^JRE@!lqM^=s>xK7AM(cW-`U+#7WI4 z<$LT~!r}hm78I4(Z%6RGZD65~+ktAzD@yL&nzVLu>vOeT+=3iWK=q`2&>SEf%6xMHMG3hk$IxP&JEc8xJY$&hP>)2iW^dztnyau*Ud}}k!NLIhv6!k0X3o~VRueC^)V@g zxi@4GFiyu7$Q?_ciNJ1B;)~Zh;_oKnCP&?()~M{Jf6%EUyHJ_-qbRl@A_rz0l$A* zipv?XYjS7wc>W8d_OBb&{|cv@+SSv^m%GFp{qL8LQT-c1?}f%J$STK~!G zJpRG#9*xiu%x-+{W_Va@kC#mXN1*->KtXYoOTDmO74vRs%fS$rp~yK?rGDK*{=qdK zlP=1Dbh4>mOJB;1r}t>6XSC8pV~>os?*pO+^aED>-unUVP8VIK^v{LD0givc+8r^V|;Nr z!c1)x34(5G0Uw1>tjxK5PD`rAr zHkC!TEoH9`14S4pPHz&{XNytB5g?XxWgnS_3C4z~vj|EgB+Arc3ZMyr3vWPEJg`cS zB6&_>aW?ko`(E8o6xp=JlYLx+urch-)-oPPM8$UYVfK{whxFPMDHQW=@{#OB6L~;L6Pm z@X(?G)kmR(Kha^ZJe$q``VNyO1l*Y;7%=S6kYR86zT$tDQzbYVf0U@?AGE3XPC^tlZuF>o$yke zmcNWX6|xNR6PcWa*l-BF@6i6qJb&D*V}EZw(gx8;7rgP6b$31CPmYy!ml1(UBrt0$ z|ME~9ysmQ3XZPpqpPy(cWxELI?cK1kFhzi!L^`_ zLNERo!D@%AB*QT!H(boJo^p$!Qrj`t+}?_Op^XAl)%)CNaZv9P|y+hh{Uv+?OpOcE4c zglI1lHepdK#GOLfGQ(jJTOqiPJL>A4W_uNQb7d6nex}q4zUmRivjjJ|C?hE>Q&A~3 z@@Kl++h7|}k&rPjltE@i)}3OAKaVRf@xW`dbps{DZV1ZEd|@=}&k{e%J>>98$;A>( zI%Hb4N+txWx!fO>og-|mMUazi&HqvEL5-5*=Qz*|y)vM=CG34Zl z#6`dLtC6IN&p!`*24VM)8Hcm5V}WNT=KXXgH;6{6XjsFcl?_+a335F2x$GCrDBTyT zvV);EVocoDN4u=Bfq^&Jy;%?@@dJI~M#X-IE47H8$QRw;Fmp(V6k}|4YNF^JTC>L~ zK(btvbV9$>-}7+h@dkUCKO>hVW8V%8ku(6{n-9Pcx)I z&!tme-x@qunOQ)*&-EQckT36yfo@H%ND_rRs^?sgVnGpBncO!vnySs&Gpy6F-hp-) zP~1DP?xEJ3F(BYuk8-zwOhSqor-ME@=@f+mcM%k*$8F|)LZip7IP`?gR{x&STZ`gk zI_f4-7PoiZ!?#Ghw!SO$P&Eph1TUJtbF$D4*IN$y0d|LDKX2mp^RJkDZ4F#rz zypAMicLNNhK#RPtct)B=xcluh>{M~x3*|RiuLoW(2`}0pC65^l?a*JJwo&=y>xJNz zupD$x2kdVCfdB;*>T9XrPM1+oSWp5fBZES)u{{vd8S4~W7_2C)8!K$Ptp+2c57;n@ z%>0`0n=E`c-}_Ek2A3h$oQISY##%V9FBAm}0m@M~)MevkH5-H7=f|LICs(qbWe|@L z9Zt4sTX%$-VBHF?y%*fvIt8e}WD-g`Ch?3rM&`XvI=lpzP~tP}LpptZR9q}Rp+0E95;a*RIATSc9a5(7@7%ZVb0jG=TiOUF8d?{^H--T@)fH_DQZO0<( zfdK*`W|A^Gj|U1q!!;{B*Fh39DpCr&*akCFyj@%!A>JdzbOvYZa(ubqgLC z%mW*LW3--noUZ(x0rdX!ig+>d_~RX+ac0>B4_#tL_&?tuV7Nfo7KW^ico6d6-vTIi zXwe4QDs{FpVXXg->2Gw1X#QU5rHJy)Xs{Jz6JGx7mq#G$b`BM8mcutDt1v{+6I{Hw z{@Qcc_#c2?AVMhM`iC_N63Sm6HIHJ!Kdn)0fF1~26uSBzzNz&SY3);M_kSCs0PnT- zyC(moS9Z=kma)tC2e3nqP;`pK>L+h4`Wa--Pbqi128iDg!-yoJ8$m$^j86@RX zZ?P1UkBx78&_K`}WQ?0BL*HW*h0&^uva;KLHSk1j06q1djU?uarb+OT4vN8R{HV4d z)#R0+le2)y*Y(&0F4uCqOdLx6QoEdwLt-@dUz_@f_)2HP1gQk8w%OjFRsc97U6K=?zCWWiOpay-Q{ z5Xtl7)Mly(K?8pHNNp<~S(x@hu+Ld=k!F>~dWj&0abjFyX+t#pmy)Xq-V2@Pz87CI z#b3OniZ-jB5LUD!X>58PfDPr2u;*=Oma)G}U_C;^?aYXj?#YPcJ7>6A-Rn|&Ncsb? z35X%_RwNZxa%idYY=;*x%VjJQB~^U74yKPcjQE91ve}fM?8AbjAJ@@ri4~l@lE`+O z0Y~cS5&#!AQG~r_y99J4>&B%T4yF9s;J_q&iPur$r`_B$5$iJ%Tew;Gq^+`*x(9sp zz5NR>Wx<*3R%5kGSAm1bX~mPUt!x+oeL~*&1hmvip zuM?t`{{Wazr92-RjOgk2B6f|mYE^(;jI2;a$b68_5yD|6h{p2L7z~x63vwq?p^I=S zUtN1bH1PzZ?$t{Mj+V(=Rwe)!Ap6$OnZ4PkVt*AG(OT=7ccN!;X^V9a&k$OmU6~1X zXiANgjvwMA$nIEjWK~|TP9S6mWC{l(t6lEM>s&(0dLQ?2+HR1aI<5JR`EDT5toK*- zGbSS|vEfK8>mMXywp}r%a<<_;LFdg%}c~3=3o7D7_s_^)azQK z^cB_s(q7?2J0}I`4a=$~%mWM5m^>-rRmL)Dq6OcdpG`ILiwBGyk;1rYQs-)#c1)ww^hZdN5lL} z+?QJ+&pA<{cyp&NOw5duwN?gYja!%iT&zTKN`^$LWtxZJQZ$2(OnXZZ@Ms%3URZ%( znj0AJ3;CEQNLgo)=z067f&p0*OffePFlEcsxpqO9E?nj;97~M6OT@oZ68$gGVJ;u_ ztW^{{6b~}M2j%?MzGwT)ccOEFh247`K?)wbhU_p7qf&r}2`fWGQ-gB>s=xP%Ogcm1 zC2a>de9b^y1NoE(zeBy_^AHACVC`O${{UrFwW5REB2^a@T7F2$*lz88q63Aki$80F z>sA4zf8R08${pTMXawwPsyo2s@^>{M8x@~&H*K*xd-n+HS3u$+w2T7RR9`AKTu}st ziBOSHUS4Ix2(y&cI?JayxKccDr8Q@rmCuG9yjWVd{{XIHQT|m&U2~}T+xU+xyq^!B znRXkrZ1%CZ7>jU^sM85)bk)TQ){>xhf@WMCT(}WIijHcXOCT1Gp5UlM9m6UdAgWI= z#|?0Wue1xf-zO1jUCPKjJWpc&Thj3k@C?CLbW1cTD+BY~_aV}~dJ5xID}8 zm@dY~2?h)|OFqd@dhi>70TGu9ml<|J&!y(2&Bh%~Y9IBiSh9i#W4G}U!`L}x8qL5O z>RsU~z38alSE}@slr~18)9QIww5@8uU)-baZ{^5Ta(DQEcO4T$%)ItK z_-+XEf$j4FTd)B^XIpvPVIIMlcF!Tyn__+=k)6p6=(m}b$e za$K|CfV`6E4Ix}cjSa4L3-Xuw?jcvt05qFW=&3Nm=Q=cuc~ zs6lin`~LvkMZ$zdIprRqyt6XyI3`4J%IDBw(j-g4HcJu+j#Mm87(KGHljrhGhn@OVz{d>S>(amLSaF<)pL{;U)T9cZE!1rmak(>&oSz3El3aQFr_Hz<2TE@fjTpmN5iE^Rm zOX9ABO?An!woV-OR)tsIz6-0 zaXhrg%8kXsbAy`#4_Zq3txD()c-V3yg=v zJ2B=}sBAEdtYjHzM_~eq#Ri+9J77f!ygt7ksH$YbCdEb3^3REIDKxsYy0N$gb zHdb!hLnRF}F3jh~AD^;p3kjq(h^{3|emygIj(y;}hlo8G=^$zD!X|~haWAlVBWN!n z874=hg1TJU47~jm!TX17c}$R_E#YZ|;C^6TF&{JY4St?s{{T?Mrap(C46*ZYAfqNM z`>8=%9F@KleN4eHg){O8!y3%*`WgZ&*obe$Xc59?1>_|tF=ie}0{ci7xwwT@_yOmS znPmhwa_Y`}#BtLZNB;mO;fu1N@h?jp6tm!!)ek9TQS*sm@v(AQlnc~cz|UuKD4xTW zm2b#iiK?_ALon;K1%{!4WkT*?tb!X--0b@L&UFEW4M+UQS7+Onb=gb zSn4d{!3S0u9Lu!_OtnIWaKco_3uLYf%)<$$o?*sQf&vg_6L2X853S3W>CgQe=`wM4 z_sRVI#DP`x*Ss1^vqq3di882uB-E!H*(K0Ar0{$VmFSmalX7H$5 zz3Y#fj@wIm+FD`Go#KbYINd1j$P#nUFgv2u)8pZnd*zEyHP^JIa?c+t{{RuN;HfR)R_0^cTc{U{dCYaeAnzXU zP=|%$1G8+g!D9ju(x!ud5lUoCx0F6nvKwe2Ly)vD1|sv4ud{#Q`iOXQ;*Sp)s&@jP zXzMJZoO#bu^tBk+Z^5(f1(XIY{m#CKUe_(yUf&q{m(1}s>Aw=`%ylW^F(75csMwW? zGE2-8goD)6A5i60%ooB&6iMm}IQS#Cuck0r2Nsmz*OFI_0i$88!tgSzrAvfd9Anny z{)G*s(GQueKQTA?=7aB>*Yh+1`Tqcn`b()rW~r#s2w*UKJw!ueg^qoVLHkA!f0pj7UAq^$4?m18kFev$n@Eip%xI7gA1IRDDS>u?wPp{d5!5tHT z@9w7Ex0nz({4FYkE-?-c{{TiH%NPNt{{UbPL4%s}TbsH$hVL^0%uHQ;!4VZ8CL0`Y zzAufclByb1n`(wCuNAA= zQ3ETZqvVw(_XM9*E$j~B>h~^ay=A%=+9;$Mycqr`(ZolCQP;n?r&fx?U*0%|{Wi?= z9{7uTms0Mf#l-!h-lEi}a86@fKw=WAJ0Stw3yPgctU#ZtfU38WT|WaaS~-S+VdTcZ zYrZ8gEtJ()wxPw(n4&&8>MdJY#~)n6q7pTd8f(e>K*;qYnizgD5yL`mpY1V*9%DwPn1=>1gD0TdQN)iw zK*!c2^OT58MWtff$D{R2O%TVl9kuZ-Qj8_rZb+HY(;LElu2rY+1PtG3J`r;RLSbmV z5el$9vcDPBqI)%W0?G3U0G`lJ0r4>GhpXgQxDoYGYiM>xRF`{&S{Du=9XI~~1@&v3 z=FK;g_Lr_C7d5}!gl`1_zY~3dvZ?gK{{Y;0+`lN|aG2a65al;F93X$|oAsBP$+cEU3tDfmtmGLl~N;#M13Bw;n8Jv$3R7jgk zGe|~96T~i+9w2R7-T}2|ZTAgo&PCK0n0MSQxDS|ifOl|YX};j-2TtOpP7JVnd4d+) z7Z3H>m!j*X>@`Ox<})tAtHRvDK4rI6@d}1rplSZh%7J#J`=aaIhzOU@Dnpa)3K24s z4E^)r)aukTDcwzGHk1>t#!kp%#%f1KC4WH=C>>RDmghF22AQo(5lhmq_iD)&IH z8DOfvc*X3}THK}JcU()1+u%{bSF3>oF2T0(WyOIN2^1;vDG&nNW2{)*3ZYw0h{JfYQRLY;zHmw0=XQ*c&)tok?1Qw#|WDaQwpCNK7KHb~9cgR*LhpWDK;q<4|jNwRN`Q! z7&R!fdS-iAx`1sigmL8-dcfq>#zq99xReP116}vM%apq&M3 z4U3yltmB^~R|1lAWmEwX#h=;z;5Zt|g`h!Fmikvy(aCmRoacq3VtEE(0`M3iXE*6}7)OlgRu%7Y%0Cim@VLexwd26}| zbCz#D2tkYugPVJToj~xy0M)C?(oE)|OUSjam?H#eZp|g@Kki|X3Z}<{f5aqX+ly~1 z*k@3A+}$dIUvGIr8@zWEK@04KcXqdlb-;e2Bd4AR$A((3tQ=Iyz}du8Oyz3jaI{!F zry+xX0F4|E8PqtDWs`ITgI&zhikT~QR+~DC<4SPutk}%j8X;^H(axhdj)DMF_m-w~ zZ2O_s2}6^)XPCUpyPo*mi-XB8xx9S>HQ%Q93?YQld#98fL4!C{8QVT7N3}H4L#KwjprnEKOwbso*@n&Fn5E@W-6c}&V zyC0p#y^$=dmSWNh7R6 zHj4{8sIe3ppR`(niRg7sD6n+gQS%fM;Kh&X3RS$syy=&LdH$kCVJhP8Bot=c1=)X} zxE_!al=YZn0tvhJhk;biPjTEJ54cCCsQRK5%d=(EX0AM1k|?a1Z=32W*fo?{@de6+ zeZTfFLG!!3Q^PV0+Q3YWbj8DNM>D*DxFJe4ZZ15uD;$#w1FKs$(lyk~=rArUV%iH* z?1@XNoxa35D8m+zuDDwtH8PBg%I)nePR2QYHDl^B$C2GafT*ZKe;mRAS;#@TOHrrc z@h>=|2C*z3W2X2GV3f0ackK^9!7mWkUTIp^e9OB9q?wD&*(6R$BzC{W%zgtAJwc6`FtmGctzrWM{9C9O+(JT3dE|uaetm~!equmHv~1N%*#xo5 zGV8;&Hafj^4H{&W{{RV8LuhQX+A`6(Kv)>bd6+JwJe~YSw^XaDcU?gs+}-z|%qCXk zF1{r*!n3cMoHe=wC%n4YK?bq!GWRzpHN--mxm4(KMw-5a4su-!3 zt-^#HCoyY-CS`&)VZUi(2dQ%ChDbsX&xj|?8ir8M6AUq!A>u3CA4`MWE6~iiIf#CM zu*;zMm?HED9Ygvg>yjxWGN(5WD%1LmeE={J*-TF>_eU!qGVsE~Tx^<(s*82;WE6WX zQM!g3#0VbaZ<1B0R|s%8UTP;{PD^kXg-#~n1-4ori#*B=q{w~X%MM+bjA12~-R3Js z$$Se61Y00;elKY(;)QLQxFfEabo6B}rM8Qjzuo$4w zXL8~mYOD5*m!E-SXYKb4K`;sd*SSyJa58h;3tnM&>`yf!`>?Jtwu0F+DGbWphltla zve-V+IK8dM15_1cJ)pbDTZ}r0W~j2C5b>_8b24>gN^XuNoevSl@DlLWW3xa2&H0Kk zs2zOxmni~jSmGfWCx!JXv5k`A>B?b3SFevvMsWe6;$7Y;&0b~ujlf&3&Z5|1>@ahf zxq{Xqp8YOe^9A9T<_;z14S9p+Vud?k<8eXEGcFHv3GEHRgNP_GrOefzM-&7c`W8VT z*8c#YNF|J@71Ut7kXUp5Ek+DMGB})~B5i|Vk^7CF($SH^_cA0c8}N`|N^DLT7qgX? za^PUovH;{6K|1hF;V!80(&4i8F_3n@s(Jclz8MxN;#?09!=CB+hT8rBW(sTW78hO^ zXE!Lj*h(=c3qBY~ZEfX&mfYta(YU74pJiS9A=uc4COpb zH5qGF`IORevs#3Rg$;bQDd7yPT81^xv>NDPf*A~Bb)OR_67kTua%V%_{oz^@qam#O za~laD7+YuY3fx&$V^{Z|iFMUa4`eJ`j9f(EIkB&~eNhN7uT0?MSlkJ&D%SBE0~Bsz z6FOl4(-#T@LSPNBKDljR_V{-8v7iMc{%!B!o9e|dc1aH(QJK{uvrhuN3% zs}?RxV?rmh0jrE<4`W0&Tj4?+mYDX@;q3nacwia{vy+qL#HpC0x?69j!2v?$Hy&AM z>}zWhynw2R07Ge^j>JI#8HruHi+7A_w>Cu(GPss*)FT4oj{x8n?TEDk+8qxU`^rUF zfnfFSMkMY6$1C}dSp@>+%u$yQE*M~)y+CytqqW(i?i^5#R4+ZnuTxoi{F4-KK9^CK zzZ*!PLEXh5Qq~AB#h5EB*$qaExlGWNZ!>a6u@T6=U^kVn<)jyxaSg0Ww?MXePJB&A zGjp7?oJ)gGrLbwl9QW!tCD3zmZVf?%7(7er9{GeGh*D7%LGBc&Qy~=cLoYx>qb?cW z>0yGVJjz(b!33ia1q`+Q79?V=MVWv=s#BWa#7~E`ENSKoK!|9o(=uV&VTKxmJ;x9# z!%u6)M$7REPH)_?n9=*@>aO<&Y-%>g+LQ`LED^S%wtKws2u9BIfT`q|2r`JPLlp=_ z-2JeKVQSD#4Lo7-5mu7T4oP4J9pPraChEbMHuDexqPEk-rhEatoBsfLjhd*fqaRP& z7Mj9rUvc3U(@QGfwT)Fu&Q=}$s&~-s0b7iyM|2$_;wr#W>x278#Ff3tT*^0?BPqhT zfGRksfVaa7+A|=c%6sxNZ(%^$p?-96}aiK%{)nOR788dk9Ncz-{+1sj1a80i69E4fGu5jja(1AvQp*SteVm0e;f3GPz+ zm~DZL|X@96_=dr}vdKZDsEu5$5bQR#Utuju{%44c zUC-}~4-3QoK4CLBt0V6TYA#h_p)xb+RrIM?qLdhX!t4vKr8u{MinJF@WbiT^FdML8 zhVVU+)B)hs&Z7vx3>pgFUZ4fREdKGqFR7~ad_x2T_`iAE7|10m~|h8n(#QSo4*!vW#c-D$~G)^{r` zO&kG7?Fv-89%Wfl#$u6Ez-6RAOud|p#^l3QYTT4Uda&5p$%Z6l78m)`_b-~n;cgaM z=H;>J`h@{g*s!O`8@g+`x~QzYPsH_>|3iCJ!=$ zYTZUD!%#$_mNx})CeISMM}uE(;oK6@S?M68iZ+|eFcifHnuGV#e5W;X805%aw_Qk6MYYu8vUH%W||#^uLjbWirx;y5eA! z3Hl6rGNY`-h8TdjA6Vh_7_gClh=!GkqznNN8jZ@F4-U;P8el z>9_zmaMs9{5}Otn&r~^+?OTHB+;kX0r?L0ANz@9rb2bXLa*L=#f(q!hmWdU!xJ)BC z^t*bTSuV32aV~~#bLqr8sg8X#&RD%cxIa-rkDbangW_<5%od@<96^d*Vh`LL^*bQk zAE{0lWfl4uF)7>;tig#Vx7&GsCiL_@$9C^9AXCdkv@8DEhr&1yTM}3#P$nnzU!w@Y z=oc9d7?uHBbn;i%+*U5ljfYb*>nbc6Fb*ZuGqCa8R~pI<#Jn@x8x0=NAokz;rr2by z8%Irl5K_NQk#pF&IP$0WVIXcjIL&>qbTmv|X1oo-uhOupFJ9!b5YTF<(tXAr90O3c z46XArHBB^EbF+ZKyTQBELeYkC-^jA9-@@gW?b}{K4ax0w@ph z=>{fmLdbm~OU%E5JdC*v)dwmMHwvhGas~2(A5i%gfUncCSgC8 zO7ScRnO5H2f4YxAdxPX*-+F(UNF5rV?8sSVGeu$C8X|+3H7%EdT)E6uxMkO+gUtJUM7VQ`X+CR>w*BYkH$e9n zD`qBBwVdQjVgf_^2_Qw82)qsT(exh$8@+q3(R%(%Djr~BqAmbg^Qm(9o1h;O@0pR9f& zLCCWoWIoC473v7@E#eP|IrITP6tWLcaKUQ~%b!OK$U(%s zL7xylX9Qq1wM&(Bnu4~TF_!kD#ofk&^6dK%#Sh+;5GaFjT)_fFl9HcEw#3R*6KS8) zo{Xu?V;n`Y48m{_7IS_o17wAd6)m7}(F=jRv&>#imsf~5XP#)QvJ*8fVQHcmg*SVs z3}|MTQMUI%4&?xlj20TgiGUMmZlXPI)H{`9lTT55L*G+8Q(p4e#@yKMQZQ@^xQwFC z#l=DqR^5bPfOr{NrLzf5Q<4zvxQYmz61sdZnBa~VsD68%Z*z>2>bsV>sLn{&ELR>F ze#q+0;x6b%jKEHxecjCWwru-o?mDT}Pj3&!)v z##S*!$3pQCI_(zk&2o0(DiuU&{075MG zk-i|S%2;WUE-0c~Dha9LS-?)2_o*LPFGK_a+#pQUmlXGc3lF_Q(FMDqQeSfd~%a!QSBchn!9z_fRfKH|Ay3@L~mjO))hZW|3xG5}XaxVo(LI z?EOIEJp6S0HT4XT1&!W!;^p$86fP)vmvte;CulW^VR=RY?BovMH!axU&}5A0c^EeG z$3$;v$VpTOAc*+QjoujeoK%09qBjqcC;^u0v-yfEYY~y{uMwRVLpU^7P!Ni9P~80x zQmP0hDkGjF)aM*b=Ng%AXZ*tq>IOB4T5XL@yg*96JwC|v{KDNpc=W;OuX1)jh7Ce` z5DKdQ0IsHq(HH}6>$Jg+accUQqC7vu{q+<3&*Pu*f*O9mycd5-{ou_b@PBwynx0Sh zh1w;!e$1tn_mq#`#-n@UQc!Pa_k=$pgvh9CvU0VOt^3EDhZrA-<8s?`7y%Bb+*qSs z>V~XqD1R^Zh7IoWe=rq^MT|MbNfMfaiE$3Z%Z$Laa^FIUY^=}pNX|bdBCRjZg&tz}h(j|?yKzM|j-!Twm5#26-H&P~oR*U;3oK^Ct zgLnMqA(}SQe`uyYVuJ7;{{V_xSSz%D5oUh%11|p2Nmceh=&kc)V?bYWImP^m7=mAD z?i75dFb>c{FTc&gqpg$qKg8cP+k@qN1jC7EGSMo@dod5RC_#u4f*31NokW(pl)yRL#2h(N)_nfTCnkOMA;g~U^*YD14tRfy0GaRVib0vI95+C zpUkk)ZLZ;@j7qTF`bBu8SM7Iw$C`t#!yNJC+ zrZFrr7CfSPg$+eQb266a@2!gjYdHhOvtgWic+!Chcd%P1|og)kx5OOaj@hC}3t ztB&~3n5*I)*4^SOI z^#`aOPYkR)Jp;xNK>_~&4fIJ;rl3~fhPw$$O*IqQT{Da6q?ItsL;=im*fk4rHL=_n z69EQ%0~0dwzw&|s9tqMz822KR8jpk!!4#My%A;;0hNrR_RZKBhnQ;tZP9fnibq@$r ziR_-)pA!xv;XSk4J+QXI9uqDh#;3M>WoNclR#T`Bp#?aA>K+h1PiLZ?N_9Q3@t)c2 zhm1U7;SU&i!@?dAucnan4??AX%1C9bN~u*?N#gY`sIMGKbu~87b6JN72!eg&Lg~*h zFsVg>I6@=5EIeF#LnC_;9YTh&9+TS;num;R>RITenC5Q4j-y^KR<$eC2U3-idm)~s zE_-7k>(gGRvU_K;5$yC4?DSKq?3DCZsaaX=^w+QI;CUy9sD6N{UbX)KDy4cYIyIB= z9XTqysMCq@FUI#`65nPfCAJ8xP|{PDCO?4GtswC*jNt;Y@RWozNtE{bbd;OwRW&L~ za!T>~f$jZQ_WuAw{{XlC4*&&vE74M=eu|a<07OaHeMcQ58Ji(qAlBxg_zHuJFEXzq zbp!$jiB&3Bp^A0reGNSa=mXGyP!;-tUW%0}RH;&>N|h>9sZym%^r>H>rFvAUQl(1& z0H|_G-9_n^XejOx85=-K^r>EzDpaXbrAn15SEWjoDpaXbrAn15RH;&>N|h>9sZym% Nl`2>L?H~S||JhT{wp#!I literal 0 HcmV?d00001 diff --git a/images/signal_spectrum.jpg b/images/signal_spectrum.jpg new file mode 100644 index 0000000000000000000000000000000000000000..3b67368dc5538c2a0fe58ecd486bf9785c52a655 GIT binary patch literal 199053 zcmd42WmH^Smo5w;NJ8)+K|`?M!5uHBt#s9XE=yRIEas32&4!I z&ye8SA|m|jLVAvX_zW2Z6%C$B0+;{iRCpS^2PmkIa|o}H5D}hXBVi*TAYN&;EENK| zvV8KJqF$^S?f_;!mQd+OR&LrM$*LfB%#6 zuSWioQKCJy@>J~aeBb*%JvI5SEb!{#Y5z$lq7(yKtdM`G_rDeWw=&w|{PP-qE51J<7Z-N&b*|N9Nz{hxpRqlq>r&@$!qeF~@iYhZuAuYLVAlK)9y z*>AK(7+P20B+C@|*N|hw(_cSLL!YuKeD?hDx>fJx|{7-GZWheOjIY=t> zL%pKn_t5WaJ@N_4FW-KHtN731|9APf&#?au?p%Miq1F* z(SG>>VV5)xzAFAPbWcP3G-G5hA?&07u7%U`O6{XXxtsi|IjT)2>^#e2#)5+>*89W~rUXt3w9lt21jf85EyL-|2q z8WO;7jN;L zoIAG92X^?VoFo&Whh)$Y_?PZ{`X3Oi-Cdu)B%4P4)Z(!#L5f zRYBO&fdmXpADF(hquJC(l|qdRWDQ;H+zi1KNWtKt_Y0K)9_YfSgLdD7$jgxAnalPuv^dZT^pXnd1=v?0!z%GJS4 zMAbFp{9V}amBdZ$ByHMmByD*aD{N;Xit(KA6%zxRmg(#a?>fS#*MbZmoF%Z{yR>Jn z(CKNRAxP=1Wp+k!x}eBsrRec(VC9k{d@GG&QcC3ucD6aE?d_4}M;NBGFg-?4cu6J} z<1Ct~jAU%_`aM#XC*sMARL(DIJ7_;R-@R9!!F}n;8zavy_}u&3YQ}Nw_jhZZ#UHhH z5O{k(isQuBIw8Fx;>KPlj}YIA%vjAMrBqEJqBqV#?T(0$r^k~>_|zkcZE1smxSr(M z9$+qQ^JPZNg4&tyRVdkb1W}(8sv2TVToBd=ryi7u0K8B~lPFVpgzDTM&r#q@5-|pD zb=b23l5&4p_tUa_vV{QEmtFq@y1+A7nxc)?{)s>#9Z|O94|{d>8;l=G zk$+Ss(4Oh~OKdj3HV_Z|5lflFPEDE$&Hq;IxbTwo-6y1^)f|-tZWQsL?|s$%p~P=c z-*x!^#~YOx3bmGLS2Us={%~d; zVuFS<4qx~(34X74wl?!%$u0|)v`B9tTxw;q``M|N?4+gnW}*|F&Z;jfS?JnpYneP~ zCy$_PVS4Y%N6!~%4TwHjPW5bvTo_n5;|r=F-7Z!Nu&V;!2)pfggI%E3PPgBz8Lg#d zM}{MHUJrEb^gZ}Vku0oRH9{1Wb2oyeb&Ab0HigPY2L^1__sQNTp8Y&D$QJ4ybWmH$ zr4*vdOE?GcOQ|xRHkP2+GRnVHoN5nIIo|cj;%jwz)`gol_2R=cb7-4bYR@DMQuD%; zJ$Tl4VScUImf3084EW}>amxqweS`nm2$>qIP=TDUT^_7VFR8366r8UX?_kBUU~mk4 zeZdC?+fI4?#(~l7Y?0p)oi_HIvofD&M)rG477e5ooC%U=Wp~|XQ~{4#9v%@`S{hX6 zH|tN4ug>UAf!-2UvZ`TS0-)K@+~;vq;GLmt&Pvw^9semU24pYh>aI}T@S zu%yaS@_gP=SL0s0_=f*sX4+i7jY4*kZp)|-LG?Hme{l>c~ zl_*Dgog==wJX&J8fIo0%)z8rPaxNbVFf(O2;lM%5Hs_i3}A zyV5(%-&m&Y_X2r!bnZaBZ&;TjV)>jkr?&i{znVqdHnNB3vk#nV+H=nQou}Prgzznr z2G2jYXpwnL1Q%Fnm5K6IPG?pgRRduzF^4+!sFnnwi2;)eDxYCLpp1J&XJ@`V^IP{-!NWJ0`c5{*4Lyq1(RD&5X17jdqRr>3)0HP z0aI+P_I$(DzSgYM3hPELP?b{uecTawrs>qi<7$2wAONJEBZQ9^XehMjefh zFagm;KcS5P>wwQ^la-A6DRl%t%$UCIH2LadQ8?e*Ii4=G{1TzuW}Zhl`(P5Fe5mRG zE8nvdSk0}g7n8!k%P_?{TDN*+#oLZEUtG3!>n^2 z7Aw=4`(J#8Pzz9t0(;u$-fY(1tI=u@YIxM~M8Nd)6unxutU)&902x&2vVc~Ja(fcy zaovLAF|N1#JTmok*3fvFab16Fl9EvpDY1mENg@9^787<6q101uLUUV3L!^vGvNSPr zO)0n{$mLi?-(jUK`_z!k5}@TFN@4+$bg4a`N%Tio^TR~ILlMcaLf|h$lBfA14`TXe z9SJbdU2=3i6YqhzXOcw+T=M?TahJZ$Xo>=O3I2q(@e~vUH1Sb6S~e9A9|q2M z-6}CZd&Bbf7=&ln znb!{obo1AetJ81;OAnUUBTqXdLC-5c(b89&A|O;pF4y~W5ivxF&b4KuXM+ip?Q5#E zfn!H(d3lq9%qO3BBW)FC9}G^j6j+OzFh-jR-U8|dZ4Wb&I3qv0l4K2gq%+T_le(2A z@oiNQYTFMir#=}M;`GNewHcipXm$zzHWYuOn~orIOrbZ&^VDl#R!Q@j8EwyEbj%sS zL5V<(NwDal%IQ}SlY#YWZf#L1>(f;EU%D^nxa&P4cqMz!)9pJXXLamCv=+!_`|Qyx zcANxOE{@xJhv;ZSZnf~D<(H5~^`X2VimZ;E!c7Mki}dbJVhIDDz_e4>C2m3m7KH7H z8Rl&=-5yO?q>9=S7s4U{+-|MoXaKY>!OiQk%Lgozg*O`42YV*dlTV7!%$>R#HgUV3 zkqwqhsygDhs*!bR6o%Be6mM1Ly9jMSWOs=d zhgbisp>9UARx`wU{T|upW$-To!F`8Om6NaKdj=lmO$!zPAUT$j?yAo)>$dPqVJP>t ztJ2&CEl1>Tc{%37&S<3%^71ewdb;t!6Y?*J3Z$!^A^rb&RCj!2b7SBPVc0) zj0WxDhB9%*qq;1+GFRnF#;CC#Jan-o$-CeA8{kztKyXnJv_ZV7J;$@8muxE$ILtID zu|7f8BC@elRh$@kJYR8=x$CM%9!0l0R`nd(cHCGhu(93BPK&#o_}gNowT*6DnAl*N z>vXTFq90_N3FD~t;wbC6u1C!ectj9-LW~a!$b1Yi1AL_Eq%Py2Fnb}Nu70tP{ot_L!?*|AK5OzLU>Cq*(WLI`R+9qf9CKa3q zV>-61va`PyVBGy;=Y{uT?=Cv0IR7Jj38J)&p@Y2!dbZlEOBu6Hn#4M`cf6IF`fjVR zvd;w5H)lmGVp3*&IG2Tz4~HwBZ%*3lCPGk~!Y_P{_$nah$E>u8-*`$nVs}xus(~en zUIA77AhkdBa_xM3(BztLwfD|t$OYy{7%MtGHPxPs?=LRJMhm8jfENCvr<;Cl? zfT7R8Sc9Is;3db~9($dqxibH=2d)%|GM!c23CL2sgXC>s#t5ec!*`J}43D{BK!lU7 z1iocr{IO+|E%`}69*WjGFXYu{hHk4~`@;(HiI*=Rm9u^LeL3hxwu ziM$Oaz(jgdY>vZ{o4|T#{)sa!{5kj6V=jv{(U!f(VPv!?DIvzl2z^^W%@UuN9<)Ov z9>O`9rK_pvS;pU!tNOfS?;2P&FWFobN<#7#7t=$CbUAOGpc*p-OT)ZluDqtb1X$8b zbIH}EORScvb?1pxM+eG`KR*)21KG}9V~N`BRKUJKGAmtvI2medHS5&PBtX=X?}W2@ z+i$(?TaT`n#roUyCoVjA64pJfNval;a?3e&C*kDDt2x_tlQ7!AttNo9iOhegFvpD~ zZEY8#t)?j|KM14fw90Nts|j~46NmYauK1Sm}Yg@Q^S+}5Vk;TFR~0PN{qAIHf(F6Z~- znR-O%^~!ies5@%;E!W$o5PNwQ3i)zr*5-papTGmod#K`A7Fle+t)j^mu(S9*1Rog| zRP`5$fuoY{2fxj@;LI-RYwh{!R?KIqW-_Mxw>spzYx@r1(TSAzh&NHt z_cd$?rYoiqP^rghyukkY<5UND!u{1fITXXl)q7uTHl5Vdh-TPLEyb^AIq@tbrN#~r zP#NI_7O(3p@nnE-PNoBjsAW7V$ukyDxeZ5qdYTWXuN60cebJvGjF--|5P-IDq(hg^ zdOft4NqndOcx8x;+|dZxdH00hWXumZRM_*O+PS$YpYA z`*pxz-u6v{w|?l^hmMEIruV}_>o(4+T8qAS1uq~jtt@VW2E!Ed*9so#POWWD4m)IT zg}AtJnRBz`s^(MKt392-iSC%APAJeia=RXA?S1`_^hJ4!VNvrh?@QgvL^-6qf?Qk4 zVJ8|}>&-SGy^CF4bAY&&5!|jcY)lD8OZ*EKE=(XZ`l(!&1;rNxAxM1xVv>An=emI!Beo$QH@3E(6(Qw=rmX%0&_Q861MxT?1 zJiVu9W1MrMzUU+?dHDS7fnssv9_kOiQ5168%WmkAFJ~#g44gW1Tyj#c7815-^ZFHf z(Wc#8FE;yUp{dJ%TxIj!b{T21Vdc+`wY@>^2py|)@)$cW_zG0?VxCr!N3tvpys=I% zf)frG75!(QF?4bqjP%I$Wk%%!UPp8#y$!KWn%n8S3aHm&42QVhEowfY;Fc7$LGGKy z;5?i+HIE@piaKj*&AV_xZOF?ZgN|ajaezTVexxRr;7FkGGM~qu?5}LX?TUGIANe<@ z4HObe-IaB5PIGlL5$74dSI^Fc>EA#o|bTmR9Es#ZM zkGJog=VTdO7J79?w&H_7<;a|KH$|xMBCvf@#%gPISEm`&WIr^~JZlI1=p||YY!1nJ zpdD7&Rot9_t_9jx(HW0Ya2Zwj*bwfY_cDmjmj8ss#+vx!J`dOpv?%dpQ|2Rjw#}g^ z-dR!hW5-de&ZE9*@${*)5Rs2?e?(4!k&(-^G>TbsuYZ}&-QMd@3N{03Rw^noN6m?`s@;*9%_%yv9-rCG`H5q#G(o)w;qJ| zMlfXzaa{ezN{<q5UH&+yLQR}}^rxaPx3 z`KmxRcGl`#H1saXAcLsh4zH(eYUHxTtsg!^lze+aFgW$QJNV%Q_pIPVNo2nLHu`;r zPVw#+10mFW0gclP7z(tS-`Yc02p+xAFp*9QaMF74?d{OqDMzk1{$sEAl`ztOT=&PX z29?XqQI|wGMzo%(tSR9Ghj%z9qjXKGxYRGxPv7lm}NENM>NxM5BISb57Z{NX?xHsp@P{B|#%o7A( z9;lT;>rc^(X|S_N7K2It>AgNh#3f(0{i>62azute@xgIC+`}k3uJ$|yuYl6Zc20#( z??FmUC*bxfo~M0J&k{@FMMAKpMcUxGs!%|vza4xl zDUNPAB+UX;=&Jf!sX!-T2Pf)ST2E_mT0^HG+Um)@Vx^6|o(pKAZFa^i^01|aM`VWQ zlg|WO#>&nn?EvZM`ij?H=#{~ntxLdytx@MBUM|g%!NRqJ?7HDzg4DUT@D(BPd-;j; zmbudg@^e;}WEnWa(HNOkkt;s+@lgu7N0`;;~LEem;h@=^rC>N26ylb+?O1>{zaHIcs_1vXf}ju ziIQi+a6ScNbQZ0nsZZuBVYF7%J1Bq|zUowXVnZSOC?dI30c?(b>gz<@7c#DjHI9n@iovey$20=yj;REga!!e3J@Ey*p zV{i37IZd9aI5(AVKODK7Aa}ve3q3EeXJuzdM>66oz9kERHF)AFa#4zES%%aqRj$E< zs$ipX>e!vH7E8y$yD04 zDsQ9byR(|U_Abf5;F8^soqW=!i3x|VmcT($e%9G+vB610Ic6wLkJoRKZK|CuEHMIJ zP6`E?!Q2luEfrxqHoGn&tIp@XRCDY1he5%ugKa_y7uPQ!M&}llaQ>HQfZhveYvO=A zSBo9Dh8*70Qd3=b{2DQ2yXE_l3_S#>5^jkB)0sMK-|EBbpJHIZU34r!;@f?qG30U+ z=_vwKeU{oH@RZt!6$6c~&NVAPV>50|2^&sJPz$rZd+VSna2JR_r2|a@J@KMpGE{eq z7ctZrTZaQTETWc#5@M5|jEqN?;Xy-nldSIixApdH&F83g`V=J2_r6X}GgrDT$G&0Y zZE`nSY;a<4>C5aE89C_9DZ;7O`9P?owAMOoG4JlZoVzhCJmBSRzTPb0v_y#Ns;Rv! zGT{((u;g)iy=6%)l2*We2)plL)SO^&GXr1G)p%YDTyVKt-Azx0(b6}G;z)7I=uYI zwhLifaJUvE4);t?+UV%&7$*yT4J1DX3Q!;)IJDh%N-b=r>=ZZjDSDX{rEdWjuXid| z;oKG;j5%ssA7CX9xoOUh7q#q}q*aYH@ou6pFzxAp<(!358n7JMSyMosAW&@qaa3;! zsUBq?%5c^LFf=WXmIf-mlVT^=x6T9lTcb(>s3)_m4KV9nC^Cm~JQBRL%^nAR86B%SI0pXBDn1^?Uh>&vBwTo)Lu_ny2mTxktAp%*=MO(3 z_|JFT+H-g}$&L3dG~stnVWjX!gKZ8KEX;tVZV@_c&MCBTZ*gX(&l8r4B@M^kXH#5> z$*_uc+c(mC#^0H!*OO)7Td*BUIqutIWN3|NjZ<8o>qKgwYru*AGKc!FI;WsjPz#u< zo*+&U!(&-=K*-1r?gJNhxXHljmyo(iQdfya#u9pL7Ma=sq;SnHxoN1EAen7jm~b3= z%ZK!v!24ceQj*uDgbTXEXlYXlg65wt`d~#}TrXR!o;>7-fXL8%P|-STT_FCXqR)$zOXGdZhoT246XOnpGS;#I(1B2$Flk_tZMUDZ|=0 zc=T+@#Pf%R$3Uygq?yo4%fPLeHldbgtm9XG{8+lMo!TLd5npRV10|=#?QkTAYrE;p z90AK^j8miQ{Q}W!4qwBO6BB7H360&->Qr5Ut?l3Xx*O@W8WQdkSluO_r+&S&N+!=% z1Q*IHV zq}|FqBoNB#{Im?% zz}LT!>Ui8M`6%8jYR3y3-2Kkm1nA%6p$_*#w$FfRJ+vwQnn1!fFuoQb|-cr2ScksRKRGR6yK3ARFfD_nOdq&p9 z%=E2MKdH=Y*0R`h1BVt)sAmgB+%*W*eNww7%fk>*(DMgYWRGvo`sS~lHyw`z6_8r( zDPGSCLKS6Cfd1jPn6ng$)OzNu6YyW0DBU2&$>ag8yLt&7}TB59kKfc1YbuU z>1<##Anc1<=m+K;LOedD%3lTfBPQ%#SP2^j;}_9^7v}|fOcOjf1=a!zRyG||TpCQY z8ngt%lS>5g#KTh|gjoZZP--C)p78PoIBvn7_n78tglf}r6HJ=Kt?uQrrKfoHzzB|n zBhE7cqo4{(P(@;sP9Hp+HXS}!)zpExaFB#$r`t0-Ra=*7Em>-_`{{TmxnU5*^4r|B zqj75lUgsc3IsK{UrD7trKnU#P*tts zO}|`3LW(wQjOZf6K~f_jBcb_8(mbQ9Ll$6o$z1dQ&t2_zB4>J=H1q%3J^Hoa5uwH| z`cE#-9oCMp9}@HiHT#dpL+rzpLGgXKvoF@3-?*?}j>jWH>tQr@8*BL5)&JKA(TRQd zD#X8y^@oGEAz}BiH(uLS+l%F=_an^PwJTJgT;y^xl2BzN6OrjR*CI9+CSMcuU>VhfY2s8xU;z@Ue@)sN-o37@p8m+PIc^511eEV(%0yZ-6KI(1RoKk9}%n= ziIE=>j7*ZL&<+D!+86v(e(Z(m0icMYKmBu7p2wKBi!LF6Z%kFVZE(u<^JZIh46U*w z{%%b75g~te+my5QIqK%5VI)8$R-FGpE<_D|%i(r&Sqtc{*%q(r zRH}j_%xBq4t`$e3nYk>HzKT5})b5R&7F-}5oyUC0n=?@N%PhRR05{Uxyk{ablV`Wt z&&dejY)?S!kmM2J%qJO7K~-)PrzYB$m|MN#yy3w``b82lE$>?o%c+z$ zNK!%=@wPLJp;T*HP13Y9Oz=K8Cs;sLqLfU{#*(|Z@eu)5(%MY{NIo{Dh~H=ZvyXqA z%YG3RC&Z>0Nk(+Xc{(xKSq}oh9V@x({j_3gblc<)gSF-nf#uPH-S%_LnTqkYFQuK7 zVFWXYv9;_y!nX1(Yq%*z#T;m*@(F9v9YR!63Y_eci8!3@eS`H>k}_1Vsz!Rt%hi#d zNgUYzfD*)JnZdp%yHox4WwW#RWy|F#CXH@{{goLHdJpn3*@L}Yh)?6gs-R7RIs#zo z8<^Pd9s}i?{^ljBW7lZNxMjFnuj#%Q~xwdt+YH7so)XAo{G$ykOby7?!f+DR`dlrRR;pXKoMONl|%xjf(_* zH@Stm`1*O_CPG54kXa51;yu~u;yHil?5T3p6@A2sWpbZy3Tt>BC1Yy`&qVeqB@$v^^*jJ6TVs4zRV`92pagATt%P-PHpJkCdhEG=E2 zjN+UESstYkAXMB-Cn|QWSe=RCazryX&ecD;K2#~T1U{9p(k=|~-%Yxz#^H2l zxn&88V0MLs1)F!*nV0#LO66i`43Eu`<^1?AH78k`W}90VPCoM4ZQFH{6GppG>=Tx* zlQ?^^$?BK4Px^W$-lmVCaBh;l-m#vQS+B0AVazS4!Yy{ogSwm9WQ<+$xX08u3JNq_ z@ueePBA4NMvjGXy3BWfT+VFTchxbuJFLRE$M*X>@CRlFnYY$0-;u*M!zOKE29w1NR znubE664`CAkShyG8AmhT#}JaAxYY>@E7)%)Ro~ZmN*r2&?>L^}(kWBp;$;`e#Qh067f zE-#Yn(Cb8J)zxq{dWDBG_JIu8s>YvV8@^s_TB-iF1r)PiBvtIT6<6Uq>)HW=EE_Xw zM#63aRrZ$O(0nbpGF(wOrjBHM2tT+21&KxUvasI#UcWcLctk)t7QuraJyC`uVRv#r zMCj2YLiYEo=t=JvZ^XGA$4e6HH7(VsIc)huBPGX602!K!6|__3slsl$M)gHpV)f^( zs}`}i{}*%u_0h+xzdq2P)3U4#`FviBej;Xn7h}6{Oou5+Q<1c)gfahRM|hM`W*R))I2^MH|KSf3BPP=S5HTI z!@k1!VPF}dTvYPP%(#N2s*@4l+2x9XtzEKhdJL)-TmPbVYYA9y}f z-f?gC1l}>P7|qpuTB6syBgrT`4ix64SrU7bd>wl(R@xV@RJ-nz3$-?8!eS>}&9h{t zYhMRB%$7trUDy%#-pD2^ZO8`Y*L_w9^`erF`1L};a<4*2uiBMAGEqQ)!liUCkn>1p zW`I|ttS)w}zBM%ex_~UU058&?H1L*QflqNzRT5JD?wwu#*bbfPSL5>VF92x)GC5^V zq1eK}U<;Gc5Sg%y!XPBLKBMp`DxLAc4hp6At_69FHkUs^+7m?S#HKt8s`>OKH=~Y zA?m_2VNZyf$gW$Z=H_Jp_62S1JG&m0ex)-q6g9Z^&Zn-5nd>*0Kh|r``d=-E7h0O` zspEceRZMlDGtUJW=3CamKS$E*KC6j)kw_B4n)8d(YwO1n_iQQYXH!0B4X6%FnQZq( z*Nqmq2&IJ;`bHPD?CpI~n&tNZVyWGJMoE zE{!t)t4^q~n$gR7r|RNfIKJrHo8)JkeQ8Czx50&V)+$U79JTAxN8C5NI3+vv`gytC zWz0R0+oI^95SCT$N}`fpA#3~E>%uyF4iFtYCzS%nfnXnTskBT=nC-E=UDwqWgg@n! z3Wl_L=;;}s#v=%mo({azLq!aBBjXPuo7C;0C-M)BS<2uEP7F$j?!gKLgNyAenuef3&Mym&kW44aL{Ib_qH7-x3^{MLrDvHPtel#hKA)8t z7mhx$*GzO_J9Z9c$ZcT0qh9#j=T6t+w@23;u|QYY)af(q-ib~4x-%0iL)%%Tg7uTN zc}aEFTY)o^Aj%Fz9BEb(`)+CVB<5Si3?~@VNbl7p(x$o)b1_hx_4KN>ODe56@eu)| zjSqqk^46FA0t_8rTx=p@`1Jf+kO%v&IQB%l4MXo@M?`~i@1pwWDF&JqaY}UwVd+~C z0H{K(-BIj09;}hZ8{Uf{tcg>TMC`p!>a!w60A%vWkD?;ZOS2HiG5u8cPG9*|5hvo< z*-3aRE(DveK)&{4lQ*crKrKHB)|~(y^q?a6#=~+ocjLDu3bv<^$MP;XtF(hbW!`Vp zt~m@1C@5W+pbVfhqKkookUW@@NY6>Cn^cQKV`V9gFo)F)nis zy=G7yE~*J*z7={zAk<)0s&94l^(Ao&@f=MYCFonE2dB*c?zOejSdaGBfMfkM0LBI# zoDN?U{e`}tJgT}szJ#Sl<>>W|kNqseA==q9;a<9x-B`3|X~iXZm{s=HZISS5fG1kl z2*_c_IuY&RTVUYV?bXe);irA>$LS*qGe}*2BiOkke3QL6ZZH1ZS)h~_CQN(2oW*}^ zM5J{l*qO!lQvlYz86BG7DAg3>NY}OK-hWubbxdk1ai_8@Q=`Tg8@|u4Sn+nV*Dsm( z9#EBE@8kI3N#TUHRV?i(v#GEn5))mfG@%%MK77R>MSpX^H;P4wg8EUHS>YBKu#B** z$n*B-TJdKNOq%!4F`q}m+97U6oZgxm->mmX14s0F6MxiThry;-`R=40AO36)@Brte zyJvezpY12d+vzRxzyo?w^(LW`gq{?lJ55!$_3PcLm_+yaUVOduhoMa(7pN`KFIx2+ zf3J3D;C}m6qcjzDWe~e9&Bq?jx#-@07>0>AdWX?Q*R7->(m&=>>Vy5j*Sqhck5RlE ze|qE<;u$_}C`Yb0-+y_0P9My3)pO-nrVQjm-i&d|zx=$4I7%KCZG#%FY$LWw(bPn% z7!eTRmF`4&-U~|}Q10qXH>u3qq72;Tk)1CfLW)>|jAR&pbcu2Jz#kJ~w(0jeXVZ4f z9&%T6D01{`D?Xq4tasw zIC+p@X*7X71)kH_lpV>1vMc-D2{yK0?!ms!N>%`;?+>Ir7;;x%mY8+1TYuVmep?7t zV$j?|Ip)vUaUG;qIqI`9OODL$dcn6d<-W11x;k4$U^~Xv>}$kpadgs17L`ZB(ZY(P zUFR4uN6*u)T>R)>oy* zxFrhFM!W-OnvU$p}e)j@hO46Yun_?Z#H6%w&6qpv2D&%&@<=DCN8)1Rj zkyAk7yMs(=W4=F5Yhpi_e(JDoh~k>jd|H0}C)N1*Dq*ZW*1K3G_V}F z2@mv$<9_@J{IQhRg$#3fF$SCzP10zy>OL3+%+$%(cT`;;lM^Mi624XQSr{-C|L!W* zNR@F$m17NlyRBXrn{Qqc$5Hjl#_Ed#3`gr3X#-oVd_I>YJi2K1qx9e!^Jky9iloy| zA*l3@YLAX|j!jhZ*Dp5vIQZ|V%46e3dmI4lxk57j{INn{1}-vfKH@R(t=Vg)<4pDRie z(h5;Q;uy(eI~q*Qv5J679L2Y!6K}$v89&sQ^`+Qn=(t}_OWKF*eYT5IU$s#S0Q|U> zO>p`exEk&Xww-4vH^9i_i}Ur(a1Xic%TEO5+eaz)NbHU{(RUZ96I(K)CJKF;AXJvW~7-U#F2B5>#aq=M!R9~sft#Dn4Oh~oa)_9N4}skx@>b2TV~Sub0|Z2&yPQj z+8@K;55Aj!W4ED;OZU#6M@~M=#$Sih+icSeg@+W`BWXElT=G|Mf&Hgnzhj$fmi6TY z^?6sK=XmN<91C!cPVm&=7uR`FcR3-{oxMOySL8aB6P#6TEC} z-lZ^5J!VH8W1R1m+c;LZEW}p-IsRnasg40$dJ&D+f4z+w5_UcAEasUl-WyVLc${U5 z++ZU3YYx|&^G;cMDo&EWrWKGU5T~er#Xx@6vaeQdkGI)neq^yJy%0(fArivci>D*K zxtg%4O|x!6tDxu}HxpS+5d$6or|KW44S`$s7dJ_ky-RrKr!lu4D5#T1?v<`vp@uq< z1I*-iNtAtc*HhzO0K2rq!Y^JKw`=jZ=U93!c?W1vEfP{c8|^(uNQ!q@|Cqtr=hoow(Wzx5kfie-0# z%>!N?!=Kl;Vk`wo*Zab-)g798H#6hss=7R=ei0>cuYj>;qlg+v$)CJ|D$hBjHFX4A z51xH!;k08{(;7#2D{NS$LbFHNf5V)2F(d@REZ~L^e6BMcWYOC?~bC#i%7M4Q>YG5c~HK%((*XaB-2y&L^PV z^&4sE>l*DqTf$Nj7l;$C3WEpM^;3qc@k(l|Q3Xv*{iB60EPh2W+ zt~vGqiNs{xv0GepDS~+%wRc>;j+!@=yIFGzF*JhvGl^&?T98d`5YfxIpCtS>KU}PV zys`MF{JidQpB&q=H0j^9X=qN=q11&MYk+$bMRAUa=AEO6WK5R7cDey=W(n>`qF;P2 zmI*L6wcd0sc{#To9cX~6funr_td23ac~{-dQ^{c?$%&E*(vve zh1)D`z9=ZDJmV@oiVrPP>+&n{(H3`VQ|VSxCj|z}I-~xYph`MeUfOR>k{>tDB<8qI z`w=0HRp(SXB-_APfN z@r+{PHLf#l*F5yO+l;OIJw+!a5pT)Tj?~as&xxtg@MEy~Y@+MP904mGbfvN0M`95x z#x9{awDp8U<^^%=yu7pY(aF5*CtzGP9?h=}0z|a&-^ik55H~N?kiIUj71TsZVl11^ zsuYWmotyM=V*BkX*UlJ9CN|ceWzrQq$K+LF4I?bBa6k9dKGQ9 zvlEfxrzGFc$cZj3Ok4$aOPkc&TW}XATgw~AW1G$1){)j#-ux6;U&7qJmxhLq2QG%$ zm76$Xs#hqnpA-|~9YKhm0hwCX1<6Y`b$cYbKccg<9ytFPS2@!>uCPy>I&%$Kk3SuW z#zP}68&yu!nRCK?C+IAes>m065Wt+n*kAgoMuviK9oiKD>S)%w6E+}Dfw)SL?a^Kl ztV#vH=u7laDJD|FEAD-!pC270+9rK9>(L?X$UKX^q1@+Euk9v7j&Ha30cL4NWEtd4 zU@j-~Y_V@+p40I?PW4u7x1F;|H0@?h#97~#NEvH%p&`CftI49IX8SPn>j39E5#C^wW75CU|lihLgqjq<3q>2TBs zt6X_%?jOB0^1g3Oj>8I4RN(aOr+2ExsC0&hpiFq`GjsR6)dZwalXYh(+VxKQx_)0v z>8vfL98;-{*%teO`<>vRH@}Ybv{!H9YP5%ehHf{5<190a!m3A26>-rD=+`%oI*g0s ziTrL=^mo0U@@<%E8?sGZFpKeHN{{XIiEo^);mp;eGk{f|G;^qwD}5W%{>C=AW%H8J z4t@}kKX#LWxu|1c>#9Vx0(aR;C7?q7SvS!==z+b;MR=4{1>JUADkRt|DzW_)>+6R` zL(%}wn8P>{PL29keE82s2SC$EI&TFwcq1^-pEWS9s<}^)tm^j(@)8us+P(_yw#QFS zMF7Q=ptC)f&F8>`|48UN9(J>GpOIzl(GYV4sG}fMv$MZ7Q?mB^w|;QoH-e+NW7!<4 zD05wI)Au{yF!V(f8HqJ6;?GiyeZUECrWa`OM%I(u%FH0pz?0%d zPAcp(+trP2MYgxkoGGo1kOW=(cOvg;+?0Ug6fMe8wjv5b0lwVzQHS-xq`$KR7J4Ui zzj~?zonl^mWXxZtCYb&iiHs!CT7T`l(OBMUdKqKa^^r&_uOMo>@h}vMc_+31ofy~I zw9$T_LJwmoB%&^{pR2Bh_>yeZd%XsIA0pRp+KBpj#%Mp6r!=xC;!wD`!f%yY{rcD5up-ii->w3sMa!>~7`ZAJ9S+|8c}@v4Lwc3}0z^}z zh*|C)$;ao-nIF%6k;XpW>!gd7A@=hiOf*$nk>Pa!{)4sbPdTNrNI!cQ5r%TTiFt7+ zQ&i{?x|$^KctM%j7w0WipZjaXiQ?yjM4L0)i9%Dk(xD@%1uEvDM3a9osl;*!e-!XI zBV0~sbiLga?W;LBsbWyK74uHbzaKNT`M(%@>#(TT?GF@1ltvs7X#pKV1?dLqkRbF@Z6{PsM%bxx*p&ZF)CI13$7*BvBHcz*^rl=r*`ApcGBzOb zE_m`Kh6t}aj%rKJEQHv?P$*JbDUi#k7fNYl9qtdywUDHBQof%(c?EBKRxns7QN)|2 zMvrCk!PxiwMJUZ14GYzGGorKbVukacd} zrEATl%oL%|bz*K#8illcqn|#bkyCAf>7^$D;GbzLT#I(?yspIDFgMKTHV@S+{+l~8NDuf{~pSoK@Tr*7at0zOlEm==NZ=bjo_J5 zS!}J=0^Z1Vy`N59`^VYOxJkTWYv>x*&j~~7N@ant{d7m$b?TDX^#@o+?RNrqQ01R* zifq?EexMTIz0u*?>9a{+8z2yM7a>5i70y-}ML&v;5QyJ6K=%fwAyxg=?(`#h{9=7EAnEk6nOIitW@*Z9791cz?b%-dhJ zEKa7|;##e>N!+ea6`h7QbP_z$ocqSt;=jMDr|pYzN+zeP8b)~rpRiTm`#uq(85&|0 zHkUGN!tZ3OY^5RZ&Yk*e6kc$+;CkbPP&qcf$jCBKFz%BLzD8H>Eg90%PNO3mBW>CY z>n;BE?{wD8;dd6F+S|gx^PkH`Mrg2Yw_iEjx^0nb)xgB}Ddrue=-Qo^bVfw`Y=vX+ z{oD$MX5G?|gkn^s5Q|##=XfzUxP0wRZh}_%6lTOsd%32*;P+%6Iq- z2;bXwNpIE+6?H;rMztx!QzE`}NLMvnl-}e`;KwhSyw}F=D17ZV*4SG<@F>++O40t1 zwHP{`Ytrwft~tNbel|qxAg>%LoVfKfMYef^&RRH0+}ds|yVU&@obKW?y{TShmmAN#p#zi{ToX0w}#chBL7_XClg9hn)? zDyP9VUF>Gp$wLTlYGqU!tEkiIyDhsqj?9y1o4>KxJYI~I%N=`u`i;f0XK^ydT5Kuj za#uKIB+Glsm+Ke=&jG=7@ueZx8_Kz$8ZX>3mg}pgv1PxgD{`m^d}g~Ms_|87Nxp&g zvw}p=v!PV^XtK{e**Y+#_?O~0+PxDW-y{C6FUY^T5F~aRs=0WAQL8iRml(12ZO-Gq$?e|QYBHY<3YD4K z7L5HqA1!2y2j{z;GwtR+m7W%5>X!MFbUZPuSdyJGt4*3eMtL$zbZpSG!8FWmCMa3u zvRSVXqe!=6qJi5%lFRdyS>^jdERqKHPQS4j*$-@V6w}xeZPD}rtw{(cp=;>I#kh}V zXuNOm$_%!GM27a~n$JA5~?GI=9tgiBejdVm(71=jBq-1XqD{4o3!Dgs|NZn$6%VhF{9$z z9PTPJucA4kv*{LIHD?HBZmC)7kgBi2Jp#E%|!Hd&8-t_`goA~ z^J^{YPsU>z*eer%^oY85{VDEr%zeHzaR}A;=c|rQ-Q$lQ43o@iQeJCJuh3wBf*y>{ z8sRBiEg9?{#xwOiKD{Zu@w)PLvj}1<_X?-f#54u!t;RdLL$9#0t%|qrBuS&fq@&V) z5z2jj;BR1HyKdjkwl|k_^O`$EY^7j9+x@me-^y zC1hw1!O6yN%;-ee?BFehj?*tIX4I*=!eZg~s*WFZ(iq**x#e%-(usJD$5=GXlY6&s zxh1^8>}vj}J2)A`)U%PNCqyMvJ54sEGvkN|{k7j%SJil~b4w!Sg5-j#;3LWpl6q#Y zH9~6Ytf5kP9~4tk$0U>b+LS02GxS$3?zu}Yv{3MA^76qHy^^9VX5+rUPm)RJaQnGV z5YHp%fYM)aSR^oxhu%#}4yWyvRf@MxiW=cwOk}`I4tij0o`cPV!}a{lWZwgBMihPX z4+mc+9YO5Ry;zoumG%(?Rh25;&r6e`o-8O0_r3yt9KLSqh7lnhuE$fv*;cmjd%z1X6Y=o`Hf|rKX$o$r^@H#;;+d`Cz=@h z!18ckji77xB0{Y=<07FiT3qNgAszPj~twy%h+Z7*MTDx^$yoKky3(Oq5a z_>@U?seT}u**b>$R>6;KW-ZRaM>m@r4Ib}#jeZzqxA);1NlB$#4P0t;P1n`;vG?^) zQ+A)25i0U{^*lVa;*pTQ;|;e%J7<^|dNraLwWv`2aM^0_rj275l7P272D zewpsmVuTU|t*ey#L@wOl?qR~0*%pDvz!jDMy2dl6?ObhtD$IJIXAVuaAU2Aacs3y- zxy2%M$KgE(Ov1J#9`@C*zsKF-V^1%l)DJUN30aqHsoT!lz5b8)g|e@Hp*63&bq9BEG1B2T7F1n-TquQZ4}a^so5t#GnzuwD z@vj+psdxHFU--+mNlRFBm8>{4SHr7vr4nNTQ_}J0HsGdBvIqUuUhD)--Z$NCM@zTf zi42w52>Fz-FBmb+cKBMoUs&a~Fcz#obNCuHsc>R?aw=BB$uPV z-m}p^G2<4zH-$lTq}4J30k@;c9#0L6s}?kTmKYuz>geY==h6?JbSC)xgrD-s4sC=x zPF16EP;e>SXw29MnJ}9e)<7%!nI=Z%&efi4+8lz9m%hlyaQ0q#GqYGl^BZg4$J#8+ zD-xS>`zkNL+360qTb`Yget%8M>1Z+{UDc}3*HCy^MB%G)dGuk_1dka){MeV!R`|ko ziVvJ@bak>uwsp-dCxKxbC&6JUE{vkiD~hbNVGnAhWlUG#)+B~T0x?BVEm}n_C8WE& zq|+-NvhRkrACnlz_ICcbm1wqtP*yRyI*@A=2i5<|cz;D3=MXAF*Yx&@@bzeVWUrnl z6=HK&!pL|9k>!0eQ7i%`=y@}SbtO7pG(w8eMe`bb#qX_HtZup@`>mC&R{GwD@3t!^ zg}G1^pYS1zIlZ=>ckZ}2andHMarD+N{^$=aXuv0K`%G;R$owhK_|V-kypt_*U#TccO8ZJ%n1LyJQFc@^jl8de> zlVm8{xlXRokr*KFZBrR7ur!NWj1cY;e#meqj#ZqUEI|FCz=?O+S&Y+xfTfwJgCgn#9E6$>9Hw|LKL@yMz#56^etF zDCVfUqcb%m-$oo+MjS_}oXR7AW8KpDjpbnc8>@olH`bvf=FKOq55Tdk9z;g`G~U{q zhoN2HnSJb92>aMogaiA?HH4qYXZFT5J&3AaC9?`rlD?5K5oh0DPTicKtg(+`iqo0t zSQ46%+Mb1t`5RBVhm+g0L}rl}xZhLhQH(`1Vt$ariXh z=TMU)_P$9%u~6fq+^yV_FlTa9O7@2rmsxUf*H*!keN%~TDW|hk0HhS6z>UA+=WVF$ zZU64jygME=iAB=Cu?9NxZW(^*mGN~tRh^^4>Z_8fTq_8T{SMx5THTDzowyaDW##*z zGSoy5Jw|1o{=?;KU??~sC_dHRyr)ZH>8gU1UeGH!EE&ymi06IT_b>!^Yd(a1{Lq7k z;aHO4J^Sc!#nn>=i2CX#F4|?j8-@$;GWb>mdkBn-ee^`$VLz3PMGx~;Z*t8%4Q|T9 z6!EQu4+BK?X|PNmrdZxo5O=HgLmsHZel|_8!$P=)GeMd3f)fh!p?Bio+Q*hPaU`tu zQ{(qefx!+-yq!WF4;#0>#3zlt+{(AKa>Ih>2(8peoD3ysne2IvS4SaE;f=kV+bpEt zo0}NIEIBso295gE(^1Pf)&a%J~Z=H6J#n?&DjBJb`pMq?9 zlEA0+Yh}CG5@&n)bN!;Swc4>ZhK*#i@?7r%*&<6Di~bZ#CH<#`I2syx&DoBzgXvV? z^YPHEj^s-y4^4tjL))RZfJ&+Ge?A0c}tSGH3Z44u&UX89B#!c-4lbKN9;eEL0gvzDK%W3_qa$CNqTJxoH>W2E=a;b`W7 zJx@n(2pNytQ24Xv5(p2bKOGEXSI!!=6`{cl{><5kF|xUYT9(W3MdGH;KI%zVE0evd zqoHvV1OEdX3PD<|R_;34?uJ$b+-rBH|M}BF&AF*e3t|Oo#LVei1$)(Exm#b!+r;ov zf284a#6Vfqic92mDRwkrlHUYx*|O1DeKd?cYtmJ64H42#lN7~Jt~DBp{9oP!tFA0b z(3$x1+!IWbS~{Ci#XzfT^$FTiAMrAq8EGf|dOP6X7h4f#)vvmpBZ(d$8>5cTsS)O3 zRuFXmo=SKgmUMwo)Zx8A%TXfeloX=xLEUbR0{t=c_pDENx1PpLcPl3eg8Ojy|U zQumZFMCt3&i!~!daxn1drRH0ZE10nkavQQ`!&<9_;8kLqSF}X5hn@D{aC^SVg$zG( z1;rTIM@cn02kpkSHi?>~z&R&rlFAEIdxsJ&ej<7HxD4ZNxK#zzsU74mU0tUpYP-5t z1%c6F277XHT?7*3{KlT`e))5_+sNrsI6q6q4h;0$=^!)lMhRc>Qr8+@Tr9VJkvnFz zS5~ZKOXgwuc!g~7ocSJkbWxbH@hI!3ZLfR>vpg?BY~k2>$uUwgD?1yKomK-|M8V+| z6Hj|)c)(;kgUNRP_hhGAzD^|m<`~cV{_(^x91djkH78aSbKzpIZ5ny2!}NqaxqTeYgOikwcV+P z{#aw3u!@Or(@sjUuy4+8(9W&e`H9X%?-;4uud@~k*_gxR(X2>qu+&VB9zn`T+2 zOKx(+Viz?m;NqE5q5Qn4wwT$=u5(TGR~dE89f2frM=C!bvDX6=O?s76;ht7A9Ts-Q<0~-a43M^)1C@}-1nalffKmH0tKqyTDf}bWKB(> zaMS%*TFIZ4;z@ay5YOfYd)4HqujKw${8tCbbSCY_GV$>2{!>AzB;6($7+3AS zSU(46tG{p|B=Q7;L1N6j{*A;@`!2(_mSM;svx@dOGlDCtcoWpW?3F;5!ro6A;D_`6 zqVn^$8W>MZ-KmgzKV^{^bnQ-WbED&BUKFyD^JefS6{og~&l=u~1PpsQ%;@d!4Phg~ zrs^||A~clX=C}j4svX#Ls#FgR)j~6Q+%9)JMp>-<99dPXTbeYq6jp&%72xvht{%go zbVdDr0C{=RK6bIu@H@*^t5--fyFj&`{6tE*I#gdn-rp&H@>~ylI9J=hM<2{DOq7D= z5kyCcLYtknQ&hEV<+bPgPa$eAbD#gLywxl|yZ3;4O-Ue&{y{Udf-198Y{YFw@or zP5jUG$81&xQSKV6BP`Mj!EhSlC9wYf)s0|jkzaB(G&Hym>bM4XBNA3Ak;Tpn3PDX* zj}2bBGH*W8B#Hf=kA4`AB?8ExHLY5))o_K>6~iEl!6MmbfZEqsa+`;g>r%-5(&@V? zBn_%)#8kv{){Zh)%hGVem+wx&)t>)EZTi?%BE#BP&KTiIfwU`nI9Z#CwK=;^du!To zD_1j2;m6QGZkS^Dp^cSxl=>X+dF_Pi1J8LOX|%(yOl@4i7kNm#SWz%{HMEcdU@3kO zb$GtQ&dJqYyMIlyB$#Gp(2U-B?8d^PUAnmff52mp#U1eIk}8N4&-7DH6!Y{#jm@zJ zpep|bx>92|B9*^cG-3APO)rhJ`q8c8OHuV{Ook?8K+`+Hr=xib1~BZxegXzSy5Rd4 z0m4TFkU;Ll3RmByxI}vZ5Z-_7pq1%J@x_u*y8y~=BYg2UR`e{%ajZxT_irq6%7Y4V zy&PFrpTD+Z73P~lIO76+Rn1g66|%9HhS&V26krKIF>X4=+)pz;;eBAHu-O3vXVN0LjWa3T4evXrC#MqkV{d*|_m)27X{ zYoy$@JD=vWb!a7!WNR_Ub&e)h=hb6fNRcmu@-ZO+_)=+e-V9j}g$eAHJ%@>bmT1tw zrE0&x<^V`bsuDvy*`s7zS+p~ zo4%s82>v7DyU~6%vdUQ0G zvYY~Dn4?*82gBqo_JG>@Z+tG;Mg55UPYxJ_p)+%h^`M}&LCT0+&PJt+W zr8AillEis4+|!=toHjT10@lp3kcX*dF@Tn07f{K&(*_lic~B^X2eT59sO#yLVOgMv z+fKl9QK7}deB`ycs7E;}t;B16JD`5cn-y@6xnG+n z29OsS2<4&b?)s-}{MoNw+j>^ zu@t_Ha29>^tB%U!E(T80GV4@5R)1qT%TdRwDq+BRyLY?ds>g#>SU!~m&s27s!}pKd z_C+QOGs^F%qPm((cJ;liB=FGWw|T7e^MbMg#|f$A`qP3m@4Ec(hWDhN)Vm6K5j5h-oRTdGaQSJfic@EK6m$^rf8!_{cW@dP~*h@Plll@9r0FbV^b&WxWd0QRSf++=Wm6zQAbD&C0T5MfzD0G z)!CKadtx>wD`*+{6TKO6;N34`tES?2cS-|YIrA%)2wjp(w>efPC8#Pok(XPZo(yoN zWdOYiv6AYj&QXBD&IAf-C>iOnU6yNlXF z7Ab$BG!g6BU~|I*c~O-NA(ti)?Sg$oBRK{91?0Q0^GAxa0#(#>};l=)9lc z`%i0G8#JUn#}m%cN3nX;)_Y{@B`w{(<4NzBsbWm4%ZI`(eZ+$2r?tN>R4?WMfpSi= z83WXcFdTdR568Yb{|?NvUvzXXBbTy%!FJy;0<1!evt|1j5JZ5tP5;Q?)Qwe)%%Z`7 z^1Hu4`CQQfFUwIepUTe#aO^Wi(~>Z$^)pjiD?sMMY>I{VW@~AAFyLit$c1};$yg>%^5e8!_e$W>3j2j&oTN= zmVy{R0)xo{qgiDQSI(?1Wji-o&~t>jR53WW0_0qm>(s_n-ciMTD$1wor#-fUQK}|I zfyaEoAm{uw$csNR0hQ?rFo$ch>Gsay&S&`dMWL9PIe<$Dh`B6aH|$a>HUA~{d}vF- z1fNmu*m(l#BT3ih<59Q>5PLtS3Id4*%1G25<1G>BJCkOa}&k@Ft<+0U{6jP z#@Oe}wF8~UJq65!PZ(6Dqy{xSGZT&liZ|4@pBM4ciURhvUa_?L z?XQ-zWG+zG05iXcnYR0I8}`ZPPy4lauZRG^xo%a&!^2*zt5K|T+A@c!TH*7bHAZ%0 zzE!b$5YTfck91QXm+%S;Osktp{v}LO_0MIoe;?v669F{@m|zdaA3Xizp2cbFi8Wh0oZp!CI29A zhd|T=H@BhSHL&ll08f>4!u*T~LL<(EG2<)GgdaunKp9Wq8cG=&`2sV+Ul=oAIzStT zg(P8^Oxc&~Y1Cu}lMRi7EOzcy1=Qs9X$R#)ncR(lPrI-y4|}u=3}t?*=L?~&qF^xt z5;+RIgvK%4)YQ8ah-EL(fPq~E$bnj6@tgOSUj zEQg>AsppPX;Px0J@a`UM$hq2cnNr9kl@RDlV3p#hCZ`>>!N3XajI8E-b8&YZUZ$G5 zhU^)oA7r`wwm~dkNc! z^;2;Di(ym#I@il-z_9s?4^qW&ho6f(Q$D7|F!TZP8s3l9#@3Q$ zW7|6sGD~4VV^lDDZbzr@tV-yi1X#DQa}zDyuR5B6Cwppc#032ZpO;TY$O4@qKe zevq1N3r$U}^0SQ_N-`A-!1uT@l+Bqhlbd%V5|h^7W0x(I&~S-_c{pnx;yetHcs z6GQvr+OR8a#-2;2jexl(dF;?~+LM7j^`uFPcq#lz_?_4*E-db*ZY*_Uqe`eRJF(Uj zIPoQRs-MCFXYtcs7u|m$s!^t^miz8{8g6el#?T_%AtvC^18%d8f(x$vtF{R#TSryg2s|1YYUfU-G zeniJRj#HV%+hcEJrz*HDmbuyy0VMT;&X&U!(&DY$cf0Zt+$4_ohWkv@N)g8F1X-S% zj;hHMg2uM0$z%4pWd0YH^eU%Pdix1K9Wi5fn?CXGGl&On40Az&FNQupqC>w;^h7xG z>!xtMQtD;R5x6=Xrg#GyiLvlmErGq7s-i%(iFWU`k~BAsW4HL1A9LswnrT+GWeqrx}axX(KPx(OpzR zoSgR)Gx$JUAcvwpsUou!LV>YeSS)jHFl-(1v1P-vQyTKM7^)Do3sEj$qfYFnmZN2f2G>MTIgZgiW@anq* zQ@>x(d`m?MLBT)%s(db3okSovBKHhy`p3>n-RS&p_Q(B~pARD(H&RvBFWnwKEn{&` zf${T9$#|USMie|o>O!)!O{@pNoL;1jKTGJ$mz!lkvdJr|+J3l#Pv4{^#&+HDW6LO< za46AU9`>E)6NR9!GS~7?r1_*t(`^TYhfRB)=hb~oESLM<h6FgM<6mA1;QMcr-SCO@0H#5%I&x&=|5`v($LYrp z(s<8W$x%%S69 z@;{J;6HT)_WzoO(GdTyWM&6l1N&PGsm^Ll+H&k zEW-kBi9;2#o(?U#d>qT4ZTVO{Q%yegp7n4OERi{T3M-?*N zd|k|juER5q0p-y)=JPZlbJw-jhc6YOX;!Q>^qnvXFz;FBE(4q_^S_)d4k29M+xX@{ z#tmT7rSrzi4o>G$3Odh82FroA_-hZg9Ajt;_5T-bkqeXUYdbB266Qjb0G)npX4?tqv49bITpPpQNR@tO(PbZxuxdDq4^v0;{8kz-fb@vD|N+g#PHtQN8lE=iM{e$(6|v+>)bu zoE*?#c=`@_4B*2J0N&gB&uFV$as;-PG;*7UBG0#dEogj}T6#qED`vFK7YXcd^>c?Q zylW48jX6}nY(}@f>iq!>AAFcFP$NSkp9!}OAwTTxWNT3}4k zIzyH-O_zzuk^eqb_$PGwZ$&q{o_}_K1Wead40jw1Q;17}ao?YkA)@1BN&wvY(gkI% zOA?$|O(r6)d(UB)8tt1bVrLr>M51~E(d`eKOK8KFKxr?C6#A0msE^KC~2eswMY_ef9!%;AE7%2F5-F?%)jT-=4> zjdj3ejVhP;2;wEi-g)@-=(~<)ayAt-)?2BlFUvFuW=mc_iLyBJ{CkL~ui$h;IqA2K z@@T!=?&$Ts7HtwRa&Uy*Tm>_nBo*8kdtU)g7cuCU@rIcYWO*d=Y(&CwS8~HFK;U#n zf515dc!BRk;1=%Xn)(~3P^4aBJC%BRUrvzMN?jed6^Lcb5eTCo&@I!kjqJCm>02?@eDQHag2&Exs%Mu5l2n>g$m&)S{({Vw~ z0S=k_s56g4s^YXH6}+Eq8%~4e+HU@_jVfvp+=P(RQWzTWh3AYEuypso_G#(v2H0~0 z&yt41H6Ns-PuFJ2!t+Z#!}~BUVh=_&lVMK2bgkj8=O;3Ci_XT4+$%+>`4HT8LLd&D!+C-i?Qxa|L;;2@JCr!%^BmZ1EHVg`X!I-uZ~ zJPLC|(>s*{yoQ07YjLwcGDG@9<^1g=&Cf8dA}79gUBP^D^8T@zfM?;Breg zEmEU7XC5YLsi@oZ=a%NL{K*)@d5-JcK{6i)jDBycGeP(;bq6!inB${=^KOjms@w9f z!v?d?v+EI%%Y%b0j6Yq&S8WM?cP3oS&l=AH_zbx7=Na-@fD#mu`G79$e3q%A2)%bN zeM5jx)rCW$oP92R82B%YioyI#U51Y2zqB_1`pB`g4x5XlXhLvoRGB30@j<7#I3sST#oA!nmh?leThChMwSs0IT zY5;UNT}5kjS!v7(Ht}VXMWM+s=QXfgw&-ZqooV%dQO2u)@}FH9{%yDp+@%M{A)tob zAg>Y60z#KxZu(WWr^5g;N zz?go*_$9n>(RaXEOM(T?Vx@(u?7crf&$MTbd97T75ERt~j@Qig2w*L2z`(XGA?jKN z$%%ee1*h2UWakytlr_{$|H+6J3p+q%EAg=+S@tz!@q&*?$Ur|sq3SqV6g5&J!Ti=* zXotmkTkmwozsDn9=Swc6-)^Mn8c3$rkPzj`Lc{Exug9gUPwXmWn9-CXRleo9G_?<7 zLb7!*cU~T{sVQV!7Ee6H_3>l6l{?Rlz2y9UO>2W2oHOLn{2O^5dI$nnyT4Ef7oO7r zKRCjDn-)#;64_CO?OWk;xnFUG=yZ07$~0nQH=S#RXweBRweKmHNHY1;J$|y`D1Nf@ zbavm-w?cT|%|$y zt>|n|09Q$_b!LY+y2h}f=Fwd(q#oU?7Tm_w@3mS*a4$tOFiu=;B z-%5aYQwbZi$>F;q9iy_4i2ZKSW+<6BaNEKAa^HT=hR)@eG0&8Pda~XpUvy<&tjbYY zs7!~v|C!t>1>-plsZQV_RapqSeIXzg+4|B>HrAcxCcfoRYAbdaPeGfIw~(3QiqX@B z)Yd~7Q7&0e#LX)m5sa4x4;hX{z`y!tl7&=cV3LL23txv7Mud?378dv--Eq0k-hiFc zv&hhb#j_nhISqOd`t-QJ%!F6+Il90bn< zXq`P1aFKuj59cy?Ea2?5+Am_0UShzx#wnquZeVC%^btG}z{M@;@UpIcgPiG>qhD0! zr*0lzDGj6B(yyW$Ci${@HlZ@lrY>K-uG+ix+$rXT|Jh>#7cq|s{KjhSjZ<`nCPhNc z_42R4TARpJ!HXQng!+F;njjTtITm~8C4G$CILzOKY(+FIl{KogiIe1={}KAFq1kCn zY@dI2HrWex`h%-PeGmB6u*QC4z0Kt~Y1=zd@C096Jh5 zE}!;cV)b7vrl~VLTMly{3lKVD>spBhEmQ}|l@XQlZy2`(yg+u9k&WQUO+F9PzE6n2 z@qbDBrpvxkX1US-h!m%oXop+)p>;eI{fLT)?uMhTTPJ@A4sqbu$4^;e8b(*QlzT?Tc;&(Kl#*q{BBl|Z4W4iv zqKni9kucvy<|3i`FGj;(rhi7{Z4uEql!}vN+4v#j1xuR?GY(OF*DlF+4UI94%6|z6 z@iX_F8tNMG#Y4ThhW$j?$jM9ZHeF+V0A7Su!EdbWxND7)R!R3lBMy^Rm6*Gjs&Pc|pFzAy{i{7Q9X1qMo=L5=}P`_6?Jl_pYt^JsK^e%K&h`&m? zKbNcaA`|}s@9;O~>z2F`MpQq9Q?Ar}0mOiTupy>=Lei7WlF6V)`g-N&z(gL8T2%ty zzVL+1<#2ksyNqsSWVlc!x_P1b!{CM}!RUisR$fLL*6fpLXbgI)WHBIhK~?N%oKkFI!RE8&f;2DPC} zX?9lh@MXM9*IyewSrM1ErXl*yH#9(Ec%3-H`hk7TNR&Hq1nUTWP&Z$_S_^b&EWTNx zl%05cY&CSoQxn=;|4Nqh)w@Wc&v`M1Hzho=72dyGPwy6LtTSPVd=(mcdcmEM_+Zo~ zG)Fu%=I-4qGLCq>57h$Kj-MnLaKq(?3A-h9{-e6)kUO(rh!Yh@=?{$8mcE=$Hgxcy07h7Ano3r zu?ywz5@_XG-rmKLyyowrGR(%)8CbEe*Kh28*=h2)`5ohh2KXde!) z&q&zPq>bF8`yP721HQ8OAFw-`cA|WoWUd_FIVM@ed6os$;hDm$CVBH!ueXZ(0Xfug~^T{G!b89-hAn)8+_lk4B1-tVJ;<4n80HC zRj1I8I9sze_IJ}g?bCXA;S=yEj%#ohRVqlZ!U z+C2Dy1Zi7+d?bc8oc4&hm$W9QS3-n*LfGbudqujdB&CBKTPfDp82BE0sZPYX$wz=oG2!RR+B< zD&j13y^Cn&<MsP;gr3UCUbEaoU2$xd#*lrE3>arp(((aHZ*E!87_`bacWYBme>uK1Kw zcm}GZei`&Gb89bl;+R@%U6*YsY9^>YvUbct1Vu?=7^u9U!&Y0NL|&`%41P_C$y)H+ zFq=qIU;_D?cat$mqM0&%hvi3&v4t1J?gwh*OnGyLrw}gT<7{ zpFy8BB^=Aw3NgMApVU|q&n9QDg|GL$`{mPSaB1dN1C$-hSf26up5SL+Gm^SqqE*^BFYHr%-7Ti~VOeie0bOP0 z4E&0;*W)*+^gV=8~Kf;rfw)}b2*%n*l=)cZY)ZJ zX4=x^l}*T|1@%^6{m*_T|JDOi&ocRsrnE|r*hN`-Cu~TlZ4SuHi;@k%6-V;J^alK> z>w-a--Z+kway@$QuPtS$*2v;Wzng;Kk?;C=(Pl2yTBnBP#}%Tkd{L1k&C7Rfb`%_c zFr5^8YvPM?JP*~wuMzwTrOcJdCLp-qHp8|nD|0iP4ncN^$w}oVk?+&*?GMC4Qrs^*rZZIaCM!fXJRAh zp{it_*C)@m3){h|+Od%Oke)*}&Sbnc=U63FOiPMa%aWQdb^@fl6XrA(HMK>d7-*B( zNqHF+PkO}ioy^uYGQEwvQ2p4xTd3ab9^}U=+^%ua1xI$Ka;#Y6^V+U(o~YEY?RT~P zjdy3$^4$|clH%+G)nLcW3Z495mru9a=Q-X}dgmv^57C8&kpWuzQ`lgIK-@b>_6-Nh}**&j7XX?aoTk3leLcJfj%>9 zGBI^%T65mrRhZYj4H@%HRdv@=wu6>?=LmihGd``)JsLEGbTVXe#L#}bS!+r~DecIs zi&nKCt4l};@GS7uM@pxskNT|xrAMXQXys^?a!u^bC(jyWK_ML)Un zOg0;qZvU#Mr`eGdi&L0%i_Wa-XqsGZW{JKlPPga866j>^#pz^&WBe`oWy8hKOK<7L z+epIg!kZ-WlGd))>iMpr!jl=5S@wUzjTS`geV-_ce@dRm>Bx)r>Jy{govbIndobh} z!r{Y)PzFCDx4zmXDU;e#i_Yspkmw`B=1Iq+8z|*Gm(h94;M85U`eW`qDvPQHu+Uj< zC7H2|a}L=)Y(tphxK5O2Qq~9C1YOnd{EQ~&TNkjx$NQx^^V7 zO8RBDnpypQ-q$q)d7}12ZZ2)FT9VdXRi}hLySj0VRaWK5&NR94mWZvet?!ae&g*3C zsnR)*X}85+;EoaUY(?%qTS%4Bn4j4-ry71A#CqlJ_*U4x-&h30eOHefeyp>J>^17= zE0PbTa%3SNHR%0%UpOWdb3lG$ppIvaTXj|iY;rbwXau=*d95?m#jwsE$bqc$($k0) z3f};1VEg=GO zfFd9%AKOjtkw=M{qzd?Q=Cy3~>8EdJvHZrubmj1iO!|?i8r^%SrDpnvxgK>}&)!}1 z>UjEuVqRKRyuS9!X>`s>Vhx|yK%NTo6&3q7j=SSE)^ec`LHQ(4D%7Y(k4v}ITCGtf znkK$@>;pOlybe!2GF?89XSGP+Q0L0)2qo8?+Cn(5gll@pbjl9Vc*u>ejhcl13*!;1 zfO~MnUBvO~-5Ny)z3wk=+ zKay#^l=WBm%t844eR6}rmx5Em{)dRM&E~=fop1^%8^Nv{u%9TkWDAJD=nrx#CfC^c zz+Ls!s{}N|O&$2L2a=)tDm~;~8`xn)5ns zW}}{C|CQ=F!1xduL%$<)4obgW%WTT~ z+kqc4DxYU2zAmVVYD@S@H9T7<+NTG|N_=&G4sE^bQ9j&pUPb?hYU&(u_|j!-QT7e# zvpxCs1#y^-8qxEy_z$_7xtn9_n97?Mp)%~o_mn3n_d-Nf*y6-I{)eu;a54vtu>q{;waU1eO2G?Fa zP^+kv^kwO)dANBP{vmU#TQsd-ZhpJ!Vpcyv{)mh)c=p7oGOPWHU}ZhRYerObkg1(t zV(sl_o5|qf3Vu*4T}&zB^`7X&|HIZ>hDF`A-Tx>8iiAkFfD)s0cZVP`Fo2R$64H$z zh?KO5lr%#OtsvbDosz=PEnP}T_}_!x_wRY0bk zGi?dPs9MsMfUu&ynXExVd}c3L7*s*~bhkq5@4dL3^}7qL9sCy1U&w-3PJVKkJk-nQ z`5JH~gDrs!?!cvIBUX1S2s0nKI)j4?$1q=Yl>G#3SdhZ3lL(yDfrd(!bQL>KuK8Ei z)cd~{#_%<=&Du(hct`JIQSo~O)Au^)y?IUNvX&y5n193JCjX%tjUvQYpq~1Px{Jpk z_2L%6#xYZmLdm58o{m_6n#XNw0?|=j-)_=Fo<%Grf&S;|;?+*Ax$#p&Cwlt^`ShN+ zyR^9vpR={8?IF_;<_@*e1T7(eGXySFw=cZxaCWVa?r2CLVJ)GaOnJCOP2pMm?7E;3 zv3tEi*hfpH0_Jg>F9#&Yj=L$6I|xoI!iDYUFw1N5sSU>_1Do>O0(_1&H9evMCZF|P zf@zo@jj>I$`api~!=5>;>Ux5!I8fpDk_$G?IjfL;OySx}bGw{l$C@bNhKZVsJcaIw zB>}cuhCXlWdEFXqPdNLTe$7Bfn@tu~?c5>B-4O*hpO(n*onzLuw=dW5gk*x}O^_9W zGa;Ct3!rz+2l*EIn^Sx)rVWIw-EnW zQglTOSJ3sJ7ppU(Xg&6pS;t6Yo4pav;C$-x7;&&#_Q!tQW;nJ&UzO1rf?42*66laW zZdjq--fJk97Tlg4^nwnihmRo;f~KyGVbSd(YGpw%qmp*w567$>m3dB|LfDoV$(0>Q zsly($`F8PPQ731!97Jr6HqlRtw1)J`9eHch?~54vL%k%b>_`?wX}3IJ*4LcR+=3{G z?~<$3E_*@2xJD?n=GmC!_S^eYH7H2 z=w8QJ<&Za1S)p{T{K$;ym(Q<-QHI{)r?3}<_X&dWTH-XHvYT`ncsp1|6r^L7yrx#b z8;R3oKuu!*RI6md^OCA7&x_M|iUoPkXVjgeanJucYXVYeWq&mNrWf6JX1t*|Ie6qc zZsFpQXHQW!_g$J>3oR1%aVlB(`)qy*@Hh<VaJgR4?+WJZPe8;(vwU$ zps+sw146@$Lam7$7xkp#x+=Vt#GCDE8+QsRY)IQGh{hSo<8W$7=ekWrs-^BQS{trXEBF^3wG;?V-=%yaMkxkX^m7Ng+`aku(0)~ zUW0pQ;DN^%-UGMndx;qN_4GI*O^IWFV;(eZxco;O1h+qek0IRgNrW^fwT5ppp8p5~ zkT%HA|KeVL#gN|Pwer;p%^D#_kFzLfjr;cyeWE4YQ3>r|b6Yl9Y1d-bM6ELXEM`_c zwC|l3)`J=CN&I5V(z_KUiB}Zq;qEMru9de=Vn+orpLpCRK-dJIc7_@Q84AV7>Ts)A zFesinT_|R z*yiLu52Ey?trV}A`g)L(A2zLJ6c8Kx7;bdsJN?etO-JE!{m9~Qw~GwZiB&EZ1 zvmGJ*rRy1rj45mSRNeJ{a~-78*?Xp{ou_Jql-gv%v&nE8`%f#w4Q>>FFv_JX1-VEc zN8J#5F)_a2xqzEQ9fBV#u{B4Vzi*)$%xq7atCK|KTBvW_JmLz;5T0|J*|2pJCS3vC_lmIf~J6c8vMKhn#j#0KC zi|eEn@5u!c2)cD0z@@h|x@HXK$VY@PIkBW2U6~$P+L6-un-rcmE|%f+ajl^*X}Qzi z_Xfcw9VK1%O@v4wgY~|bGuMTXH*_rG%uqBJzz8roOyf~BmZ7g{5OV_{2J^SH=3v&> ztAid{#LBzh?EFqTVxYlR?#;pyt_j&b9{_CX7=x5k^7@1iylKZCJKmAoPp%~8U--Om zvP!DtICrNbwUTk(I+E0r@QEW|bpq!`aFMIjkD;3hA>ur|)G`r=Y)U?r1!B?-dAjt! z!{SIIGNr6PZmah?=hQ!L6z+qv;kog;%=f9Ng1v$d?H0#5j<}!p$kMBMk=1WkAqMVj zak;!%v}l9E`M)j1aEQ9MmO&>89?*!4uel<=P_JN6v!POLWkkg?p0%WRrq37L&=HAy z>==h;1WG0WBzra^5x)6DNy}bij; zsr9<*vJGIhvVuys$-cac>6nmk(&D@?6$YZJC#>9w8_%rbR2x3bwaD;#D76-(PxKXp zkVaWl;Y4ghaYN~-tsH#<1ifD1>~f!FFd3m-24y<|mr`FNqVjULT89=PX1X-Y{`VD()Wogp$VA zb@(Zh)n*+g^>K3wf~T;fW)(NEL5NKq<>C3zaf9!`Lpf}rhVQ3ah4_4;o;R-7^@ld~ z-Uxb;oni~(W(0HfO?#GGC@nfw9EMTt_l{)Zp8%M)pc}46ey-o12tc7ikA@ zAu2he9E{NR_1C17NEZ%(8Vs-q^F{QdYZMt#)LJL~s!Z-o?aLf-cmV_^LVGazGsJ&M z1N3U9#SmUeu8n{ zX9!UWFOa)X$mc&8Kv6SvRpk+`@@oyIXSt$D1L>p{CJ*y87U6C+@+TTB^KQHDK1K7m zTU@5;qv3~Htn_~+we?Sv5zBs?Y-dN;W16gk{>||=d&K_$%ONv<$Q{I5|C#C(Zlc-> zVJBCCwCGpvrKt8hsYgRVp*&f)F9f%H;HK5SWF_w?OX@a7&tA)^-3H9m<95p7A&E!) z#K}F=6pAQVnJ01g*LKpwZ0rbOF45B2P=S4O&Sfy*?HgDR9+g(nZai%M!rR~d4qjjL zzOSUAn!7c(o&7b9d3V?f-Tn2&66=uE$#J_jQ`{X)77xSi#Yw@ostghcWhl~8;>^xHY z&lq^F22_eorf$%G=0G^Ar|88t7Kz$@cJv^KkMmU3HbvQ_7+l}71(cKnD9F9kcM0pq z+A#&U|BiyyKaKv5g8v*5LUu*TyxTS+U*IEbv!BrtB~&_e(-cS)oD`eEEp77Daz z93fYHZ+%Bt9!RcN6VVjRy{@5oUkbw8(E@wujK?@{20FF65?5!U**tCXeeqj?(&4vr zmx(lSwvwUz^~j%9ZX3Y}yNc+$O=N=_Pw{T{r7n2?L?O1R1M>zYxfMd}%;u90)q5fF z53N|Rr%0a4y1&fZ>sooa#+cGD4!QAA&n2>ve<7Mkf@DjhG%0`!@`T%(;6>zH^ z%{Euu-RD4;2#lxUfr*g42eu@3eQyw^q?xN&inSFMbi*Ec6?#t% zQ%LvbYJ^jaR;E7k?P~G~uQnu1o)K(k`)FdCAx&6D#4vEWy8I2{Dr<8|eu|BO_(pY4 zkKEX8A^w2RWqC(X@Ot$1$w(`)1#eauLeo`H-v^t~humSDa#pZBF%$VS+4>M`D_VxO zNU2P0-XOsesMp_<+G_EV^(dZbJib> z#LOqq8JBllraz#A7`>FWt-%BC)(+sDlS!eE2~~sV%|{ z+Fh{brfc=eaQ*o;(P#r(SM<3ME6OQji z=0>U>zi00cG>7h8LRIvM{dP4GUV@46)H6>Z2Ybs<+#kAO0&I}8Qe9II#;gHbbus3X z`oLpjO45U*wh#P)XW_@v8UHo#PA)Jl-w4cA zt8qz!k|Qim(C!EMQIA6rJ@fU?_}B5EoNega7HALjefwBT4zYAK7pARYKlP4+M!@_KS))Oj$ z#4MSRM$4B*RE7E9w=MTsk%uu#*Drf{9#Uf!=QTdTjPx{X;#V}^QX(LpCyeKT$WnDV zCckvro}wg`z@*y{cCpmC_Ri(is3(}ETUJvj`nrPX=q|->e>dmdMV)-eK)(2$ecSj= z3w#6N>Tb_1_52vmEIF3F?Zi%iPQL0hp3d?XG(&cF?|i0}6Svw-poqMV^!=Moq`z(V z_cwI*8b01*9O3w1{aKl&r>6(6X)qvP3-@uAek!*2OZcK;^;w1P24{eytC%_j-tyw` z>&yGhHt$;<^m-RYQx$`j7ld32G5%o4WJ{X_cAy3WA@5sxE%G(z`S(p?2`(n_jKV^1 z1t${*7(}|6@iGS8ip=g4Fu3MvxxCNmzC`FA-LkfPAvPux-0^10$mO$du2sw4h60`- zRBw2|q~$H0&7Fx&lb5>5Q_gVNxJR`HYes$c+VV6+IcGM+7789xM*T>By2>-vah_bk ze&jHa<(nh07*V6phPWEtr9T)PRm{<1+v4LZFkfMyNGLvH!s~KW0vIA^Z#Clz4b2F{ z%vxymQ0X1PJVzwzDK7$Mq#{Qnsd^H>K3OD6amzeL|18vqzigvf9)TE}nP5HqbuE}T z8IDd@0n4ME<{{|=mp(#|7q8B0#I-Qnw}@)^R(GQOP^J#*RVrpaO>qKd+0uiPY5cZG{jSc#w# zJr$JcDN)|nGY`;MIZM6k7pRInqujY-C9wUq9}T<*f4TB6CxHxR^X7)~@r|D!JxdJ9r`gph$qD89W^3B+u_0Vxx`KoO)Mdr@D|AuxW~%9%ya zHA%(*dsdJjlU=D|giqOHf2TQbr<(GVj%S;2msd&0DYPqKUT?hCAXZHqgPl6e5t|>@ zsW0$p-=JEzd^U?bnUs0vD|tD#yi(<(kP+}_jwjm)(FqZB!9%q|OY~-9}PBtOV4#@cD^m4O~#h ztvvtpp3*kA48a`f;5$xpUv*lQK+}%(BVNWV{r4;pTax)PdQINfr|OcB{$Xmwm_PTH z>KL;=@2<~QxovgKRluIBNca4~NXnFXIi=_liNpgZ_oZN&x@NIqfp5KdKwDMY= zTSq??DhnBUWY)ofCR7jFwhg?3)AZX!uPRuXf{4|JplyjZjczGnMxmqW-H+vnAARzm zL=Xyt_ntCMUkg6y!@D*nf7%ZcY>j?r? z5x98u74&1RsHh^_AdVDC4YW4tb^41>ZZ!S;@&^O-)3QnV{Qv3`X|nfdWldC9RjN-E z_gSOYLouQu6820F4a5l?VkJXnVBt_)MM@x1wmq2rY!xALRaTyUyQVJ5jY(tq>y>X4 z*DU}8OvP(|C%td`>(;lbY|B_!(89avFwCTC-6%(2(`*rn4CzX-5l?W}L$pgV3$4SL z7N|6=Y9Dw$cYl1Y;c|Piw-YO@qmej&5M$15A?iF#V0DVn)?Uy2{7Z}`o*)lR=u+ig zCnc$HpSiNw-gAnQxD_R?!7S@g6IR^wZRv z*CZaumy~u9oOn!(*@^nu0v{~JFiDo7w1mE&i4CGgNN{A`9=?6Djg5C6-ftiCjyZ3h zf-*)U9WS(OrMwi6h==Je*)Ob);=Wl5hGpsgq@=5~hmvY8>U)RVLfCXz*s5M6=V=(8 zl4t;m@FtfLMYRPAUQ3%Dqyui$kSgEG@htJP!!`#miaIHu?%w{nEO4QlVDjhDq&aq%FD z@2++p(QemghJbB|K<3M`b9MK)zh~+Oa(zWDe$PP;P(^{d?0ZhYva$0xXBZwOR+?Lb z&uToVYu^~n1TFImQaYWy$Kx;*YwF|k4`KQOEKZ*StCZ^vWFxdZ-%Ufwci{imwAn|S zHdksL%4%qjVq0_dYDV^X51q70|^jF!9$BEz{ZtJXxIT8&l@ZU5~%iiNRQmUC**#Bc%B_8!*XF$$V5Jd zy&&4%PHd?`@_v7(%@*K;lr(UE_)K%ksGd+`Ge@qJfacEFwKwviO#4EEJ&Da$-0~F* zk*9XO{EAj2kBJ9+Ps0-MM1ro34-3>d(Z(D7dSYID`Ug!zZsv32w_@m!J4P6Mw$8Kb|F(8flwmZ{jzmWio)rVCPtQ_Ia82 zBu*J#F|@E3-7q1d3Bo{TK5)D#7d4s}EBj!noO8|x!@kz7twxb=!Bd{gqUi~|kc?J* z3$-&V)@DL{RCo&H2)} zDVS%rJ9%(d&4lL~tteS>^;*8Jb_`Ms#-gLBToWb0S>V-?_V07RmHB?FzxhSD!j90> zYR5W=%eCxU`=$gE3)u4;rt1sHs8=7czsh*v9vML6xC30=(EbsIVDZ$XlbW_t#q=i@ zZ6J&8JrAARV(V~9$~e|inxWw#7_$>#PPPIrr`AOxa5;fVBW1paZ>OVN{ayi3N`7|* zqIH{_y6Q)wJrUx}oSJVQhJ!2!*P*%E={VGiPc0+8t6RZ=fI1drLGFfaoWnjNWX5S? z1E-c4U;QsoDGB}0;P3|{ba)FlvfHiB3V!VOWd@eUx-MwNI#Lw`@(?TfVmMEq)c#F^ zC}$=ufnQ9zs~=%)QKW`G`XR|bZy?Y0eIl=PhQcWfuec_2wjp_CcXsdA{+$=Jrn6pk z&51DjUy@$p{EXxAo_0msois`!-qBDm_g|Yff-ni_A#!3&elZO^9}Y9=0M7hE7NQ>y z%NpKJ?w%NN6n&I8@#W}jvAQbD>pEb$%cbq!^%YXrV6VD4^VE;MAc|oAmE|hy_^f)+ zBdrkNxxeXe(6@!^ZBOQL7!YV4ez6Ss64qJK+Es@_Mia?Z9%xk5Szqug-QaIv-VRcE zxt&2+@-Xfm*~ljaw()kG?GvSDNdzL2F-d9P3yN9A8awVZb1{-@t6ie@1DaXqXucOA zwA4PPW?k|2*-}7^mPwNjVPQFGbl@KhRxt}9ZCvVNBT>Tuy4uDgdbEX5reQ- z$dQ{*WU~1(Z7Pm}ScPN2okpR66=Chy_hd#ev!GBqguK0-ZebliBfx+fkA?&3-y`&( zpE8fYCT5QG#c`}QwA~xOd$Oo|`^VP8th=nKT3vfH*SA;? zB8Bs6lMNKzUP9_*lG;SnhUwiSaiFl>&uAM{t8Y;nEoRjHT8}Dj3|4uIuPCPNqA|-u zw9_s$pUUz~c5B8k^!!6;|8R<@s=*%&FtjGO>&EUd9YOzpXVzm=bm&xh@Zqjd%ELd!Rsw?YPp7Jpy)?SYmzd(ktiKqZx5-i(gydxxvc9?mc; zT3olcaPACeN{uQ}DV9Jro9?;&o z^2F~d`XTj>mWpA&!&e*kJ|ZUdVe21^^(s!AH>c2C?!i7-Vp)dN-PL4}=ie%bzkGCH z$1TB`gBsiI+G+?;=Jr{3C{cJwNn7{poJax;)1$u5(Rae{(CXeX?xU26CP{RR@^)AY zGG|0dM4=i^OM2iE?oxOoK4!cSu(;MrxWfCl*Q7Qs!yGKVL=_T1V-Hm|I$ug%(uezY zrAhno*T8I~rWvLi{DdrZ_jMG+3!lR{77_%(WAy=x@%RuAb+QpaK0K z_C<*4G??HMTwZh-RanyWajtS(Et`<#mcI*y1^6QS6R8P}0mnwJh$ijF5&h%DQsj;i zT5z}UolIzE>zobQS(17XFgP5dB4IL!8Ng-ORW!U00u#4p& zA~ry<4owr}a(!tJThqO*ZlboL=c4X*Yp3KJ|3{XZU(A$TgSSw+wjkJ~20Mgcs!0Pl z13O_6Z&;!D?Sob)zH^Ue58!vq+BY=ton8UaZD`x7KwPW_6Hd8x9u{G~dgGGU$4F{` zZQq}OTcdAMlev`g{{%}e(!M4AHSN={?%7{;R(Y@Iwmh_1!XFi*a3$%;(l%q#HUJ6d zsV>J|(@jLzX>n$M{;*l^Twsldf%wuD4;YTSy1A-*p zXXGZZin1@V=#N3`oH22ZJbkHM<8u6T1^JdL<6vL1k#{fX_7>C?PcnWw?8b4$(^Efg zO(7VrL_o;FOsqj1UmA|ilvdJuEOA4yE`2g*sDgKqwfkZ@;}^THWrX*rOOR3L_aM?P zMN+l}U#T$}qX<@R;<+yXfgY2*2yVuWHiU`D_dJVDaC?e zc#PdwI-w#ZFpZ{y?{^3{;_Z&osK?~k*y9Po8KdSD1MhFV>*$~?KlV3N?z#O!%C4|v zHjP6{o4#T_Q*G?mRKnp^Gj0;KKDW zvl>ttO6`MiivKN&FB=7O9N6Y#;>sZppDFF9hWz5Wu`fbxvue>o$P&I@e3#$Xh{nUS z8nIoO*N55RF2LjrVL*uHgdCEP^^mAkd^R)vkk$Ng$@!cp=btdUrM zNXW%eC*s)aCs+xvq$uLNSRu9YcqD`dA=^(&>7-?2vTo6V>l?-Ap&YSfMX0C`Q`pP2 z-eUXJZXYm_%zfv3z%zqMRarXQpHahqFybQ#076%O+mETQ9kXKM3KaRvJQ;AckE{bh z4ttePWD%?B553X``h-ShO|Ba~>D{c;FFY^1kM)v!(kbrLY6*qy@B54UZv4NHitf@G z%TtY0tF%EI_$3R#F6@qfQ>JMk6(Yrs1I!;qCn5%Ipvj5XK%(!{9}JPzlkZ>wR5_>? zNV_f&r)9;>@4Sm!nOfXPB%%(XlELzlID~Mms5u)H55j&Y*{U2^nFV z;ReY*j9p$J$xnxP5dU1DXO(btTxGi8gL#(-z>;3>ZksmgH~%#Z)G<;(;FR`^!z*ht{4RQ8KknJd2`cq*RzVlL?)5l%{Q z1;=JCUl3NRQ1pWjikGu$eB<-l|SKeJXsXk_HgHU)+8zzTUBR95lwj9~}0rDzrp#j)LODVj^cR$P}I7i8~ zC_urwk*l23bml_nE9R?{!GSI0ciC_|m2Bdo=j(I>IWp0!Su@8GmX;@_kGcKKrgU9y zrjuB@Ul6ZLMD$j~3{WgdGlm&fnQxwYM`Ujmi(Zp&JJN zR&&HPA+8B+7gn%XtFxxQX}YR*L)g>V@LI~l(uk_G$T0ZUvbKLgri<^dK>1ky~1fO)g@UYYixr<7$=6K%7dl;3? zq%R*1U0R^eOF=-31N%+}z<-SnU1z?J@E<#PanjtkP&%7@r>|DmLyTf);CI0zr=}7* z?nZ9vG(?+#jK|y|9|CH5ZEy4a^G5DhFN}Lg85YOAkWYIu6{>>pJ8zdp5DbWnaj-sr zS?)KI!k=}jm_}`MWK4lO!){hn<7`?bx`7xx461b>FdC@1HQ&s{;-PLz#60X$hlFsZ zQqZK1BvhSs_$6c4p{5cl#DBHM(;?%)7sf#hkpMblSeT?4>`5?;MwjS}*UZ^fx#6DA zGF>w8P;=A+Mf8HT&(8mw*2ZiN&AWg^tbeUz8+R`Bg0jSxD?1%3GZlhLgIEPTyD{9hJ@NJ#Go4$ z_+C_G4IM{1If-cL$OP%FS*xF^UtyMd6V84h@fC9KFWi@iy~@leprv|f1=fG1I%@sn zUmsOHDSXq+2kk~Z1z#olgE0*2`OzOQ3J4}+uJp*Xb7(J^YPI(=528mV#uOIOu*W(s z0_4YqKXL+{q&b*$_3ME$@^LRk6GB*qCl?P7az%$z(O6%^X^}pc_W;2eN5xx)M;|}k zP=FbAd^FfM46hHTzwu)Eyvl+qET=ZN_9^(?)bGCMw5FgU`!u%I(X<@$C5FZ} zps=HndoIPt$4n@k;HFq#Vt^1t<>bzQx1(7^LF!#~_!|ckijo27p<+lgaR&FA@4Q2C8X3i?Uau4 z*8bpDBy?AjD16w#p>bP)DOKsckV6&kFVg5LlC|pOHEe>EMwU&Pu!D(MkM?SD%V?D1MvHEvPU2gwl9y zac$vX^YYe7eibBsEPgFOQs|_`vEm?{%JY<$x1w9a$dHA2z-|9`QfFraMK)AV&kt|D zg;2<|H2{T#o)=eHY{P`oQkfzgA{5r#A%eukC)@+zFZW}Ic=h%YdA1ut1#O$alyEgy zM1G7V0i}>(0Ony-g|bhvQo9hZbEH)xEPACSXi~!mE(8+jZZ+DpZ4F4Z$=->~x}mP_ zSaRjcWbu-{p6~`5tDTer;rRf#zVlID8VNu>lsm=Wyz}efwRO7OJe6+83Fp-+*T94F z8`BkxtFj*hMjX5pS$tb**<<2x^T$k&KjJO{!~9;>Spv;-Uw9F-^Wes|hVTV2xX#h(aLMQ3%*C`HC4VJ(HiaBP zH+<4CCz9NFHqVW4L%Y#aWazQ_-dR|;vno342)i`8iaJIgf4zd8gmc^%SE=yVWvQEJ zoI}V&Jl=i@a`Qm62?T%a=Nm-y(fFG_6IVGG{$6l zUTdL{}-|B-!rZYX%$kO6|e1&X|6$0+v~i-eG93>;p(wBLKo*@ zQL1kN6Mvgs)AB8}2F`_u8_5iKvyD_uJB>-d1GpWRcjg&@BwmVE zv^}a_Z5w*kj`1z|1_IzBbuhxKa!dg^IA}Zv0Ej@B6~BTS zf>I;R-mw9QtkAXfq**SOCB86T+=B_*zjz9q|3~#P#w*9#?|I%_UA1Z@;^gD>aYTy$ zu3G7Y_@&>~`XIH%ldDy5E)C=gCVMMO5!ywSTyQ|gz>OzS=omOW?FO13)|bEfRY9(E zBNi`9!qfXYoDT0W^{WO`ckG44MwG$|+C_av9RcbH`8+e3V~aDP2Xl6XZE1-L=Wb8k zWMPam`***0-rBfjmDN#zu0uM{Ag3;~qE>IyW1FGdkk0eJ?6~E9#D8LyhKfQssI#A) z0E+PgoY9yFUCRI*d*g`7c)+>Og; zJDh^N+~|ga7NKuiY~v%FtcJ39-ShTaeS99lVMr|BfjA|{ucc_if7RZxue45UjIHll zEU8}F4s3CVZWUOYHFb5loS^==tpBMH*#7q&T(oz7$mj&ig8pOtuE`_XVR+A2#q;s$ z0tT{g8%+~hId$lIK)R4ky(p|drwu9e+S1yuH#h)M;6>enE-gg>hj(S&2!v*3C`dqw6+ii$YN6fmuw^36lWv9N%_@^C!$TLKwk)hQ>$P?`XB7TN z1M(M0PhB-2#V63_e_p@(Lv(cpZE*_0Rovf3Yb~IKwl6EN{%O~4CWL-Ob^>{T)!fR{ z0diJdWE8PrmAU!NY5hl@pgof|&qngUM0Sc;6^(BSJEEr}N$X!=C8qjshxf)G40M6! zJuDAX!J1+V+_ACDGHG;6A7$5)zZd6sd`{|-R5#Nm372sJ2iK7d;*~l^i*y3|-$aXM z2$v!uTqa*}5Q)=?A3A7%ZgstB>u2ZZ;kgg5G7D}fH1cWQXgU*?4Qr8$IG92bu7IRa z|F$srXF%jbp8}EnvR}xLy(e*XPoy$2OTi>hPj4RS|D)PI#-1Ts{ZbOdKF&uRoKKER+&8xllE^B4tv#ft&5ct| zw%Bb(@CDm*tLE05{W@V8#`s)bQO~s)c(OgsK&`Uf(Sh63`I2Gigt(M-bs5%U=FxTN z{jBjmf-eNuENxX=G}hznIATI@a~173@#D)%wmowsm^{t}f@g)C5;Hojb7HJHFpz1i!MvD;fVDd3*wtd8{>F1xM-%0H|8AB+sXx|W- zRe{F@c#@+a;FV#W_I%B;t`@%m?&@K?u#iD;=3ea3&x3zS7ZRYlHO@*WcGh8`J4Pe!tvQ2EKoM^h#G;cfP7^6=XC@6gc`HvU=j=Df z2qBs3?xB)2EGrPa-3{&#SW;Mt?^9aZ+G$X7_KCb9cx*XQ=f0rY|=Xj5IDI}sC$oP)PoUoI25>>Wx zzl`Mr{tIUc%XUhzdy0)ZfVveCI@3w{2g7L0Jc*(Vdrf$dgF}&EOeNs49pkt?@%oFk zbM5O`tRvIcc$1LgC1X+nD${eK)@M&eJVm**6=ss;n(TOkJ=B~eSLMG1MUZ7r_g*#~ z!!jw5&u!OixfUpq&tSRo96@a7PHN7tKj*gAId1blYNVg^Uyb9HxQ9$n@%M@(L%Dzr z5laKVArFlnh*l0D(dxKuYFFdF7jFnAZ*bGAihIdTA;8|zCXt)Vby*UnCaReUi%>-X z=#iAeCFxx|L8*r)R8_5ci~$#mCraUu$WP8)A(#Ms%73y`FMG<7-BJ{M=Fr9eB##(K z6pktj176x;WCCf8Hl6!gsqMs6H7fTSK_|DZzUpJ$_=0={+uLUi0^*Rnq2 ziDD?|1@We+B@98=Y%BveAq|^4H%@n~4=ZgvC>TVY-#Xm=YR~e2B8wa7R*+<21@sRF zF$mkze?UjT&cF_bg2xE71sa_aq$^sxyrW?y9!3+WOXp(29yEvP7*T(FSPK-X9DGveK&<*gxE& zJDGeHx^+TF7v)yKD}VsqAeiDhC{|GNq32N$Of>)*glt`KbigwpEaawM$W`$8aPRlg zM5-ZoJ$?^{-=Al@@im04G6W1YLDD6JNiMQERVJUl*KQrc{nI9Su;q8+gUg{sqQXMZFtoBT{D7S(g=1k=}TLenmjsY zd8LX2p=6fk_P%fV{H;OFYn4ad&VFTOnlo0b!@dJA%Sfq=G#oHLIau1$!a#xR0iXFW*;h8Cj@wD$_o0*8Jw3VC++n_fN1R9l0r?+G`rFl+4FPE0**m_vX^nnG5&;a@a+mllNW#Gf5<^q z@^SLvdxnrKZ$raZi!ogzlKuX@xoTGIP74&qWGuT$(C)x}EN#0q|8&#LTH z_Gw2a2iG#DqYy`j`F^`oh**`Z4)x?3ON&hW{QB()UKtu$T~TbuZaw~?5bPtokr;(V z!GO0%I(hqOXt_4X3zXDB)W*2Bd+Ou*n2}lIgQ1KKNcs`>nCDeD3H{^Y{&~W0W^?=a z^u=);h}PINXY6N&1taowgm7>8#NsSZarj1FOxx=B*UDs~=G0|I7T& ziqy$~T0HmxouVhqtG7hbV-VOsG*B^iK(Of3Iu2a2+J?ls##802rW2n8%6Ku&DT z6hvKgWDxA@INP3A?Q6iAdXT8}_W;}IqW8 z5R7eD$r7z>_mp3f(M;jY!wBI;iVyeji}F5T#kW6-c;M+%xvX(x{!`7`sueWAC;diD zza;x4DWvXM1hp-+80#Lj-XDx@UwMYwwqZB!r@g9wFz$}KEZDVmWkpXinihgOl5z#t z!k*VQDC1MwDOS?48^$P990a@&&a-}}hwD;J4(K-ILCJZxqod6?1V`Y#j`___cX=TR zMP8cOA5ah4odGN@3a1Wv^+%833882E&JYv0!y6T@C6gEOW*&)Uob#bidS~=9J_)^S zFR905gzs>`VW`|KA;TCo!q~vc{@%CS?MfBw7Ud3+nK7CXqMq{orKQA*5x3ftf75EC zQgh9vWM}#js|1(w0s?$m)`Pvjy+~>J3><{#M!O#rF&<6B?@q{elFcUa`xSsemA1WeM_U0`-?CSpMIca-2ysxK8c zFy=r*75`mAF-}b}&t(;&;1B4OP zm9HNKwM3uezoc-+CTkqsV%9$81;Pk=3LI54NcMroxeI}|G7g%Vw4Lr&_EDqK6FLoT z&-815$@U<$6<;eBtx2+JtXh2ujBN4au0iVgcTq*toDY16JodqjCYdXYb(_62s?DF`NHp1T~*&- z=E(w5^T|~yIDCc+RaIE1xChz8!h+MClugARRdVgu$@7}tZssnO&egi7P+7_P-_@8o zN8*&!TzuA+7x>D-R=ZIgxI0%RYG~arq2`e6K~CX!Krn+FYryQNPGO8OL%AeBobT31 z^J#rxyV81aXZn~mU?g+Yp89laV5`OGrpw&@H#_$LVIQc5+gCpU+S#k zTOAw|3hI|#Xs~$jQ&+p_ryy1xcqy*%JFfTiOwA0XH~B(xz5QPAPX+I3ZSPuV#K>0% zoywJM^(9$hZvq%NOwv0mvL8ioY{XzK%(UVw;L9r;B4K&2{n0b^zm(-gp?E5)O~{U02du_}&=#7~lqOddi{WWCImn zhykN1!*={PQ=jR{lX=1e(0!Wi)jDffWr0C^G6_| z@HR(R(LptxyC9|wy&8+GxS)n)Iw%1NIX=`3@gh z?e^Q;wUwPn67BXoO}SAI&7b!}V(EtcF-)u*=X!;a^SHSZxv3P~NpTXfA}>}|yN&H}*6xa+ zuwg%DojDEn{gXhnJv=95lKgw*fW6ogLJ0}J#L7yVyB5vlohuO>3GJFp6?>UPQB&KU zl&IXII40-UwAr(*L;ddLSX5kY@2&a;J9v4UDzzIynjuDXqOZXrT{4)ekT_#lHlHs+ z-yrU2ex24tgDp0IWXfRR1@~ZY>~V3*kq< z^LC1#={*g=#lbZi!QnIU_I874f4Cm2-!w=lP@=ON!IPn>!d4n)?3(7nWy1Yn=Yd91 z;`AceL^Mcv>9KQs%K&MH1n4F2T?GFnV8=(5B>hR{_ zFOLq<@;dbz=(;M&c3+xHO@Eu+CisKiVlEHmoN)g{xYl_(nGA-tysoZh@20iGeZR*r zHE`x=hF5^aDi?r1(zv-TnCjo=^SUGFNw)oymuvlk&Fzf_IPLaGAM1)nt~$;!inCOP ze&$P}Fs`(Ag;1fKPmX3OKKW?H z_BS{X_Lb(X%&Pwv1dO4Gg)M(wEdfI>u;aJ<_v*dB^2ihs9P(qI*#xb&;a6p?_Y%ILl6_bE5Vipeu)iL1JneV&;>FIv{@P%gpvW~SU4|fS#NPc4agBi3xjfaL zCz!KXDt4oF({KBp{Q4HST^Pg97(pLriCV#3;M(x9P?Uf`W(H`Y#j6fGwAIBRVl(^wz@N?%qGoJn0rNFy1gm&d839@6+(_?Y zJtS~-w^sdj+vkBCX_p%m@dJ{5+%u^^8=Vt+yE@GG9ly6z!W6ln3)E)M?9TTS+Q-b< z?Vf{@IbKcGCT>#AZj@+Ka%Sp$!8NPA>V(?$CgVomhcJrwD)fSNr)947+Wt_3{xz^g zbFKuuR|?-I#=~9O!IuE)Ito-08Oj4a`Y zpY-m3co}?J*GGOJDcWu`VgDsmY~OEe1tv@+e3{xdI+7CPI^*RPo93X7Bv?slvh*RNywg^_zDJFHXn*Ru?6AjsO7wOO$Ts z&W=%e|3(*H#4kLOXElU9Q}x1vw6d&0iR6tZ3rIp=kfVKzW7c5#(COzPw72u~-b9m1 z{O{cg`(r3{4NUi6=7Kv#c*T84af=RsU=DmR!aR)HME7k2d#AwfK+W8FEm^qlCzVh@bz$Iw?ofWjJVqF&Sd9PArG!9P55rKGdILSadL z8&jqchK+i+_HDKlMQ-|2j zxs7UYR61G704}!#)QWk3ye~g+cj;-v+`!hcaTdLD_T4S2T4Q+`r)kqCCspo#BVQAI zJNXa214OH4v#P_ycZp8xzXy_cbHu@6`~$&B3{#7pLGc`=cC9jn$%hpEGo##IO-bGXBGZG>fSOauI_8|CJ7K40wK6da7}QB;NCdF z-Q8Uh+$FfvSmW**++Bi8;{*@EN#=0h|CxE7srmd)y;W2J-3Pka?6daTYhBlGn+#rbg%ZwVW&3)9Zf~<#j!w*Ed-Q@WEWlDz1SVm*bVA?kP~?(jky&+*Pu60b0P^o(q7{ zaT&%})__Ji%fmKv@|n@$+2#1~+yhp<-$05oyGgWfeAbH!t^u76(t7c#*H*y;;n0Iz z9RPb13fwox3NYGCv-|-h#|Tinn&=qey^F4U9hvP|s0B zus2!I{+0;lw>#7iV<+iH9be1bz?CumVR?)kaNP=WcFd_)b$?;F=l`L#WY6JW+soIN z31T^W!0eWISbr@7a6{h2GD-HfJR4s=FPHq|$?fLHW1+f>_j8{6&-A98h?!wY1GY9C ztT+I<4y@VXwH!T_YKlP<*{$S}WXxw#(lqScVY#NXy4L&b;A-Lmkn$T3$OZA8klX!U zus148uI`^nId23OKt@zXibDwNW4h?^3dJF`P|7x^dlo~KMq{@v7?aZM(W_e6)?B$| z6haXxYD=}#zVmXX=12!wu4In&0n(O{$TWNONwgwUb^0XuC7PjZ*Na$8`T_}Kn~#ot zK)6?sDUb*->^W?*F;$m{2i4H_bb0jisA^0-<}x{g8$Ey<#*py+ruiBElGAP1r`R_$ zbYkEV13=qvspyW>kmE5x^EPs5Fmy-ONv`0dQB)}vJzU-=YS(xl<2PrewR5S8Thrat zr|%*YV@Y=9pwr{;^A0WT>|7@!a%Tc9W5N!XzuCuDS>-Mv3*_(HK8|!`tJpEfu zG?;OIw68thn5tTdVJal~xa;FC0+Aa(?-bWyP|@;ZXU%v3 zK{6JNiiEHa&?0>lqK8U`4B0wEpEVNs*cr{-wyrIB)hkkDTfOP?5@Bm|(R`-DKm&0c z55PMv8a7ASol8)l64YXobXcKlf*0ZD$b-11Fc(B_a&3SVPN z;#F}OnHKGv>=%I8)Nyl?xMNq^VdLDlLJD7HH)CDZ3HAL4<)AHFT!6j!xxTttr%F?O z97{SAG&qh{h~2aH1?m`JX?L*63~?1D>rDlh{2$wxi~BYafD-k;Y+|1O%7%{mPD>@R zp6kImT)p_-g}UA@B>iYj_&R^~%V4Pt{*m2^(3XGn{dJd;&!nC3?tkRfP~V~mHUPhn z|MZ*|S-lKVNd8Yv3(s5@N;>!4B#|8J%h~(i`iTa9D3mlIUFEILiztnCa66*n=M}E!9HoXXY3itrw3U&a zlJKLl)k0kLzscEf$Y%lCub81+*ySqsMj9@Mgp06Ye{tpPhux@|5^)$2&#OT@BFA{m z*W&MJFR@)=Z5)y=3bc)tmc7Ag*zKYwZ~B#cjvv=o1ys4juv*2dyL~nfuIVxJk(5dW zZ;XjXIu>1C-j3H`SnU1K?8ooOQNy0TY3B*#X>@HPg@_wh-*fVdYD~ zzj8)7Z@Q{{#6~2hOBTNMt-4a6GSF9*zh3A^fUZB1l=bVnh~j$6_Xcwr_BfmWQI&Hv z7EAYN*8K}NxQ$$Z*OtH}?$&eH!v@YRX{$UKMuujEIeS|V<+V}+L>M(?z*1l$$KpQR zhz;~QNYjNH)woipOMxyT|3)dKmHn$n<FpHGwY8^gpT6UhwC{uEMed3&1H~o|H0Q&DrL|cRw95$g&)}> z+Jrs+W8$@0;JIp(7^-b?i*>Hb2HHmj%hjtPfr5 zkW^CLC*EAH`bTN>PjivR|5>{FY_UvywGZ&Diz!#cvPr(@g69sO;XOdT1nOd5H-Oq|WTmMk_>ZBk%5j+;k+K|)nozJH*ofl9#n)%$A2OD48Ez&ys@FE3`w z#)l-lnwUZnlS9?735aqS zs(56zjJwP+-nG7dD2`7F-+th7bTZRU5q6|eN9Jc_v-zcB3li$0!S~(QxnRqFMe$J| zDe%l9+90<23JMwOLr9YQW$ukoHLaN2jT-(PXu=7nZR?_zug@f@xO2%Ly16oqcY^I- zXG8+Wh!wCD5n45Cdvoi3D-<=3Vm-+mC(dsWw|2kcGU2LAOK3o{-C(oTc`<&tqn(o( z!PbBFIbJD!nmM7$YbLc6uP`{;S)#aIai&ENK-+8yiU(SS^^o+aKNjG(~ zga@at6L5QbTYusF3Z;qg6*5JT<1h@B5>ePoUHE6^@vIbbOP=f&K!HovgA3R>E9{a@0)!Jh)CXkG zFo@v8XCseaR%GxEd{J1z?NhB8DpsFG{+pN%0Q1Z}Csh8Qt-iYc?_S40YB~oOKuxE- zHuEfU3jg&y$=@&jPu8lmo%ypVK4)=%9?&>dV*ayX<{lCFx3Kl+pMAn7#ogWJKb1Ih zu0}hS|MA_J0tc{`5dyGP|DFw?!~zi5l9ak9pUFHV@XrLn%x9Goz8p0P;WP7His_ke zg5s0LKXDZGDfn6Aq>@f9dNJlyDC=(W=&+c%cUBZlkey~_8AAYwoVYlqVNyP9*${z% zSo}UU@@K@!sYL+T^DcrfmC*;k@u&RRtWy!raF({55=pN#`iY%>vZQ{B)uvqywIF`E zIy=YJVi0HPUFfg(CKpbZu~v+q9ErwAi&*K^azl|tvsae3S4##6btN|w<`g)Vurvw0 zZ6(=%hEzb#U@JPfF@E4RHATHy*@F3ii*AVG#+%*<+qajQ&IyCc%=YmoC-w&1d6!`q z9a|YP;r8s?Q5ytm0w>0_o3+jq=?YwVYRvr9$}}y62{5A7{!dXpd6x%j3Rp+=W&QIa zVWS$^)->p_RhY@2`BHWX-pE!&eOGlTsDruBs27KuyDlTbX-5^>I9-wZq(wBaRx zCOhDzA6iF!PL;Af99nJ9Xm{)v^%io|CH?@#BhWJrJ0eI725>ZE#KK2hlUO=<+b~;% z@Ki;ZGT7~csO%J5Gx|#O=>B`5_dl#x-ZnLo<7dc^o$NED?JW&}LX*O<2aY``&^nES zh5{f>VMf6HXrD#>SZgTY8=iy<_~`@qr=N8sFgF58AcM&nYASrTC%|#_12#xial*5I zsjt+3R$~0Y&o{$S;p~^k=a3JF_-q*lM5X|a5cyw9TVL_`E8_oE=Ed-?V<)ziIFQ@! z0?@C~eb-s_YURg(K}YG1{yFNiK&BwT2^`8L%2;8}7rjQ#0xUsp1^_dPBj2~H3K7YV zL0zEY9c;7?{}zkeX5CRZsXH=k^}S5gLIiv1_Riy^dqfgd6gwV5pQE!QagYMwk#sNBujZ*0``}hw!*u%~Fl!;R4?&ZvccCn)a_*AEJ4uJ`R`G2D)E9ZzbTOe z0phzr6jhnAXO8#bDX<4AR&a6uY=v1bm%ZnbRp>PE?CQKYV4C`9XjRM67YL}cBr%~q z*Nq~i9tvFT04HjX4xfWo6rfZXtKq>7%7PZus`5U6G5DUzKXs(^rOEJ~#xcNxFhA^g z7}oU&`9eOI)n6e9yZm`0FflDS+2_)}%;~$^y^__xd$Y1~>W{_vt9P`5d04crD%AFS zs~KeJN3U%~5ovh(;`u%CHiVF3{x@>9MYs=|Wd`llv$JaHIwUx0LL?Y|t zS*t&|+H*`Z?^Wp3lj;Gvm*FY(5`&iUVRBMSg$*=9&yVvDb#bHIw9!D=f>VeW_ZxF3 zt6-C8P^E><-ahXsTjuFi0$pJ;*Klp=%#7c7`J6SgQO|0{hrm+@ccXB#H=m|7`|sZ^ zXPFc2hn^Z$om}bZx$L*Zdf_;B_phW@J@{fVa38!EA-=TRV$)OS`{A{$W^L_GnJCM8 z5LM6EiMont#gW94zL2al`b~x@Y0Zd}snD3N=dICY$(oq9Y?{lHk30(V7FK_KTT1?) zB+1&Mn0=n|%=3hx?70vuTl>M2(Y%$%wu929TWTkNr!`)AsJ^H#}wt>j+elvtUG zp-`x;HAE1`Z|pHHSzvb>vdvF^FPt_yx6C6Y`!)a4P3bS2!JVfbU5^0Nx0m~Y4O zUJP?frZ_{z&s z??^OJTA8Lxs(>LMX-(*c+58%BJ?BT?Q~_d2yx_aWZK51;{z+*9?;_sk-HiB0MY8P00b zBgQ@LwdV9F$Q&!s9sW-#Rni&-Cr9D%Mo)Y7$%a2Y{ivlFE;@tl*OU(lLO^+ZC#EN^P4+OZf&x$1j8Jiin)B8 z-aDP@Vh6%#-ums?Y}q#!QFp?T)M@*iW_|;KV|g_-F!{z8DmhHMpUhFHGv70^VfScq zS6>w?>E5daj|oO+@qI?}m+9G}5oBy-iF16SA8r&*t0(5rn0#VFc1n8n>8Cu}6XRG0 zo2!>rTyT%W)K)i>WkFPZ;^i&<;RGp;An7zn2F$zn^^T+?~ng82k|3A1I zw82HNlE-!Td3xY+`mtC%@G?~iA=bnI>1qBl)91r3W)8|_RAr_b_5`;|7VaS^HpkaV zmD^D_xX*-~n&V=Ru#QSJ@ZGJB)W=`sZAhth-4T&2#;^odZl%YP$5++O+%WLw_9&ho z*6E8y9U?~>2M-Cx!7VU1Uv)D=k7QsEitI~i zyx69K0BzM%W8=EoU*B(&apBm~0A4!{m!!a=*-y#J~^$aD}0i}_z%<=tL>2H&zDPL{df6J4R2)#GVE104~g@3@D zOs6@yg|?n=^3Lk|u@KAP&u}G;y{$3Qjs0+Fuo)oed3~voftpX=tWHZ(8-DZ78^I9z zME)pIJosehD)8xHF-uN^bd6*s!EcY$;KPmI{fj*Fz!)!bc|)^PB)8>!!e(_>0RwIQ z7K7ZCZiSmnNUbI~7+KUBKII|S(<}BkDcI{{kw2k2hW$Ik-(re>_cW%FuO9LrC5xWs zo`=PE_k;N0Vl|V7(4WtL;UuJvC9Uk{)(oA|3d879$p6Ag&%nYH<$H2|8>O^7GL8#D z_~I4{TwX@;5P8C2(L%FkZ!v7C$wE%lzLBMw@T{Ry8P24=M9N~k8(?H+{N)hFl)TV? zs`0LCS3}%ais4GI9eeiiwv?#kNd=MpA%u4EBO+z>_8X7_;XY-JIb#Z6u7VTi>^(Ll zamv_YVKDAdcFy0V_%EFM8Hd1(bS! zWDJ7yx)mj%&*6`()qDD3ub&2rYyZOWKyUP_J(16AK>TAUaQ@g+CPMFWv<%vC(4VGa zf1>?VLgLuWcf%nbwzuRv8#E~ytWRWBCB# zzPspqQuI$P$0K1||1)%>{-)a?V0Kpb@LZb<2iA*$%?d{sDD+eCl0WoX=F1a03eT

CThulrYcOJTN!M)6#X9t{sHe#%gbvXzx&vV>ij{$l9 z#f*k=)eyiFp!}5w8_{~*FPho63Zt`)_;&>6FjHw+3QzvR<=r6e?8@rY(;QhkMVT5) zYAEbB6&L$7d6&LkdzjG6=Og!TzKKU8HQSz=Q8nJ<^lwM}3wHoF{UIa7-{E;qd00Fk zO&kQly5#;80~M`lkl{wf=2O_u7f<#`$Di~e{;KX5+qC{An;YMa0BfB8MW! zP`{zS>sbTOUL(eqRlykvjix<>AywS2p76{AH%)0ka0v16ox zr{ng7caw-W+YGXGQP@>{Q#*d&%x&UYj))rb;p5${IW_Pamlf_}_~mqi;lu@g&Jw@T zlgGaZK?6OBWkJ-Q=og_di}mWyqm-g>zdC80y4?U^Yf#$#^dE91A$9-rk=~ZBh(VKYsV>=X>51Gbe#*p9W~nCn8|}go(m`0w?7-lkSBpF`Pw(AEh_^_^1q{!&tpyjru8>3CR@i*=B?VfKDRFPnali>H2<(@>Pz5~s0M zxL9$x<`|7wI}ToUAE8YpeN#(R2Z;}WT-^ltGj)LF-M&eF_WvgNN#MN&99<9l)i7W3 z(@&I#P&*B~1=qxlE`hje64?itSGIS#r|D;+aqGFU>-IW>C47p1g2I%=d7^V>nx(}b zpo|m-KUI>rmGq3N&2fhK+XIXG11BH5Jckq>n|;3ou_^rY=<3Y6Vc|nC^mpU4RU&4D zybJJ|xco#BGiH1nwwlg#SFGP_Ri2HAKjt*|S;l|fxTy#;$l^68X13>2`{aS)9Tz2_?Rmoz|Wv!MAab!2f2cu=b6F?`^(tNZxu) zr~qO=<@#;Wd-2KLtJh$RW9w_fY)>`qW!1#T2hyEx!Q<=IjGK=SFQhatH+(VwMA>ig z7;5nCoX;!}`}2RVt|B$X7k`3Uy$mj9Yr_BZ+dmLwKw92*dn*4V`4_H9!+pK!_E-Zv z`-nG+_7M9RrNQTNE_$=gNWjeei79Ob8P`!UlTZ)6Ip+qR#xEa;{C;s5johR+%Xwcw zl&xBS%;-NZFEux;FUCCHY^hR%MqFH0c z1h-?tXP=Cr#DH7WQA|=|GruR4JYraZKsBlIfER~23`G=$7!(-gxX9wg5?iYNF1WAg zZ5Tzvvg5L&h!1yl4jR9PW1z+nI4Y&SI5re@GQx=ST~K$F88MEV1**KUeCjl`*x->y zQG@%%2FJVIuD@_(2yl^pQj5Z^vJgRCS>*737l{|+7`R?NmLIZMJPWZqObvUeh>;0* ze{w+AP>?K#9l{k|u_z{anz{R*((GGKz$QDBNz;Q80?1z`_%+_q+VR+~R_7t4GJ^iX zEi07>--~D2%X1lRk@kQ#N@KU7m(~7TjKndR#?=RqUUfEg#5X%1C3(|pCU&D3jSVrU zx;q4lat9sA)~VIeiKw}8bBucAcDrG1wkvbY+8rB|xC(-py2r^olr%ISbBsKb;3a<) z8v2*D7`ACHsQ5izi+uO|aDpB9)F)VA_%80CyOsbmgGX)RpmKN2E8*H+?Cy2q*L<(& zH!OuA{K`UJ62C)nL9oquqyzmz5-pZc1d5;J3TN4{CrpK3ZL%++H?+Q{La&2ZGP!Bx zf5f2+J-K&Qdwfd)1q^1detyIulm;v??;-@VNk~l(b2;@n2VLs1zx@2FKjvk>Ch~_+ zWtEV#38iE@IVXyW`vYlshzzDtbv4f%^@SExBry-CqxJ^_mU^VeJIr)vrE-44wCHK7 z!2Xn#wmkp)7K0l09&giH-@|y+m~LsIFZFgBCc$IwPeZ1L4uz~>g~E6|J2072o~Z$~ zstujZ-B36r&wS^6QjAPRpKcOmps+XieFsVq>Wds2PV4UQRdo^sU8x-4*wc(;Of*&Q z5S`+8;NK)~u?H9wU|)fI%T}lh{}VLh5l7p{HwG%0B2 z*CXEW=}RS}MhWMdY5eddukPGrSliyX1$^`8cY+T2e ze#^3%((4EtKl5p$XB&9;rVh#2gHi6lp|BftS+nSwa&W%KG1l`w^@8YVlxXSLUc?OP zz(;7$z=IYpder11`a>-r`JUV+smMW71*PWVC6qis? z_bV1hXV|NSY6;GFcgCBIxS)gS3E~{9P&!VEVdHG)%so6X8uc&bE(yGC!hi^IR7i8z z*lFTHjnzIMUKaZ+_XH3+8bv-AE*^GlPjU@p(pbmhN0=SzPlayBP!Kt$PR)hL6PB1} z&%57!B$>_z z%fy7WhvP3$hJ29?-O?}M#!a}CstA6Izcg-WZT@ho!HFY_kE8Tnpl_6v+U@}TegPM+ z(}SPe)>@xI+F5qx=o||1i?adAP>^?{&4?0=**VcnbPD4|*$ABVIGU%wa$K4D(U!?b zlq`KpCL8x7VIkL$HG|}O$#wMAj@U_`hQg7}F^geWW!-JC(DgB7{ zwV~0U5R)?yI@0{}#U=SI^6Fw`5ND*!SyNkpk{i9vsYmkYC|1!&P z3YLhWt!tc!8Q$&rvSu`X)HWafq%#-Uk4?qRp1wYN#N(xaw;VEfvgC~)2m0>#*{ETm zmJ6ct9L3Fus6nE4D#THH?IRu)o|#YfMvj3&T(A2!m!ffI`;#LOCo_)}w?ul#S>k9A zCc-X+S@+ruUoWa^#8sz?24;v7ouf%ZIcc#qY}~dgglNO`#$}@$puNp*sh0 z`E{DIR|td3i%dC|KrHTMB3qZcMDAPBH&T}`x$Xnmqc!1weM!T>Vn(?i;FqePp;c9Y zjF`U&x&cSOPt6-XggBHA2C$GdOkI#4yvfJX?03mCmc!&Fl&s-6c4NpeL?+_u!jJnU z5y_YEKE)UZ_G?79+n~xN(}1Ib;5$3m({X?^ZDoh5V7@?#SWIC#2emSRl+mzAiq-Rd zF0F00ly_81#uKM#N0l?QQFp}a%cV%z{^BRfAJh|tK|I&ugH#nWhwf-WEV>dkQ{U${ zx6axMc@bGQS49iAGjgd}iF7^<%V_KP!k|%R@D(khp+PMd)dncCFXH2!Yr+;p*LkMQ zU%bINHvpNy>OYq)=LB&fS{s3SgL=%VSJ);6@cZ#<#HuS_Obt=ASsuyo^ZiAwm0liabBs`Ihd z$%Qi;%utjOnEH~C6uWZkYcZQ8+deWTOfHNC#bm79M|$XJV2gMveN~uRJ}(U>qOz>9 zZdvRI5ef}c0Cmfe*WszuXQHTY;vs{))j{DqV$s-b_4>j%kwK9!9{&Tay?Q3d%KxhwYGKu z+Op|kIr%k8V3@&h>Li)`m~nY>LW-aXS^q%T<~j;VYA!6yqgN_UC{o!6mTGVP{XMlY z6q9&YH@|>+{PPo(S^Fb|3uZWklYF>TWCog*) zG=Xl&QVl`l)7i*RwzoAvCzOf}I*2APMaWnd={^tXafT34SH61sYzK-8+w1NTqv6P- z>o3_VnN%=}nx&31=Q)TP_u7ib=N$<$@ZPsl|q3NWJsf?(xFPAUa$ld?KA@P^*@)i3B#)06L3sDza zY{MsLnClIVNzgnNTc?94_*p$>238*Xg{jGjP#iTQrIn@Q^TaYW3=o?^8fG=kpE0$q zUE*xys3~^oo5yAXfP#aD>lg3^6&kxFUTVCDj^>O@j-nzg;Vo5vA19`EG@rSGy@Pmk z*k-S_FwnflFsEC4cA5H61d4iR3dSu96`Chc-g9~arrP}fe0BMjfd_m-kaPY&`@J__C7LhtAI?S{jxy=~?*%XC!Lj+Sg+YXvB2ba>h%?Btf z3nP=1=^);e>DDF9oneF@(`J16!{263zJl17`1rpW%f{qFBTVZCV#)h0UFs1~Z=txv z^T|bbtipWQp~IiR{n25^x~!M@FHIqs6d%aRFkaGNjmotr#3v_8Tf*V1da~5W)t4m4 z*L%J|rL<9lx4nExU|RFWKPdj*9HpCWuHEhp4tb_U;2^Y@F!d~gcVCt-_fu;fh)lDdAS%x)te z;!sW&(q3;iQ6#bZE8aL$!>rEsdloW9v&J3j0Q|fC7x`K~`bmbEmTzE-j!?IPkHzuT zp?%(AJbB;Ov=-2=2V#h}qAiM4LZYQ1&0@~6s0G`Idav5o7`|-f!&2Lc_Lf$dkYqT~ zX9)C=-UopWlwMV*79b+dv5wh+>u;+f3GwFAQF-IMjRvhV6wT<4Kb>EcF3HH(wkF=_eGuYNJHAur%nNzGB_ZdP?nI9TPR4Zd&)kWPEA<;LhH(L->(XvR(~J#i=Jzc$BC zfqh||8p}p)EIpToL1eMDJT%2&{pk%2(kMqV(}12`;Z@BMO9cT9gb#xg9YqexQl$0n z?sm019q2BK4^Y43GiO;1glyr7$_7Piua)3(A@^v<2s>m@@#630O%Q?-cP&oAjL|`y zwllLW{6sXMi5%QJ*K#{t&>`_qOHFlp_`7eyb<-jm>ew{t;Z1ice%_#}0={?~ehQEU z=X}>lr=0N?HK8?Q?CxA9w+?l7f_Fm3TcnmnO z8B}wgjk+XevU2OnjJha+p@EQK7k^My*yCK7$roo38)PIupkfyzGZGsVzZWp=d8PK= z5Lb^~L1Yu&h9YqA%0CHFJT?d?s`7&qkB8KyCKo2KQ>5U9Mz)CJDCfG#5pNnqObQd^L+(lB~!v;`fW{q$8Be< z6Gk{`fjj-yyRk!K?*@1|scG4m?iQlv`%=DSw)_FAWPC353fUkZjsCq^@XimU8YmBt z3mKhX)GRN(bhJ5;V3exKywS2;t%Jol1go4v6tYX1JdRM!MIh?Ur5ju&ezRV`<(Ct+ z+1#PFXTog#Kf$tINnO?*g1vEnhBf3pvL93}J&%vPf=7LqQ>z6fJ9kk|mljc;20LTd z+N$$yx-7tz(T5|%K5EDplFCi79oqc@iT4j2(A#F{kG>vTA2rraN-T=<>xicC)8hGU z?{3~sN+q}lPU_EnBepKO#S~2v_4gMF%8`1U!M0CF8%DtmqLmqmvp?m-e_z{*boR+L zNB^W>xuQFj=~DE*pPSB zdrni9{ZtA5%C$<{DO72*Slvu>Cf!~=Qb}PkOUr0EILx+1r=@MSJbRAXBH9+T=TxKm zgQOp6TU}hFQpti!n`gAdTqQ_zRb?*krD*~&P$I?e+H+?Q{#R&n+Givldeg=?NnAC3f{jx#O z*Qdp^;S|wl@J=P?^gfiUwit_$UD<9?W;a)O$E<;-EU^(7Ng*!&C7b4toAUbu#e%!= zVyAHN9GQ8s(Iv4*^HFU^ezSl}t@Wp(rkSP>edhabG>lP#^Hww)O>0Ez+??&fuB-!JoMJrPOGia>vT0lNXx1DAr z8`+fs!kTcd2*q+`oUC83^MKao#BbvZX0yn+^2<>VS==PB`bg0#o9@@E+x)R#Qz{v_ z32+nlLomC|GgAmpTn%(rl*qI_yyFMv!pVfZ49|dC({nb{Wi!dxW%- z)gib#JC~=p-10D=y%vEpj~22fC^>Zn1%~WI%!>)iBTBhas1f~E#;?zGA*%_TSH5kz z2)X2&PeHleM0zm^@i1yQKPEf|4 zqYM@ik>e&q!VcMaDdFuCRd_g;EUi#*fLjT1UWGWhSH=`R$p7Sv@Jf`9VUD(#x#&Qq zwh_rxnk#?MJ$wWjVLg6l8&=Vy<|=c1mm6+Cc!&d{!=J+xFI3UrvA%q9KRaIL+uQ`W zN7LQ_gJn(YB)*)Ka5qjcpQ+-Wm*dV=kmJ{Blmo6HXQLTV4o#TPrDbnl<%gBSEJ&;( z69%%@9l+3V?2KcAM0(xzU@GDKPtVhJ4whYrOa0rZt{;n6FYQ~MO37T#w-8BXb{J^UlJwc16pP*|~ z_UZ3QoWU&NuXv?ZC%=MTxQv)cm$wDsOw5k9aB{KIx#!52=dx8|wVTQTf0W->XYy!E z;cnCNTmOw2=T4hBk~p#ox$R&}fN+&)`W4tIKzUv7fHy*@NZ&sW(MY2Mj$;m&NBN<6 zH^&AkJya>tzD{>7^8n_i7!*fu?xfMBD1vZ~mpVtxmF_QGS%=W`nkKpSaH7;=11xDm zzli7hzb>Vnb%+Wb0&y35^E|Yt-AZoW-9iJ2szL;cbwF6DyU8EsI#QzY>#(BQ{|l#_ zWgdX}I)>HUFBf9VbuX^)Bp?_O{X9iup2Yo6YDCW#6c<0tz1y{1$a(%bw?mz$BkehX zR#m~@7YbDkLLG1Sz^-iCezg@{6DsS`{+`?$Omu4pC$q)*BQt43vklHD*A%0(4X;MJ z6v2xhB#pi4>VA#iFGMBGZE#N9z@|p`?;)}1HUchY8J^BF5(GRsx7MeLNtfizh;JI}G-SsUz7izQK$)^@^ znpCQ#^vxFY@)JafmRYmi6@?lGF^TH-YvA$hkOIl>bLBA&3&>C*+GSbHoQEhhuu*4V zTF^wS7p4lPc)2o!-FpQazQ*z;?VQJXUerW$?fb>cJ5;2&eLB`KxZX!=I*`IYACUeDO8znNW9D7<%t4td0bhcRx0R^#2<)4owP zDJq7L)zA3FYi7y=L;a1dV`qzjFCv?4MZi!5+GK@=8P}yK5y{j+-;s_}YA>hekCs24vo*%Dl+`K}AO+ndzyop`IHE1i04M+t{ zrU$x=?4)X+3SVmRbG(Z5yJ9s>Xd(J)cOKR^+aW|oKmq=^o{<18F@s2(oJwRGE?^$| zdqsljR&(TuEmWdgL~}czEbC#1qSUQ87MW-22fhKnD&tL=%#Ix#^Kn1K-}WDXJ@bnh z{-k*Mf~|q>DPotTJ5wq9VKNYx^*x^Rjrg+;kw!B@K2%Z35yqUNOqxC*G|yi|Vb(t) zb4{~0+0!+^VhU`9cseYBS*%cUuJY(8!+A^2x;(nBcyj(S2$6lyTU^GRZA~dgwWe|x z{tOp8I!P}wkDfb<%(m+d&T5 zshx{pJkA6pZ`79Igh`{tSyF)CRMUxuj(cHkH2Sk1WZ&$j#8iW~hiB>ONK?lyHz!M) z;kF~OH|71Wg{pC`;RNzN_8wLT7wrmen1qy3rwC zQYXU?>EJBXK9R>BUyYf{Y3dMb;#f(zOKP_rA#O}UZkJ6E8{%T-XjN(u^Ep5m2w(ba zx$xDIm9;4gwG3;*YbxnYxoYEvg&a1xiM=@8qUv58QKds<2l-c-{F;o~z3x$fCQXUh z99Nk=;Z#)(>yd?)lcX}|6Z6&G-E-=3xCx{lMJ*>l)VYZT7DX2$?=!`+Ynx+^w+jMS zM^71T2ok`uctUy^VcO=U*aU0&n@U(nnwl%t5}{e{E1yt zvT|;?>lTvyo@Z^=Awx92cG!lP^@6xIw?tm3846id!hkh- zb>-&K9Mj%lZE3U9_^7n&DDApae~w%)EVi7hnj~5t0&qqHm+F5W+s);E$y^u;mU~Rm85dr30E02 z*F8NIzcJ16jf}&E1+dnaBwmm~2>0x-BI=I&|3pMk4cx>JbDYx7-ZawseV{c_7kLuq zO*vf1l7VIXrcD8YdwExfj~lh}6J6rWb~YMnWXs*^R>q8bsg~*JH@Bf(OfgoKn6V@>o06~9=~1+cv4XJN# zSvC~kW`uKPP5&1TRb=(#uyOBTt_s*Fxh(9WtA@MNXlJ5h*9RLmiP$z;%*9M)*|~c~ z_`YfpYux>5F$b)eB28Q!oU`Iyow}CSk#=AV3L3BWTUz}>t&_5h4*YpGx!H33B(eQm}6`b z=m9X78~1D1VimuzZ$0PH`p?#8$sw#l6#sdcM~AXYo{1D>xrrfFl}@%8%Jov zh8{96S5Q!1tNa8q=_Wh6--47u(cf+otmIvQY)`7B{jQ&V?1=Sep|n}PD{l{-bfEA-?{$&(t$=OSE_KFB%+`|V69c%fLT)Rr8sFfTX6 zMi8o%|VbDG6f zfUk?aq>RaZLz0_8j!4tVhvkmv)KDL9cx^etHDQjEdKcKmaM>VZ{Zv_IkdSQ62d~n0;pyQ&5h8gjqfsN;dz3ZZXaiP}u-l}%m4Z>>xNbA}E_3k97HMLE5db~sm< z=zqFYXsZn`$Ve|J*wq8efSX@dcxKF%CxsI)%fRp3)&RFOllJ?0%#t+VB*XrwcR#aM<8khQk=kFplRQr;ea z21g~&*G}OKy9Na z3;{UPk4LEN#r(m#>NZ+}&R)M2l$1RArg?iTkK|G>*r^dMj?{fCuUu=M0`i}xP8uYj z#90c8ofp8^(vV%RLwsj}B@)QFNkpGRbUTf+_fQcjZrLwMW&Vzz^8(WAAC%aAko^Vr zrR4Xz5+SoI#kBHCWB{74jdI#~zLqy^pPny|fAuJ&cVdb061DJL^} z$^nu_BYp05Xz^#C=OC`%cC!w7TH$+v?C)_i+XBRJf`IWYen4tRH@BzwXsNEln{2;q zq3)<)Dh92#ROreV21Bc@^fAXDIb)EA<|I2oatYW$-DYIr!444*SGi}_!E>Ayym zAI5~D!q6)p_qCNlcD3?I%k^K)q>MAl?Tq&hdF5z=3Vf8%`_o^VVsHB1^r zL+GR}ZL1lu!Orku3bR)7lfp2L1!#`=1#il!x??>8TBf|#f^E-M0mV1AD^x3|U-cHC zUMvl!7n%lk(a3oH+ZiEAJUS|e{kW*oOB8~`@J^p5nyo~sPhQEq{2#o1Wmp_d)9%9J zy12vQ?(Xgm!3i4Ng9Zrh?g_FuEE*gFgg}4<2@WB+1rnS>=Y7BL{5gM5u3@*+ z+f&su-CbRES9SNT;~#xT&TkdMN?co2pm*UF1Z-8!u2m`1JwjpLoPu)qu;H_a*W4$% z+NJ)?V~z(F?K?PIB|5s*m9i#*OlmB1un~=lHzk=ex)t3-g=}>l8@WAFw}hunfoDr4 zR5c_}T_0cWB@bom4x9LqUT1N|{nhHyCG%JYUwSpq<=Mxhi;I6P&BP~5lLlA{N{o3G zayKX475gtF;%p0a`qMAybJIOktc3zKuga={Af((L!%pVr(tsb#>PlO%+Kv1l6B?(U zI_-ti7Vxf8Cjbhe{~S$25)CYHM8=M+qL&sA^k=wW$u|-^t(FHA4u5BTt<#r2-|x9~ zd+faTO+Tb86iAo?qa>BPQ#gICGq$V8t4DZ#AH$3QUhHSkHnau^<5G&3%Enr7QV)ag zox-1Yd}vnXwS>-*ue%c@>UY0?ex_;LNrk+L<2%vweE5jUTKdge=^0h0xF+jF#ojR> zEoNOL3ePdf?dvoAa*c~x0x9GOXW-H{1k>AY-xrD+tD2|q>Qi-m4CJ<>rvWoulY9>T zS=gD4WomWr3|1oS{34BL%xwt>M1{YkS!72~r~mozyG(7&??O_J6A;B$&VP}PIkvAq zpWvjrpuLq?Ka6Dkji?iH{rXb7=Ids+5wCk_knRE@9l!7Kh{mbxF!F=fBeIqG1f^p)idy7eRwaDI%!Ws@t^gkSc4IztDX?kI7qG&og(N#DzTbC`Tx{YHrYB^77dd<^1pOC zS)2d_tnxxMA6GBFB|xiUQ)uvObq_|;w0&ROZvb7w0rcqa@u3_nAKC#6lK_tP2$(U) z4_7RqJ`)2v54P({Pta;|%NqLmX;zA=20Wp}-ErTt73e*S+NXh`i3$FR{SK+3{C<5U zi-&*SR3BH(o1GvX^lsGlWOmB6d}3`Q#wJG;a`4b@0aWOAQvh2U#e3T(U+ZBQb=$E} zXusxzA|Xk9ufM;gY*28 zT(03D$NM-=?QB=1;z4f23(&R@WPW)!8!fV&cI~9MxF~1gTd@1mpS~lI?|YBJLV+Z- zZg#Fk7r4i{4p8nZ>f4Y?@#bgFn8Hz~&99LO zSS!`m<1LE!jT}xE+CV|9k0Q$iXGxF960@JkGZ_$I|9}$pVxgk#$T%-dgOv3iin^PW zT15O}%JA6QN=OJ zn_K2y@wxGNiymF>!);cj$f~SV2WH!$`9SkqCkb!Ao`lWJwNf=hY@=k={@m|>_6@;K z`*V3tc6VCkI1{79W<5BX1z!t~x(Q-5@8&f5W}8HAWd)YqDzpPV0O4uxd7!oAU)9A+ z)v3@m(KgvBe;R1_$0N`&ZSR`(x!}u?&jcDd>j{pqD|!=AfuKNLVs%q+y<&5J>7hke z^hC)Sag8sxMHx&jM{GQS@kYE~r2Pkyc&3m=zN{fmg~QT`_In%gMngR97GU|s>mNXa zfFEsvnNe*KcReshdfLIdDx~EE{#QB!RwE!`!k6;>@3SOUbmw}VdII5qaPE<~ZiM^0 zpqH*A^A$1>^0H~KiKX53`YQIaWu3>AZ%X5{uD%p&V{$!_dxP1AtvW}xe93%!cce9r6)TVRIFL2g8MiXR&M~0%-9fMOTGfPe;p6sK{-Eh* z;$-UEZdPV4_2l5$#nTI(ny~bKC5|#jFWOm84Xb-a!nkZ7l zTE7<*WqKnNj1GD#oRjK7vAIPl6cZxf%q_O~l24|&I-N>~4VI&7LaMraf zXx8A(4UJ96AV=53M|JzCR?d-TP9p8*0Y!WTJVj{0KCW2whyhO&{ zHpNO;DRk0*>eK)Nrbn&l=iSIG|1hvF<*X$V=*~t@t^tFh3E&-rt7wD)?6qMLFxLTH z0Z{f1$Na4ob5aB7b^XrwlM^W8T6%N`1bkUFw4>INa{(uesnajO?L`O6-m(^Ed49s; zM{|uqZAp5WP>mGlcUvQ5M6rgxXfduxS$&N`lgwh+OqG_LJO2lss&K*bE!)3daK+F+ zfhFjf*mXvmG+Qq+ezVDF%IKi`h1JD$x3$s> zviw)G&8;W8Y#hf*#m_wCN?QyQ?E&><59vv&Ycj|Av*enJO;wSZWr^)Ih{ftkSe&o2 zS*@k$%oo~obV_y5W=Uvb#Mg9HscuqMW(}U&)j$aeP5HX#B(19SB%beDIsg;qxu4jF zQBEcI@Nzoes}i$W_Ln5vDHYm({NY-iPY#*+0-6us@J|Xn^ylaw%MKSlM_@x9uBx6U zO{2Ti*CNm3jz@IgRsM!`co}}OaIHMBCbQAyTO1`SaQJfoW?uWeO;H33z*n3yQp0K zKDA*sY@#T8uGCJ|;euI!d@EsARi5iX&3I*Z$CoA7h?&x9Ukhl}7I^kbZuaLB!$}5r zlL6@-vtO%K%#<$CqTfDx*zt@R(!#|W@&YrP!^`}cchuPp+uUAuFzsLpXR((l=Y_-W zrs~dwS#2$Q#M<6nK%Cpe9oO|BD09b}L&tACDfMgNoIR1@EX{#8=0+1{CkffbQitHR_p(?!8- z#-?nw!uC2R%U3mmc4sQ&{Hb&rC9&*<4_xO5{D5+ZRv*CY!C51iJKn87$IB~)2iTI- zDS+sX7R9IKZ=3^E=%1432SBjU0-MnIrr0E?OcZJKx`t#Mr-Dv-#)Q*3B)*}h)MRs% zVKPY%_Tum|YHTU=uw>!n8iUxi5|~ckXdDJq$UctH}5#R zy5A662y$_!yx1Ex{>Y_0{@agvaf8Uu|K*Dc4vTZwte73gNs4bPPZ9^4+>gG|Plq$> z>jA6NLU^T<)ZiTlm93ti`|JDLti3O9TJ(tBeu<^E6a=!iJ9x-teeSjqEp7h;C&zKC zVax?~mYC)ExEiOU76@s?dQP6cBsN<&0SI`0tsVTYRZ-hGQrlz8z&7@U=QC*Ywd_7P zJLVQXw@FqkMI1+Y-wE>{s!V9b-}JGKj$^#jB)Ehd{xW{1QOlLrkyH}qvsPlKkLL~M z^X1t8ou{944fukTviW>~`C7Qr&eW&M7j}7I@@Lo$uGjv73+A*Hth~OP9c8Ef^Yi0d zU6;$GzW{A7p!-<109L5c;bLepq~R)ADi6TEdZ&Qql1kGefZvx2KE*GPjFjG-8v4Kq zwAx^w1&c~c?NoMg&NB~=&ei-ZJB&3OInr_t z?a_~8Zt5Zh4Wj%kKVK~ydI?avSyPr3{c)H=lX7?T~WEf(0q)6 zmo}L+$Ild@ai!N6eHN{cG_%zSc5Z};pp471lLsn6>{(r*jHxrovkNGt$aVf zRBDu1A=Qz1S(&@ntJi)mDxO)(QPL^S_9x^U@dx>k&-h*3^YA^Vxsn~H9=Xv%&9}?? zFMDdmiMwOtVY^7P%tQV1=uis+U{EpKIW7hcLU$<#; zELU3gO77#jBF1S)Z9sQ+J520py3wwm*;l#+8sg6dt0tnvsu(c9`u%~~T@=Opr!IS0 zec*DI_|bs|f1wtvW^v4))z;8?5q<^Foi{bm%=qCZKbUQfF~RXWIB5z7C;X<=HCm!2 z7RA{n*Go6y3m2}&z%5^^5rJ1LRiP43c*1lYYw+n{BiW#@fy8(TV(pwX;!aCNq2s;H zhIsta`O|xXN)1c=)%$oYzi71VIq)aTfN^DVu5-XGTl+BdA$7IuV~K9N2l}PArL$i& zmfq-jwoj9?UiY4Wi+-hbLv54PM5?O7dLw+e^@#jS`BF-XVfko^b(OPD&9uIMxi9N@ zuX5S+LYYD5tt4%=Sea`ZmAYt-mRT@_#l6{vN5t}tR@Y^sYH6lbm6!()<{V(@b6}y(-q5H{7w$6&&b1`O|e?l)z z#=G9P?kjT^0Y-J!GB*v>-LGiK$E==!p{d#E|;^i`Dad(-ng_is%SKZFGU`^49juQiyc?uRe7ib1>Myf4#m z`@XlUyugmf!n^Ty({_4Y_lNjPx4kDN0+3-Pb_cM)?(E=Az^kitq^Pu=cg~WX{l>+} z;aDd|V2+(XkW)^t>$Br88YJgZL(|N2wZ3RG_jq@?nkecQlG`u*N-D&yby>w(dhAe{ zN}UOZAjU-5X^qZuMNU0riR6J<`nxAu6-)$bF^pS|j)QJ?wKmeP%S_{?1<&6U8{&nI z1HSWWiT2g%eUde)BLE^@&AUDMH!wl2Xif6`R-JY=qIBj!(yBnKes_CXzN9j_0%13? zjic7~C=D3zGldDZ2pSCPSPkY&Mq+Omu5BP`q zXzWhf6#0I%RPPg~Jn1V`%zpqN5Qy6WOwFbfxPIKfVG&|kmFJH7NFNM@vInWuzS4P^ zd;q`|c+!j8=f_!lxCG>xto+)q_?aDKbYfUqSNB}ynE^A1g24f^hqhW|)O;ynjEX{# zMGx_yy;1`qUp0`H99>(vyhv8!`6!p>Ezt`JqC7Dn78AO;5y2kv?8p+S z$me_x*l2xk$l$)yTB#PCq>~JXXDu3ge{jjmpZN(LSFWnc^TK+FKhI7S2Hf|*H1M~s zO4Ng+Mmt6nNUIn5OOcv2yUcIXN;Aj=-vo;JFlpzC1!BYrasT!6pa`+Z<%wk%yxyMx zv}8Uwa5k}VgPK#;6_ZLp9vL5?d=H#=&sGnZWS10`|Rp`xH&}aAw z1%B(?Ay__?t!p(K0}eSFhYtk5SpNZy`n8Vpo#^)x;;ve@!qqM`MYB``YJ7uLsv@3? zE0r%%^z7h%9?*+!y=>=Ryz3^ku>2s%Y_eHFru~ULOPVBW$#I`Ny`)gMr%5VfMDXWA z>4e{Pr(OtCofKoWT$<2zCto3BQ@~77=9U?u8o>`6LMcfD54`RRj#-Zsw#Mt9O0h$H zzSuzb966PYpX>Fq=v-Vo?;3 zt}B{#jN2ynODDCo(k*RYP99JdTqV^wu_?roomNVE1g3d3jKj_;{3=vwVAJ&q6<|yM zQbU3(+jc^;3Gu+f5(^RK3tp?d&HPs$I=}Qk$sZzdJWij$Us5?htCko)FzY>h#^;#I z)#cY}8{?X5`<*{mEg`?CEgX7S>hH`tIPC3$K~>0`#jBMpE9b^3Sz7LH-z%szMJX;T z;vIwmL;B@6I7zP4e9(p@^QYBCmt#y)O)#hC=DT-o7a;|w&f%_J`JDNB|1)(lNLe6; zx00uA;OG|M;#cvZmz{bhtA>p}@0Q_}eU}|6-pM!G(xaB!B}8u@0t|)cOA)@Y42GuD zS#?y|STha6E~ZVI-2|Wc6^HbEngB#tX+m%rBG97LX4Q3~C@?Fo)H)r)m8|#-(;uFI zu3c4?FW{op)w8R2F%dI9oLI>|L2!9h)!ad}oMqwO=H}JvtkdeM(oBt&nt(fY*>eR}c7|=fmIa>*5IV z`oqB$V2u}FIpi!H_mxJ_x@Q3+mMF^T5J1~4pQ2av_-=-PCU{vr2gjxW5E~!W!e@L#>`jzW|H6l>pRsB4SU&G^-)Y!mW>6}@y(^I%% zX%I>Uj?x1S5zeGTX!-?tmH3=$k(FUe_*yb+0{d(|29WBZ(mQ#|fk~312Kp-8v>s{A zN`BT`aX)g(-f{%on+%e3&Wx#L?rn}ge36s{y?mXPlIN(h7V(n%$)=o^+HCSOw-v&O z5o%3+hM%DL@0!a5E{F3vRo%_`bez-m=y)8@htE3Tc1{`by7p0fUqw7L>vgKw_H2%o zv&8y+Jx+9y4gh>1&uPB?hr0%JzQ>s=pt_4lg=jAB3-LHgpZkX%PWaRYqCa|7$;hh>|3@etO9Y0>Sz9Ny_OTXtS&qGQj%@V3+|=8 z^DIr$6aZm(SpWEq0{}>#1c)r+Kh9lnZn*;jKBakAqe+HHnFD4DN@lwKN|Hp% zs*N4`o#rdpw(=(R;(%x#hCA04{iwK$wkS?`#i&MmaJ{VTQovfe=`|2om+0;f+hf+C zhAEP1nb%wM74_7QbWC~mK$1Jx{L5kPPCuM=?ZktRobP=0jUG7@s5+OPi93uMO=iLL zuiKdC&3ElW{sDc~CVyYwYa-8n^^t99HVKHi6SvRW^U*f-?Ga0u&Hm-8vHfaUw?n|P z$%1c)!kJLQU8p$e97m{4Z1u~zzM_^TtlrpLn@nmMmBLu7)$Y}u5xMV=L(TTDuzCcG zB1LS{CEpTQK3tEkeOc0RCcD@96+yG#p>~?+KpS#6e^tgGs!r+r zCna2(q$;@ZoLTg%TKPSZbK+!R@P|3hJx#uM*$hit(}YWge(+SK3;dV*=W}dFI@d#Z zKE50$t!r`T+Ip`$yZiY`lK0B1f(TqBV*U+@8T)>zmTK7oOc_rO61ltYz%c3cpU%0! z)keno2PE^f$%q8tlu9%Sy+I1RNf6W-X@7q3?XOeH@KoDQVsd$pdHDR0bv}Sr*yPa; z=LH@Rc^BrB!rM^ytOwI!x9VFO4#};o)Surh1um`x%_Z{PMJAdAxp10k^nP1$?eZd% zCkU{Ocu^3DiS{)wdFEicVQJiD+O|o3%Ylykh#kTvzPpr!$@W;-bMwtKz^zulG^^K+Fe@_Y2!p z=a>0q<(WUd`j_n+3Lp|%9~E+}D_SiH%pkez^*n)8yO`VTqP2FVG&X1-zGOv za8dP&t9QcOEt8E&z4w)r?9huVj_+T;D-2H(>UF7+ad)WCood`nm-W7q*fFuwuR1c^ z(`sK0#AsSvx?u4U?YbzDxBD}LSJrZIU;=VVTbAEm>_{U=B>l^@L_MX)d>0EcIdHY4QlS=pUEkF_msR_dK5~Ut1o|Hiq6W; zch0&2*g>a!9X*`FqK#aC%x{Mvzh0=|^+;w>M9Ju@uKj$`)mL*>*l;zkDqYS?_^5YA z3_F*PP|ZY;TKO+_yweif;QC)Kc#rLS=|Ab#v%5NeTie_>%}??Q7O@A%7Uw^BZONI` zQtY^sHz96H8Q%P`wQo4`xJSS{>llu=M^YXwx_*YW>NOh<@f|&UB%>O6TZiMYQHY3oGZH+p4z>s1 z;d0HRP;vyhTe959f6R>f{Q0|J>KU9|%{Z^TKnee_L6P{r{^H!|vg45ub#z@@5qt(m zN4Mu9+0iN#60-SnqYr1bNBVIFH=5G&7%gzvMKU{stK1@>+?UTzEw6=%sNzozeh;oJ zd*EsMsORlv7bn2nyF>Qn<;IuXh9j+e1e^QPB0r}52fMj*)Wmt#yG^xszgMuw%!8#_R!bc+I7rTj^59~Pa{P5sOOqvrEp)lXo5wjgzxP2F^M&}eD{{8 zDMatpqF~|~99PFN19gWfj}#)!=8M#VI3%K51ZBOinoKJ|A>5Rf#XEz4SJ$Cof88>! zFJcA+n4<1z7w0%{O6hGlNX-4ZulUwYBoSOaM5?NovguOK`wob|HuzU1bhL`v+wH`ZcKHY$(Loa+&7(*`wiR6%Y4O z%6((%#nAUDOki6}RtN|Y5djQF0wVzbK)^o)JP@#{C9t7oczQ|Gr{B|?rw}NQ%zyT& zM3AN>F9(({w0&3|&30nyw-`+M)1CBd9#q^|qtsForM6nq{*p1BVK|)*s*v7Tx{Q(a z0zo$dcOH6N5hb$G`$FbuBm<-TEukS&`PMKol0eTCLeldQ@`PkO28q3Sl${%jIC_P? zebP^?I(VQeWkGPd3(t%*mZ8`KDC~3L6_+PA0X@SBIzsojW_sA>Rw>^P1zE&CPq8hKQG?8T2LFVwlq$rk_*%vR6msd5?orT%q%-qJ zv!anRJLe?pzH8vPfy;IMD~8c;=nRWN&qjMX<{pj>t5li3E~In&ckD`o2Oq&eX@}pG zA*kU%&b~DBSiMe$=9@-DJB#K0kSq3Q44HOEdf$UQs_(*{hz{RKIh?HkrKNLzodxHb zmXUf&p~kyBQ6AxIlT#J4_{P`Zb|D>P=2ZLw}x#R zwac%y*LIwvGF*G&@vnkHB7aM0Z-@e=LlK5H0-kcp&Vv7?3}P}k`UpRH`ELa|{Q;iu zQJ#pVX8sK21WFU!xQ8;2WY_S@+Q5$mh0E=viAYzQ-lF z#sNwf^?6-WBLO*8_eK9cdLMfB(~Da9w}R@=0iMSQPekdrZ;HM?mHz+KIIJe*+zS7I z1W!#aN8_S>UOi{dK;J7ak0iFUqF7K!w3V#^YhbzYmPM4;$?C9x@#|C^-<55Dvcd1! zBCW%(+~PUZ4btfA5VWRi;1!N{hl-MA_8fDhTWa!9%)up zU`A6QQe_}AmCDzF1zWd`nTo)c4^3htf2j7;caW#%J+3a~Yw zeV#1t!_&>sqQhC0e?VJIc|cjG@~5(@l{vG7p^nr_wkaYTyhD^;|9k2Fo1F6+dP?;0bX(zFDpRtjh-kk35$*VNMJ=Wf(LY^a8<98Iy~w7JZ3Jw8+DJ@J{LxP6Pyq1YtN(xo{sFy7eYCvqy$Jv|fBXLQ zx$_g)VqpUK8z_0Sd{`p~WZm`;ntRI7uak9qj~B*CEUw#eRhN}GUr}x%ViT^3*vG;FjC1ELgiXyz^2YO8ALEC=|{U!7C1E$n}C|NuF zrN2JI6Mg&NJN{+iy@e0y{mTYOu|51ti&o&jS&-pC3H2xXlX3SVL{nEWf7wCO{`_U{ zv4@Ve_@8Ra+5LwyBvSZ4b(53-n~Ea+FEvE`e;G{1q1gcJ|2`+$t8?I?fl5K?|9xEV zEktVVzmNZaJu(7G$bTP&;y>m8R`u^gU_IMGvfhn21-b(Q&`W?TmG|GC0(3%3ufIK! zivFqp2oI(oJ@rR4gkVXT)Mm;)*@1X4i&6v41X~s}VUAB^Jw}h-1tOv$(X}+Bgi;MQ zgD5p@>0m4#hO|YV9fW{j6D}aBid)S)%Ve#Pl!nX;Mvc0BOZKym0j)&gVb_K&hxOrr z!yo9=i3_K@v6Sq}80ka6h0sLl`R+e`8>kSwWlIJ z@aIXllw(!&OQep{l37bwLTIsFaEmwV5~#N@Qb>xJDVfGRt0|u@3cMkVA1UDfygZ;{ zVLzXE{9s;p)fSm2inuUB75K zSrU&O|NChu^S#JMWXTFtINXF1Dss$__Q+s?l6kRG4E38M^TVl-u2}=Ypcxj_i=}4) z(BqIb1@5vsG=p9A*pi&>JmUHrUiA4rfl`Ki|Q@<@V4wU{r_$^3A$Dx$B&dtdgTqg$VDR>qKaEk~GgLKfgp9r99ivyn;6MSAJSpMiONmdXy><;B ztz2U9)S=8PH!)KnMhF?}HN*$%h*DmD<7t)gwH4Ed3LJ^#K8ym!B;=ug;{7xp?B15c%Np<+njcCCX(I!{JMdkH2fuqgEpCsI9IdC^cd% zcT>VZ;be`dQ|W7v$$Om`_c^SvHA_Z5RGCy+tQSY#NW{MpOj$WquqcVl<7jWL!nlpu zM{I<$-Yi9V@VeMY&YVH%G0G04^}|^LG}PvIaQRx1!%6va#qkNc3~KQFK$<(2AKAlEAiQLCDNb=v;`mc=r+i*C#A4mxa!aq1_G2IjU#j>1Ze0rSn#7Y;L z+>05iK{QMfn&p8&j{5?`sp|01))2)>Q@+qQMJQAuRI^_2wtmV*<2_WLk`d7$8GvZ< z^EQ%d#)vy6E2dAO2cn^p^;_3xY@-F0F4&QYn*}8x^u36QPuNZF$tPlJooBnjt4i6F zX<4B3*V%MeF201(Iqctj@oXNjObZ6~|H=78DuDDnh<6vdL{1FsRa5fjl3^o6^$4** zFt1?ZGb)ATXvprhz~FoE22a#hnifCSXGC3%BII5lBxJ{-up)0HC=Hi#U&6d^sN5zF z$PB_I1g2+Rz{f)0u|V$+^+D(hHJ3sQqg9Y zo4hJnK|LEsbaf#IQuo8=JxCs-{i?qN>XW2y`h8PKg&esp>q0Jn0g=Hikx{mr!T-e> zyL)H-rN@LEWB*Rs*oU?($?LPpv#G*{%t183DDtA~fJP7UCO~}U&xY^QNYksNNG)Ea zxN7Gg$Fhrl3VQ+2FOvXN4Abi0_ohiBla-tnm z`v;MDBvTtP)IpEuAQjS$U5vhF#+6bY(5n-?R=%Qo;0Utr*PVI%h66yDp5Nf8#X}_n^#Hi7GSa--+W!~ zEf&x>?63ySB;J0oIuy2~;emo=TzO<50dy$QkYm!oaFKR)FUwHJPzM!n9`+mtb_g!0 zul7v&tyOt(1)|&?4dmdciPW13nZ-&)L6`2<)CNp8ZG%XlCagc-X)!$HDRZ|a zLe;nVy|w8omK0I%7D<3B+&V(0@weZE4KMQp2I|J!5Qg})lS5hoyGmo7&m_fPRCJ|a z*4hyfT4OKWQu^@~u-Rt z`a1gMsu;n6MHQ}b-~~fqCSJc@pyb{&+qHNs+?NsqOr+sryx%`4n@P*9g$-1I$8Xr@ zXr~%+u->1oS*Lo^lfwt7r}p)m+PFPjQAO&Ct@j~l>@x2;gwv9@CFppkT&`pYNpdDz z{{dkt`g6t6&pA)U>qekyK$OtJ@J9qFk@`(!$8kWC$}i0AbQ@pSmxvLeDIAW=p1;wT z{|CfQ>Jqx3p(dI!Gwp~_>6N3oM5lrW%@F2DBv#-gZVhY1KP#zzmHZpucI}(dJEW+O zRp8`h`O5R;UQDzibImGC)-cVNNDR$In3}wQ#^*WKbt%J8pXwAgiWrGZn3n~8i}9*BC1n@u zn;0lk-bBTbFR>RCboZjGy8A8eHz<{`@oLRxz8kp?!(&AZp}Ls7jvbZPnCa3(Jn^w) zC9o>3I?p^h9rpx5r*ZPN*sh0;1aq7M@)l}mgbYgf0Fq>>-3szZEa7VD4OI~P)V+Ku zx(vybU2qLC4)l-mpjsmc6zSM8Il9Y|q?mcQpLi%*^gSshJ zrH$Fcw^&ur!a^>i93qkoN#f{L-eQ42V0(RLV07hel>qZZsHH3Ftsq9KOVrb0y@Lrx zb?rHVxZS?Mb8Uxtxe?8~-bY&*KC^l=P~H0$?N{D&@i2?QGaF_ozKUk@#i4AHgA9}l zUrU9`AZJfK!Lck$mNgC0QzedJAq}w(OpgCS`Mr?vUQ#54{|(3n3GGinP(ulC`LVx&{rHjK-X;#%jC&{)uF} z+=s$q)QlL|7)2YD6z{iN8^Fv2Y6$il@L_PA+7;o!LA9lL%ZTa1fFECe(bdP8Qvyj_ zqJiqAB=DIGYR6)6)?u(B)M8l?fdjV&b=b_~1OlN{;ssi-@!17DzqSUl#x`rbr+#fm zRhl%WtU1Jroxf2bsG}xg-}MGW_q#B|6-=%xBLrgHAP{y;AJSCaDX=0u8g;?exFDDB zBep@~;fQGpBqKe#il$8i8ege^+u^8lzek{8 zk^;rAGoh)Hpv`N*vYm%~(eeRhJw!2-K__K$Xk@pnU~W&=vk}_NF+T1(Uq4ABC|HiD zre+s)P&AA;H!TRt8-^A^MpYNvU6J-VsgJ@ST_&vdoh6}^Rk$$-r`>}>K58N=qg=hT zI)AgBDNTG{ByKm2U>~6qYT@Q8a%0xmW#VVJkwv)`i32rsJO@X*^Cg0@`zo3`I40Mq z6bzzt9JN(lQKr9`O3s*Pg!;0eb*|Xi-RPkFW~gWl zuk*pe=E2|*N*jnVPAEeRh(p(yB#X25)xgz5*d`Uz>ED;f;QFARw}FWBzU>c$ivo*t zskp^e-Pk4#@V3m2Tad_4Yu*4cg#IZVl z!&y_#D?$dGZe49|Pk|U8Z>i%SV&%ehPWUZ*u4CjaEtvwQv-{%k4Cv=UUkX(@V+AE1 zk`(DV20xbogW{cdW*7NV7)pH8)KqGDqpUHQx~lMZfoxhB2eP->aSfPo6(uF`&09n< z}U(AI8Cd*C; z#M$c1NP2$*w}NfuFQO|HkrlChu@BJ4P~s5|5eZ}nA+#yhyLk4mh$+75&#DN-LlhMR zi+RYyY!FzaL6IfDGQ9aQ#z`F)Ya1gPqX`=kI8EyeHb&_cd{OVifYXllT~X1P;519DfOv%KjSlzwK3xpKaG4Urm!2CvcSla<#8 z>Nfk7S7T1>_WndX-i+Cc{RJlQcg*O{=n5OG&wQWVY&f!_wkR;1-RzIwf#0sRrPuF| z->$X@lxE8P`~SZ`WegrOx;~X@mk+lAZjq~bx;vWI2FOtyh60}o02%SPRJx}hbIXC! zaq~~?lw6;(lNPd@>4~3sDl`G?p&EKmcf2A1_%m$*cgPef0OZ1->XgRb1n`D_dMfvq zB_zwS{mTS^1Aw0_bFU$4pj!e+z>lh@j})L6{wN3XpP)Tv09qknp0j$QNCKM7SKjcBrE)d+VcSS zYjhBw6&n&(&K&QaXESt~48^xjP3F6;BtIpWY$F+tX>ea%j!Z(I>n#o5K(SEDx1e;w@acB7 ze?Z(Qq7y7zB~kp%YB&&R)xySbIwDJYUJ5dU%L6CV^2W2~PLfdCan1Zi4qxUI70!WF*+JGNjGu*l7QwlW9LY}xQPc20kpwz z1vZfsX!6FVUBMH5Dp0Yq7bW%2Hs`xJe2{EO3fTZkZdh8TEkYdEyp@&VQT%u3!hEdUJrGZX%@EbvanZC*WP6Adc-_81xjJ$-y zFk27|SfCs0(`b(A=Fr^p4Ry7~Gx}}DI}rv#uww2z+K$O^KD6vvT&A!jW@N9+%7rAY zSMOEv5b0i~Z90J~u>qkHBjR~KTce1@!!nb(Ty1W~2#-$A8-$R)H1|(%mR`$2!X6) zKe%O2qc3?y=ve>~ce%xIsg##(lr|HKY{ViD3OVBi*~@(v_g>O~#6?~3S?n+ff;17f z{krVuZp%;LZ185;h_}a)q)1>0hPbL)7%0W~rXN8wa*=ZpvZjF;k(3qDwcJI$;KJhg zBD_d6E^YJOhyqxePNqKsk8Tre`C)Vb*~h4oaM0K=5I0gk z|ETi<;Tt>F>wNVIRbhfXSf4Sbfu@o%&=6fE5&VC&vF`l(@wcHLBQ+rW+87pXVrxS))9g7=2 zhG943@5YLLaFa``eA<_rR;Uaj*d=MxT@F5??* zcT4PgN5e%9B&5C|{tRM>4C@h%LK31M@9P9t*nrC4?U8)OmpbBseX7sQcgh$IM??c# z{L!6Xz$3M7ddm~&S8f`p7mJsd;)uK_8i75BXd_baeHlXOaWEvwp4Ipd$Z880X;uqL z5smx8#`m)u!h9fvtX1r#&6>a^H8j#cuvq2~g0B}L38=VF!uE|e#DEwBIMwBPk6O#B zlArKK?2s%ogTR6rh#M$qYh_O>+A;_SEds}@XqHZtDG>RXUWK6>`3q;lG zx@1@39-!}Fx?;rpsl=Y}j&D5e2sRtb8FxDB$tmj)!>kW)h@hO$b!HGuM|yZ8uzO#W zqUlegsaJ4|tLCaZLxhRXsz3|428H4K zB8YW__#@3vk#bNEBlE1++V&DS?*xb>((* zzAb)c@9h`F^+UN1Y|*0>obvj9Z28v_&FBM~H9rW}-r!1nrXhpDe3w_0c?0|B+y9Efwu3y(f~ z?sG6kRB}?75^5ufo)_y#VbVL}tnkY4>pX|a ze`Jbpl-rFWU*XRZuDhQiHZ*$2Qq=r)~Y$98POAD&_B z`0ZQGd^j3o&hP$&hUx&}Ht3@fv~?ukO2sI)Ve%#MRC@Pj6-@up@HeC-n2VRxkrZ}T zAz>bKWbOu~c72&cW1NK9Oj#bypk+ORzgFG%?Nqf)>p4^lJ=*~z>`?FgrEH@$dqB9& zvsn2nnT%lK06EoZ`2qc~I?w#!Xt_UhMa^b9wWfvf5y}#q(M$QO&02xB8tr{M|6g`hQl}!o)(wB5D2#;`hhNo&{p!> zD>GEi6BLJn7K71;d;k*U`8wq!8ef0IgLEl^s*IQ8GtOV9KQocXhD0{vomfwHw5usX zHcvPZRGS+qX1XC`9}H2trs{*RtE3IQLLKyTHJ#tMVi(qiP}j^@$4|4hmq8mt2Ej66 zF_pzFAmO(tI()pNI5F69eN(SwHcRXAGqxdHpt-#1e(^OLiKgaWicamzQ8hJllj>1 z2pDCZJBUcf#9ydX1a3D$>GB?-kpI6K z*L8nB=jpg&{SEj-IpcDC;28DkuN-APZ|Pd=w!#lEZrplSj3Vi-HWPtcg5XgJFe-aBex&Jr-VHu{E?=nJw@7X+fg zeFWUKM22xR@{wdC+V36Hb3Nus)z&FvmpKL)igXR+m{u;lgjA&mJ~Zvezl`9tctTZ& zR;`#q2HN zM$%-)swO{P_58l}c2*t)e3wHkzy}6|#w~-=^Rh^0+hw$>?vzcINYIM;3mLno(Dg4ShXi+gsn(Lg zk<`dE#uRglFD=F`q2lFQx7z6j0u9+`xbsVl!+qKXZA6unKZ*4;8og@J)`_PTxxXgwmdbBBv1R!s-w%-X2#VQVKLt>XF z%>`G(de-sTF|>dyDs0A6Vs`<6yQeh6jJc0iYL33bsVj6ST!X}ds3YEpv;Jxk1ub7F zmnr6o)^~92Ea`QMysVRk5hITuSB)mc`-dBR8K#4ZZX6N>T%mvVv%F!#9>NR($8M4` zFt8(S9iqHWDom}Qq13m98_-*~O^%7m?KByt`G^0dHbiqgd0w&S0?8Y_YtX>u_+oUm z;Ys#=M#3(CVaJYtS+&w~Fbnu3jj|H@x0W4RtKM^{Wji_@5tjisAk^CKTVXPXw#2Q5 z|1*61j}m)*^gw8B^g_y8y%dp)4KhKDFE*uKyIIP~j~e2H-`r{(NH^&m#7XQ7x+6z~ zWcTAVQSU8EE(>_=AsoMdeT%RfZ5X9@ZTJPGI(_5vPuK3q{!?>2^1u;1K#!MEJDyNR zh;#6AY%dR^uT|)sjTF~yG$}g-3?5nxHRSw|#QgwjLXz%o=9B3@L7x6-3T@w75}E__tVXR8mN#pMq3Ac5gi`69PGwtI zrP7GzTI35J?*<_7WV<>6azL6NZ11R2OiDz5L#o19TJ-RFyaJiV; zNEA(*l>JcK?6f6US@-U z$}LUpi~C1!amGyV#Jxa+RcNF*>z0!DTVY2Bdelh|K}}i93*^14w~V@j6S4D-62Pgf_r#~`vdLwNmw^^@UuUY`MkYjpl!b)SZWle$$1xtY@%y*P@L~9e@ zS5Z$!>WS~h0>U`ew(G#rHY>8FRB5p{GXorzW2-JPkk&}$Jol8+dwju`T6?Agn46B@ zvFxuWv2bTqEjq0iyAw}AFeh;(cvd$4l=)`2Wj^NgSH-cL(v~Ct(u1a;;;DR*RA0+$ zJofC4i@INdQKs^P2X)dM2)A_af{y+Vheo6rAx$o^o-ngD=WmM6drd2#MP0@OWo;YK zn!hAOO|2((OLyG8FsqBdS6__0_kl-ZC;DW28|1&hlOt-;EEb4I`om2rKgTFhzjUS1 z&Edz^n$Cd@fkob0D_uxke_J@d>Y{hDPwqcyR3|{dID$`T-vd2R|3Md$IiOI9upVz6 z{FwTfp6!|N5x7oQm$7&5(LEIRIZC(wnL0m@dwVoQ= zv1va}t)KffWf~sbqo-m}A{6@>@3F*y2z;kzWJCQA$7kov;`BG4b|5W|N==8|o=Yea zdpgu&GgW8ckKtL~jM<$BpCVU?#Gt-U9ZU!=^i<{Ymz$*egLo2Mak z)T`^y%xsXrP69G)*ju*$QVyhuoqRa&4qD#iq5mE$6&fNnpogH4_5^qo5%5w903dGrCtZSo+}8fvy3aiyv|zs{m_*_NMiO?Xl#qx3r3#TL?) z(UDpOsi4vL|NReO(^2&f@J8`()tE&;@^SR-y1O%!D5DR@q?8ZzbRr;nPCB4~ycMxH z!>KZ>%49<0j^?xeR>Q}yphi3X*j$Goz%4B@c~C%YA!+wu1L*s4c1N)n|L&!J9_POjL6ofDn` z2ZF`U|uV2=7Xo z>$V~ED)zFmrPY4*kH*Hxdip-*79(6Q9$o0vj8F)&Iznic+_v1$$$i=)H=+piAwl$S zq6CKU-)An#pwZFl=oy9u^+1WC@eJY#SFv1QP`;(qFX>>PlQ&OI$wR!iM0iP)1Aoum zM&RqaGXfVPXu}8m2r65bo}LoW@SHnkDV$ruweY8A`of{t`lVXkG~d}DOPNBTl#byk zDkOr$HO_TPv^!Szz4VoA2S)+_-7ULJ9Le|qjRjyH%422$6vSNOy*gR;wv^){XRq?` zus*n#N-X&0QxF*>4jIeP7d7Xq53D7|#7s>|v;;jO=borQUZx+n?T&SiJ;^75n=-l4 z*_|2o0QQ!vDqy0uQAuATJnx*kUr_u{Tmq5bY!3puUWy7-+gHUfoaOd+9SWn$1ZPF zatbFr%#I8{tHSx()Ge7g$q=q}+L+Kxs!Ch{^=AQCwqsI`Y=e*6%kXQ}8{waz6v}QQ zRW0y%B)l-`z-rjMf~U%GzR~O@Zk_(hP*dge&UEC8&NW0CUHE?BHz%!|-g-UbqB6Af zZw#tYRcw*Nk*O3^{5P=E@+u7!o*q|BRP9PYLG`Gz`vWDKIx7VX!IO3$m0CaA^VMtIHVq39fFp-4DX~=N|yE;SH?*w)^sGP1#u~BBv=uhZX0KKNM zPIpTLQ?GdA8tv#DQD30}eX-*->BBtqQju=>6C=1?!S|_q&Oz2I0|53+dm*}H8jCaQ z@;{y@E#^oi4$IKxRx6Z(vSs@lR!pV8F=_??`zD~6!p~E}3`bcfTDUBL691<7DfT4V zCUOorsz{rmtHmv2WHBn;F%5mmXkOI8`+>P7o)!}_)bx+d#*T)>(0`0%m((DUHPZvI z82O{u(WGA-=l5I>6oI;9ZZ{5bD=(B4ak|+o?sd-Kj`xQp&4h;ORf=Xn9a@ZV)8%=f zbdu_NbqT1{-u1ZO-?l=@L2~iX zl^fF|EkF63;EG;`<9l|-K4Zo@@X~(9cG0bPY4bw;S5>nM*ZS5zB{WZ+Fhzsl=znVz z&pdWewS|!q2jdX;BNqjNE#zk#->4R#HioR2sb^FGJJD0uuF47hXC)~pgg?-G&xVyCucWdjr=TFst#9Q|>vVJ;nEK9T7yzF&H_!a}>lkNj zC)K_7zRIX#hBG!T1Wzg|RN*8SoOH+d2#?EqBCG#2t2;(Z9fOFQ+b`4Vd|>umu*;cy4{Qj3wZ0uWeQ$3*Y{kc~){`Fq6mQ;-LvyS`(<0K9PF~kX|b|mW>r8WYh zb|EebhMrnZ$rWfvQnCj(m7bz4QL4L)e$ z^PwjFfYN;)dfK6g#~@Qwc6-mPL!u%vjzn3;hpi;Am{#$q_rBPZf)DEmHim*O*!iBr zy__#TN-l%{2vR>h`8!|WIDeV8`R<|D;`lv%&Zbr4zny= zIK+_QIf6@Pj82YyuFE;xlqi5y#j}Ey^HEyMf?Xpc;-;iLuV$Qh)=n({f^e#A2=lTxY*!?u&wwTI4W#CLa z>{$Zv-r-qut<8jy<-iO(O>)WOt2~)uwNkh%mI)}x>1Sx%p zM<^lj&@FXi5M%2^qJaL5k!>Bf3I_Q7gDV_O!hYu>77l60P}xJkOO75XN`nz|x5weCfd& zr3)wrrOSA00sjxXiU|0~AREpAAvdI|DzcvwOiT0gFo2_A8O&uIUx23YXEcYPr;-lK zZ1%^HT;#+R$)OvUPgE*Wf#~MH8N(JY>ZJl}CaS@|qBe_S?pu*9E6Tq+^K3|$A}sWi z3b~G#$JFH;xs0G?oSh7kmej?1emFc`$Vjn3u&-=q8dp0kiQfhsZNW6gY5D%q)wrXB zAWI8N|6?wc$9?Mwqs!b$emNm&PhVJvLh8romN@rqoZqy zd2~|_+6l0moJiNKa&yReRuK4O zX#>?CWs}%=VrJdrB4GHPcv!QMAxY zaU`IeWS!)S?W{+*icGqb5TXA0h-HZphJjlkav0MDP44^rMWCO^ENwWQ`3{mM69~-; zgbAT3-c%CWA5LjR3lp((tR%bCJhKkk7`2`jx!GXL# zM9#U|KN|f6*wnp*+(ag;Ntm^uK7LiCy3iM~()GgtK z_xQU&{?yQ+U^RspZz*Yk2Kgl;q}(j_g-=3m-6W-hO=-A6eB+G~`wmEU#^Z_} zzd&_JdQt7SWsP}dyQJcZ({Qs4Lx!U!|MJ!j{VWE$clbyer41bBKYfH41tkH1cG|eO zr?{#q+>|3H5PSoU>@&fzOhke~4(E!3Qz#{f?8K-)RH^LV_)yq!bxKR-+W?m%DJWTP zb|RT{+}Pe*8=jFHHgHym3zT&W6*S40nYb7iMnj~seT7!j7YHQl)T1x(L}Sg`K1N{B zz1M`$Msd$gOoXn&l$ekhUcNi9PIzF_AqR%aSQUOeD<`P#a6;CS)iu-2*lYLB3}HOhZ=Wq06s~A;LxORb& zDb=%-7uwf%Fg_()Yc&2aqMaunjsN?-fYGiWD_E2P*ZMWeLu$vlYeOf-Ts{6?kr@Z4 z;PH4u|j}?9EGpf3B`K7!=5TJcZ7|c{kIKLUVMCe85J{=g~C}KCoozsuUvREITr_) zpS!lSXJxQpx-PPK)--GUJ9dbt3;onF6db_^9bF+38I`*D+KB;MBz`ud?fZ9-Bw&wtZ`*RH>yIv2Mt} zm67~dTKd&R3<@wJVe509@Gh`dnIdU&fqt@7F*}6;^@y=2Yu`HCx%-m8n5`!n`*9fT zCDA|F!5|QJ*e+0w37+xu(ow1^ukW=M=0KGmq~vrb!|^Qtg>R*RF%RE ze}MVHhXL2>b88U+ArbuzON9Rd0aL*@mK5Jcf92xlZn?T&IWEY)U?DA4DC`Pvg50b4 zInFm9rF?oQ&8C$KEEU^3mI?(jcnO+-?AnXOOH*v2ff}i!wja)jMsH++@q*@^n6U3p z8qi0@VSRkybd*J!HPC+47(0Zt)ohNDJ|S?8mUWUZ^!i81Ix$DqXo+Tsth@piiFT{g~ybH#Bj^T=~n_9!id#5}^4~uOT zh*Frzk4&SkunSj)CH}I^e@<*G1f1OQ1jhJgjsvTg|`YDDe6dC$i*>a|HJB!IjKZU0W-#XZ|mFT5iqZ-zH> zE0cs)h7H!*x;KR!2v)Sh_b!-@hoXUlH^OU-p$USAWO|K{kPiu}mzh%s4KpA4hiX+8 zw>>yyPl`rJPUyfPO3#tX5n*<79Zm~^w|nyJ*;k9(A;+4sIh5G}MTCzn&x~b!o(>Yx z91_2CB``EMOeZV+2U?=dAyXOcnOytNxxwh9AQD;6_jZs<-HQ1#tgSi1L0q2uiN5*S z*VFrgBAP>dmY@@;at9=D3R4bI!Sw`xD+{Kp|U>fq{N8 znWqLB|62{_s5Rs|h+gB?0GKE=H)i97_-&eqKwqJ=xGl%N)`J)*wO6xb3WTGOeFoxd za+S(bktlwb{>?9lKT`g)o-e68>$Uu%@w>~4>{+W@pP{>ynOmm`o|8~X>TeEA6(#XdClRfA!Nm}ZkpKfoxkB?EBP`do{+D2>oAsjgP~y45MfjP z-=Q3e^j8Lw@mkex?w^bccO4{pDY}n8OGN3z47)@>`y+X*0)mwEsBm(owrPsh7=%I( z`2H5r$w;$C^Z_ApCY2aIKs|lOLmiO1)xmz2m0m7;=F*ihE#St(_;uXaN%TES7i)+L z{ios>2PW~ZLeEB!%lh8jaRI?Mho=4V=yCo#$L`#x3t8SGT~KJMA!2mp$7mH4-jW?z z`NkjSd!%s0YI^TCiJ1-Ed!7#%=RR7+bYc5BhhrYx2TCsc)COfRo* zsqSkb-q+>mr7=ez)!_#+L_bYCL>*SR@xZOJy5Q}|u1fn-NH8_%h?g5Xn6h;Bu|1+V zECd~5u8Oae{3jAw*f@U;a=l*U9C2}(jj8R}qk>u5I~F#9Gg*~JRKo{URNCY39I!sv z>)_Zm68k}GsXJp@rX>g{9cw+d)A(C6Nccj?v_g6xr6c&Gn~Cz)k-=Hv;!v+MBgoPK z)ZPg0VZ*8J{4<40yJZlHee0_TTy4jL+elSG#3!qYU^!_Z`qC><;eb2&Sggf*u|AOS zAUC$UdW8T29O1$%D!q^vJQK2h0Rt%-HiUUMxAj0Pg@Lr7h?{7DsWz~K@RaAS(~J2#1REU zRdZ6~c46mEh&~ojN*JA*gUUh9i)o0pKR;-X@A}&_n_#`NCpBtF8FKlG9A-PjOU$N% z<+KKjZLRwO+Rd0FnbszvY_p`Rd@$)Aq>C+0CvvP1`|alak^`cF+~DKm8GOD40>l)L z`j$<}Z}5q8@Xo4jAisv+uTi{cxh{}-(p1vsL2ejE=&e$&=ltuqAzZJplvS=1+Vn}z zA*Kw*;XEfFDyA+E>!)*3-%nk&58ym8)`V8D1lppc8#w8UWl>2<1zHEtCKv)$;j)h>3V`!0a5%Og>s z@nru{H{AV=Ja-H_&|-h**-r=XMIDuE;*BTP*TAB_lGp9MNryAvuairKbw#xe?X2j{ z`Yu;6qM|CvNt;j^!XTA_GjQ3hYLI$9U3T$Mne8cIB4xT_diRf0_l^NHxGlT?hKLtl zbl|ap=GmstxvWZIZFIT{5mbrO-a{CCgd^LZ*Vu~0EoMTsBezQtSZvWcD7aAebRanP znR0?2aT*rMu$M>tKLS*>jcBmekBjxD zju^;^9eY9ZIX8r?gfD0&7gCPs&iUHce~p34Ix1Mp|D^*W)FY2R8enaaaHa&UCFQEq z@3hX<0;7#q134 zYSk=RoyiKeQA7zRIAT8E3&Q~%RrgANRA9Dm1`S!PP`w(?1ZmRZ3v8@|qD~GSwI=?P zA_^D6Okue}J$>mfXc&ubPa>_^?k&^_o5X#7{qL_9hS8qH6f+d^_J9Qy<&V&($iY2& z<&ih0=}aX-qO${>SP03T7VwhhG;O%njnM*zL@dqNXUB1 zas{Ocjf?8ZJfNux7aVtfZ%aU5`#NBrb#Q9RX1EN_cs3r`_D<#B!y#L{_oeF5nBn1q zj8`470BY?LlR@Aub~RHGe|!I#e}x$?M?o+aL~=mJ;J^RcPG?18r+*5^4_ViK8{mVq z3OQBs_|P>!Yxax!_n@f`?o-fh_?Op%G=x5P1Kz&hF+_+In@JEoWbZ|8y}DC~2sleH zdt!FL+?oI^Pfr(af2V|$1!{=4jlFV^JE~5o6Y%Tf(CwaUzS5s+s&7kwug`+&XPDv# zcMI(0LwOV4o^rPeW<}^)j|FF2`eL&=1RnesO*2z(u!wX2tJhb8c0~ALv`hO$o-<%> zkZ{eEYQ%p0CT9^Y==I4$kqY%TU&Pb~*nXS^V6(ymMwYymTY@6^OE*I@o~Y1gZF%|3 z4J1ha`(QSuW2S*{R8Zn+W4Itl$S!1JdY~Ht9z1dSK%iZ0t-|P{2{hhk8)AnM-C1@@ zAt7{?6SF<0WfU3#CPm27XI=Hx-MUYv72qo3yoW^w4XTT6sP7fvtf{V!BCOpUK0YT@ zsAkokpkkwi<=Li)C$TV>42)mh;{2S9s68|fWbOOS?HCnXjI@}0FD;Oae2f;l`v}23 zp=s-b(K-C@b<4OPxa+g^kyW{#j!NhoqDhhaRI+zq6CRWNSWM#%O7rI?<~p$A8e$!a z3pxr3HxetrOTm*WO*5pW^Ng|UZ-o)F6ZrT9BdlX0>Wy5xiuGsCnNsJ%?|dH$ZM%>2GH+DPZY8_}h{uKmV`fa5 z$D)Ozf9~g~>f28yU>1+x$Q%)%eirwViO*(%zjxJw1k&R&1xd`DPiRcoPr?|2(99H^ zG7K0ksQ^g;GJf0_I@(vtRp;A1KXE6bm3wQ;j%jQ`{f{^Ku*5t87>WtuNc7TQ85zI! zC|`Eeys_a;L$DECD)^XbipnaIf!i9nDCeBGY(JocUwutIeEY`9isaWe_rEfy1lwfH zcU7>A*pCRf(g-GK$VY}>ao6A4xpb{J!=T{Z`V;+ohO#xMvLTOUpEGzjRpUgV$pr)g z$XsX2NZ{OR7JJtNCfqoHU2flh(AzIWtZxv_nrR7kT%jGs(a~b%-wvL2VFVZ0<=Y(T z8-D2Km`yTES(i4tvS`9aiSb`{K20iFc_<7VnGlQmW>%} z1oZq`uQN$n=Yx`tVn4(IyL3;-O246z(qEl}fD!=Rpvyn$B4LCfD2X`@9;TFEDNA6Z z&SM-|`AsRrwUpQBfGyw?F;1MbuPWeA;aI6J-` zIz}0pvC=B|Kz$G*ol5WXB&Z-ho>n~aQ_}dOn;#*BXAbl>gR|tjurq*ANWEv z@!Qh*Zud3knxs9iyoFto771}NKtyh89xc&;mUmv=x>uij?c_=tP2?a6fCeJj~-!(U|iDqM@P98o+8hU+G-1MS>M!w36@w11J}%Ze+)({`ioW zJfYZJT_#%(17ZNB)E*DpER=Q*=B;k2$zmCxgSx7~Ml*GfNJi2CiknC#5*tIgB^U|E z|5{(a)wn;ac)Nl+z4vt$n8|YiMX5)+Y@9_890~OjWG!<|tq%k?QWpKigSVFpy}oT{ zgYYnx=4bVmNjcGpK^k!iOTG+8FZog5dLiq8j#;79Yl>PB2aQa`Tu7H5Lm!1YmYS!p zbM)j;m@MOjYvp>>@TcHBKfTy+bPg!nF>GM$DQ7L=xc}#yBB>&3!0_*)aPj{#%G2=B zg&MA}gYxItePWZElq#atLr}(8^6SsF&JC|)Q|>TNfnLA_hMdPprGG6#L}XC%pPaiP zaTOl*9}x)1YRQ@BN1usXSc__97)E^X$0uU-#ID*`v}})-os@OZ%bxnV`xLIbAAd>o z`RU-b>=(h6ALAEJ9Hxz<8Z@6p{P!(W_rSx4VTVf+-!Hx`3SfF4QN2_&V%aih8s9*9 zu@QT_c0RRRqo)}svtln*yJY(Fj}3ZZ>`3yO`|cWRMH5J0(L4|ZNbfT_+a@OwO#zz8 zn<8r$OdX7M6w^<%Vvil&{#d5I0{%7gcnSCW{?{6m;-8Y{vIv=2IlFOb9f3-fj@hxx zN&TYxdcaSv8sz+BnIY!9kgv5g;xRMT9{o2-QW?MXVUsI8YqojO3qIxz6_Vw)wa?#@ z<op^x;ctf8WF~&8`HkpV3^O_+UalJWDX*VqQ z^IPZ(zOKy#PE}no%_ughed4ZBrP7(Vt!*ewO3T7Sw_52R!hscTWwt-dAxO}SEHT1o z655Z#sAk#40W#h%)hV#s%DnUI1|r`D>oU(?1wMeO%Jy#j2Z-;`0 z;k|>E{m`%5Y*OP&-n_!Ou`9AR6f{mK&$QcK72@UKJ!1rI@!X_QDN<{jvRs5#(=F5Z zvy!~yzlj9jnck8-zPWO&;+9*YOk4Sn@gYy7~* zk?zfdQl?VWzYgc*i23I8U$X?4@-2RJ8z2vM_pr|1(J)$|Y(|^SxgQ~Qg6n)1%LvT| zE}{og;=owH<{!-Tj8@%O$9F4SoKZ!z_+;o{}G_#)}r9g6s+Tkc}2+p;IhKoS|`%{W~&BC38=l6Pl zPvw|*Gdwp(3x=hYuk^{&=Qd&IxbhkArsgi8KLeN~LhbR`vB=b(4aA+C2d2-2Z!X?L zi?;lcrh~5jnJOlzUC?m51Q+IihV^yn&apg{TD8jPPK=hDWfTendFE0|5O}F&d}?gw z=YRiTFh!7-6IX~G!I{P2nvwqjM2q+wq1L^ZW#-o=(do0D9Je;LaPpe=+S@DbPZ8)H z*3k<~vD~(~^{NWh=!5FQLsvij?k}H@T91zCpXbz!9I_TVFr%ype(Bi zq{j4m#|2$a^9dTcVkKe7@etRtdi3HAwfW1nh*y`O2Om^YF_`y@iBZ)NS;80X%U)Gc(lIpAI3x${Pb@M z!$!Hy)oTiP4Dq@)yi7#h<6uVc!FSTa+!&``Wvj^uCHq=C!bd+h)p^pglAjz`^@v;F zzhDE!bmd7zm^}Fx>-sNu$k`FdN;{f4=Dih8672calQ#~?y%4z}Q-;%CWTU>8i#~#p zvc1u!a<7gz?7qr%Cti!PEp`&I{d2-Y6u0!arB=7gp?<8&`+gahfh5KUZ$~NrP(vkX)hb~FdYStpKAFa-*mVHpVr@+W6 z?cUpe+1)^uoQnISCTDN&$F^2{$SP4y70q@QqR z#IS8a?To9(YH4z{8^@8(|*cupOo6z zx_N=vV;8Cj-3;U{YfTmxeF>7W>G|HM1>mHNu6h;2%8*`7;>{ER;?Jp-0^Y}U!Ft6k z@E*y2qNb9_QFBm2*g3*lI1}!1NyPqN>r!X3E^UhdU6;|3Jaro-msVT#NK+KKX7Y=L zRdwc?J4T*_5(aMXo6566&2hD~? z1Ys%oSmdq~hnG+vIQ;GgOn8J@&2dk<*#ql6_2JdWh_iy&@^jeH(8)Cx1#C!;?QHZj zk(=YoXi@|^)$dGK#+F#>1va(c+(Y(n1#J%@-^RgfE=dbtsQWc2lR>2{yIk*qx?%v` zsDlw}Hvp~euv2)j|F}B2a7s3L&f&5A(8GG`b7G8)d@#3-lk-9A>s?Qw-o{;kqtk)7rthcow@UB?JbUo80w1bxlmMld9W7`jfY{R zFoenMkTRWV=1~obDYbA8M(M!7F zUozEeo1^~jHdyA{PD2Bs9_s<5-!7=XD5{Jcl@ghQnYD;&5gQSolJ(^}MLb=Fkoy(E z&kkLRyxOkd(4copF?@|w8c}WHm{qEe97T{Aq?egNBel1f?n?2eik=)}?VdSn+l=R= zv)xVAv0b98U52XttLf|UI{yQ5eai8$ywQD7Iw0^c4n!$q3O{@xAP5!LUviCuQ+#T( zM+3igiY|dQ#G3Fxe~F@iq7QbmcLElJmILpA+rO;KQTP{kf`@ZWQ!HH$-H3Z`t2!wo zaU=;aF7UjL`J4ZC*v*-Q73kI%4GSpKp}$_JfM?Ra+A=mjAh-7iA#@Yp?+hWJJiAcb zFv~iF={8_^(IY#io9ml%b?Y;VuR+$PILqX#tulP9eWohcnt#<_m*?!d3KYF+lZ<`{ zjV1trb0rF$_Vyr>d4!5t5E-exrrRHg!1;GtlbYfLLMOrJe4ucDp8J< zx-SO9DnEHpM8^nbQ68IY81mKeT+84y$Qe-?(246L8iI1?OB~z7_jXB}8U0J3j#Bzz z71jp#cAOzA_Pz}}z77%DIlxWt7<(yl`vA{M+?ey|>gdZr4f|7|aJKsk{Ps5RfwzAW175NiA zg&lVL2`nMxsnPe;FvA|xAqpZQ$@=Lflts5t3VZDAW7K0g=8mM->wgGHeHwdX=qa0E z_NOw_sk9O@y2T2S(kt|*5CGt~)Ur-@9B?x4asvgiB_R`s`IAQ}A^>|uSTQ|8 zx!6XE=V^E!u@@!&fPkElId0Y|I^z4W=*1W!|PP;SHDpbKNYDxNcqA-Yi65Jkom|0TnzE zCMAmI95BssbO5|Mq4wbEf-Sc^l6Gg?unTRF_s6aQ_rPXUjKqfa2B}l(P7X^^`T@j$ zw#%WGFAq^=Fxs(cRD=-dl{`CQw;MKj;U3qp5vAySplnaj_7oliI3KlaEDb$kB<6xf^fkv~&@6nSdl zD+$@=1N_mBTPO3ij2?uVtF}b4j?Ok3z1rnC)k04lK?|@39zA&r$S&azqOm@&%qvZ- zkr+E#(jbeS_r7=n(GAg+jm@&M{*gl~Z{?7(AGO0IypCK{z#{OThCjblf5>RsKwHGW z^kbNv;>tXl&5V`b`bn9}W#q)%U;w{gy1W(-UWaAnu0MT>;><4g8;QP1cjs50aKW=1))n52$)bKv_s?2$?^9f z8M8+0G1-il(!ksWs*&He8HiqP|8_l`zIOoM=*g&*7@g%dA1>vdK!nU19XX9vCyLr7 zuVZc1-%d7=`U{C`?D4+XBJ8qhedHRvpPBuK1DFj?cbCO3-T8P&DqOO4Mp4Mo;d;ks zppNgc@i#d3gGe}GGD}2)L>+_GBz`cKrK-(;TC;pR7gD}6 z4zlHz$j&XSuV$jW;;)Ub2)?73LbyalksEte*G7s>=-nSOyK$8FK?dVCB|*%Ongt-C zQNn(Muxh>x(Qvyq%L$iOqFpV`A3LN8duG5pkAa!B>1T${kmhSuMG2iCohl z$XqTp93T9afRu(Gv=g#MYCi1Kk;qVDeWmk7v;_Fqfan38rBrGh{TBx3@^lJ0Gxsuc zP>Ra7*sItp3Qf??(0p)*Zd_tf8>nz7b=xpIFAgwm^1ba(VYVF*k##xx`t=<@CxXq@ z@pV&ALb|2|;7lr>dq+4Oe)c$lmXB0UA+U0`x>;52w{=hR2s65Evxo|U@oyWLLCLKK zN@c-uclAqL;opS0CH3cr!l=^OcZVRK?tJI--OvI9*{l{XN995xyzC$KjPFh~YZzd0 z9ec|!Q~Wh3Byr6U>uQ%YAcTuFxXCuH=ycIOh_MtNNIix3@p70Jc}LHj-9&;`F;GtY zfdUb7>$vw4g&zMk%Nd$IO0FWz*)6kdnh%O@CT2 zr3*6*RTM8U0xbwe{2pbun-V@Gx;^5hwe9ySuw|wP$(2EEvD<&ku#cXHQgWd9>!Rk*>F*h`L$1eFIEA z#fztaf3Izn>aV!lA-Fb$ZEtk&B^xvwFAP=!EvQLyWN0ub7=y|UP2UDed~+OYzk2Y0 zbwjhq5t&W?471RFdXRIhg2(EwdG>;q-0AF(Fm;aAQdMZbO~YFN1n#qi5KhiPTnGJw zC^JZPYZMedGxA+k{3giw^DH@YUMLJ$vSzu@ZzF7x*XK4W2^{$LQ+x45*oxVY0wxq< z{prF0K3=r1UldPpJiD8y6Z2i?iPG*_UapJ=2ty1JTHyyd06@&9;3fHSLmL?!1ag|D zNsl;N>+LKZR)0`-HHtA;?9FXY&7~qz@CCL8C6Q+o@lErnn}&3qzstS-0=o4>aj+h5 zZ9mpuI4x6-&=xDjsI6hjWe1&=j!$ zyDC}@fi*Bp5=e?v^`lQ8Iqop9bQ$?TYXRTWR^J%;cX&(yB|1_O2j2}U14OqnB!RD* zvj4vCQopLCOjtZKHI5mX@5N;2#EL?&IAu_MM5rxv`_dmis*-tUFrt8Q)-U%3D1*z$ z4paFH=K0D{n~Q8GtUzJ#H~%tGK3m`bZjVw{PHH9-Mvu%!<6cnr#L;>YAYb+By5Wz1 z@}fQnn-##Wex6dAt*!sIRY7LFVEv72q{n(-j zm#1=gh|n}%Su+FL`KwY; zu=w9n;YwqvH*js$mjMF>Ouc6b2Zxsbmg$ncv4 zg@T@I*UE$PFOqIKSRqLLvlk4X1nuN;5p1V3ZZG5O( zU8+aeamOt>yU+Yd)a{ls)q1SrjNZXFVCZs`tT3-bKKeK39bUH`R^V}7?7nQ2(P#Bo zGS0LXpGOb3XT7<)hLi0+m1l2U>F($TbH1@6m7J8sNwc|vFB z)@Lw9d-WXUv`p3K%o7tG6Z$))nrA{q19r0!9r-DZV5CQkt8gHu1f|j$zlqg8{Mj4U z&UpNI!W&vF@309jgJ!*%f;xMuf6|mf`p|2hDRhsk7CZ__{mEsbacmh6uXEr(CH1?ixTjQMt(y~mb1$}cn8=mS5FKmUgNQ(Tf96yZ zMqhRFf*Ie8nMjcAG!hEK;e;z^hm>fiWV?Msg1qYFkLT`W9(R3Ms7_yfeNZrBnPqHwt$AcD z!2I+V8owxN%2Y9J5K{EVzT@rS5u%qW6zUv=nxBH(%o`fl(iKtiUx=x z#M{A%mp)y(a-tx=ye!L~#g6oiQ3NQd2?PyEC$lfz0w@MknCfbcd|ruRJvC}`n{{t9 zAJp(DG~B?J6P_ZnbR5MQ)2AJvvAOvg4NEXCXEGy7_<%z# zY^7wxm^xKm^1$MWIsYP-*bmC5uh;+}T*p4m z@t!XuOmK%jX_374Wx!(E z5bl?+BT}-H@>|jM&bqf&?!mWr2aEWXFHftdI*y(RLFqy37B6<{3&J1Yv)&9P4n3fE zpW-7Xd%8im4A|O~nMr%}%JyK8)0>h#h~{XC+NCoGzUUEPcP0)L01s$yWinXFcgU@d z#)eD_@}OGEN>e!K`F4I~)ycEE-fr$nEAqRqswbZ=zOZt?@)?mRvvzLXJ@-0DfboDF z|GWO-`SRn3-T2%13Pwqe%Y)j_8d$c z*|l-feTDuaxbp1D>9+?BV-5R-oT1lUZ(+J_=qAV$)xuidqRD{WB_m!>3V8T%mOwyS zuEA0x;qYIaT2HWzkMX^MB|iyC&{;u7(Ic{rM%~v9RQi3tB6=`gFz6l`JMfgY>amJE zcs#h%@p|j_A~8=~y&{e9IKTVXe*+RmZkHbeaTj%ZXLR!EZ|}A(O;<3{$xQP zALg+*2i=t9n@xi&i~e%Km}*hgPok_-(1$uKFQO?=HH{6rQW)8u zg%npE#z9L;{7lF}@_8ax^FZ)L!Hb_I#)}GmPHp*vADJ;dkaWsQv8^GePR!oTZXqLieCQwo|I z3Oo<}sJgi1OK|joy;d@Gz0$8hMjf%d_`!uwE3e=~)&a3x{+SxV@G~CbXn`@J zf7{cTmLCkmgI zkw%kxBMz`M+8_10Dq2$=CdhM379PJW85qMhIHg5(t~)Ixd_>x9^m1D)-)_MVzO(lh zpMC*(OUU(kMax%jRU~TfHVlLDL%3iN01B#I0RR%E+iaX*`=kUzvx4(M{(p`DPgXPr zNdWlR;WPp!(^8g?-SEE&gMgVBfL)eG zkOeVhv&&}x7nKzk%?gukCCm4pjrN~RcMuqlK_cMLf2c4>5GRQ7|M~pOgunqjhJuGf zE#ZKrESR7nl)?JY2yhxZJkt`BNq|!j@b&+vhJ04=Y%2_h5n~pI1P^h zz_R|QP_S!2oHz;u1pD6)0sybNv;V7AHUthuU@`$rHan2X0FVR>1(1bWfh~p809hmp z9Lxb*2lgk$637H=4&egWW%=-6_W~5KbjZIB#1mwZcz_Rr$;QjlKwuC<3jkJ$0v5>r zuf0fuEEK>%Az&KpE(~CW$pj(6YFGhK0tO%efF;0Z38%1wJ%9o04`RrM$nqg%p?Elj z0e~$9yC~ZdL;`@f(;#s0E;;Dy4#NEJaKpi(!Lq;_g5?5W(;#312mboMALM^BUH>~SU?IpP=2k zPd>zT|F~ypq4o&p(YujYhURampFDnV$g#o3N9m)Y{Uh%*L1Xv>=P>REGGVb{H>uAG9NIEGog+mi?-HU1H-B)dveeibpVl}n zpLtaryO`|Bcot$8YJc||<-1l-F#jQ+_a-HCS5gy}3AaAYYqN1(8L6&ylY^;?3zUOS zZGHEyqHR{GgMUd#IwdXu{b|~L$8T8d$Fi%DHZOpL>7<^4d_>6bF2tDTdqs=7E zU5PJ3-gEIMR~T`%8mD5tICJs+pKARNMLPC31(-*tLyoWRB`g()$NDp_1b@uSp?IIw z8m+Nps}8z~Q#ect#rs^*b?SMh=X5Z(@odI5Ngo;f)fbNyKIVGl)Qi&u`3IKFyS$w2 zPQzbMoKF*AciLJm{oo~BI2+p4=`lKv6d^_Ar+L>)@czDYd=b(1Cqyw}eRlIr{K;$Z z`^Ms4Qqf6w1ep=pOKqAR-ok$Ck)UU1g_dvuc&~1*{W)w0^Ml`>+Q{J#<(I^pfVqx& z_|R@GqFJ5wFA#QfF=LPQiedq9I{9(rBYV?uqz;fcH7{VL%0pyzbe>$1e}dIm-#!}c zMM^j!zxBF!$@TPRCQEF@gLIoLhnskdG{>FWwNy zWqI54CH}~gqkKo<`-CXWaEIJE8G5ykbN&R;mm1H$?$tK6@<;xC!?kTq>Ycq4sxB85{v1gYg=ZWrl#Ct-2LA;NZX2#~ zf+4w-EM9j?VLA;RL39N{BfeoL-0((O9l7L)No??w~_)pHN)Rw(55im>{mbn zO?BmB?1P-;JX1lsOL=MU?s$+{Q|x6`an8Gae*wuo?HMMEE%R2_J;KPBLQTnl`TVlL zcZ6htfX)#QFueK6Odv6Ja;}kjlnhPqzH;+m0$Q}jko4$0&G5S}?7l@Um{Vy=;W~}= zPl)$xp6{r9BWGCIQKB8=&-^v_=EzA=UcYPO>&2T2N%tdP{Irf|ZImtkQjAox`=hI( z;IkUxaEMJ%OKxjfVxr#d#~Ed#}K zUH>`sJ1l6kfK$1|L+YoJymG0{A}lF!Z+S)!s*cg@}W z3z(^w-gCaFIX2k3J^IpuUtl++`O3uuq&t)JKwxADEkR(KGHh z{oOnzBGwkMGQ6&FRbp)}s#kH88O}NJ^%UE>HXD`2~f2_+Nloyv2V& zv)M$jT+?m!;gN%yz1nom4lXrA&urw&n(!sV_=*_l1ZXDHL29pG`Y*5rdebd`xQ}tw z*;o1t493R|fr&jiQ)n8ILOHa zfxvSnAoA+p$+i37dfcK0=v(#tu3a2goH7}1zutN5-`2hP-*2att;Qa(X%ol)ZwuJ* z0e9e*yfKA6+XGBU0~#>i^9y^2E5PmWo~Fl+XZLz<#&n9iF_&YkaD~izr-oFb;%)H6 zzo%g{nW%I=(f9^<(B8|u4L`D9BlKxipTPWdtaL#Fmg_8bvx@`((jxCjKE&Q--{Cyp73-+{ex)E9!yu0j(aca|g<=%(Hup5b(5ZGCy1 zqSP;r^N?xME%V0Z=Pgg{ymJyW4h*B?04aa&p^N7OKbh^oi=JGr7J%Ju=s$Y;%janr zLjB`c9Apqt@{WYJXMR;W6c{^zf+hykV5fYbuO9p3-vt#+>rw%mG{ZSTV7e-(W|;MU z(EJF0ytMj(Y8O>cxz>>8b^RbEGoNid|~J5r&Yf0u94n<@j4Y_A(Li(qNu)n0RiWzQ2sC zQK!u!-{G$+$?w)){~Qnj->hUQ%l__W!68pxA;-d7q$qA0t7=8Qq1|loA8ECGc>CG@ zmoE3cSRw4y<0*U#+G*rEJCAT(AjpQP8u?@aD>*!km%49hcLtdL-9dNXQfAoR7w&$> z{;T9m(&5$)hS{*MMym2gz)YP(a7+xC}!38-VZ30R5-8AT#zg(%0&6ko3iy* z%mlou5Hs_L%yj@DcN`A=*pi7>N{xR9PJp z_hZTFBpr;PNpdKeC^?3@vD|CgT8R)Us@B&sKqJ!&=rGB$>l(xB+>t(&u`IFiYED^uJPLC9q@Dla^2XIRdYxHlChHlYrwf(^vA%V73mM~-R&FW9`EYU znp0@yIrOgw*EiQ)Y%_%r6%leqd)&0h;?mnjPm$2yLF;)u8#Dl#_KN^L@{}Z^&y8l& z*z5z)#|&8ZTXUt^nlXr(nbu7_VmmP2Bc@r&^5i!fu>2sITW}S9*b*Y>W8hU9pey{n zFR-=lt+(0ZmYv^otXMAb-?@~(fOtYC+T-Xb@g=MA$qjWc)>}U3Cy*_w#S2tMQKb|9 z6!NejxglUs$MmGJ{bR&g<1r^&YzFErM>lA3gP+ZqXt2Q(cIyi|2IS>x6N6H2;hkC1 zJGEF6H0i*2C`A=oTnY!C%5f>!GSYprsYOp&0?P{L-3ym{h#^^h@8;>8SheXk*|#B$ zjtvHA$!^40XS2~`mj;=1>x9I1PvPI2)Hk3gT%9Ms{FKH>Qs?oC;_u?QiH>C4d8oWiTw+%Zt= zqC&s8e~&Zr@W=?0**{xhOsyrYUedAcWQ*Z9x`3FzI{0O*>aigHrCU;VTXB@OvJif& zz`^5UV7%d?SJ(E#xM|%pD4Z-Ea(1ddc}QZxkP{6U@iU=%>YfKUr9DAX&H5>GEMM4A}YVBG?g}NfJXOF zCKO!dWpd#p6V{fzP$!wM?wOVkWnD#s<1cSA)eHm<1%Udpzr;(O4cCjXRzq}~n6$Q_ z%$1Xbg!=KaA=8gP?E(yQm9KEqf*yarpV=s<;oig0bs{KCago7^fXKth)BX8Lqfc&c zTqWJQNKg#^`Bi!MdIauF-YmvrI5Z#X1YGDPQCddISm0OP?bcsOSgoy4*|a#kY4hnB z=I5=oZ=+@1U-DRIwD_MjWLAu&Ox`0%nZi)L6Kr$M40bHHV-vh8Mj#67^P^r+`%iAx zO26lQr|jUk3H6>cR$8RYe!T06`?ovd9V4D{7E@|Pf-YQd@m^sj->$WTVm_D4Omk(`JRoJi zkw{?`a}4EdshYFhOr1GhkgL8kLW31q3bV5Iuo^`>vhs9V>&{~NhC{DCea}&1+3%lf zPi{1ktaNYY!dX=;bT^>CR0;Fw;XJ1N`L9;LD52u;0tzy+-V{imoVE@)i#9Ho94&KC z2i=A1k6%ZvYa_BgFH=WKc)n)Rt&fP8PC-)>3$C*zwuK28mmiypJ)CoPH1;nrwJ8zi zxNBajB-HL+mGD>(hw1kx;_Qb)AaV67&GOL`MD?zvsgli_fJnL&i-?e5-N|`R7=J*V z+C?8Bf;7v$e8^^LchG6dg8E%8%=sX*%ww{o&s%{&rKXe+Q*&SGN-<|(V&poS{X4{# ziBFZ{U9e+o4>#c)2o+3XZKI|6Ti!4ku28>QZ~FX&c7uij?=>b1-N-C?y2Lg|ht3W? zefRuigL?l8DRbc(=eZt?D&XW2I!nYR=qa5{W4K-3#9Oj5M_D7D)8T?i?({+);urB! z;VUZw#9Vc4^T@_=#ofY`1@$K`*CQ)jULqR@wBRe5gS)1c*4JBu4#Yx{VL321XnG%r#Da%gH8gjJ-mUJ_( zc%_7NzMO`<&X=*-UMAnP9M(4k-$bSHCfTJjggUEzvr|uIG}%T`Cb|T@v`CYkz&o0W z*K(dA<_Y<4*G=Dj@(k-eld2HeEH-csjol@B|iVK2qnG%cL9 zc#==qwS2Qs#GH$zY$FevZAI zR;F!Yt7STwT$n{?b)Td{sAgXfh4%T-$YVhbSr7dU|5P{!_ z=qb4|M|T8Qn|4|(bnzN|YR%VlRhr{X2#oy7Q0V8Daus&5Df&K!+G6xA{jJ7zJ8}|m zCyLDJ9M^p3FYrRVov*n}9q-}NOUH<@i+*DO?PNUT(U{f=VPX}*8n^_p?Vsf)1g^!) z`f)}!(_3Wy^snGuS#ItsP8Yc^bOb0-`P8rfxs>zG&3J&6&>Wdk4LoT2NrD>YIk&X5 zpJAdP&(P9%cmq4@H8LCB^lq*OvSg&DaZDrR5+xD<}D%AR<+J8@N&Qfs6(c8HmpOaty1crKT*jh&9c|YY6 z%eyp)Jg*%zkcx?_1?*XM`L0IxxR%JLi!kWQ&=|SSlx9w}-q9qnw%}*#nq<-00EB|Ju)JlkwM`<6spW-iZr?^qJ{F$se z0lvIRCryy+Sv7v_B5uvL$f^<`VJ7Uof9jTd6;XN*N@Lp?onoeWQ_RwG^Rbbdvqc{XUIFQAH4)XI^H$$fW(}?j)u#+mYUJz*ss+~ zTE>?i8#OF-4aZXlYhH<0Cj4l_aqHo}a&pt8UlywKsYfVn7bF}8*Q&z*rrF$nQU6$u zUEY^4#M6pY0{<(mH4(hO?dvHMQv#8QrKDZb$AmWU+$4rKN~ z`G>!J$C>gH5twoRdSSD0tzTchc9*v)Rs>SH#7K9#!brgLhgGu04H{CTp03Cp-m`sx zu1=G-GU;-}0(a!Z!us>7T=91&M(rdyg=m72gB0kN*0V2kR>*qsNZ$N!9aE95XMG|D zgI%4XZ+nF~|Kt*noV08Wc&!L^TPSH(SC4y!9FGaSp*H}9W&heL_l>|2o^eh9r?Rdv zSxyqg!)Hsl_5BGv&$E!eG*$5F<&sE&ibf-J@6tcO+9j~MTo-%$1a33OpAUoC?mUo+O+kj4 zdO1SFV$5#~jw6k&(c+yT5mvFTt+1FI@U=0m>}QGxT~GeVg!q}R<%0xPwwS`-=4ygi ze39q5H|gYL6*6$SO`TD;E-=Bm;3Mnh*qk4%vcHLf%zp{Tu)O##o%ix>$8x{kPHgkl z7u>eL_Z3=IOkNb&qIIRhVozMGiF5xsC+u-Vrz(Bde9pX{$ z!0c_K$bcO~hx_>3Tl`XN?#Wx7=gJVHqXHk0>R#-wtmD^_u(TBQ59}y6`0gg!G*u!c zOi&MD;v8B%yq?z_CfV-kGaQJj^NYY?{xmv#4s=ZaXnt@BxXLESPi+~&i3j!J{@4m8 zPdT<`nbN)y7m$>kE1S$H{MpaUsqvFEa0$nLP>{Rs;Z|!Wp_Fozr&D-66zLw5mICd= zhxFP(m?Pzil>RGnR9r95n>*j7Pi`2aw$j8&3;oPDPBt#tWio_XTQ#!DkH(rm(BwT? zd$G03Lv?$WlN#f9^hHGXgqm^50v?Th8c||pTsLXv*qh&p`ajBe#c+i{`&B5CMbKa< zyFo9<;9Rj{z;UGIW~Xpp(=5Sjkt5zBb-gkBu|B_QX`UBdjiM{UIcxb-p?NY-1 zwG$BUyvdr;(zASr!{K>Fqq(d#pZCrpBLo-Go){Z}FrOr5Wr#;zA7n@%W;W6cr8Agh zc(-W@m(6Bp=fvC|NfA6UcU^rz(kNQQ2L3WaZ*@3BBaq1dqN`Kn%6h(@i@5aBu4&@w z!jz0^S<=>csezmLRYybd>*!%I_&1JbHr3Fyu51rMvM2B4%(bR`-&Xe6gDf_q_qgr> zVfXV7n4IK3Wyqs8_3o!~C}C%R{`60_(WDh84|^3> z#ar}8CUv&RYuuZ7Q>a=ikBr}q zuvXF~Qt;YhGS351-PI$N&somkcqtaf}ZhX zla{XVBfapYVhZydevVY7hP7$4q+17fQT&lApR2?Rc{;_|#Od?k%;MvVIzCz{L)S*t zW|oB-iNAE1vPOf%krwVZv%#r-qe zmX-WrZnZL%fhL448` z88a!JPVrS4--9RwGGRfD249=hcFQ{D=c_%?^!bJimE-hRQ}hR6vZ2JJPK$ZWi$fjg-ow(6iVr@zPa2LyQQQp|06} z*R;K!fdJ3l1i6YiIbE9Bwh>eK@cy&?1NBVzwIO%6NQU{64ipZnwv>hMfszxN<-zobDIWc{mumrm1OsUF@eg6c$T) z+m9HR`+8K;3ctEj92RD)L3%nC*bBrJS!6SK-z~jAv5cW47o02iHBSm^m7Ep6G$zD8 zH#JndFvK;WZa=${4Rqjbx>g%7xts zsQgKLHZ(Gzx^ zYz=K`D}m(CqvSaPqEb!|?2F=?O0Ywli7Z+ie3xjx6iOHiiYEQzA#T1D-t-5}ts{p1 z_IsMB73y@r+G@^ITF4&{>TyLQ)Qv2ZuoI;@7C0O8F%E(w4LGXVS?E0VE4bKJKq)|# ze^Inhg6glW#KWP&V_iw8gN)&%xOPL;ZeyL2>KMni?s#PkhNP*qQ+$cDT{C1newdU4PHeKCy#Zfwj!v|9T5%P|w z$AAdycy)$JU#x?{CbOZf)cRl}QaVU)15$xR*tnh(HLOjUuIsC9uh=+_d+k_9j#ot* zXM`rNCcvI5fz)uKvcI;CRYQN^=#>e#xWETd1P>W0?#NhP=vBpY%5K$yG`XR`%Z6qON}6+}E~A!cPNn$)_JQ zeb?7whY2CdhPS?s=SqhR)G*E@ufC~dY+QXB=TfOD)9T;HQg&rhbwDpf)Wyd;nQnPw ztV$oQaP!E+yL*n{s=(BS^Sm_LyL9xqkX*LOUXug9t5e~OEP*-7akz&dRG12f(CUY#S%0gXyaaV(`_UItqW_lIlh#psKnQig~aLddg7%b`@bd;on>~U zT3>Cf3Wv689p)(C*K_cLX^#&~ewj~N{a0li7pHCwcAk-DGs!N2P-|^*i~V(}f!NS( zQ&cz}A`!W~XA|{wt-8`a92%y+){XF5zNhfk9p~RVjydebWq6WxUz!{pF(DG3LMs1p z9@PIg53pI4<|Cdkc-yacz~*tCU)EPFBJH`Rp{Q7`-sZhQ=5|DjbrSVeRct@5EqR~U zBtd#dd*s>13{4mDXCFB*r608}kFfkO_GfdQ)3@04Y!r$b#2?#ak9dre=xV1&$y#@P zKKPOoKNS(Zew!^SLvXRGEk+`DG2U0q-C)7gU6S>;_SP-nFA(9emFo4Hn8~+ZX(>PD z?xfRy(06#p06o@H@)G9hff)}2=Y~@cNo#0g$UB6qzW>SqMSRl{!W=Vw<6&e4Xtsw z*caWyWJ{zEy3J`v+lf#3BZ1(c$NV#$Y>(K-fvl~HKu=|sM!8` zX`l}%8_AEP@7l`Gq=-#j_GC{t;KJ2DN%F}>ah>(%_wM`EA9w{D0TTN7V6`i(JuF>e z1!x@y$o*au$dJ3RU9|MkDEzS^r;dwt1h%ovyyzqx^G91~CaMyV|3HWR`|yfWa{*>2 zu<~>Al#h}Tk2RvJ-HXpe@pehDfBXqcT^#+4@O9?{#5IQ$)>t%qq}1uppj05)c*y@I;O%GdL6Vzi~+*F^qz$%iTTSbPF-Czd7m6e6n%UlQ-?se6U( zkE4xoHNxNaI)pwU!phOEboE1-oq+O2;({p8V*HF%@vloL1Tny}B> zdyqJ-2eO~TM1t=m>R3Es%+H;0$xegRj^Li1BWrbTD+METv5L~CL|(rfqIptNA!b5F zj+6q7t3@6AWRHJF|9W!!{a$>>f;ML*$kUHdoVUniPG|K=bH=5l4_BGJ)#mSk>)y1? zEEd~%3>(WnD)ASfy-`^^0@+GKUoNrVsIxz^9(tl>1ZzRIi@nXT`c6!KF3Z`Q8%a3& zVp#n3zmOBu>hVM<$KhdrVr-VM+C?V3lQ|(S|5~=odxKPTv_W`a)B^Pa5&m2faSD%A zgL!El<(Yi!FmZe6;xyU!xk@wk{Z7O}YQ}8jt>bX$#Ji`9Tt}qaw=jQ!#D&>#=O%u3 z9CqE--;%DH-p4;FR^2W!09SwSQr1gdK}y1SKhxPW+R*0&Up?jmKxPy4JLF;so2c%qpUkysVgi7=PaDC6=#M*pz?)@)h!TyK}XCR38BlP*RdBd2WkB& zBO_%<>G{+u^K@(1CbSrQ^>Y;`_;=^Yz@ks5JH;!)W9C>QMr_;}@iHZfdqU^xdG=5T zp$L8SuVtrQBb$Skk`4b8j2?|%yAbAx0_qal_G@FtOZKA28uto^yqaq@6AeA*t=1<| zi6zw6>#fsBq!-*2vwCg1FUWb4MZwR-3*Ghe6^9J3z>a;-@5i@x-_r5RcCW*ik-1uF zPf)k%*6-eY#d8L8FCNvk3mteg@HGLieJzkfJ(bhBzWgs3WchiNprE(*iczXRH}wT% z18Tmy99x@;I8}Q#V^Cm5qV93Nm;IgM?^_f|G$Lw@9 zYOTYf(`8Ux9zK+LcQ}+G3)|)(e9jG&_jThI33@V!yNZf=>jI_wqQ&JZ9O+J6p#m zUc-d%GBeFQEzG37KUyBS(abg8mo~h3^;I*aXi_soaLu*o=+`VhpZODtu`eB8=ZgMN zN_0|re_rv6{~5!dvAt;W!mF@5x`KJbEPA|SrFp&qBhv$K9&4{IRVBBSUwHO#MjbIx z8ti-bM>G6IMrU|bx`0H@-A>(ZMz}G;Ds5Kmd7LCH^^)NGmySP0=e4$*6b^k~S)!_4 zd&4nX7+EBea#(n-YT|o>#*l~NY*0RLmPJyz4#@m)BnhxKNzDinFWKOUBYzj_$oX%Don$K;|!Sh7doX;sx;K;kq2w@kM{!}B)>b{-m zfBaJ<{C8vnG4{6!${tFThV&`plEb8DpVY9!QA?ycUplZoVVm$o*VKe_JyBFoDH41^ zPH0x>OQh`l=I}1d?I!>e$u>za*F+XBU#RW1v}knD5AsoK2;apVABIW#|bW3g7eUtX zQ%qZ*Ef9|@!<~Y$b~df{zcFE5I?VFNkDht9Vbk0y8PqWth=i1W!XINbN_1kM8ZNN$ zrMc#u*sXfAG*W7xLwIS;Y#f7;I zeUb0?WU6b+N{{WsJ=&F-z{JWm`lp?dO65C8ns9iKcX-yYB4!Qq@FVWI6>(U&f2Fz7{(WDoY}pGfqoyssCXX(vN3hAXA1(QFB8`7c z4-sPur)J9EGV7c}u3Qe?;NC6e%LCW^&Dva-pjSaEU0K;-}2|*bd%AqSu zYJ21=>u+}BdMjA~qb6ZT)@S0u<(HZe_EmEl`9(;%0X=T*X|X^Zgv{^?N%!M4EXVd! z5J$iRhK5HrI2Qg$-uXVtABS8yG-SK5JI*&#!)ywtS|OfG(#Na{orNBpLEE5SAr?Sl z)&=HDcNuni8(&9Qp2ZqWZs)wHsPG&c^RK2n!aO zC1`DDsrxml=2f1whbA$%UhoCekKTOAVbyRnlLQ#qZu4%S5xZ}9CURAT6ouc#xd zSs8uTxz(Nt>IRsYG_<*LYgmvUt+qgBZZEw5F?fkyo2RFPDCgQ$9(gv0Za7&cY4j+o zu#9K2twWT}^ZlPBUBR8Jh?h}>by-j?`AS)xb;4`ZHdHa-*crE9vyMz&$mo1W&M0Ex zV&3VE?QUtPw`Wkg@8a?m+eBu-E}8OEr)9fGByq^wpaw^%S(m|p^V^u|KwdUI?69X$s!WraiMu}+U`eNZlE5HmpKZo2%SmM(!~x5 zhELg)LOUu@Ak+04EzO!WvObt+g?Q^?tGUcPeH)ZSy{C*|c|MSYM^>C%1wpNyjXn&; zzzY^}=cKk6vVDALa!^*XzK^3NXB?Yhye>c~B5=qF3-Pyh6((81;n9Q$@mG z#63pjHLEIu2>>G95P`_NktHd>f}@rWIO>NwRn(0zk80RVw=r%Apf7)=OL`toFSw2< z>ivRj`!rjMxfo2}-OQUesUuOlv8+FM$llS@_!|f+D_TsZF%bQ+X-<=STqP0YGwba; z@+8T@&Eq}a&!(t6=nLU=Nc%>4%uluRGe0XTgu|TfvCIl2p$fHe$)60R6Vt7Qv#7Ow zi*t72256b?9tV{;KkhGUG(ar$#JiUA^qGpSWSzD%BhFW2l#6_QrixWx4|AwDq@{4> z={r@n6Kc&l@Z%DFY|o$^PfS6@@+yN)4HZAf$Ur2`BrxB0lFkS3fc5i)E? z#lK1$s5Q$*dVRNUSUqJ~IH)vP5=a_)XumwcibNZUZ6_$)8IA&2+snEoGog}2$%=GZ zT>6<@zgdidG1X6q@A z^X(Yw)ni+Z7a&*4#AnA{dtVw}qtmjwsBx>ZGh>j#ZEYWw;t%>i+4mjaaYT?-$N)=~ zPD{X=uPH%5d}@XAWWgkUEayv?MOtA#!uhzW9|lFNhRCqFGgQNTli4jf~@hJMeh@8p0l^e(#{94-G?2OZx zNw;G#{3@0edU2BOYeG!^Y}x~v3aI`50moJwkb0n~<$J0lfeUJ$xEDuCr_#+pL0rGQ+p3r*N(QE*=cpd6awoKw5e%m>|g4zfyL~ z`7BH&@A~($7*otg1GKku&~Vlif5?>*NFV}^3ba0Ki>(7p6lP0-*jwsDI(Stxk2<`I zJ(fdAOn}u0{A)I6F-HZr#ptp{mF^{?1qv}wZO7Q#-xa~NW~<)#Ym?LVnt~Y~lBaQ;FlbGvHEySI4eVB1N!&7Blrcl*#j} z&K!uiW8LqMPOx3%GbVd}Jq6(nrWrnldMd0P(cOv(`$Zh}Kh7cjO;0Hfd=JSlRPE^? z5&KkKpL#q`T0h&}OMuAtIxWc5w@+R#OW-E`OwPp8k3OCTnW`G;wBNx3Mmi?m^?i^o zx5|9J@C=FH=FRRSUra-8r?6<$>V@n>MM(WYG9KKJKZV0I!{Tc{-Y>%SM0H~F@9lC1 z5`8_>IE{R}#)$6@3|}HpGIkl?TOLE!qof>BdXh4gr{LlDIO}zA9$YSB6MbCWk0R;F zF14qJ_uG(ZXCLOn>r7Z4X|47sFam_$7hjiB{4-)-~ZG#Nd8QIOiukorVjc{QCz(IZhWoUq`-p z0{3YcHXD@n%5tAMM;N1B4L6<1I`c>EY_mXwHqJ_eGL~Jm3Q{)9PJtU9O;N^eW6al+ z24yeAO0uEx++j`TqDboPl&O;KQEuwPth^ZZ(SnmW*v>;ed(ESMfzc!}wcSj;jyz)z zMCa#zWr1Av*Dd@vg}ojTc$-_Df2{%-c;!Y?H8e?j0Q`q zi%Q=n=W{P1nj3Ms$2jI?&E63k`>EKkI4dY_JU2LMZ$I&h#UITgl zo_dCgXs#>VH?fV5r{~?vHK(n)0v(SHqohJF`_7iBYKg(c1)rCGr<<}~s)5rjy~Dj{ zOQ3mcsRPw>pmU}-fk2^(;h*E;6ll~gjze_h0<$NE2KQ_SuFHoIyu4|zkSiy zAB|6bA#I`hzH7a>60ZHJ)Xe@|11L~8DQgXQqGS!g>J-zp#8@P6y7+|hT^`uMBTeVS zgEhLAWOb=3Cyw+&T=D$oPuo9-72^c8MD6pX=+2m3?r21XIu(vJ&eQEP1_)oG*!Qekh=l+4zNM_2}ezt6}@RFwmcU(_4h8N4U$& zW?2lkfDnHAX|O}tK+Xn30aVA4kJ4|l+cH1{eC};c1bKvzz(uAy*E)lyHCvgy8*WHA|Z84-1yy+dnSq~SkQiDOf6(sVN>ec1ljdWq1}|47Qh zyU#R7ImiAkFIPM zspgc|Yu#uP_7sfZFLxQ#hJi~_&yxmcFPDvA5R7iU$0XI*o|Z?CyywIP6W@!MDj$q9 zXuKrF`9A(Ey^$*OB4Y5q1?~~ZGTCrV>k z=-Ss98O_;zbP7Z#A|-1}4UV$-uF+B_UUk3F_^hY3nh8uH*AS-4LYcOKyE-+u%V$1P zS}Y?w)Ss0mI7<_m=_LFr&Qww_U!*3} z69>yRy=KF%+V^z%9;(0VaYFB$F;f^K2Z;OQkSKA(L~fn$kNfBZJ|`5XJzX6jc$jjU z{aH)yQDQr09+hQAf$;qj6JCZ-Y>M^R$5j#3BcSFKs6*wcvq_QOGIdZ(X)MXqs01S| zYLRz%qYr_R72$yDEi-R-^c)ADRkG!U!+-2$FmRwOwy_kzF#5qNv!69Hi5x_uTi9IJ zRjnhO?!;qYR?$j9T9!gq<;l?0#}vMxK`lgY6eZ{n9$U}68+&uJU2$bc(9=?)bS?RH zvn|%!u8IG7{X$*e-~!7`Iy60Ou;wc6!C$~K@7W8t>miF8LW4_!IGS9c&&n|GhI`&_iI4A@L zV}bl%qc{i(3uq9?ZtEeSMOR!b^dKX*j~08S%+*#2JHo|Tc!%RJaPHO5<^OxE=R#z8ggiD4_zU!A z!cFd(lAyq8Dx4Yvm8HsO2Hs;kVR9Gp7nsGx?V6%Mb2c~7?hV|_O>e|))f#VsI=hRY zb*3c)BMzoqG=Lx( z`_e$!|A10e;y?_Gd~&b$m3jB2Tc!4~83&mOe}S)3KU3ghab1e9b~<(b0>Twwme60| z-uZ*NxZi&6)QHmKiy6QDJP!CC+QfBLr^S7B>Uy=Fsb&+`hJOLt+p$BpURNF*0k5%W z1nn$l{M$O!{{pFw|8}+m4I8QdB4-kw?z!Low}TgsgC&U$#cdq<3sfvvsiGf# z@HA!F)Zz>*m}1{XLupoG*Jx}X#I0zdH}42(YL6>JE{nQ|aHdkHaG~NX^j+@A?^Z4O zB~-7Hm^+!D2X!g|kpMlEo`jI!p^8hEx4fbF=a>YB-+E%U-Y2nV6Q-MtA4Otg<1V-?f96i6Z zyhj(>hh%cmEXNoX>>P6Ova)Md6nvS7`b?)o=%I!i5-gbtEHci`G9R}_eyCblh*AoS zs>97@UG)QhnmfKPP1Lkv7kwl(MV>Z6T!XK34Y@$v3{G6w{eh=-oRNif4y5goF^23C zTzHx}1-sl#hQ^A=ew>d=yyHr2z{dAn@JhFZFe zaXL0&iN}5!5xGRTS2TSTc9m?wt3|O4?QArQMRe=XIDX|mlD{R?op@BoZl;Eo=?|j= z6=Hnypc)iv_x0W{h3C{HT6N38?8c5R5m6UxEPO78oTMs4C`xQiA16Zm!nB#Sh@8q`2^*%DFC;+$*bcy>2NCM@OzAzVa z9s_?f&YE5Ue>P4c4poKrIn0}ld24E4;6u^Ck0B5jDttz@&`CnWgb&TF7;xy2)NH8yk&VSfT)45Vs~{`hYyO-1NF{$QFWm{uu8hZ?aE%m zT*lN`dK$k#n?X-@*@-vESlNl%xrwL(T57FN?q%jV*Y!(dKQE1ITHrju*op$zeTvA* z$rGv4-a?%e8@m#DXU-8g-5xRP@lOitmax7cC%L%cJVt+4d7dNH*@MmEx86dPd$oPLqMM9$Wt`$MW}RrK&uY zyn3e*Y0l9liY>fDP!Jv%xO#U<`T==X~i?f=O?WOF)*<8^P+sGpXK7})7*x{xvpCJnonml zEoR6mmVwxx-+Jp-gMJ0?X<_9;13pW=JUBQGRdB#_dcIz6tW$-0xCbr#3YSR_#VWOo z^^j4W{Na$C0?5U`OmyxAB7R$QE;9_2e2^dj$lwW~?|nw;-9t@hyJBJYlR;~qtVm$z zaB~_@Cq8$vyyjcXb-Uv*68sNv-vXh&{t1;LM1w3xkzXhH-u_)?5U0>RV!Hn5Q!OzM z;iP{+(ZU@MPc< z1n*W3P6S5eWiw^BK(7;2jln;)%)7THl4&*`kfaO|A4!^ZI9kug6aIRuN-eL-aTERmm>^3K=U7i4kj)^ zJ?WF%W77_(Y20B@qq~Izao3&g*Rs|-l3y$M1v0@Y3$5fgkIK1U;Q7s>`aWbAs}yBN z@H5S+wgI15Kx4cTJ}#X@+Mf;KHh-mAa5>63+oH`=V=I+`Fu1WtZa-h$vxb0T)@QEF z(T;9EqRk!)PJzt|YG7X@AKXHY^}S)s0H^=ji{Oi9;Df7udn9c9Br!XVQbg<7YI<+% zjb~4^(BOw$trWSw4@$EZlcs-DG;8Garb>u7Xk~!<3*}+D8m~MUL4Fckd6L9Uc$^#Z z`t5;fbjD|&cPoy>`ie}+_GYCs@tR{xAo5yalF4aI;kpcm2Pv4`uZ)~dA9-PBsTdU* zOP^+j8;i58*%8{U<^p7B(d)j#)k7_M6H${)@<76HJL-;>O?sTUHy4kOzHxt_n|f?5 zhDtmV{bMkVmGQ+8q`05#8;lu+VoWl?s}k%)y#+#zVA6FL9EmO6MJiWuWlHOrJ zijmI}|1i)=JEyJDH^seV=G#^{s8gHe-R0g3J6Gk8fQYIKGjGdvSB$RLz|H>wHE)rJoW8xEWz|+S>9+s* zL<4HV{7zJ?7*Th=KE;jLnGApx3Oe9A?u9Hac1jbHwPL#D_q@Q=%*FWvCdE%*=(BEf zMu!ph8uE;dIKCCoS6;kk>n_o}{hxPJ+1}-yHOVbwZsaQpDrkJ*CJN>lzSX^|e5ly> z50JJkV?1Vi^7R{$TJfIUlIMB>f)A(5#t}pyIa=&oI>hdNNnMpY{izNqmKU55oxIz8 zFxyYdPr982PxSg@u|=~#PA_wVOkU3a2G#O#mH!BX+cm9Xs+fa$qh9HaW6}H*#@=+x zz^-AbA26@39>f_xCIuhPw|I{Cwqb#1A zgC|j&tlIiuq2#h@{oiOP)Pl8G`NxxqNOICw7qZQ>FJ(dwIZxR{Oq!0O_BnWtNHIJ8qHeG_`D`#hs3m z^%jv6#&KkeWebvv^q$7Tt;g5T>AaiDas0vJlOgYO!)%@*f7D3Xldvlej6V)&U?8KN zV(;p<5`Od+NstlkSssini!_K5lUFUy(9lK;9{veAD)>#|*^NgzQtrRwJL6q~L(7n8t_gyc@T)f( z_%(~lJ~1nrnH{acPSWL5Ki++5W_R}TQ^y|$0~_fi9ae9roVP zym7p+;p3K*3u8R*Urj!j*f5r^(jgoKvQ-osz2;Jer_r7fR>;y?aOJb)!KmolcH7nG#9!tQJ%8vBIC8Sr6Fo)qVr z7Jy1u(gv;krxT`<#6)QEOFYg&q!ic9P}oWOU*!TSc9R9aaP!SazT`|GPeQ=*zJxp0 z1hxigd&7t9s+QEpV5(K2C7WPJdchv{&X+%Y**_+25E>FWWu`G%cu2WKrs(jOdfR(| z+Pj}ZAUh2mtTS~Y^TLl!w89$C#8P8Ed{l+UawxD%#bM-nCt`HxJT0tHW$H#E{KW&M zoqb+$|DJ!qfI>0WkxGo6Y3A+7jWJOKTry(eva&28>D~1(Y5fI(fDq`{Hd-W@&wqAq ze;rVPiyB09+=*v#vlN7tp6|r7P-vumdzN5<*D-O3!6`U>xJ@=*^qIe6=6v`2>#l)3 zk#ycT@d}Ij%5D+mda+LHiKBW`xt+P=jr(dKNa)6LkxF<&E90R+TZi>TtTrnDrBQJh z_znpzcVI9>&4JpJQ>)d#&bxRo_bvhkhY`1rZnDZuG@k|;hdv$0qpDfJu9B}g(~}UQ3I(R zEcpG}-DFwL9Et4>DQD}D)8NL0mKnB4o(X?%M~c^_&G0Wug&$q zl}}32&i+Vr&`OFBX**gZ{x@I45=oVyOwqP?5QR?k5f%>$Q3!*e3>IVPzuA0$%ZlQlzc$XU>N`;QyCU=8e>-N?Zf`=u!5opI7%!6k8^VdZQbJ>%He zAV^E&PfeQ4j9IE4%g-Zmwt{=9wo`{cANBQ6N4$^L{g||`s6*tH_-N~=+k8!rGF=RL zNq3U-@*$1UX~|M-GQ?(>q<4=t60M_s;IctMzQp4`zdFX@M1Ao0A26=bnDFVtv&*>p zyWiA5yfZ&v+QvhRL@G~~ZYD<6i9~W7TcW3jnN}XOf5-zB+@ewMZwZX&)iDT8lDcsj z`45my@GEI~KQt@5>ljG8bvuTg>W}8lWrfvK9V4$IYFAVKKJD_ZrSH@A{wcm!hrC5M z%hWmoxgYM{P7&4seeP&KR{)7mT2nh~K&Z_Xe0JlQ*{6du)U(+NS$!T(MRG6`EC*+d z7e;EVHdcwAathbl(AUlO|71xEH#ye+(kN{H;+befVeTM3V|E`ngDfB)2$Z zL($~byD6IEE2*WZ!v+lVw~#S{bZ96QMZOX6l!D_411O2@{kL$EPO5DXjio}TGfu`d zf4TlCg_700I5S?(@5he4hQ6oxUXXL5z1obyQr#dSv4*%KN!((t%!0ipNbFrwGOKU0 zR{sNZmJ+yZDOzJ&N+$5M%b?-xJm%~3=$>TyG*WRs9w%gW>F34nqzgV%7I87siu{sc zGv(Z7@(|u5W!DKRN93b?y1AYouNpT%86W6zT79K6@}yQa>FRsKtAcRTmj1RQe#6b- zEmic)tW$8@E#_^pAoGs=`N(z%Q!6)*H=mUg11|y3%$sRB-_p-=%^So|Ju_cs%A+^K z6`FM0@Kj}j#WY|_AwS%w_(E$)WcJNZqatKmZ0ma@ zC6QxpWmo!n)*kO9Tal)l-aEeDv=p~_jN~UoR51UY8Xk>YQFuCa-KJGMCh*Vey_Ru* z`yGsWyRI>G9KKSwpg*|ADq7NgGJAAs`g&mLWGf&Z_O{F>`&4#5;w__$bP>;SEF6Rk zRiz0H$Lm(h9n(iF9oC7L8CnUqoY5BTwJyIBPhWmeIi&XSV%td#XN4zElt0~l?4`Qo zPp}d%(ceyg*m30g$m7AmA(@ND!sFs__Ndv=)_Zmzf~eR+tehV8sEb9#4tyszzPQ1e zb0PIxg!)eAS@EN?r_)Qh#q=tVRtPa-E*A^;DFRQfGWFC!e=AK}ULjbDu_e6U2b@)C z8*Z!XlNDHVxU1v-0q<_#vq~q6&Cbf5bZNJ5>cJ1Gk2S7G2J4qj^{U&&tE3u={@qe{ zO*SQvrRZ`-T$DsU;oRFUS^Ecs4!uNiJoTN9MZMrO_y^R7;j;=A_}N*N@8QTUo%}z? zCXQ1b56^G>#_;a5T^a2v{r(()P2(EadrJ%TiyGePr<(A-zrWy7A0@*e5@hqhg1Scc zLSacypK>E8YLe8D5Ld26lEH4b*C4@zRR0IO=Dg<%B7jAWA|P*}x;%$foLl>`s6oJ1 zW!%mTCpSbUt*tyLZ0}1X7>!hKnbH1QnKxwyGDpEV3+xo+vHRgjB>RCtC}S~!9=Hj% zt+~<~WxK1&BVXpG`?Zg`7LQ7W>!%xmel7B-*8sqf*jrHDSjgSapj$@*DJ@tkj-Hk;ekB!rq*qE0Gt;`yGzWfQ2V|R= zJ24}`=n~WJXfiHlW^w9RZmLoPExK$T2VccVI@Eu4EIClS?rN&>bc$T+W;dGI0BN`v zH#-ZSj0R!U5Xoi02uX!{gqf*PK}R&m*ix%8Lvq5|Vz|K-~?kC=|e!s_CGyAoG^7{$V%v@O14))Itqk!U2q z63fr(hJtnZmZ*a z%V3%*%Zb%an6=}ihvzC_$0lFzLwXr&>B(C9xhY=;pKsHe=eUH*W}R!3+`_Jhob`4v;hZq zY!?mh{MK5xX}m>Zs-_;>eC`E11{xiH8eWj^GS%cp8K(v+{NW#(f*FHl@1E+fL12ER-jlj$AC2c-`M-2R3hq{_RSV}^`cJabmWFY4MeC!A zUHO=yKPa`I3kt{HG8$;eqI&BF7?eyqtCBr=(3}qW%Hyc%`8CV3OrSmo$ylR#*Iz@^ z%~rL9>;|6iblpF2+$XgWKi5{xqJpHo1q`}S?;XMd%@wvNGUbCLo)7Uy)5SGUOFgjp zPP~hukk-}xE1WB`?huYn7e!;?VV6g_=#VB!FwkroC=DuKwTpaMG4*;}jSmA`A7nAL zznLEn2)ah*V)TF2vzc!(iFEbC`v~B@Ji6bj*y@4^Vxvs=QM|WMnRPvktx3 z!{n(Fc;j05c98?MgVo?YJ3XYUABa^9#X?dRKnGXd5$io*?@ybvPz+N^CAdY>TkFsI z-i>@<51Ptf_SvYq!Kef8a;~3m7aNj*wC8rD={(W4yI7SnRy<+^)A-Fa9jV3RYJy-L zMxDhVl>2SE$C4@Q;YRSsySke~D)+p(=(0^PupkLbbxgb1{tMRzGnucY^3#bbnW2!) z3&xiUtnMSP;F7e+{6>x`0B1e$P6~SS^m#;Mp>urGHqXpdqVuzmv5%7>P5%JyaL|Lb z3)$tjP_5Q_%X7`h%vXyv@gP16{%MNe#;IwK*Use|)gU!=eZqGinaG0LnU(v-ia zL?SRXP(uH`Nj>a~&fY7X(ue;5(t=nHq1WWGA60){WddS~x0}%*s>9>cl}ZHTI_a*j zt#n(DO>yeE&*<}8G#q;;(;pL67+FM_Xw)&}yxV@1b66J8G|Lx{yw$N}c=+lBOnX-U zx^b0sC6G z%Z!{BzssnV8S}FnocM&~Rm9`UK2x%YSFlughQ~)ID{fGzQ`pkj<_CPao3?U1n`FV*mV_jsXR zFJ`9n!7wX^b)NmPG7##{#KFn1Zvmu*V&1zoB{{Pi8n=ksueM~4Me?TT{9U9Uo0I~7 z)P{=7*QDig$KPZIk*fN!uUXP@Pt#@|DUmFGz`Ts7;VYaC6wVCOz>^F@glAq++KyRL zsxV0+R}Dq0<={_8p^6{s)EHZX@2s8OyBVRC6Sl+=XgQ9@c_4Ok zzL((lzN`mo6H+Ort_M)L56*-Hkdmx^Ra2uBQ409UaclU>3lpbRLm7C--mOv#!g}o` znq>s~GiJOl3UB?E>eTBE~Ze5pO+NKFlnr!J!3 zs5d$_rWboRSgeMbJb3E^d-tJ%iLqhtQjjrXf(UK;&l#hF$-}4bj|!#;4!B=27>#9H zvU=#B|NgSQi#H3p#SUMsDuwu>c+288E(mmYZ_+qnvi+=UUv$9N5B#wF)&L2r!Ef2ne}KwjHsuOn03-0rR#%zf|2@{;mCXgSy1 zC8w4PXStH@){?)ui3rZ}D^5_K-_hWe(yX!HMRSV`;%Y`J5v972ak(8VBY(=;nhAp) zJ`*Lmu|GORu#M2U9cUWGUv$u#iwUW3+-rR6Emt9U zwF7ehjpP~f=CbJ{DI;A1i18cM^Mg_l=00#^Si1SuZ~U2}X!6il_$!kEki>MMdl*o6n*_+hhKVp#3GiC`>R6dq}0kFh4`z;SfwW$hQkxclE3m3RmIYi*by@L zV-B-oeix~!0Lv{CyG}uXAB;NLzjAVQKF45hToVGUNpFuwu2b1>+~`?)cXDP7aw0o? z34!TUW>=Czv+*=j!(i z>=?L`VmRPibWEuh;}QH8AMy9;dO_sokGF8>SWNb512h&8j_@zs2}a|f5E0Rdlije|ZN=I9LY)!^2C8jksBTm%7_Y@K z8k5+F{be;$MG&GH)Og@fp-KTV6oW1gKej#2W78Y3WZH5VP*Px4!y?A6wX{+*IUOUGAv=r zmTDit<%DU}g0gQxBcb?}YC0{5L^H^24O~U%Rtg;%6#kg1jxA+=WJ{M?4FDJ@ogf%< zGXtLZ5CK(8m|!hcLsOumR=}*#`*0>+tNsP`D0g*GdKgshp^<;8mbjN2gLyNQ3nj-7 zBT|O>;IP2YrJoWuj5K$HLd5?|4NI6$?PdV=GJ5#k{G`p5tv-g=re){GL}Ca>j8qX` zbU)Tc%`}`d1*q^Mdarx$x~5x<)quWw@}c^dO3`ZA)l6|21g98-*Hd8bY2-ZD{i*i>$isP zl=JYj=a{fCdOSyj(lnTW_=F3lq1yz`zmeJ$kB{TEkM`R}>TP}$#Z;}HX=L&bryZ9g zNTU843TyOq(nP4Cqz@iCUuv@JJ$ZEHTXhlq4?vrHWgUVVCnc8OlJQK{G@j)v-XWf- zaQ}?KO{H|Aao5yl8$D)F%FIZm)%YDM2onGO)G*ANX@@fDG*3q1Sy@SrG}|*HFITHe z%D_r7Yy|cRyar{03>gcs3mt zr{K7eFPm!N&c#ok6oC*^oP7(2;c#Fr;;% zR~<|f$2agjB@;s9E|#d4+{-LNo?#7|N~Luf$*9>gU<#8S`6 zJ^vfagIli;^c5&dc6%Rm2~MW1Hfssk9Tw^;#;6ZF^^@i|RRI7)sG=;= z3Ve@*t<7ipff2hP2Ukl{GnJQuP4EBw?9T%G#vlkqu)n)xWLZ>IlolLrad~N@f0>)`)K;b7t`;;39yqC3@A7X z`C9xS>v~B2?)(4J;+z!goBybZ?d~9o`lG9<%^`MjOvp78y=X&6T<5i&8(sL_Y1ZqD zj|vh^VZrU+&ys)N@V`^FuEr6ZS`q2{ji2oZsS}M^YY#R-Q^PJ(aPRk>KL<%WdG~_6 zYWLZtiuDe@U)W|D8t9Y!Z z#mV;`RZcOTHzU_Cta-^ua7y2fT3>TgWNNHk1SJGdMr0M!cEW5H67Nm>lC=V@PHWO zU(g#d4^fx2E`|@8X4pQLemuo~ZH84I%1MXB=|8jQO_m8JR5zUiO2d7%gy^_vQGgNu zH`9DkP)E5+^$=O`MdqwdFTS&S>xq-^nMB}vWN^mOOzhF9gbwT(r?r_#c zQ}4{tLm}HFTy!ibsj3eH&O|H3;)qX;!zsrcF-dp{BRUlk6&{x$7XQSxCaP#X23;vk zOsSBz?Er(23%X8QPQ)3Y%SZ>WROp++P_j0G2IKtkrPJWi>v$)%z*8xIB$0zRrYbkU zVL(Jt;j7ks(VShF0g+d=rh(F2i8#O2Seu-Pwegpu9`*e6sY^;@2#@RP=o)8qgrV`* zt2#HgURU74MxhU@IkG=;ZIqElGl|EeSb)Q$DQW>1gn{V`HYqY7s&PU-5HQJGRQqgk zMRHC3)x1N>u4F_zymj_27#(|;2Xm6_kb_iGcz>#qD#M(}xR|$PFweYkoGc#1{S}2C zWb^d~H(zY2A>>ntc#y;)(q2O->@T;zVXq#)r)74D^3RpavZ1ALAHP%6>vQnz;{O#) z_Zt+m#N9F-hp^W^wUO2y$`|9kT(2HJ%)L*I{+s`2H`;sE;gNmX6rQ@dB8_eN>qsS! z#W3&bkdz4A&^n!_{pEyElJoa==r?I4sPlK*%3e**jkSBFeiu|K%vQScLb+F=zfDg0 zt|OP4h7P-N8FB~g=v010L5i&${-yj5D-LyhNQl6)TF*tF zE2HRXjcp_%C}Sf0bjr8_u?rC~mD@ZS*Hp-tCVeCY)Y{|kirO-M7cz2|5B8d)Sj+P- zgA4Vi|7_^XFvx*bKd4mBr7nOL)YkKL`eaK{AjtcyYQdXOq%D~8(PV4j%dH5k(Hfi= z2~>7fGsxWB;g0*1CBSdcTuc5Nkd%3Y{Ps6}t(FWw=nP=k)IR1hqj*KKmwA&n+XG6{ z#2A6>Stf;Mc+HI)(B+SOK2vrrsKm%!XI}C0N^)`{g0E>>>zITh5>pZ`_3a5D`_$-- zJ#VaPI2~}L1z9U~i>)lihwA5lqDBx0o>I#5JNe_XmIp8U9}#`{QXQz zh}3Hvl(4FdihrNF09fZyFoGr4h6=$K-m}&wf;0DEW|E|4nLdt{V1FJxRcW4y2orS9 z?*>T>G@{})!WKaa+$QMi|Cs22GL~)ulC}J#IYLQXBf<{PpdYR!1j2Ib{TPf|{3EHK zQwPIabfpeg->$Cg8u+s2RlnOOUy_-jq(a!nC){<*OH)0%3rAvO3 z-aE#SEvlH_yA(!B(~pS_)H_sVt^lZ|$PPv}LBeLlrb`k~|q)qj%_h&83~eQz)165zc;4SL}b% z=9g#g^1A@=)O?yo5)TF3I+9swy%27LZi&)s?C+FU|2nB_A4N(tD1ut0AY9sN^&6MG z=jHgqn@;Ogw48GPf4Ow!rC8xLUmB;vB34V~*!;@LR9nogNVxTkmraf@XBe>2IqB_1 z@1@kgEL$|tGJ36FI>pMZw(BXU>|tnD+dpS@JopFnTPcP(TbyU!X#Y>^9h*pO>g3t& zZ{_0xB9HYfHKwg!pPcKzT0A!j8#p_oIeBw8*6nkFhe zoQ1YwTz=UE%afolUlixB)h_3piBJF^RHMd5h7>B%HdXjPDRxYSG21Zf?T`Ns39njt8_b;UIrDai)QLxeevdK9wwRn& z#xI*L^g%IH@6RJo>---?vH(+~HS2&j!{FYZ;ObzLR-Pw}rlAgHh2m#gC6IJKLsK#< zNI!`3{g<`^Dq$FsW{_se-HLsM6W{MfH+t)np zkVM|~xi}JI|2#QEmfFI|fKtEOBA>5|C`__&mdKWC#@_Dc{R_zSKeZn#|?S{sV+U#ETeYHu@16q zySs==g!n{mI(gXR0R#n~YOwyd<7L&9wwsod?hdm=oP-cl`{Kx+j69Ipb*?M;Xtwe| zRjZj>N$8=mt)`l#n*B)woF3o2#g`S?ZN&Bh7kOPlv(DCK!?IHbCFbL(b-Q*T) zuoHVYEH-qEmV8|^H(+Z?smLnc(>1RSw2}on%sgk%Ld+Ec+UoI`hvOg=6@pP-(p#3< zSX&D!KG7wTj2(o6za^S+55woc4RcG{FkRv3b5hrw_lQAXDLl4^V&;CWSU@v+%!oSz z+$0<4q{Bdj{pLQ?@ieyl#zs&8yGMN8#A9JBi74^v+CjG=a%N^D!Yrz~p0%S6isi>s zvIBI?f>X0Y=z1qGRAgT&J9zh#D=b`k$jeBDDvK-wrZSxcKoCk=l4T8>l@a0MWa+(W z7fCB0fUs=V-F^G{ZX|8PEO;iUoF-S&KFvpsj z?H-4K$6N=Q7A~;6|APZh3xPS+oKvO7Z_F4}QW~|cL^<+Dgikw3w@+E?Z+;#^{?@-lbgjnZa<5e-Tn%`Pzrr`wTT7c zeBRxA!T{+$$KurX-dWJM30lwyIgMKXtn{*q_1bx7-$mtO_hkI#iw zBb}Xx>Ed>JOLNEx1ruGvzGJ+#@K!PcK*C23BsJjc~Z&AGA5L zNnl8lgBPM&BN32S!@~rK&X}JseUpA});=ER4-NB(1@n=;bkK_;cB`*FP5r0|m{WXJ z+f-OXP=JN6u|^W|sfiN8cX2L5pS@;onUY8j!p%@GjNftLE&EvXG%(ad81H-*RtOrC zj^nk%xMm9Ry`&wn46mRhgFghXDuAgRvEojgYvX{E6eWOj--o}M#il>M5k+dt?VzG7 zMBODX0UZ>2kA^gZWFpiIXd?o+E7i=5?C%;^VoCrkT?S<|NjS6g6#0llqpAkZNC!-o z&`dI}CMKbkI|+tyLl;!+Ae$CILaH{oU@R#}5I(<&DP;lj!3an-g zXJ-??jv|`VvB>xNdVsND8uN{pA8R!&$As)v6YT&S2}5A^Jst!C_Fstd+#HEsxo(k( zQ&B{<2S`B2-_jLB#;x8Eeqad*75kN(Mtw^a@Em1=mN1RF*B5Y8vk-ZZpL4H)uc&drs=p9e5$}_-up? z8pUExkr14VgPTNr)O3Kfu7W-BK)*b77afIX8o2*I`vMn_$d{+3k z{VnEdHF>>p=h;t35c%NdV-e4E0`Y>SG1ytf;kCR`QI^7;PUm4`u>9ZO?&y!9x}h9o zjC;J9M^g%SX>Dg-TT!a3MNqwK3T7S~XZ&V!C+vJ(aIioL7Pe>q609~;-V%?yH{7<3 zOtFtVMz>NEzsdWWAbS=1g&9wQS#_j8oXs>Ev#F^56UbYBrhKQu z&FG`%*t3@9Vq$@0@zIC7i~6vgZ<}k$<#gL1uKN~Y4N+rX8TsmSZ~!E`@?yuJyDHT2 zY;+x(5c{KyxgrF0D~W7dZN^is=F`2QCQjw?r;_$g@~%?>M9Iw`!$xQ%|B0HrdZfUBu1^b3)4+f`+l2NlvI7g z&o=omvI-l_rC!CC34+Fze4$>2oOBl>i%H9rF*FGZ=tG32pv4O>X;6GTSxaWBO-+PA?X7J>uf<(xhgLJ@$C$)D}kM zd)H12G>r>+qu@Pr9kWANM0*4T9@H7hfd@%5h$72-;E!BX5 z3r%waiw*xi#zRaw2Lm$_sqHK`>~+wo^&K=#Wa_EW14l-x((^J}4t}9X*HY0rGg*{y z!xrm!3v?0;jCeX;%Ty(M6VCJJ!)^WnAw-$F;LSM3>7)}MWUASX=zI@{_;5E@q0#qu zele)!bSQ^b)e`~7$MdAi_jn54B2Hjq6<=26w)? zrG6G_U0-mAbm5c;=oTcpz58p~#p6-IV?6j67l7&(M=hX|=a>r~B31L9T}kI4g47@Q zJl$UggoRdRXn1rG(z!hK;cBPRRY~6FQ$`U$MxlCKiU+;~_JVa*cAztY1BZpQR`4(} zu6I*vHOB(G1w7i*>(^m%)>C1T8vJpQWa2F5bDB=jIZF>JSterjAznfX6>JDy>xsgU{Jg zedtK?P(&IV>OK_$rb@hdWmK*)S*e5Mv1-hvU3^IlaG9|gv8U-GcoHNSqsz#kmSwIq z94^IxW9G5cumuvL`=T)6T)4zF_2h3-vdxj8(UrsmE3R~DC{5(>y4!#jr5yySj_)x; z5g+?!$Jf#01_?iizV;z-Hsoqhyrl$YWd%hMz6fvT!(!3eHWOlp_V*%$c8hU%?~m_O zv9y&E1}YQB+Fkr;Vz)dfGf{_?zB@1TPh)HKgE|&CT^BE!d-zu;)6K8OR1&7(U6739 z0d4A{=kNBjJg&(lo@rompAx*$0Lo6IPv|y#&KR+gH9R@XDxT0l!H)TBFlGvFzw`R{ z+x&`?GGbS<@5v3J0z~uDP@ueyQ(rG@w-OE$fO7U6Y&1|k2&G(qOZ<_xT$Hrh%Z0Yi z|GF?=VleqLqn%9t!$zRWQK7WYC4v0qHhD+U%w=WzB8zoBd}eF==Djht<7XuxDyi%A z5jy-9hep)Pt5=xsmhVDGK-9&P7zqv8O{&U;7tEzMN0$ecK|3^ycc|bk3PK(qJUK65 zbFLMuJYN~9n*ZL)esur)eDi~uy2BE{Mag3C#XT1z%_a>l1{tp+l5;(ZE|fG3N2Pv5HKL}HxGqD{ zj^iufxcK}7?##VQ+OM8EqHl<5*TXi@a}p?{dIuZiL$Ak*ivkQAcSj9xX{A zpbaiq*s2Ni6i}3C^}vr-={bf}GUzz#yy!6TL} zOk1XRj(9cs-Vj019n+S?$i^~Sr-4dEl|P7{w8x2H%Ka^yxZp?2KWJ&m7of2BtkF#f zvl^(eKZR~2n^SoLw<7J{o0Di(q8jEKW&Sw(Az{pQIq0rvmH$0{Ix+J7E}Y^jg>foh z0b5%@1xPE8%4zMQ95s-Ahn31HoX!fDVmJ^qo@l0Umrx{2bfRgZd9sc!e=oq{9J5?k z`BCjV=kNyx%#4G~UgOLtnYYuXlW^4&{oF!(!4pHy zaa_Or_B7-)uXWV_Zs)A(Q>##%W_`E08fW;R7!>-VC(fAgM@hV_(kL8ua?u%aD$e7f zSJ?UL4zskQ#y_CqEww#E@4~Z(LrG35wzxJ|p1*;nPdZ*L^3FOK_lSu%Y)WDzB$L+O zpf@V47+jl4%jRM3-e+FI7(^->qKULA*vQ5K5ejyVdALFS;R!vA^G?Z zj`}UeA+lAo!pV1?H@E0f@F2$a#u0siyQr>k7M6aB27}`-*)DQqbfSkluCrAb*e>9SjZMY`_i6PB-e+}^c76yHO{*Q+2-NlrvW~1!HL3`!DjEyKZ0m!M|x-c z(<%By8!s4GVlEf{=)goE%Rbj+=Tyn>pBYM^o&ujH5g>~Fx{*ya3>&Rpn26lz(X%6` zj$j{l^uvktp%Th#m5ADGMz+kdU-~*=zhGn~80L3F%AH_jH-+u_f_7z8*?4(1j+48T zZGbtt3wi~M_3-GB7Dg626pl1T(aFFMAG>_>yyHyvyf z(`k`s7kgN~$xXKTcD&-!TZ~Ag1>ao|?3&)2C}6Jw`o$S~5DC|qBu1zRjc9VwVKbln z1CU0*@cVq~VbPZ*2{`G2rr$}g=zAVZ)?BJtq48kp|9Qg6T@jqd(>#HbwqD#@)3UM= zH3fZy)n=YgPczA|i+jFoH+WYvK9W?#Sd z?U5x6U&c3I{F!^cbm#*USPmoK<2JxoASe;@C@J`aZ}E#9gWw;GzwHd*yk&^bzVeRl zrc+)67zu@*AK~egxenFO`rG8v`9@n6*uJG&u{6xPnHhvf#zv>&+jE4zD(V9&{_^zR zI;uS=oQ$Q;l+jI)MwGMNEn!&14cGSj#+8F)CRn_>{r2-)_RkZ>px*aOM6vn5Ljg^JL3)a(6ye7}Ff=kfT=FXx>5T<3XR*SYVx&b?gcx&YTb zOE|C0hQwA(sr^Z;0Ii4*Um4~7F-9i4f@9lnlOfl{w&)3)ANDKWtgRQ6?YOpb+rQjx z)iw|Ukb~Qg8xr@OlgS|PW6Rg0%#p5Iv&~9t1W7jIPeJb4+%U=C8*73(Y(a)MuN|s^ zH3XEMVTjR#y{Q{8i24cO!AshM^Mh09XDn?&Ms43%2l#r6Vxz00*{w2VK`=_Hl^jCB zVhJ1sf)9>3gPo}n$V0f?^P_6XL%SdBd)HgaEnkk}p zIlU72k`Z0cV|Ob(<}t7Dt*PMz;pEt zId>L9%%aK}WO(g^=_=KJ=+0Q8*81JC+!eg<0^jpnWT6{w))y7#I1(m#q!xoWl$}}n zyR_StH1pwrUipE2;NYh)*+6Lnwzz3UK^=6u+;v7_Lv&_;hy<_TY^pVpYTi|`?DpX0 zkOSSf+rz(@V=hQuzJ&=xuR=mAdZMjTA3G`7_u)98L`BHCFJyj3F1HP$@$+2qgVxJc zeRtAADI81@lX4&kMmTRW9L`yY+d@v$K9q9Ens}ong=s1!2!j;d{Lec}(Z*T;d|Rth zp>tBnVxjV;=jHj^+N-yzi21yR^s<9nybW8%2%9n+8$5cDuoqUEb`dXD@SOqg+^~}GpWXhLMV|`W7qak*6?T&U6ELt>0m&*)VkCH0HNZk-i zRslx%>s#V$?r2ZIK;SRn3I$+4We}GhH}I3ZYe}ekgAsDkS6bzk%TQ0iy>B5* zWH9Nsp5AR36%ZT9M!TjvuQs`&YlnV2V?uxu1t;?8f&L?lMKV-;XWJRGUS^=G!Ib@5!B-6xEE( z?T$WT+j+G<%DH30sN1OAl${(>|4Gq{qUL+-e|!I4awWLUT?11mW1isy-BkqrD-uLS z%=z+nz|my8K6qU!CmSrM5n9T?Q(hVahMIwVNB6cP@5A zCoWO9Dg}^kY$7RY9#_yDnzO+m$P3psZd=jlC4srwlF5_!RA_}L`rJ^hqAE4s0d^l~ zpRB~Rw=Nf=V|4&umRoCgvETz+e^c?^78ImA^s+WGvCj7HYfY}t@hq<p`|N=X6G&t1Y`walBxMs}QXt z<(K2JuACcV2C8cvkF*FY&>JZdDSeESV75gD$U6u?X5FPC0CM!E(u}8QtCvc5GdH2w zfB>N&!foR79-3PIM0EJaI4Ul%I>2w6ZVO(H2yE z_zC^zp;1*jj5Z0FoGL*SoIM4=x3vipND=#Np9KV&NtJxkg@GxewFwp}p~=@9xO$)a zSF0&Dd4NQ+vP?s*L&r%@c_6UbD9R=rms)N+d#NNuhP$l|&3*#KNI_Q1HQ7CYkDjQ( zlur`cY$!n*Ph#cJe7?C`BUmjt6kG)#Lu*02A#ze-+>KbvWb)Z`aV@SdDpsHyhyr{j z7K)Ov zqgj!j(Fnom(eLZDRa-ajWb6- z#;!`ZNh}F4MW6s1LLe7dwm=;(j4X17yv6lQ=4Zyt-I*5~aFyq|=bIbhlV4q$7}5B; zl*VrPPMRyFy!1CrUgyDBM!I{{=H8vUVcvQ*$(Ot(K0R)$RK&dXwcJ92?YWE9eTZ!z zX}OZm$mZJ_+v!XlNg?#;XW66wFM$0cOWqo<@ z;uEj&XL^esgUL zf_ve#1bb|eMP8*Yvj^Py>-LWc!v+BN%&)4yv!Q?>A1<~;pnG9W#sqGMRr}}hK5v@} zGzl;;+*EOLr!~mz@1Yyw^1!-%QNc(y#LQy=bhJ2B70VHA@aWmJd-b?S6=uLZLSzCQ zJH8TE60X_ht4F)>qngm&Wlt9$E~UcQRK5}2aoO4J+WR%Cig3ZItM)Ny9L&a95V`^} zbs#ubP1ksAFpVRS*<%zI95!r}GSA~+Y4X#^UOtLp@fzeXV9p=D#9+Hjk8`QaHkiVK z#T;xm*)8YM=@(@6uq70$ zSB>Yz*?@3`(Kwv|4B*&Z{SafFJjT>pNv*Cd~DG6P!EJ&nP-g2LpZ z?CB3qp0Ja@gVK82bJY0qWWQ2m_uhH4LV!cef6WCJh;hF8n#+M%ug%DZihcijzbH}3 zZ|?&QUD5DrEPzYc#ucD^23|= zJqgY&aPqnDjlLIo^IZR}Ch=5@n92l?%UbKU`Myt75`d?DGk)lcqaVwqs9VP?!U-lG z+EsY2w-tD-^hHEFTQTE$5RH}uPt0sy!;#P|^mBmW2~RYTbnoETa$K54d-qgsITQ)m zKh2|{)!Digd2*f&NM6959!ocZKPaDheaIHLC0=iGk9E4U(tW9@<1|L$M zPs7P1DaiBt*MKOKHkMf@Jv!}Ne@nZ>j1(C=3bY^{u&t|jiTJ)|Ql!t(qKOKq17r|n zMLuvao>gAqi^i?_Fn|$bofBTb=SF)`g4l@$!Gw6IeZpZf0l-*6yV^Wj!>Vf*4Jyb& z-T>?=45_WZ`3O>YnHpGR)dOpfF?FV|2^aV8I56;~5s@>WLahNIp6OftxnB3qp|R~cAt)#Yc4t>pme+Y+hpr}hWiwf#J%-SM z53Kk=ZV(a~rUEb+<-|nu-0XutWtIvL3w;l25&>Mn@F$eTxWR>vjWDO+LVhf^Ib!_Y z5g8~JRc){5OY?N5AJd0oH1}lC3Rc&10^FIozQtS$9n48ak@1xsG&kyzgFM#TUriPW zWL+Zp_3!h_#}5I8gQOih8!}{hNl3V;jP~%`3`PZ+-!S>hk$t|yvXh0j&j*owbu`>Ra%eM_6Tnaz3|)Aq6`Av(~99L zp%f+ixbF8A&QEci=u>f3eRtb5g*RWk`Xa5|iLfa0Qhewjb0grPg<@sf-&5n0xx)~j z*K&<^{RPS{1(R4etbh0OC`fFV%dV9Qcme<7H3VLf#6SKR(;3Emhi2yVG(b&ws$n>5 zlvU7&5tn**_3(4zUYicPWioDv?b1izXy?v>Byv`m#2FG&tt2Gx&^9>vxqR>W{#VbvfrzQv!t2% zMHQ(xh=EJ^rUn!n77A2)8qyEglc)%@M<-Vh0c~n685aAcwjbLLZ)D%EGN= za*HBBolJovVM4_UzjC(}VF#8V6voQ2N2`*fRQY6`l{)a~c}LG4m?#1ejh(=JCpy~Xm?bQf6aH()KGcJYUYHtpeg9HT{z8lK;NV0((yvhP9>p-IdT_ zX9N@oT~7KGMCMEt24PV3Zqs?jXfTEes60g~z48N$kG@|AMG3owkcY+qbvjI}QWh7? zE(1LHH<-rW?``VE7h#XE;zsE%mtgXIud6RG+H6;f{IHKiePXTC9ZXtx<=dk4d!5Yl zaqq>Tv1{Hhi{Gb$1@-3-OEa~HujE}Cqs`w)vb}g(NT6?3cc-A2A*LHdq&|C)S6Rm| z;z$=lsk~-bAagHFXWq|*K2+M)!qq|`wWYMU*CND&ypK#V!+P*q`Tm6SHHryM1!OZ~ zXqUP_lA-B40lH1R1FAwNE-77l-;Loc{7&BpNqX=>UckNorfbY!`AWS8@qxyLchp~&lMpXqog&xb7z398lJnQE_|r?G-%J`T`D z@{oVd*ip_UFHgSWo76h0_zE0 z_s8-cSxkn-E2gdy1gxT(r3bEN;o06Cx#Mn6b@|F;ss5bmaMKA2b{4P{H>cK)N{vaTn(r-C5(uqBKQXN*6Sn z1gWv9Ddh9F_Wis&+t!?#wF7Q68Hc|H@Aef-MV5u6uv5Xl; zLz_$ec03-&DO{hWkT#*%KL9kwCPI)%(1yS&H{>?d2uK|<&3H!Dw8R=yZpS{pN6kFZ z<10$mOtIU^mo=O8)`pPUMnL~&LQX_xzDyyFX0~1}57HCOM#?>=fg#8<15vJU{^y~g ztqufP5t?Y3)Z=0W4HCr#tUP7|JXQ1_V4H{5 zTNdmMl0ZAEccOU?HG?zo1cO7>MdQ;%BHR0g!|a>-M(cVnqXAU!92EMjYoy~2vf~jP zK5XVj_HuKucmWk0S%Z&;F&UA0oD-LxT$-Gk5}>3kD6{WQqbp@xb_Lo~B*kfW7nKCt zyySfwZl~Qskl)OdRb}SOz<(tQK3eNhpBvh;zZ!GpWP9*&X$}+i_*UoE;3%?R@?c)N z-Yr~S^r__sjl?gKG%Dog6?XdHBNW7gwn<6a8VGNWf4`&Pa%^3>?7Yn9vH6m7w_f8> zxc+*;(wlc3WR5 zJvl!692%i$;|A^MlFrZY6fiSCb_{`EI$N^d<>j0?nLkK#`;s5dcFpf<1a~$x7wbPq z+|cIHS1!+!-EFfwRG5v=`IzyGQ@7k^f&-fIwsDOdGGK9V-r2!Nx&B8^)$RduZm@xA z9K_P4adNyPruBg9h)5pt=;zM*b5nd2RYkAvgXU3eH*DVMDXfE?@^|hmLD(E=wja3RHK@trCkbXp>IWd ze$Mpf%tnerQqpp0t30$rC{W?i7g%AuMjl}95LGz}*`P&Hu=Y@9n)*qxYe& z4+`{Oiy$JiBnY=ql-kX(D7nvgqC_DX3!3{LRJKu*6tos7Vyshwe<8goz!Y)u#mvxR zF<0+6pXre%Txd|h z6cXupw6b0X4XYs~h)gm|N7mNiR|bAlBsH{zVy}7M2-aL%yCw@EIp-0M#xf|LzFENEUUf8hPySH!6 zXA?I14u85Q=JFS7Y7B2VeV@QnoL0B)`#xRr3vT)mXRFMf)L30Ke0$%Jz5J5LDOX{w z-=V(bJ(pX3zb-9Q@|WDZkX2~*O#EyqVC;TL`gpQ^BKV|GZ@eT(YbXT6&FC7>Xq(@@ zN~ZmI>jmw{KwFNWi{sr@AXy{u8`@%@HYP8XvFxP0Y*AI zrJPr~Cb3a|<(NVdpZXa+ggM&y| zI^$GfTYWvLvG0o5ok(sf4#VLkG#^02oz26W=NskAy67a@D-r1)@P72lk5* ztkHp@uXQl`abH{*X(@jR%}A(CV}_!VrCbK1VKjt@_+!0sI>PkAUYv)_HqEiUf1-9SK zD*RCwVWJKj#PH1g{7TZ(n7s(kM>6jP0$39Yut^mQ`s-90Xs2k?h`m!a$fxOIiScYl zK_mv)B5C;g5WvK&iK z4aNEpRQkENAW|nbvyUo{$_wzl)+`WN!~w0LH^v#|o(xKGUkd?|f?21jHbA;4Q=82U z!rJhBaBk<2!Y;m|1}*&U;oPn1k8Tn9Sz@zl8@)`ltVpz`f!f+hci)G_@9?0PMwdHpZY5pOpjXsf+%&+nLBAvh4ME9tpKAXg#{U6!bRnKW-KXQ5N%e`3 zMgciZ#ZVfrDOVcOm*`i@&NdH;ZM@m`D+&BjZztYeOIJ0r^3Fz?rVn5!TR&>SQGG|} zJR{Ww7LmlhvzF<-*j1z}HforQ`#GmI7lXq%M9w#vXo8 zW~e=Mo+c~)16ZR-w_KQDWrOw~ub9v`-4u$rpsqofw+M7Q?}x{WitoS|&OZ*mhETbb zH}fO3Tll290^jr`ZP|@x5gple3JpPS5yjn}<0 z=xTsNYw`fSN%AOW=MIa5`@Tssykp#^gP|0u>WUVc$o8r5$lR5-3NhB>{8fY++|r@i zqvtExaJ${@p10-Nm$8jme0wz-Iw39jQl_TxI5lQ2EYAid)*2kM)BZ~|JmO-Gz4bef zxGfkTTbAA^9at8vA8IQ07$Pn*GAhdBh<%-@%I)F4^em!1+QuML!bH~A9^nw`!9lQH zN@1pu(A%7qwdbAHrM2WP@FB8DXhBlYDIgcHw0$flEe*rL!|P8A4ks7Agb)j9?&4c6 zc}g`<1Oa1MoiB7{R|8OanX4B0zv6G(@l=Pp6CV!I^-yD~39wN`K=<_k%#v*p7+6CglV?vDNp&|NscSw%8J!>r)`VmawwV+Oac(h6!DxT8gF1L&hqX6f) zt@b=CLWqzF;Bzx8e-jmjcJfNI++CxsE%4whSk>>?%8&Rq1j$pr__V2S{M8xZjQN}NAV$n}rDhmt2RFB$w zSW;vu3<)QvhD4|;%Oh8SGv)*GX#%W@S8=DD@;&Uldw&KSThp)qVlzf(7mb%^iB1%h zL(z2VpTo?b)_YWJ9>=Wci;j8mf2&Z?{le$W(RLMP;BWHGKzAxKNZ-H2%3tyj^Dxzm zW2=oi6Iax|^>I>=tS^YVo0@^)+tTrl*jQBidbe*seQ{ci%l3oO!Q+H(j20xm-^NoFLIltaC zGC1gG(6(TQZaIs7`@CQg3-MMw_->kskLGF=c?K*T##s|B+vF_UCX6@NiRMFZWB`lr z%HK^usVCa<3r73fK@V!>sz!g9Y209~8&DWS^PpM$+p9U8uL2QmYApV-x$#^};{bT> zM=}+~s2`>u4?;*ko)fXJ4y2G2x_D78Lw2Z&NklMOAQE%~&4IDJOQSpykR@eF#>3(a zFr8ZX+K}%OIvqOrBu3-ov)hn{ybaIuL2ge#;3b&}oehD84`4XL$>?rh1GCy_M>+;2 z(-b{OG5atjpCHdG%MY!-ds+YLDz;5}5F$Vua;uTLEvCz?(ZRYT^x@XDump(He1=+N z5E~6whbz;Te#R<5s1ypA;m4V^ikYJoJ+$m}Ds59{zKB!+e{~MCoHhIaI$1{hOCG@nn5a#}y0ea;vIFPB~(>;F~Dolj$r_Bh# zR*V&|`;yG{E9KZpj(dF?vZ}?eJC9F9EA(6*X#Xy};4O~6`%2@dKL5?=wKP20$a;43 zWVA!vvQeVBf>R2;MY+D|&*^;7g^Z!*g%BO*uVyZeI3Wcm z*e<8c_(WRg{{XUi-iQLK+HNMRMRE>>FcW3@O6G&@QCZ`_i^-R-dBOzYeKotvD|hYlF$x>XY&MOT zk}OURC4!_)Um>tK9~vBNCZeeA;WI0-K6Q0v)H5(YQW}b*x=Mw!!^hCJJJ$l_gC!zX zDPa826bwP1fZtAoN6Q&3cdFAg)k?*XLvX+wEsB}Om(x=o>^7!5 z*51&Wtjpnc!Zpg+x|L4nkjJT^?idCs-D!eek5pPASzUe@A~T}mB}6Kt{Q647#La*q zmpQ7|d$RWo@}Vw@o@u|;KZ6P(7H}@@o}g}#DBa1bub-cl#7_Dv^Msq~RM~v&+W)He zn)7(~M+xg6Ku=OfrvGx$ds&ZrzrNsft-$ZO&64phJ4JapE5as+>v`J|wCW2~zYD30 z9OrkQb3bEfL=Z=Z@36_!YyIX>y@jXW ze_hD4Q;mD+@i$cjZX)nn{G;-CK@PGzd7C&8`FHOFoIQ6y)fH@MirQtHbB!oAV#?_B zBVPU-UJM57_QKR+(itK_R>t08TCW9&Or)7|irXoo@3Yx3k&;b~d?rYv>c4y0Uh+a`%)XL+i{V8I>#P z<<4b0W64b!2nn_F#PU#rc<~k9QK1mmwtRo!IzeojyijNvL*(8MBU872A(N?6({YLl z7BoVnqH?tQAt3>@nQRwg0j_qnEv{^9Ik+4lNbO_qv#v+H=xQV(izxCQ(1Z6_q8haB zQa^4-+q>1!fn#F8L>eh}<9vqVCF?ONS^^4~^f+P?(OOPYMutL1Sb&Jj=gVDl2(sd9zZT~OF`I6#XpfR2Q|AswCsZ>s9){CA$YOEy>EnU3Bt&Y9g%meM7oeU{jNN+1zg69+ zP-M$UG*unP+klvX8wU7ZRY9G`V&n&B2zR(RDq^Zi<{4}mY@7{*@#uA6?KRQqU9txq zIKHY)JH7NCfU$uEy{1ZigAuG_mK%}gQMpD;^(X(@RZult|lPR6K1d6x?ywidqF5KSJFMPyqNM(Ec+;{PCQ+@pHsLR41U?S9BF!vQ# zdnulB>*mPOCZ~onS4H8gsWzX_o%Z`{d2C; z799jl2@%>t95FY7^-`phwajdMRxdAlpZ~J3^K(Lo39mv6BN%usz-A|LS+fOYCLD@; zsEGe@oj=|HC`hwc3}h;S?O(tN&k z!}QtcEz|rx7}-|JcQU^7f#+u=mBkWf<6nV>i|dvq0zQ~`KO4C5{y-xrOe2B~u@ zkbp2ZGFL*RgOr%>=!#PTT2PSVQGB1YNw0YC7NeJhW7Zsm4wVt5#}yaJEl6+JoZ|+d{91YTO|1TuyKB#E%d-T&EJ=5t7)UYFez|*a^L0 z=%w(A5Sb4Zq4IN{Hq2BISJ9i3&|x~xrs9MQ2DBg(P-e9y|kY+gu;m5J!c_$8iM6n<&=H37HR?`-=$J?m>jy0`|w@9txA(+ z;xIuC&BH3v%RT2endSya=;4%0O6I9qu@3wmj0FWWP}wXdG9B^IHbWG#n(V^W+0i2k z2`$Fcdj@rUeXo%qy29ivNU8#ra%-I)GXM&uAV2ubX6S@l0z^fY_6s4{ag6Pfy0pv0 zI4WTlUV`BF=(6qTn9k==YK=`2s5sv*q9@fc=Sl$%a2anU<&zVHfdDY+2ZE4+NIw7o z{+CbgE-9Vd*lut;?FDqCH0ch$pu+B(%fE)=|k7&-P)+RG}XqdM=NKNS%PtZ zB;#|`PdzY72&(E#08UBxRJDu>#Q@o1LDCevXtaI9h@`ji3_?zlm+@1EPRiCp>ue5y znQtXNTtx;MLJPmg^fNK4W0O0B3!!+U&;VePrma~i_AfmJq~XXLoay>tX_QNJOt~ue zwm*Vx93st*&k&$~!Xgz)YzU*6Rbxr)0Mzqw>S3}Q9h2mV=_Q(Bp+YEtw)U+(%QTO8zGxFMoNiUizn(n5iVpGGbPE%P~R8o&)g^hkcF>v}~w(`t-ut3?cvTRQ;bl zRoQG$n1>@MOB8SZ`aYwU3@Ny(hIBK6U}T2obBc|JpI)qqs$g?^Z0;sGU&A{lNXwxT z(oSf^)T4-z;pEq`-KENOS|Lf4UNLkOm$SbnT)dT`yV9km&wW|2HPBC}V&$;xtZ(Qs@$iBzVjL@J)U7m)zc_ z)>Y^9Xyh+=@)g#(zl4Gs(69garKP?5^k0o4U3TP1gyhN8Po~ZO7sg)83>S-*{f``hOe+HK_jsdiXzVUgg<;FujWp{~;$wh3EcNrbcG>@Gr2_N%~*u-0mu> zfkt1go+mRqY8L$iG#)jdSefnaeN;BddrC@Y@;mS8$ou8`^}o%e%Dsbtqh`TVud{-b zF!OJj*7Ea=Xf5wA?SI8bjru$i;)#{;m4zUu&wB^{qsQxKMe^ptgBQvsF)SZj#r{@y ze|{QyPwM*4f0Kmrk&fmPQZcFJ3~@zuY$X1~>cI>4wSY5{Zw$AF&xYg~{A(t8k(yWX zz5dc(d6)B#RN*fv-{yMH8EwM8(yy}v-$$bISkL644*q$jOIO^t#niu*B)$KyQm_I% z?)F45?_BGl@=pEosq%L4;>hWzo4+6Z0Tyrm-u`)()pzH`$!tsO&s#r88C`z*`~jMb zrcO5ZPZxjhzdl|318@$^ez~RbjTE>4N$}#Ak&`3c)}Kc|kM|!b?;Lz2y<0Q>1GIc~ zIaS^X?mP}&Sd1bi>1ZUuUdba}R-P|joUvLkNr=nhBloc#H2`?SHmu7uM*F;jXm`)6 zGTYXD`=~_ux5>0|#JY4PQjdqB;4#^T{p%}{Wqu_v_%@DBcOe$ zyRabIYV{*2%k!!tWBbx=L9`kFI*ecZ4?~#g_R_aAycN_DawDNR@C>Y0W3>C)c~!oD zV@xkt-aA7hp&*2By-@g1hy=DTFT}KqHXO3AL<+Fv_mjFw+}|d)u=+C;q--1^iIa&+ z|C2a7xjw9!#QY3hrS{H_dDn%&8TGqFrGMtdf1pK1Cw^3`3^U{+Fp^rYL^I!T5z z#us^=Cg(if7NXXNCH|L;WEs_FWip`5WH zX%hIKCglIrJd=k~+$IARm)2**v>f*G=x2EIdD4}CKIg<~{gP1B*3Z0h(zUECuU-FT z;X%<}+E?Yu-4l(oqn}!fb|PMplGOf3Ep%J*=#1p7OMK&qG)O`3-O-MyulE^%g8Rnr zv*IXe_(APnZt-c;$ixFL5+56LcLuJY|50<+l3Hhn>-U59^#7q8jSRcRBu)Nhqp^;f zsm%RN8ta)`cCdhHvb^*^S#n^XgJsY7KRaH%UockqXiYzSt@cb4jdg|61p1^i-bQsK z#jqaXc~i-fsn zSN7ohnFJ*IoOgO}_0PDFF#DQxloWrGL^xAu47U4smPj+QEWZD5I!H9VvbV|}{HG0F zq1{0!wb2}0BKS$i(+zTRY@g@DOzn(Q%78ftS zIXr5XZ6zJwBavLbwD)-N{*T|wCjUy3VIs9pthB6#z2bkl;6-;u!NgNR@}O>hz&;)P+7&IbVl1Dqaj0`4~d`2F!H=ctHu@Y|^o$t;q}H%2)> zev|Z7sMI4FZIT)pWqI^}Cn`={{|aeJNfWZ|vG?(>jvaHP>yk8waa=8j|GLWFwetU^ zj$HhQL;D_+kfoE5QS&qS`_0oI$I5R{2L1r^i>IH0l(*gg8p$S-Is2cjoH|*27X0G( z>p#E;9U~u9^yR3DOGEQ6T{20KQgAS>I@cTcd75X{z8<-Ba@W}!V<^!C421}5a2P2c zb5AK->rAcw7gDq`D|x)-P~IC-8fdvU(WEblj~JcUHF>8ddyp7Pkl&{h&kF^@qWW28 zLwMBHJks*cbt~^h@W^}p-zOxS+d7r>dv^a1aQ^3SCz8o+X=NapXwN^uPf~6zCgSQ?0{mOOm++fz#()&@% zJsjIwk+#xqA6=rRzSuivuRAkTU6Dwa^?RjXNl7Dco%nU$mh;o&Dte1+V6 z;Hk!vm0z&;gW*(eRNAhmB+Yng@4ixxbhvio#WzNzS+MFNh+0dYa}sGHodgLnzL0Gl zm2cKbitW(+?)#A73_e?<4=lS#WFLEf(gzQ_avkC|`Hnav4Y>I6*$H4cIh`Rbtb<_F z;P}@3tL)<0*A{r+7Gk3M_NziNUAeWuso#V24-IRi@@{UC*2bIqf0slU{i-vI3^Tc4 zx2WvNy^fn>Kl?%ll`VgF;}r)?F)g9@GYgq33v77%1oIdSBgZ)r_g{`BLge^`Sf#>^ zN03bj^^p^&hetotZjO;OyCOHh}3{;`FRlsY! z3bARhZ*Oq-S8ZTsnmp!(20x+{K0Rpp)byZbnWpGqL4^GrZG>DgZZ}h}`c*sTVR~3V zW7!X*M^E)f*ZNPa_V(NM)&@_m?>Xpi3m_HGou|yXe}@{c;(wJ3r^Cga9}==N@Q?`| zJGz*MbmR66qCfsr;?wZeE>C2hD`}_Qut2h4)!(D+T3MU;YC8ehH7GCMY$kFJYkhm8 zkvCbiAch08C|M|4!9^^EOB)U7^COe3Rrn*>4<3aEdPQw(0ZLBkm*8b`EM>pZo2-PAt=%-V?=_C@Ff-ce{zk#u`ZyeQjTm}|Wx#+69Lmi)m2+=ren+5R zDssU+L?IokW(tNfo3V8La~k`KN0ieLq?!o$$vdUl#DQ4nOYo zwW{7<+519k1Li}wA)RdT+1QK8Ix52NE+e_p)?u_2Qpn4~0#WLEi+!s)^W!{Cy2^oR zT+;}IaZwcNu9`{hR%d?ewPvkSqd01XhG*Yft@cRQ&`^lviPHc0+#Vw>?{fz`N-w6G zi{zb!tO<$~n5q>jDFWJB^1DgjBQQ4~^=n(MGQNwqlNOz(6hz-;r3!u?Xx}k|vf^fv zM6}85OWuWEgMaj8-_3J0lJP^{JT^P!KgVLvUGdOWF|KegrZO^xv!{$CKF|6OLX}tvA?WG4_SA=ieh`1tn zY(KXo_3$%WuXM-K(%Vs*ZwbX@u^EqJ7+g|tV4l}H%-`y9FXO+iX|XI1wnR7f%vZ2T zXx^kLZ}=?6*{?60(*o9@?(q((=CiYJkhhOfVMsCE3n_SKqxX2^NqpP}g||kd>ag`` znISj6=9*d*LbyFGU5eNJ;IM%>)N7At`GFykEsVQ=< zl{DS9VSCZK)YopNxO89Lc+r90;0p77`!8d>GzcT;j48vI5?yV1vCa*e!V&T=MDL1( z`@8dtrhKvJoEyT_7JY}FE6r9xU7pdto?h3$FcR@H~F&TJzk<)HjZ` zr=!gF<2fq1rQdIsQ=z~E+zLNg&PQjV%Zlj^6g6mvh!$7#!O)k`FYK25&@@HdEinGF zg2mKRg&!?BsaJxNa#Fup*D~_Buw$#F*KGY_qTQZ)o*I3LNTZJrgDD9)0ZIBUHk}xgBJYV#rSN{kfpr)m<(q&~Tjj zxfdth_V!!ia4y%#Fcgy6i8s6g$`A?F=<(~bNC4TSdcHiJtz?UxyuT71X z%Gb(2?2brfKu8n|LO8Dl@U{i0c80#N)e!ymG&44<>o|}{Ak~w7@63aUd2A?@+vY2jG^OY82vf! zLCf@zuU8V0p~r`1R1j1nOCp@xIDeR2j!3n?_+44&@oMeIgEG{`rxIZDUjtgsMa8GP zE}&OEVz67odL%#Cl}%$pp3n2|c_#@>tLb%uC9YXjJU!T+H#|1F9YPa?S$v)dPvMjY z*Ylj(i04`mt(xE0Zu)1X)uaZq0o4U>SC-$GQp&`x)ndP}Hd|5*ykq}4G){BD6S5L&ekqPt;CUSplOKgNjlRS31RS$&V7%{kQE#%wJT=#)3)Lj zQ6zwmL8H~beHk?}yM@<}iC)mWX4)mp`P#{gSRF)QErBNRB$DH9@}I)L zO7a4NtPyeBS;17p#1$0K4NlX#Ymqu`6jZrx1Mt4ZkF!?%Mn>Dm)D1Q-QH}A9Rt9o_ zibqR|o4)tbZoKuh=ZhG-bB^1#Qt9K;~9lMCNe41_oiiKup*!O));{Rlwu4fjK zDVBvgpt!2_vibSpvs=*;gb4B(@EfQ2%QsUG@4AF=H<0H(RiCz`2}hmdNAQ0odN%Af zM&VPPP4^R%`<`DMP>R(|sH=Y#c3dI?X%ddO!6bD98tPRfiT3}13^^ADQiz2}VFXu= zh_(F0$b3*~d3l~}7d^QWy1z@_l2pt8FkJ_!E- zI(n>2>D8_dEbpay85c{c_sQ)U=MuXaj{X4VJbIRYItT5M*=r&n+oF zt1<(?0%13WsNZ z=QUfiIvn-c>Clso*PEtcPmooo29UJUGek{8M7reZDw1QwBlwv)ZNNj?_9|EJl5T(; zsXkb*M&v>fnZ9oR|VOE*X#B80KE{03YFUGZ`E%%md?2Zb-=*d5c&Of8;6 zQtM!SeBnjhA#|>S>CT#1X59;P$+5|nZ306bWG38KjWHs+2sII&;d}fsW?=q4Jd2=| z`Zm6YVD5j%o~oKh$d4ayP9E`D#b&`2FIe-O>$A`NQdTv+y4!9RCqviP`-JL)d$-_o|f{@Gm$LR*M`_2)&0Wau3Kx{~SncKuXGYh(!(PSCSb?jUW%_m-U1j<;Jyh~m-xhK|w|Kq;WN<7TnM7v4 zCsk27co#tG)l#aZu&_dFFY?x#!%IJoCKwoO92^y9Oxo%^_J< z%p6f>OpqRJdJ3BKV}o+jNH27LAY3I@Q&!|Q;71t{089;`U@g8X`yR#aBwmYe9y|Bf zlum!DnI_@D-s0^N;43TK^MZ0@pJO>vDIeH{)5GdGhLHV2e8y`LK8S8NGW=1(q|%Q> zk+erziN{jsY7AztIfarDRStztA{g#Y97-pIm7W=i@2Eqb8u&>S`Y=w*Q{OIB-wZKb zIHl>*(({DdtgD!f>=oUYdFDu}5-#B2$k)v6PJm$tsTIBZr!u?&Jk&@|IC+dNRv=6# zYcu2J=QMl>*-txLDIFDrLq-ThnA_WbayqZl-u`3oi_RRc@)@Ww4OlhFeTf?HZajO= zV^73_P0ysSDw#6=0|S5#RC&k(gI2Vg(HPALj#IQthxZ&ayw65}i0@@-U;YIETs*jK z;$t%J6Ea8NG1G$ygNP%M{sL3;=XeCdXGYaS%q!LtHrv~pOoUm+9rs}OBeFE<7uSP2 zmUYq}2u%39Ae(8cT_xnJ?P{1?tNbLM_dLYcq+@`IZ!*)pe?zE?-}4thJk7pj*hYzE zUs7)cjksVtG1Rp0@GyshB~*X`;?|2!6avm11_(LWG$IK{CjdL3cnl&cf#TJiWu%NAtECU2XSsY@mI3uAUObd~Vlc7kns}BOJhmpt2hJ0_vRCaI#BX zi;s{~!M8Nyjpm*gY9a9!OUlS9|EUD}3xRf{}${I#?M78V{nNTb~fUjO?{S)0b6;eMuTHrL- zvUmV6p@hOK_e_Y%s+0WI?dlP!iUK2XAxHXhfR;?6NuVkPVT+=sJzN8!$dk%BwC(r6 zCUe-0UaOZ6y2MO(Kub%>vN@2-X0$yCz~g>TV38g{uDJn!=%P67s>Vjf2dZoduZKv) zd85-cxh!S=xRO+fnb^fQfBT{q!SEs;sCa)eyEn%b^)!p#nPTG-Zii1akQR6Rs{#+| zp`sIsi~vk`m}^fO(Cfz`g$C;q(_i7eqO&0~dwo^S07hs;&p_(HC`W*n#XYrRCTiCA zDsP?QGhmH3p{90At zn+?XZf*W7gY~v(fA2~Pn4zzZI5Sx;8b^1 zMp*Bj;;8D&gTksWoQGNZmUrdNkNRScHwySl9*21bH*VyOwKw7F#;`ZiN8?$~0u}f! z90;B(MB(ZN&|?Li1$-CIw*T}PT^wn|k^LB(Kk8qQA#XVvwcNoqI@LJQ;|Tp3MRAR3 zD!;G)8AhY2{QL>7d@P2uZXF(V!r!=x?H_HZC@OZD@91eSr1r!_WyPp*q3_!sq24G` zQP4##GSgE{HjqpTDgDX)-D5uTh7IgHiPpTBIfVP36elp|;1F!o-mB26Di#(evP~qX(!PSHl@f{=`;f-k2wiV|q+JSf z{%GFDij`|zAoapsDEa=3d+>OQF38oD1pdySFFRh4Yjix$pa1>ppJuUt`iof$p5iJ} z5F59R3v%^SxGoI|J~vw*gB%Wj79rq z+~dzEfgz5@S$r(Wsk2fPM`kyZgJU`Bi|gkY7Z8?+6J=diq3<6Ny(kZHbkR+?OQv|!9Se2X2z>VMH;eHQ8~7y1{Fi@x<5!{?dHzxf~Gii7&Y_A%uf1!;=* zurUlc;Hn2(;_J^*@F%(^LO_w%dZ- zM3s=`EmC!>py2U^on&#%w)&IyM@BR2!N&n#x{^{mA@PBmD%*#-C#1l?i0z5Whw5&BH zmNZQHeCRJ=@Y;EswU58~Rc!SQ!G9`jy{Nm-$0rmL)4A4S4`Y@)ZbjOU!swe$6cQ!2 zclKvZZ*kZMinl_VvKFzp&wm?BuK%qQ(I|*#?eq906-IA;p^&(6$=c_7Ls{@|0XO_0 z|Dke0;eXm(_5yAKm}Y55{FL?rC!HX!WBt^Ms!e%xQM;D!0~u-M!E z6Py>MU*RlZ`G#Kb!tCUB{3`h`U@r?9N6b0#((`zk(jtREhkc;?#AjZH1afdC60&Zq zP&h^GV0jux=_l$vBhkJN0=aNdMc*8SN>!GvjP03iIHS!(KLir znb!D+8HNNerX-|<23nW^7VG+swB(IU=m0KrmN_^ZORkoZfT`lc1OZ^T`VnEiAOeL^ zOY4Y-^8!qW0e4rtHB*(KVF)!zxtO5MzW}odLih^dbHYKKrAj>0wa%x7m@{atRmufy z>`mL;g^WzCB>E_Jnz7H>W-E+LT~qo^;j7{cdQZ{Suky(^WTi;SvJ+3a17}eWddaLZ z`+Vb;OYQ*8$7QFOJyXGAN#_TYNSF;;4cAmxpC*tPg2M;r@9c6dX$lyZq$Zi25Q4Y%TuWXFhSI>VwVJD=Mm>v2R4Wb+KK~-fF657_tpt@KN!{I11$8;x@1&|D z=8?x=0NV?r+>AKge4^je8T(uuQ!`zRU%4*GXth`3((a68KEuhkI+U)%6V9F!DcnJx zz{^koy3X5b(Ik7XnHldq;<8H?Ooo~=WoxJFmk*67rXbW5Zlh}Hx@nADd0t0xu4ix~I z1%|wKOD``BqE0;Pxu`HlBcCa4C+EH;6n!~4c!sX%`F2-%`|M%&uT?`ulLJf&!r`h@ z<)iIqH;nmaQ$oC^7zing;6itD)1KJbvE$2ZyBa?pcs=@lVp5)|AfStp>Jyhs+xadO zpOkw@Vke4v;wv6C^yPDv9ctNRp~Pwu&5w3RKpqkRi$c>G`NKAR_cv~nX32O^W^I{h zMUEFAm%iolaehEjpL*$ysTiUs#`vBl%v6#}8AV_bRJx&l)Yaqz@xV0eBW5fJ@k+~) zJF5A^ckH(DA~i3?B;W65vU%e4OkoBc`RptF6{?2B$j@Fu8uu!oz5*JY1NZ>DmBs12 ztR9Z1_V&wz1N0)Vd}|{CmD5QssNHgbeolQ8;d00^udC4a#-xGM-|oaZma3B=jpIPi5Qh+R z7K*9k2V5a#-!2fk-ayhhl^eFs%od?&jF5_&Om{sIbxSO<+Hg0$;@P7a6+A8_GMfNJ zReUt}JEZ7W#|dG!AP#aoDs-je!IVF#@43ShW7Ws`l4IkIogc6TMrZKstxD?JhlqohV*s>}eZm_#QG z=Sx@bjjncI3|jN$@I}A&o?$ZJMBJ9?+fBy`_aHJX2K3m-Le_ol0)O^GJa`Ew6 zt-2;x0{1iBzkr2KBmkw=-|sYZM*logOWo<~Xza9EJZh%!rRe7?6=R3{MBx>vUuW;s z@Q5f$=g5ytCD$}%1bAJW7l5~tX>=-E%2^)yu5&$A5a}V02D>|dyuZbZ>jkLKjaj~* zjy4XQz24>SgAv#Jp0(Y^WjRvGlw9`3zi~l$#Za_09%3HCa0iDp&;3FVj$l$0*4Eg@ zsyeE(Vkvnt75>zuyF;e?W_l|4K)lNJEZR-*2Gqf*?O0VKrx2NOx*V(=o%A^AD8}*g zGOM{UW*yOaIDD8P^u)+xEz0!IWNQO1FId(i_r=p$EP~xV1^lsR*3Uz3E%n9)R~AY?zovDfylM z$j9H$hcZ8~O@1x8y@ac#ePN!gO$6gdkR+X7Ufv)$X#`SA57SlZpV*{CwU>W)qKkQy z?Z-L`KbqpCZV0Aa5&`@);8?+4Nv5DiK=x_3@=_|9lbXvwnYh{5B`UK!hMWbpGwDn~ zTjUgLA0hobW&9`i>jiqe_qHc??X6~|z$7O>;YU7w9I0Yj@+s|Qcn&4tTFRQ>OEn;s zEz2_>@)QMWk_0r{(;n*X-NY2@klEL(e3Jt2iY(GvErWgKfJ*d!MS0(*DoLydH)xv2*W<9EZip$JPMJ57D zsVmQurWG#owkZ9_Rf_mMBNe#}tFXu@eiL&@iCF9TN@eGLcn4C3RYMuu$|eHM8`K$+ z^PwF14#$MYjIsYY6dOMDR;t<>{>^LmBle*#zWg~dU)(=PqtG_sHtwr_&ESsB+qWCYw70D8T9dxSdUS?Wgd3gtboai)ivS}t0J?y zZ+$#L-wdBqZPIms3AL|Q2iB^l@34^0JQ{pwhpbM;D@zN0?2Lt{{wSuU1WJBgR8zzM z1(u){TIds@0}|%pvt1W`U7f-6c%KH%@2MH;sCaPV!ZGecumpm_$xukP17Y5~2)?QP zQzl!=^`eQFqCR3@f(E0QIQa-fJhnK#YY@_n3m&-V=9n8s5=*~cDyxNWJZMAE1mBsl ziKm@Jx=jk49gj&|!kgpZZRZ0%?`fgV{^N|40q5bX9^6{Y3-Sv~hI@Mg#?eVkr@Lf! z4;fUn^4-6#Lb7Q4=tP_0KB%;4+l=}R`{}_%@vgC>oCkeVCZ*ks`NV_CZ`>yM z17lrTgv7emA$xk1(}8T^K2)xtynDBGon1#=BbIxD*jZ(Wf!1T0#Wc!f5qFBFbkv=m zn-A6Gi6-y_&L4aBeGG5lc+}E*Aoo6+bRCN~oMi=Nij#0oQlSvaG8#vrw{6-*xGV%C z?mIIxKbLK+lMc9Y28)Mzz>Yp+g08srl$}_~c4zB)e?YaY-@3@gQ+jA!9^CnK;QogZ z{rs4mO8F>Wr5K60y?D91#m?n& zEO^PjI;iof@yQ*@ zJY-FoNI$72hc6#_vUx6*R25PzFD%7ILfBc8f7{GRVV3G*49=Du(*$(AxMHO_w&-}j zUJ+A#zqMeD_j``%PTJuYvKbRzK7RpDMt&%Sz)H4ks=b zJpv7G(W3I9iLa(OAvA&aYHR6ACMThdl09GC6MeW{j6qwW_g_oWE7BLew|Z#0KeEo~ zi;v#QmTv)n-yr+YH1{%qNAhX(hY)GpLS8WtB_m{sa|rx_ZFgw6wTA^~qw5Qq>(q&< z`{=sk>~>tU7p^|As3{>`W&@S)NkPLI#2NPO` zw5BW8)H8J7X^imMDs>)UYZIRsqR5G0nakaW-c@P{8N%p2^EpT=iocmgH}0+EvkWKQ zF=TiGeYAh=&*84fiif(VJ{TM1gbQ-S_$pr#E$OaBJ|8m5luf{p4vpg+JTGYkdBoX3 zNOGL}(?wgSC5cqWO+IyV==v}X8jZB@fOeKf#}g|!HGAd^1`lTU*`@8G@F4LjCzRcfY6-@bge=9E6j%r=^im!m>`+y3y&`@wvZRy@q=_;};Zg3N5fRdOKQ6}HHNwjHfND8j`Xexg&Q6%)PJkNZ8oJyC3 zB+f2>^i~?}f*(k(Fve4w`OM&)mkp6M7jyk)?pdF- zpW$|+r~Pd6k;zFSIlEj1 zTks^OUszXSG|tx2ny|a+_anrTH3x52nD8AQD z?Qo!~d#C4`0=;R=OBKd~<+b2(TLIGP4`+T+-^(@B{Tp{qX{J1;81$b}e$3;6_xMad ztW74`=W#L5fXqe4#osb@NK|Kk z4`b-|1Mu{8rw_u9ODFDbd1_Jzh(Q;7QHu)y*@tJ-A!75_s{F54?P4-L2Wmn>vsbb} zCgi%<{@?iI3?EiLTpi^(a`ze9eA=u{w5hda_4_S9AAlL?C%A@KTLP3KVVu=|HP83y zjh-v=(!EJ*h`` z9MK$7`}=Wp`gR}yjhBIyWojfG=KN*;w#}vq0;G2H^$ne%ijgRi+QP*;+CvRZ9WBTb zRUVE*a_ML^VzSpL`2+h=Xg=tZF70dMl@Sg?9-aGA`+ZE!AT*I}PX1wqYMOYQ@uK^$ z(+t{4^p5Yny_CUB$j2$yE>_4^Ksp%eeV}w1&{4z;Ctcxn&VQx`R=!V_?hvi=;(9Gm z1j;WJ`_8J4Oj433#qaT<^#h6Zc&a}^?)pw|suDy8(`Co|Kvd7PdZ!uPiZ>aS@Q+g? zBlhH_v11(Zv>l&*jwXY;*hIs87DEyJ!H}NoPaTJ%c>wfqw>6q2n~b|Cte~KT zSMlIoU`yUj$jYc404aGmxX_u|U_4c|Gl#ysRzxcTzYeRoDI|+XO1k)v4w7GE36NXa z;LwO37f>a9;CC%$;GOy$1J8GJ%UnJ-qy^!J%fkVg?9``B)PDiZ-w5nwR(CH>({NBQ z^7hvKUmWm10B*}a%8M{6?hJlf3sx8Y$;_;AcStbI9W(e8DRN#hPdvt1nXEg=D{=a# zIyraphVeDk!m+r_ z5LRm)-ZXt*F~}Xxy*ANsG7+J+!xOgyLLiSaV(o6#Ux1U1%jDJBNxCffSBz#zJr;Wr z3GL&~6yx}~3yUKxe``kBbQM=vmxC8(9d_M`$7X;3obfcMGY9yQzgJyecFjc7c0%9u zJ7@l(B0^`%>xFFjrjHdJk(X7uS**4}mCokdWKU)y1HK6L4~P46CD2I3 zEq?O0R&ok7v5N|2P#qv37yZ>m%7%|7yIQvV z-H{i*hOeb+inAknYii)druCFlQlIvwYYrebGyXWk8aNEVQTk77sfESk4ayYpnk!DF z$Ur=)0jCc_T4ogyzGfQUM%b_mjIIOOvoOZ6YN43I1hw_8-#E)m_AEyBNY>hlkRj;)~-AOK}p41v(IvSpEg%n2QSY233Ui(UI_RB&eia znKO}@kVj73UT5P+0Kctd*Ct=ACazK45oV>?_THg$(9sstUVA?H#K9d2fdnPAwzAo( z$Cf$o$5M!?bM8P{4VKM*a5UTxb~*pmg)vgzr028-UpqjN;k4!oVQm__%`qkpTqAZb z>9<1+j$d0lsIEkv{Z3p;0^b!Yv971f;u@5*yd308rQEdwLe)0uYD)xSJY9cOu-qQU zH$#N-J{63cl_Sa6QYsF|tr|3bbDiAlF_LuIc(xm2#|KffCZ)ty2clrkAvq~+I!I|l zO84{UUBweLAptiv^)rzmh{5HHL~2_Xd2JCq5%^s_Fe@8Igql;4urW03jDY?j9ZNzh z8T#8x?#U~9+O<~%hqbj^pf?GCSF3kdZ`mmj1And{OW>aHB-Xa;2WI#~(O|2B4>y*Y zX~Ki-2Dk`>FsMBhqsb;`$ao?LzBNHNG(h0A+9K~uYk10*!;(Q}jKe1=7q(4^n5^&X z+pqJzYqdzS_uhYkZ6=~25vXWu7q_`;Ze7L4rjYW2kTEbv_=gcox0Lcees-r{ptZKe zG1#*#-KR+P&Lku*@8&A}@J}_bR1AtH&}+XjAWH@v^<#0o>XZ$XnW-_u_49U-Q>6zS zOHqKla2*7a4u7zMgXMIOT_uMT0lDWNe$d27Y(qH&FmV&R93E$oX|!8Qy}3F&g-0_-(RAHfmo#ar!+=R!1pfktmMCaY}wR_d?u!`pA zEHNA%mp@R^R$FhFqG0{g&_D%jcu*Yq7f>K&=SW*dw?}2UbiSDk7EX8Txwzg?y=!of z)21Mxe7j3`>b}Gsg;_L0sbp|XTNu!sTZU>uW9+D#;GAj;G4Ly=n{Nz zE8}%>Yk`6#MI=GltQvnxPJb$rQXC@aY?l43Px@t3kHfoMwXv8vy~FSX9+AaZD^7)$ zSrKBAGL^fh3lCNzY1fdshYh#QS^NmW=XaS9#+Z&4B#WHx9coA4M0w;T)&>puiM7-| z#gb8q0<{!g%GzW+?e%UGo0d;@IPbeoqm=q#n9JbOPPCMcCzc9qc!&06 z7VcTVFJr7LiYC*Fc2W!|z!LQ;38;+*E)fn?fRFqj!>60BmV-8QQJ>j_!CxfK z&br@-gE0epf@fwCm?F)(LzCqwBP<hgdV14w)#jB&I zfw|?ynx^M08*_AXz-BOSz>kpnBr=tIb}=?`fxWU|Mo(%%?D?Psh$k9p>9w_062ILz z9UEoM;uD8o+|b5_x(+PO#S2*T!%SbbS|AKOw&sl;EOJCht&+==8yb~4nw4IEAo7TptLE%D9FpGAZHy4-IhFdZ3+7I(uzYY9 zB92b1>J}zMn<`jKu`$0P`hGX3c9$2R`QmZ6a0~V?K*VhHG2ey~eVeYo)U$_l*e*hjmnx#HkSvkc z&mL<{4ft={Cj-7b=Savo-ufP)rdf)V-j-Re2K8`Gl8p1~$TF-wmgc5m!s|{Rn1z7Z zToAxo;>GR6q#>{o9t}fmN~m|VMxh>|PQQ$Qbz(MI+}%Iu-+T6~aX)Pxx|UYvEW-N& z(zS?ctPSUG*B_8Fs3uBRHVEr>jOmy%rE{`4m-!<1T#7_GW=sN}rvr$JS}uHur-x&e zpoM{M&6AA?h6G`m_sa#2bQuV;`3IiQ+O2aH(@Ay$2Dg@v(O-S%^Qgb>2hB^FNwEzj zh3blj>m%=p-^axqUUW*_p}V)id-v=$oqmR0wdcL%WhyGDkSf(oqUrQc+=~ZXTJf?a z?^=zuTHMZXB(-9U2V-n&alh{7NT_(57Qa!pt}S4XKtv?5Z++ydoTN_CM0oDct}ZH9 z(}Zd+w7IG)9Nkj{CAqxV4}1y)8QIlaY}Gi8CavCm9HeI13ML$nSWsF1(`A3G3*Kw$GlU!st*X0iK8 zKjM$*UebQS7MN~|zUzs~jZ819W7^xPlMxJXj6%m9*Cs9lVX80C5P>#!8j2O6VMmPI ztuLJ04-FHyNZ1}UGJMMVXnW4uoR2GzVNWoSRmCBm(Xo8157^XW@Ttg164EYJ5tK^t z#<8;IQ;ttHL#)peDzbgkMW+UDpe77jks-m1gxByc6JFc6YI1vTP~A#(=HQX^AO}o; zoZd-I)L^=!z3DtwxA|1XJcmv$AlXM89H|_^JEC(e^`2DmM4(D~k$E+okqz@Ql=0{| z29vk7fex7cVml%9)M7vASKMLpI?1~U%tdI@iZOslqOSSDk{ze0A`B0lns>|vVX~F! zB|;Up4pPpU!~~-B;jmU1geIP7#Kjr>&%VqUz1`^$SHenfNKVc-sgj?|!$7mmf^Nfal zBX$-6F{4P*sT>}wkG=E6|Iz8q5_eriuEwhk);TbNGGcgBKmI1y!;_a2jyzi)p7n7M zM3%U>r@Q(Z>;qUhqXXNHysc;A1;o7@VpM)F%(WuUSAVyNUFwxa(vs~Z0b zoklpvux!f2*CYL2HS}P_wMoa;$VKsm#Pmg#S*D5u59QEhQYj4=niMK%-ncSBoB*g{4?#u4ob zRt*ekww#rmUcdM0bvQU$j1@eky3~>SIjFYwSzx|}V&nm+HB5n`3I`1q?4#ZvV|*ma zgm%d&4$gJVB*2qR@x<1mD+$mV^kMEs$-!OW4m-|syDuzrfTgLDy;q$gqatK9Q5yH> zICeQsP?$sIAE($x&XH}NQq0NEv!pFo#>aC+<`&vNjVB>Y7jb*)m^l7C@A*EZ5s-tp zS{co`ICbKK)ke-S@qi4J>qe}>+~G+?`~W~mE<}N|q18|ckWHgmAf+|h3FE)Ra(9^~ zdCOMQNVj}7UtarVJ&9Ho;v8G>ZnLNO{(`b;jocc&_W)Ijs85h3M)5s@d_?cwE|MGK zxa(i}EUa|V53JEZ?Xg@^O-D_Fs;|SOhl&`JkvaUSTmdz|X>a)i>mbkZF$a43D&G z_bO@pEs8LAR+O0GysrRC^W!fb3Ae3UY53CU4jYuS4xkUqDFaKMD($l{uz?%jsLUN_ z*~BTjkaxcAWfDw;Ye2sEC799Ta$WAU(=kPu@T}&0NUyyf{Fa&uRKjLg9=AHh9DYtV zZWuiu?kFUj>J133HD~TjN5*Elw+9L+)7OES*n@bb2T;^K>e;kjBpV>Q5?_iw+)l+e z{x^GDY%UeJI;WRmp2H_DEs;V~*S7eJSq-DuYR`8jxEL2jZEZ9o zeF|@_IYQf|tM@JuHf#@`<61QT=~C}fqNwJ5TzBmmed(X@G%>tWubdt_phhJ50bjG! z^B8bGn^G!-0N8b;IIJZme?;{ZA-R&fTXd zZDfPi*I0I`RT$ME$jrV{vc^~45E`V(ym&sVDIGLqgUJ|CH~6xeqlV(kf#UH?FdPPC zl~8DjH#paB_egr+6=vE;jgRRJG^2WHO=k3j7=(**CfprIKJJ^y3TUfIH0Xc*$=WU& zX@qe4`sFb;nTvN5zzxa|HUF{qBo|g;{bMO*mkKQCAo-+Jt?45cM4$$P?Pl}s1{#`t zzmEWmShq_(qE%zGN`&ebp6r3-AR8dN{^Ii(?q{|i-SBBY z!ur3D#1_&hRbYV!H>N-bmnqV+U>EO^J~!lE<14OD*hdbse1xx8t*{m=QSV+T^7=V< znZ^p9eBj^fJ~_lPCiulPHx1%E)G`iY_^e~sfkHM&c&wjSjZ05{KEAPqsmzrflVgHt zeC_b?wOuMVDpIR6Xij#6kT(-{F|#bt0Nb*rKT3-_L4K z8qOj51nt=cM~CGHmBj?5=MgPOS_J zK(Sgr@Zw>B4SpV&>lcodh7rEy@VnC#+ebu~6|Sx7l`_rjrU6zCEpCEHVv6VrAC+u{ zgb1iI-(5cqz=22KZ8Zp9t9ZKBqyO%#eax&sNRgo^u|%ISB23pOIG$1L*8>JgoZ-tO z=oasNjqFM)Es1e3?(Dc1OX<#z`Tkio;I&j#s*l`$ZGeJJ3C+j;aw$5RXkd~;)A$0p zu#UsMsJ&fs=+lel;F}wV10m$ACGz%MMFhv4UvuoXrPuYR?5!kK)K_jeG|Fu=aj z_EyIwH#_xMndz8|-+_t{m%QoySL)*>{K8TU!_o9%P!C?G8R!k=?zjN!2vn8MwY?k* z#1_%N`}!9UF^C~^iW`r$_*GjhZU-c%=1^@DJ4H9I!WpvY;%el2bz#KbC{S&+^6D8H zfWhc>^VE2k;#U}dL+@K0M@erFeE)W5pnri=qm(_t&E{GSc#}W``lTv78s^FmCIx5A zoDQbanlloS^_tRL;v{by7$LQ^;ZR}p&2JbxrgvZC%Sobkd zTPC1>3Eo_)8qSzbGx^DlgqRT;BOJj3vhPa@ek@iXCp z<-Zxd@1<#yLD~A+>_=%tsQE;+?)Ms*MygCwMSuUekasN1ru^N-{8-R%ghw(mtwPla z&t=v1FJPLb(1O>VTF!9!c5RAAde$>Le*`~=rxYk^1USvv@B!~x1*oPUW zzz#@c&2-Z1T`M0&acD&{-NgRkN2hL~Nr#)ZxXHu;(8NKf75{PS)P$G*lcry1X~<|3 z*Ntyyu+t7jBDbdlx2ZMjwf>#*m-F74cC#}4T zs6kl^>;+q#F5hDBnaFgRKi}(*-TZXnV}9TK{kJ^3?|iFTBLAeNXkAsI!vpFZ9aoz@ zW>d_0mxRlSFD>Jit|Mo4`>oV~q=4l~pF~{hz!y&IoNj0Y;KS!F+*?s=1WHj#o-^HU z770rW>5(5by$Ii~vQc7cnNAz2n$-@-zimuAz%x{mvtM((tv3SLc?d!;=61#O+Q!F| zg{lDp8k$oc^Ziraz8i-36^3cb1keRfuCE4&4x^l$`mq&VB({(yjeN5uA&2i zfc;lPH3_(2*YN!I+{{VyFY?FW~t;fMxQR%F;)ztFL$uo?%E6 zpnC$L=U=PcI5oSUEP9qF@4+2+@M|2GVIpCxD7^n8>#~M^3 zr=qfwDcpoEr|+0Ye;+3rFCdj(aCOSfz45u@N@8#ldV9E-6QLUU?pA08GEjnLVhR{` zu`p3TJwA+joh1K*@ooSg9AgBkzwQ6BPDHDJ*B+5D{cBJiwBob&B}9+}50O!K&nonM zg)B-HCX;Xf7vL>GrN{d%$8q<@`*3KRyoK4NoqTNw3{4I9{nOo7Z=#C8u-+@KOIZME zU{>EN9gbR?86fzelP}gjA+U7J$s-0-fj`bRy*X_+KB`!Z{G6}wVqZ<8v13e#e|EZN z`AMh0Mew2Kj&?X zi3m&!ui~?R``eV{o9>U20&^|?eHz@TQtGvU%#$`paqsj(q*NWpqBYe@+2hoYA>T2t zlAY-i5Fd0e%KrkylSI7r|4@JFKK9Q&0F0DESYXQzQbc(mOh&oH++!OGkRB1k;qH^I z0IKRA_ECK)l|Ab+@u`Ifl-qOOQOj~7GdyK;RTlh`-VWX!NjxHd$LfscK1ZcyniMW# zuUy*#ZdESEr_bLxXgL%?AjWt@G)AY76#?jo(44K-Y#x<2)o)B=19~*^hj_#NM%6~w zm;HoQCUHk3hkt+K39q#ySXNkqqwB(RDl^B{%pF@J|NQ17gA}vp<=XXfxW#*X|N6Ng zw@RdzngeB9Y{u0}jgRLbUhjsW+=`2;`JyxQ8UsfRVA-aQ~;R9LSgob`;@7Bk(J-z!Bf%wNItIu#(OiDchNI(ra`5 z5x}rohWm~sc`+T>%irlj@GP?I6Ga5+I@S1Tyka;3(Ny0$W!)J%J|f*a@?;_4T(Mbo zx-X@q7%aBV*f{t*Z1-dNujp--rJBe(#dW$h7SzQHZ@OL>5fT#-%(&CIJ;k`8y47^gBZ|On0C? zOCU`YBqP!al>ezK0$&GnIQi_nOA}#h7F1gq;Gm)TYLb<(KwfBAUkde55sax>Z>d89 zf1M1gvo6wv$Ai*UrXT#a?6BD+8WbIQ$>)7@L@ATyD!ceZa*K?_)8iQXIKxjCtBA6f zF&QAA(S6x>Hy-tUT#9@$JOG4BV=SM3=lo0{-NoB)FJP6Igu9VF=4)fER8O3WFCk=X z|B>xeG|cQS7vW?^ zbJfXDh$T*M&@kLMuJQ^rvsQ=luvSOuO%s@N&g$QLlo59Ia(!|xP=n+Bx8a6ERgwwu znCyzr@p)z7NONjXLZ@L*ZyQgcMzO(QYE=KSL5$*uNxm)_KtT3RcaW)KC3zN7ZkeGE zU4}cK#P+_u^KHkFpbZ+l9k07bG9<$@l>kCm#*V-CLqxq3=y*T8bU0d4p~K**1Yvdc zu`^@?6|XJ|ob(5TXWF~?!hb+m`|4r4nB17%<-EqIx>Q5)QeVm4F)15O2*AkEMK8ZW zvV)8S2G0{|w-)K?Gp-V~PJ2=_|C>Tmzj&J9FW{||H`o!O$d&`2I&5zJ%7v_lWT!SL zVe_;|21)ea4O?G6AMod?U`xOB-15EXK^NL&h;otYkaYB;-trvR1^{2avdGN1r|38l zqKklH<|RWqe@#j?G4v{G%L-m}&En8%iZ$ZcAJN2vnUMIq5k_b1+OO$&u2+c=6Y9M;M<3w^FT zM6FBE&hJk_jmc)FswdvU)`bHkyctw#IQYm`JeNB-PQ&gs*-etEDe*xKK z=YIOlx<=ZVW01vZ=UE{|Y zmD+{T4+_-#=LRVoG@Y*LxXH3I&wos}ib)n)F`iz17=;*6AI;thE1G8I0+%6MNCZlw z=keIt*)GK}|0t@%NisnKWw7%sSA8%(2#!aXbm!VX0w@HytMZUF*ZaMggvofTQY9Ts zDz@>DH-(Z1e(0yG$34*zP8@BH$xF(sN9-s~3;JByYp zJG2T1Al7M2J=$3!D~QlEDz)%rm#lh?EVrU$!fU}?Ttg54jY;sKJnx82pYRi)05S8l z#49$Ed5Nb$rR*)*76mvBeYU57ywJF-XmuG6lclF=;se5Pr#mcyJv5$3MLw6M16(Sm z5q?h%?Wbb}=XMJ=;i-9e=EZlCkFL@>V74-gk4Dx*LGdcgG4kR!%`MG9UJnx0)M+bw zAd&-Z@a%Po36uhbpp$2wKzZ6NVP*%PcD;Wh$`$OD$dAwT;(~quqzld=uG2@biA%WU z^el?+^EYX0(@l1g2kG4PRDl9_$A{MHO=Og`gKvI9>$-9hcqDYxNRqB>#{k-F7xt&R z2lVtJDw;HB1+u5;VpM5WZ<9-ksJ!s=&I(b0Z0V$@Vl=mFk7n-H1aU^9t=(OhC7k&Aa#$#t)#A z+vbO6CuT&mhOreMuYPEpspy2?yR*U`9be5uGG|Bp*ff~fWcVJN1zCv9LXUp@y~*&X zzM&ri$~Jg`2TW2w+`M~AwVmGkok%A6(uiwV{_y;uqGI2wg-jS`xIA8>9WvJivcF5q z604`?ZPU)4j%S9W3pKwtEgj&$Pk?&;P-h)8rk4Syr^H@X!-ha)gGkYG$IIgky9!;S zfKj(*Y%cd_7hvsj*$ak)qHSaXSWrNyn3w0odLyH8h z1#a`%d1w`36I6x8cAyNyp*#pUj;cv#Eb_(>K@WzE-c8IRoUvWOIlzwv<86C@7QYwu z{H205J_s;`hjJyhz;g5n#$^SY2l&B*YG!H-+Hvm=)6y!)J7n4m)_aXafCUvd!mM$Y zLZjnjzDh){GEKX!ilQR%A?nq4$bouERtp2hSiUFxNAh>a3^Waes7HfEcc}@>7WMsg zLj^q=7YCG?8$;jp$o0hJ^!}El7IW}MY)h>IrmecoQsA9Pl*zS!n=c3Lgti8~$A&k_ zeOtyy0n^tfc7BSMMY_}D0*Uy@AS?Z&VC#4Gzj`8p5=tB^TChlxgZPlJYBwf4%QQ=n zaY31sC=&RUKrI7Qr2hwC3%Q}tKu)slqJR2>>W9Gag`9zjJSR7`ouyQI{P@C zQ<83I$|kSO>eVIEHi(Cv&OnrF)_sdj0By5f*_4+jWzd@*0UWsb66hl-Wb+0_98^n$ zg{)}4Pm>QDJdW@oH!6BY4~p7Pd$WC;oY*&pRZu*_?-^gonhvAucqSHO%1F&ty@0x7=!y z3XW0vuqn|P(!6C*tnx_vZ3v(|5T+RU`lYe!q_x&yaq-6pm7eFk3W+wFWMrvvTry&_ z+LJN(N|+EvF?nuM0W-Wbt!pb9b3Rm zv3T%RM-jp-u0}eqjowmNDm!6VV`~#UiLnhAx$i)Y(L3^XuEg*3rkSe|jwT~z01QsG zU1y^@6!~QZf!)Vjd;;PBkD{~iYw~^D@CE}n%58)+V{9WuMz;e7jF9e7Iu!>30s;~P zMvu|m-KbwdQMyAwq(oXkP$>hf-^=?qJkRI3pZhwm^Ehy=j`wn>LWT>`X1KJ`m_J`5 z{XhMZ9{Tb-q(7iZ^l4_;<8p4Pm{9~bH?x^g>^K_HSCJKaiMZaE7!T~_NIY*(>2iZiR~y+rCrtC1aP^Nro#nrD92CgS1`FhA(hI)}eS(|xoXY3WHo zfHc5Sbf_<->0I`M8rqhierIVAK!!K_#$gP?AAD#&#W4~o#nMJ%AH4GTcA$$9<%O^Ejf8Rm|5mESGxS-(Xu5u|9k!V?KByX)brji^+BjKnhGz9_>K0-nvdaXL)9;%%T}g!DpmV5FC8X z2`#Uc1}Y5OG3DSk5&3Rs{aamxt>3XXbEJ6DF{pz5>$?14ZwgYf)Bivq(*Na!Nw^f| zDVN5Ut`Xncqwf=7KmO^tkni{JHpwK2+>(Cx{7<0U6?81y*{#=}em5+afcS4=sYK|v zD@gtQ-j9_@M{tXlnT{mKKOre%f^O90LTeet`YAIDV1@Yox7+NJHt%ROQH{@2ID5Bh zynSxG@vB)brvu0B0Iw@=>;XvgcOf;b!Vay9`fgb%?3A~8Z*7KPu(E=Ca`a=%ACZdkmNg&&!}#28ivk3me9im9u(&)8i~x2gKtBkO#oPi z<`)NI?VB+(a>>i)kFR!{d>Es_9iU?8TqOoEbn&xHy`$5x6bcE&a;3}f*P~~}Sw27` z$k|U-oi&e&MvmvhFVAwZI$3P;H-WeJ6jtJRqA-eKKORy=Y4X`Ec=8v1m9&FmXtZhj zbMOD8Sj56jT*%8zMHg|c=5l3<^C4%GC*C18h7>13#|IHz)@+bZ{|PU`2AyF4Wkt7R zSzhaK@Qvc3sXXu4EL>#!w3__@2_~0A!n-C2ivSy)Vz*CBDA-*PO%3WQGZz}!E`ATA zqZ;gA2koG&xyg->+B*{TkV8PXMdj6M#ayg3 z2r54dTYNMCgPYK)rg$s=-Y2`2kpUm8ap&tZ7{YJ`XMQrdxcYqLI1QI=7LYM3Krc9> z6U#2j9dj3UUX(ytN%qbwL$9BXZI%gEy|?u$b{O_K1VMEmZ7;vx?6jCMGY_nuuKLAm z9?UQ>Js>bS25ZwseeIM(U6lm(Ecd#D-u( zCyBq^B5H@wP`USGRf)kHuK4a_W@$0u&dKfhxzR#VM1hZZkizQO0lb%Sm+4)O5KSSTAqbK2*9mY4p= z=q&dtpZ{#MeMCz+ zWtGgo_T=`Hr{@}R{I^C^70VqWa`t-w60FJmrUTms00M4&Qtp`}>g83a2RwG=MFWv= zN=hl0dhF```~0t8j_?kXdktq}-zb(rf0TMouP*=xV`CntcO$2G9`w41wDcVJa$)F_ zn$q7wG~tT^d|Q?Ty(=5O-c9~Mku=l^-{rJDK)+7}e+*vynL)#X`CHH$>3^;LJ?pXV zThYnp->R%UizWVpfrm!*%STs1q_Rr_Y1qGGIA ztK2-JC1LOrESVSUv1|Y@FvM!B27Pl(sZEf9FCcY*pO;m`j!gEbGVY0ymLgV{S5H1% z><)p~M`c@X>zaP29rV%@DcJti&>&P7y!&M&uC9pIjuRx*$9o9}cl9abEASa>Jh59) zmUqJ~&3f{kzm3wz_r0)dw+j2c0~Me^A}DhaC)%j37NdJ3@26$^74WmOa~GA|Zl+W~ zvQ@>u)oG~2j$h^Ya&`XdZs*NtLx($=D*cg_!JcL z^)ZGCliaP-FBu#-?0}dxlyd^OpV=S5033btsa05qXW`@2MZqvj1)lJ~0ipVJuyP2S zVawAe^cWICe_Wg1V@u7^T{Yh%hkcMbv;H5HKs%|A(zh3U2tG5J4_pw@x z+h4z5im^+Z752dqAMEZreBfE-_PdoXP-H0))sVPV>%SWWrOhE*?FtRQ__jl_0np#zu86>6Jy2H zi$*6pu=b2#t|I3={;z{a;&WzO_{|?bGiRf~!dBC}FMhaoYBZP2XtCFl=#s<` zJj&Z1DA~brSLcCV+arL@T~MmOjMB;{+nVe6x*1XW%GMrR3(^K!UwPug>omgXhWoO` zLaY7gq!h#~LXliVgXCmwWDGf9faOaP1Y&!(6+PV2@$RMHr@491a1V=YIlRtH$dAHT z$1)aE=)Ibefo_v{!uXw?!#C=2YIhCjW{nhk=b5V2X6*#%{z~l?ij3-o6@_oBkfo0% zx_VRw>}Y`-C|=_Jf(0ARe?D*1|0#On(V7N8-7xYfip#>Fl1FFPcTEt(E>oMRTc9{n zxnQeM-2GSD8V{6b&eZFuy?DYQVnrJ+HLw14Y3M;NtHBi6VCw3$9(Nu|-Sj4vNT;bV zMOZOX-0C($(q(IClLQnZ!wg%c`eQ|3``hm=7`c@M;RG6AzuJ(MC_6CJExD~$tLV*9 z86jHfsffd`)DLSf&R%A|3{gH#7OnZoizzy4yk@LPM$AFxH38nT`p{h0!zXDxx<`ws4T`iM|LIk&mLs)lWxSUJgd1=_H7c z{O`6BRr$m$dt&Y8OE5r{t!l?y8B$4%xfzKKmw3TDV~mh&4qHK#rdO{ARSB>s>K*IBQpaQ!8t7qKj6 zsAfC;m#Ke$Lx4;sG2XaZs3|Ul3`~U z(y*v;_~GOVCI|N|3P0T?H^B65E`A?i)4+guU%&h3-4uLOMB-t381j?0sZ<`?B>UpI zm<`d0S$C#&*V&~HoS3PcpY2m@oWY%y1C`gkspUusP6TLgEWAA2<_gs0M5!|>WyG3y zGkTec{8t-a`)SpcR+f_Ba=!f6={f?C>8pEZfNxdTxgxSq&END8Q$N@rpD+9^ZOjuY zrc29?ifDVoM7o}`EHL=UDO7)1R*C(ESkiO6pe4IR_MtnKIGzbkgy-uRifPhPVg9?>VnI- zNqUmcw1z)C>dz9j72KC=WwefBi;2HNpegzF!FcK;XnsYg1ac_!+#-SZth}>+2{g^} z#6zQ8{UNN;!F-EYrAifLyYnvnX_P@?wDIfrP39gi815C)|FSFGV+xs1U6b+X5?bp} zIG0_wxT!pz;c+dC)xh+-UgDUf3s}=w=C|&tJH@FYsuldJW?KHq+)`XU>alt)=Hxp5 z#l7>_QdSujD4qI?1DpD8AB2~tkYUckqJs;f<{JQ_;26J}#}=5!w^dC0U$`;Cg$UH) zHQ5TW6p2b-e+~F`8H9=La<8*C42ek45Nzs8 z1blpLC3~IRS3W$=IFxh7XauT!>HLR+meEC}Iz~v%%J0JH{j@CGd$~k0R@v{~7}=dL z3|CkN`!2S$8{WkMHwMjEWu%TE&q#RzgBiS}M|ZDX#-Lu%NCx-9Ca}IEPCvS|Yy7+) zItqwW+g|qXiLnP(FNOVhhFYK5WQ_x{*<&!fm(?=1oeX$~G#T;lk1=}6=Y9K@0)P8w zDH_fySJcJM-auNpn+7$;z^q3KPjZ&m5mDyuc&OFFd%dY)tQo zhboJ38^q`QqAVM;%0{>412V3Awa6(5)WN-dPz?7zFYk&;o(D%#YUFG5q=C;W8M-f0)(D<@q8-l7m^lXOwJC;w5gD+0S-k9Kh#hG2kx4+{fXsP zaBx45)rN7byi(1Rd7bn}$X{{S}u!H#VvC&-&|;L*eh zG5+7%X@jE~P5OX(iun&eNK_0%H2?JbsQg!YQ8IMXcR_~<$_uu?NgEw?VQ0I~soVti z`kf}nJ45U2R6zb$y5Bqhi4Yh$9xMc_zdUu{Lump8h>GXj&@kL5$i~lW+i?VI{l^Q0 z`}>~_#;_fS3hVE^#pq3QqOHQi&q%?p5OEdS=cSj<@(HvI2$O4ivmcWuN7vYKy0#v) zio%#4t4VGO~ zgSi%ZRnqhB?R&pQ_1P(x!eC5XX$xW4@w|Xhrrp!^LOvaF=wBruLGCl@I zYuMUxHx5&mQPKRoRqll*%G(4YG%+$AI9?=gHlzI8C!{G3a{b>wU%^ieY_t4dS){5G zNgm&|ul?K;5;GAQXTmSPIq`y{HM8RXC>5Wc(P*5Yv=nHzlJnP&8|y%Em9)hih9`Ue zidm>+0;{<>a6_;R4R3g>lU_E$GqA3QGFsAK$njGDAJN1#r%Y#JPgO3WP33Vr_--~*8M`IMxdGX4NO$8CPB zyi;+c??l~@)-3j>L{;kAjW+E5)w)3T{MYeRvSU#a#eBDPTiDK`t-=xeWN+Rjp+YoU z{*)uRWmq~BK0^2>%pb8?JWu*^k0s5zZ4Lhl98E88>2UQjU*WaOYhDWCFjTLuD*wzN z7G+bn@bQ2RP`rT_I&%DaV%XLvNs431`L)&C0gxrbXa#p&M~W~MVwV5B(BbfBCQWxD z|7~5q2ww(8LlhnGc~A9qXZnzG^L*7BaqIt3!Xk!I=0oznX}1L>Xl$0nD)Yq9w@wN8 zxcYoy#Z;N;vIW7iev{&z`Z#*>IU)rRd@RR2&{^~(1|LB;vgMmXUqu~;H%W|smb_a) z8O(Zb*8-FQ+Q{RyU6P?ei}5znI#gC^Hlk3PazRsDQ@%D>`MaJEhR9@}#LQt`G{5X3 zW5XF!4w=i+tSe2c6ofH-pSiVo?YiZJ7blv=e{Un^#Zb2wISJD%!BKR?E|Dh4Hr22j zWbZ3qZNdO!gzf1aQYr(kfWD6l|3Dof%*A&E>Q7{)yct?iH(>lnwqu+~bhgSHVrWq| zsSac1nk)0;5Ft+m6!CW(ZYZT)#Y%osUi$trX^A02C>*A|5wQtIb6pA4%S*p$1|G&m zM=6~B4$lYlW{BnM4~}m#s18Fdp)qHP?i_v7PXY1-^tCkTU#y@046OflR{ECD14I$^ zrqV0o4+$qIJj*_|{LAHus|QM~luq+&Eqrz|z$=B-GAPhkAAdWkRYdltJG&F^%<7{U zteaU9S{#Xw=W~iN~vQ_GOPR6!*&+N zC7p4d&Hn+Gis%|}H_9yUX}?Sy1p~xLT2&gp+yzB3jM7V}At9n+tcsYAJ{Hv0{%6%E zPcB6dWb7-@{iwGIqsvZL*_Y364AM{3WLP12G$bVMVl4JY$z0BqL>TX3iV!d!$HFXZ zF=#QgvxZA>SIBA)OVSg<7}ywI8L@h~rQ8rDk#h@EU9hgxK7+*DS`l^mkLIZbv-wr2 zu**sBHyi3f%$&XDweA`O2_!re`u_yHYZMJ}MtFfrUVcB*A~RTGuy`sn$fk*!j1bDk z|LATvAiVCPP*&{pJ5kWhg->uFBqiodDg5VlB3Kr}aMo^BN}y zbIq3<=i!Hf&!irRD3ZA11~+Y2`0cFB0NwF{{!Tdk-t$*4yzt3)_HeSZl?Nd|L&6<)Zc$b zE4qxi=L3|>}8zD!D(i;npe+$Xb$|C^s z(d2)Ro66Yw4U_!jK2Lcig8Jpimw!sP3wgM4u88yr2#W3EDu7Mk>iZiS(NlLZ4Htj) zV|iyd?WYA4p_!B=l{TkDIUBt@J$Ei(lvg)&NBX|?#%c^PhrE=cLfhCEJ5{$~+E!b<2_T^K{u|B;v!>)SLCKz%U4ywndpx*<7m?N;r}0V^D7 zcnM)V{&YHQPD5IP6yWdQgb;hGIeDkgNb+DB0R+SpB36_ezem}SMlCj)Z6g~_NN98; zTvKT3%sMxUX@&Vr%QGTu;Gv_MFdi$oy{JgX3m_)fdX=>JHx4=B)G~<9G=c3@!S@b5 zDI!C9j@)8JE_DPe!q_XCM!!krB~8DvCw0e`T@Nx0F&h5-#S^MVnij9 zWqRR1=e*UNK}16NnSt6K^QaBF3WMhjvObKGY; zAERP4mtmuL>>XENZvHR>{K2>HgJPtsOjKmj?}sWwurb5^1I}wIEvwXBi}F!@RMgS^ zA~(auDg&pkhe6TYNl^f^`p>qJ5986Plyue=1=AC>ct~Cb>wM_`0Z~c*gw+ZWveh^& zF;iN=NEs02MjWLzb!mE2S=+ns21N*jAu2gD5T#CS|O&$o6xO7&~Hb# zvaV-nCl$3HCJqIm@ESB@iB|eXb34MAXF{UyXC)JMZ~@<1CHlq96>o3%Pzy`dlT z`6|ua<Qgz+5Em$5V%eO1zWc z%M7b!cbsA9o`!*bw1=Xox$55|N$rAAdaZ$}L6xOP9tKxk9Um$hmYe#3EVLdxp62OI zlZwRy9=;3h`|1dZu~`XMNDS9vSp8aH+^9su#`gA9^!^j>rzZH8CL7PsTR+OBfvj6g zH#I&ybBjg@=w3Z(!g7exSbY+*A0xz8u#N%Wkk`3{u~A}hhU=wGJ5SxESlkXG`H%D1 z+-5Z0D|Q81VL9u90Dfx1bWamb;{%)NV&aAJ5Sgo^^BUd8VuR?{S`fv_U!byIFG;IYf8XtGGoMMtP_X9>}BUC3m{^+MI2xCr!X z<{rQASSpva=|NY)@Bh&5wZBA^3JAk8C%B8P!{sHq+Sl@ixtfsb#fXOEX~M5|D&T{h z?KdYzgleg1-Q_y99lH2Y95QE6|8PJdMrPDTiG2`%_6m;_f|G%xECnaLIqAGES(DMH z>l0-IAR0UB#Bx~qu%;DKw%zN+*ZmECi=2k3?#5UC$x%t5|LzXLjz>&GUSfert4#i& zo0CqACF07R9}X8o$~D;NH|8JQ3Omute;}e#!}9c<3%@g{11G_M%H2iI+3$GPqqfzn z#0sdVGmqms3g;XavP#m3mxG623?YOY3`FXZD@Sw^!%0lHfyKgFpM zvLMkiZY!-y^r+q0fQyXMJajMCLwB4f(&*BGB-G}OZ_ooxWeKBt37yBcQHJth4&W=? zgYO?B%-$lXg}dpA3en?oZ0vLjjE5dO^f@BW$nlGl+%^frxQsmw4KBOy=0o4v-kU)7 zfBT-1p6D}}Y2^5xq>KGdJq5phsvJvtOimf$| z*4voAaU$|_T5(~kGkZt@^|Zljm&?W$h;d8{DXVr%$zMnl1f@?^oqnOVn~NBA4bVk+ z9S$>a96pn?9tsQT=ceL-g!GG4UYn>W)K<-v`Js)Gs61rllZcQD0x){Vs{u^@Iklk; zrgfR#{lsTDz$tH`r-71R88STmk}XP#L26k%Tud5SQPL0_@mz0BS;~{%O)UdHCbG~p1-7ods<3IJwr$8BCn20a&>y4reP@9|#6Y~1=mCElgl z-=g&W;K$_~M+$0}d7Oxl>@{cGEtADxAP=%bH2L^ykBu!O{TQ2TD|_5mo1#0*M~}A+ zw8YeB&epkmN6QeP)rzNw-B;!5e6syFf0CVY%5uG1^MsMw)-#7kxn*z$^S)4 zFANuthGokARMp$(QGYx5r&C*h?B0j1{-Qn&aT(#i6$B%Vc`-cd= zYL}?JZVRwzwz1%Pet5SVSQi|8e@~r^-V}IG^^bz#`@E+D5AI52a~s5 zY$R8NzAEVB`hzPnP@ecjsUX9}N*aTUD1jfS_8CC0*}!Hd&vE(R~gYS%hziQE#~Y z2~*kT4WNtncpY-;?p`pA-TcI>nL!cvPu%-)qFF(1e~!yc>sTR)z*&EJJH5MalUpXD z%--?Tx+JBBtq4CMCWkZn5|ZMP^Eva;MfBrP1wb>K^TZ(kZ>HdRtXQnvV1#|6y$40A zlmD_Aaej!mq-ACrd9b6p38JBcI-TKkbGg8H_XalswezuXRM~a1^u5|}RTo+nqUblZ z=~}jU4Vadt z_2#Zx*iIXgbF<~;u*ZH|wi{*J|4%1xN?y1ICP8thS_Vz)pI-m;FDLGzSKe zKCC+w8}{qVXgnB5PGDsoB%Q&7LS;?7aH;S|;!q{G{;CbgbZP?c<|9r#QZG5dbZqeU zHMk%XuKU#+8DcQP21JuF_lZ^Di?*IfR0<3=m3`Py_9~&>@QDrIR9H${l_(2S4&aTz zeVd*8WkMpKxsa9A4khXoo!H?I#iSHhXdb%{{Ph&?PG)QJB|T$yU=155LXhh)wA4RL z-64abvwy*4wnG~Bdq=mBYn8_g?@)xK?%&A&E_z>-PnjY#9+MZ}6}*(stPG6r@kBE$ zM1{uuGHzkZAYCsoJ(WH6W4hE{QVhp!9&`4liI4&dg8d?U#fACO?%Y)MA7<7EKJ;ia zH!W+86-xHiZXZ&eT|C~|&qPI?rpdK5_E*wp05E9YB6X?uE29#>b|e43H_d=IJvBkP zjyIY@#w6IUEq^;eDy*2g(RPHV$UqRABi%VI2V%GwZECixdfDpF zUDx?|n2*r&$1QrAK8YsI_AwhL6a{w#g{AS~x8%X05!?hyP(W^I`ef5$M{i}ym@Q<9 z4~Xj8t8OlO$)`W6BY1n~rvmR;fk#le%vq|TR!i-ey0EBrY_;U$2@_gN{uDe|Q-173-cSPXZVdZ-i(iMkShF^a=dNz?;e#kK7j~3xhOp{R9}vcqHEG-@?qQJxM&mSJ!tap} z05)udL@I-ZXvopWK(!}s2JW|hXZTs`1D;9pQ9?@S0e^T0wbU9VCOh)r z3@dF|M+5#m%KtAf-%HVtuZdi`uGE+(LM8!zEA=nk=<}sqr8|DK5k)}0t@U9}NHIv5 zOa;tO<^J3zHEW_huDn*tdDcH>YA7!~j?eMiK1_ES1;=X&M(>CFCqixL`x~Mm*pVa> z_bQd%b8Mk;SpAoEf5Xv;!{)#-L1(nmwIzJvW;@5XvzE6H&K3l5Zqc1CkM#ImR>d_z zw9~BD?(jDlfi4`y_<591Fx>N0F82oh&UuRaxze6;YX;`zg zm_HbET7n!k(03wUT`C^!q~(&X2K zQL{|+(Zp@XLS;Sa-9eh0G_K}@u2Z>cbNBrYyM`so7|W4?fe(4}Lpo>iJ7@7BiJODb zhlxDdsrQN>BmtkP8E>`n=glGyNNt<55^vP+o9k0a8G$nR;ZK&DJlL;>TgeKZzS}Y$Q$swTx<`sh6TVsBtKhzGP&Ef z!%7pX=`qT4mZTwejcKRy=ekTLOz?6cGL`dc1W}){RkUvKeL{dWi`=&f6N~B}cjaD; ze;TSVo2oQD+#JSPj@l+&_kHxuIg9(2X+zaUS9)c3HU;;2=(eZBf#P7tQV0pO?;M9^GdE&2NwTl)3)k2)z?8g@8!C$- zGK|m2`4dlecRi6(aF3n5%pX#^*EGG%L?`T_$inp88c z{oA8)b-IBw?VShf%1E9Zw-h!I{p4Pgwf2J)Tw5hf(6FHKWK3Iicq^*V$T)m*%}8Ii z4Y>Vr$SZNEY$;B$;Ky1|7CW7`iIy<+=cIRkUO=AohnmRNcN0%P(h6>9HVtb3UDfp? z(d2B{y|VU18v+QrlZhn}Z^UIraf1!$=k@1f(ZYZZXv*L2JBle}V4{n2RyN2(BrmhJ4Kp0JYb43+nuFBY*2txA8Q}dzF(XBJb@V63Q03166i zDysavhT0PDMDln5jB9%!93~7aa`JlzK{>sQ8qzB)^-jqPP)`a%Xh%9)TqT)oy901Q z&0j@g&gN17(I`lMW6MI{@eNneYg z{20dXCRx<6(L>zU7g{ zJLNZ(vvYp!<#4TVh=n(__oT^vOP@Tjh;3?ZZH?gjKv(!v^D?SYT3iYd#+3*hZd+&$JK!61Ta)*AVbSI_L=A}@S`E*-vHr( zZ-VS%;DMk1{&ONeb#weyA%oYqWqnc`9)TUr3xaa+{m6y7;k;DW44Cec4mrs?;9fIG zk9vA)h$UA@#Kfa*dpFyS4-ln-VlEnn!OmLHk<6gg^^~RmpigATXO5f8Gi)06_dDJF zl%Dhaff`)Vn^JtMsiXwNkQScvl`4soc&@|=C>5T%{9;pVJ0l0otL{r%>cgZ=`HZ+< zJs^2SE8k@PmB1wpAkkd^Te($ZFYS~!Be>N2-1Je81Zb_7KlWBw*B&RKOTT}<%u3)< zHyEWIxpEA#fXokSCx{k3!4$e`*CF9pnl9H!`>R;6^Aa79{ikz?mRsmut1iJEJ#_UDNr&Rj z!D>S?ofBj{z&7VwbBr4|8>~Ex*I;Th*s}AvpOm73aOS|#eTWR<8KBk3o7Eh5k5*aX z8~{lIv&Q)p!I7OVn#nvcr1u$jOB5XjlLw!a9a1uf>}x}_>P1EzKL1Rp24y8JcWftD zelaU>zv7+s-4oYxGK1qJ{%1kXx4u(UqJIPNfH(4I27wJD+z{h-?K?@-CDdy`ucAQ+m1#)r}(swk~$kYpDz>fdYb2~K%SI=j`jH!vHF@jiAF7N1zorg zomC_wJ49)+QEM;I5b@Y2O}diPqS6_zBrsm;^eX~W36GUrZf3Ohp5q5M40RscB_FS~ z*TOT%_K9ctpXg-?H(y*5lLuHwKYjhwqxCrgbthdgS~!6q>?0i2VNSo?_AVhuF|}&d zYmS)b$BZi3?`qvKNfpN6^j`+N2wl(+f|bL~$hwJFQ`{6xHEZt_-p7CB?5(GK&06H? zhq)zk(BbkgjAoOMBzg}u?TeMy#0V)sh9|YfEJK=3F`ClNyjbC5`omC}cukIv&b48+ zZ^1BUE-K0UQDeNzsoTf+v`O{-9sW(cB&Ci{8*})9WHifqBG)s**N(;1nY6pfjSDag zsNAKbny_}3G}(4(Y$kcHzN0S|3(xBZ2!hgrdqd{_b=n!w;s*NP1ZyB3ZvQl`)ykvcd<^;FBn~~Op7FIPG;V($YYwEiNLb@&pB^k zrbEYD?TA8Q7q{2fLlO$g;@~Oo1TO`jm|UyDEO=UZGguW7cBqSkB;usrye8jT4?X=CN+zWKB9cKyB*Lz|lx;-|GHqM1w+F0lvd(|$pTvG5SwZo;s+;Y#oa zEEh!(&UtOQNmUC&)(xW0L`ED9_{VQ$LmvM@S@Yx>?4XMwu!;*sII_MX>w9fi15zzV z^W^z2tkoQH$6Mt@CVWr^&}Zky5UqnKER|pfU%%-onsDbp6#Avk0PNeDmYpC4p-^BT z;V*BruW*im1SOOe!j4@;HbZslk_A}p(4bzt4MDUm?d5YbrxEEg*c$-1q{~lMIK_n~ zfep}qb5lqQK_N*jRvMh8q8JEu-90pWk3J@4Tmyimi60Cjf*BZrsC*U2w*LV*otDL` zwx+)ynabF3$&MS-=-d192X6}jG=!b8;u7A4BisaES8a_+(+?S;ymew6{W`xjOqSKQ z=@^!!_e8bo<@ zXHrk=alG|4eg!3SFVQJz>JTxvTL}Y5fez-*C(ZgxNkd1u#RQ?&UXPwLvl0N4(fgl6 zdTbCL1YZ1gc@S9`Kg}bGLrI$ib*BHx$rat4_@j_`%%@ushdRmxBQ}PQF8(Gp<<7nAX=M$^nr8!*TVfu~B4}g4 zMu-B2Sz2@5-HCe5>ryptpb_lXw{-mzVYh7o1%&jiDW!kps{{ak;BhTd9QmbU!~bc{jlv1Rc7?CACa<-cd#iw1jW%_` z0uzm+P&%N^H&3AlTVw2lEA(y>(M*>MEmBng!;dnH-Zu3YP0SgBH11v?ylMafkcAef zpTX$uZ<%==L;5#ZugOWp5@WTnw$7alLL-l{K5c*TsKLP8IR0!{vQ$a*wqHPN_Gc48DM4M!v#!=$PGr@raK#}rqq$}U(pHVXH zUZH!%o65RPm}T` z#xUm@ob2Aek+<*d?R0R?CoCA%1d8eWBnJ2L0~ZAX7(EOazEK5e0$lFqiGtN;6E7Lq zI#zS&EJAdxE2V^Y>K0m1I{xr*Im%~9oDB~-!H=}%)iZE%XL}`mAUemDMQdqF+&7UK zUNUV7yhqC?s9oQ_i`-TMw-+Z!k3$O6gV|GHlIS-lTbXa1B^Q+@HD79 z|1bM^y#@Tx!*&N|hZMbTAfqZf`^Ij6<4r0N3GUoQUxW*>8UtPYzdl0e*PQNe8xpF#NE2^|j(;%4r`VONA7?HL*ILRkYPI6Qtk&J`I*S z)8}k9^&YqqGP^?HNJ85p{I@5Coysz9v8lMmMiS{5!Ss2uRtx?8)Y&o=m~%>xYcq1A zum3aR-=H?qaWZ&%6Oq7mbdIqhuI#R`s{bhqROs&>Wrq&J!B$V?{Tk zs5r1PDSKVU6Ke5;N+ZB`{*%J}+ofQCOm$*^Fh38FU1*|v8=c3?)CR0{7XJ1RE95kx zMc-H^H_fJ#t;o~ZN(^^<7xh8R@!FS%uRFKi6G5vp|CFUT>YerIP73!vva!aDaLotb zvi|VK6_{{0qZFphA_NhnD~ibzcmW=yP#O}T+y`DK!*mNEm;*Y2 z8r>HlTH9QACg~(Pa#r4a<)(R>o0|^vvE2DT?5hVgLps&pkB&eAW))OH(-zjNykFSz z(gKQ3|4l<}T{6Tz{T2(2)S(EAIU3fwEy{(k$&%u{4BjNaynRA?L%P@W!Q|Y6DW2_? zTXrn|Ls3C;B2Ao=^w6}gKlhMCe}LNEuR*(!`tGPzk>Jb{xexw48i}4v((v$t6mS_c z$HFZmr1<2ptjjo2*eGFXE)zCD~G_2+CIUlS)--~AUcd4yKsqv82B8sGV4 zfsG&<1q1$j9H2+_7+Nv9cm7b-jkbjJwwxhTPA0OA>47s1ZAsB;@Xw6?9Pc*yT1&Y- zUcILPkvb>kqrH$Y(9^8D72R@d;VJV20Fg2Nfd_4)KqV^^Xt`+M6ft-=hZb~D_C4UB zdI18^2(Yb_yDBrNWz)u}7me!^O-@5TC$N|^m_B6;_kYkIlOwLp78#EycA!L44ZmyR zU@v@>6f&gaKclQnCfAw%#H&Q`Q&4)g_x&c@)HmrAq~*F2YPqbQk~68^KE}PH zz}7)Vpg+JSRtKdbA!S-KQMrM)<9kwTAbFLX-+yDcpmHg61RXM5GPqpJcHl7YpIO6N{e+rVZC7so{52oFn@R> zH})*YIhH1Fui?Ej&mc4r5(N+JpY;9j#CCwus67H(SsF5sC60!;otZY6xv+-?(W{S> z?}z&nZi`q?d@3duI~m#207{3|enm?}2iP|M`YY!z0;ByG`e|0xn(Lm9`>U_6{aclWs_K? ziFb$7r|XCIeIR~Uo{TVm<8t15AWfaIl6JRzII!0$Ri@YIzxwx^rtVUt3Q^b6$2?@R z9*DKwxuh>r_^sD#1S{};kv>dWP3y4Yo1BjB}PVLe$Tw=Z_ZytO^7<||h#U3L+&cVK2`|(Lg6=xJjQtjqDb&y`B2-LIXX05|rU@^TM+dP00y*wM-7G*{7^)cPa3r?Po>f-pFQeGVRCiTiKyR3{`^;A)ZK>8#O zXiMB#Vk@>!$OBoWmX>&M0@2(*f0nyWS>ubztpJ9*$f?)kdB-KkZc=?6I#&r!w&d@; z>#*rqR#q<>Q2d!BD6s7_T$Re1BFkKr0f8k-YW zmwpt2Bcd71o46|&F`N-0LYRz@*_L$k_Pat@k=>#`zUBTyifj(!FU3-NSSOTCBx|0| zidkiIH))GRhJLCE>dRyg+GPVz;;TMu#c?E}p`U!cxnlE>vlwoy_ zQKFsj0xFJc44+NQ%8WnR=429ZW_c$Kyap3LTsAA}yBl?B1z3$pq-e%?{A5~KtCZbl z3b1pQ3wo?`>HuV9^Yym8X}@rdCS8j|K|%5Pm#xMbW`aTZHP`C{)Tb zrnl49p>}mU4c%wQjfT^mhm~@UHY{vhSH7)8&L3eH$+UW4Q^8%@y^W-3@}7tXFDg=V zK7LmbSn7_Ai@`y+zOHpvNIxwzqA5(b|1Z$(k)WLPvw}3CPlb8NlxCdU=bx`DMI%8nIlbDty>M4oqP(p>-RHRn!$SJx0>l_^Ds1N>_7hC3X`ayg zU`^j}8uJ99Qcfa3TXlAZFT1Q$@o=p6~LN*=X6z2&5$6)B0ATs6-@P8Xd(mj-_8(~#6e4L z&nI8jH)eDS$-Snv3gJQ!6p-%F*~i@^b|9f|3d5257;J6nLLB*1*UaOXB#i<*WM8at zSQ4@$azlmmUTGo#5JXheefQ%I5DUzb)<;XeK86SYtha5t(a*>k3rsM=!Q#=>I*dwq z8lHrh{?YM?kuig0C$54Jxxh08Dz@ZGAJTC0Ol!4HGiQvB+5^sz5-v6z<#K9-aX0a^ z%)L2pSX}RAxTJOP9%8$un(q<1$qArWQ=(CQpG=De!`f%1YwyN60Z=Z&?jw`y>P(d= zLRn5=w9Us@$A|;~2f@@%@hCXhi3N5u4S0?+G{_P#Ln^UHtKMpArPD`l6I{ke1XTz~ z&Z~dVW=cXpBC!IZ3s~^qLDEan2*j)&G}rS%+yXt7aK~?qYQ+%*H|Y;=((Z`B$qhEZ zaG&P8iI^BB0#S5#Y38u~AY_%t3?sL^0ghICfJ|M zpL^CNf)v!15gwzT9AOIy5QLgRdQyHoxWE8VZP11DTz#<9vT%`MonMp3xW^F{!iY!4 zwZ|Dk4I*iyx!IK~J-*wT)-&E#rFo_9a;GVaFtbmba&=Pe0aXfAQru2S(lHsW3T24_UmU?J;<%eiQiWCrO53v)3bqq2M>`~V5n!!1i!8%V; z1kR_Rz-bC0Fv2aXs6O}rpw$V8MRT@i%~c$v7zkBJvhfSAI7&#MOqY>DDmsYfX`~@Q zM$Cmq{i+L@+DjHgO?4Xu`eAs6MLD8+ZTe(lt&l1RN+p!=J>U`vEf$rS zr=Cfejsq+vfE1dgP@l0f1dzKTL_~^zb%DIJaNZ3eUgx~(3U-s zYc4#Uv{5rDhkE0xDq_){1d!}VopNGOB$9BD% z7!-CwSnm%D_OKd>B&cG8$BEs(zzH!_Rq%ns0?=x4u5{(mSKXS8ZJE6iBS7br7e`af zF_IW;g{183G3)vzoJ|Rm3K^)Gjy7HZ8F?XWi3a#J=UB#wXHgiZQ=Kg(;YN)sO+FBo zwiV|<4uMLRI+o~-{Wpy<0YjvbJ(7*B*@kh2l1-x^@Ri1G?zHmm=h145GwWal~H{WQ;Oac4ZSZ8e?w3GB{}E znsjt;i1&oGK#eu(3PM${HH3gEK#z^cewiIWBDt5k<4b&^?Su%_F&b@8EV0f%)i|U^ z@6a3LtCS0}0jVV**nNCt8H~s=5s^UAuNWA@467s$*sgl*tt%Y>*&kQzFk2`p&|U}l zLe7kYmdb!c@v^=vrV zig|@d<9H=Z%5FQU=a}+SG?dQfPDv-`u-lt) z0SZ|(J(SacCPJwo4S|QkelGxKOCkeUAVkf@N!^rmz#XBiO`VrZt>9%_0F{y`YHoi_ zzqgB;pn=awa*j$y)R9MW(+2nBE@bSB>oZIrs&=Hl!qG@t+F^%&?+cuDRwX7JcCRyn zfuuGI7L>8s>*N#z& zk(4uZ+sU{9iOrP4TB0wXU(twaiSt5?+ZE5>sfv_lz}aSQkNn3FEfk>f5?%fAnP^J{ zTa~eVCbteUdr_7b(BNOt$Otgyf|KfM#V#%0AdMA{Kytv%Pt9Z;@Zl{}Q>)k00SaJF zNRnpZiT8In83?nW6041dzl;ya7Z!Zge3>{T0$sLg7~8)Gl7*dXYI-UrStqk(FvlCvvR`aX4&Vnp?DOnmFd zSj@7rW%9pcyq>_waU1+k@s$7}D#E1Azg-zgxd)wiB<`!N;VE_leKGHM=M4yq31kU0 zt$OQIYUqN7S8$0ic*v0g5P|3Nlas!0WJsPd`TTdY;|OHPiTU%Vmxm;x2uv!klfI$F z*zjnLjViysG6Km-I~?jyZ#eBX^18pyKVU|I0Xsjj%icp4NceQk`}X|+(=(GKxm(Q6 zUO-k`+&)3muZ&?L5z`zaFa<$e;of88FpWINTG68jmF}qN?jGWHI~Z zM8!t><06wGEU1vVdFQ=km)WOCby+K0c=Se1L+j zUdgyO#*_dNEM^q3JXais5~@`QS7;fvB5C@z0)k34MEYY`$&FiC2eWUrTo)FA5XoL> zZ=U4tv02E60JSw!4tR}a9_wQpW78Vr##qMNl~cD?@v7rCRAe_G>}g|__{|VpfCvbcpAlHnO$O8;>^|`v zNeGOa2C60|I6jz`pxXe%o0#07zZ2wXD7Qj^q|T)KeI*8hZeoR4j>{2I7%4~u?Q^(o zSJW>7((Ef`Gmk9z=J5{}V2+4HOVpKf?*JuEM#D1A?1R5}5eCJmh`L%2elmQKo`@j` zm}bgjSjJx+W1*QIw>P=NSq<2b%?)i;t#p`aAf~SDXyaI5B!MA(g63@**d|JV$q5$p zROw2JJ;+!YByDB8Mc0gILRmn94YBh-mLLRhSRg9bJLb;u&_@C6aryGjxZWl>0XvU3 zrhd49O(i-DB#S~f+-@PK+wK-I=@{wgDOiP z!Om-kf-165vKGrl%+W;sH;Eg|AzP>!gN`|%g$4|WOV#U$^M-OFzz_gP<8fSL>QrMB z32&xT^af5r5M3~kKo)56h7)e%LmvQc?sy?>l_LQuVD-br@QqYRDoiuI99MHQsZ~-3 zl6Pid;^KlTo|0M!o)bPbF8xAc!GzO8%msVDI7r+`5Hkdg!TYIz%!!47At}>Av$HFG37^>y`E96l1A3a9wIKAq{0hhqy~C z-W1LeIw5_pA!Kg^BalE$aNni$>xh7!u$niY>wK9q?34*CwjY1*1QQh~E7Kh++R9Fd zOGR8K=`&ZXVLSvQq}0E>;1ggrvq+au9coFPQyf1{CTR zVfFmr9EwvYk-hcawOCOVNLPld*Xe=5KI-gE@ajwEhNd*l!TX@7uWz`GWD=EJ2 zoIN8EFOwkH^UnKgo+aUoAJ+QEkR*mvvJVzNUF2{ShC*aPw?%lpW0WIoz-grKuj3X8 zi704NT95K$ClGC-LKH;TA5Jl4@oO&&T3@5mnaNi13lk*hH}!B{8xvynm`3>Ia!6vZ zmZ-b8zOjX!~gz(|n&!-1EJP;^c4-|bW?E7hi zQz6+5A3D5Q3n?We@$$~~xxsJ{W?0ZqM{zrhiQ7pTlj(_dUE)pW7>ix^TeGH3X0@_I zK|fAUt^xuMiKuczGw~G>af}3@h--@>9DQ|%dU8ZIx#2nQc^wwTf~;{Y$1M+4$ff0T zxjFOm)U;G)m*<&X28i6a5USL(e_KPx@sv@7-~ zR2XcMY|trOK5)q%BrE|SevS~7ag)JlQZUrAzv-L8VF0#IG>j``!AudfAqGJyQU{nS z1^zInC7Tl_38x4?`BdbSs|QY}qwvJyXEJIRSN~JU-7R(F7--wYJf{OsK zs_pp7)RX~q#k<*0j<6ItNFA7fO*_A2$WaNzs@%(-{&B!E5(y2m$2xZ&@bm(T%3>yU z&!~f>6D1V3HNPJBkX5-NDY996i6%EukcRHqN)o7?M5gVV#!qr~6+FqnO4Jc>8DulC zxI4FSME?L7QkhJRL8x@IzWJOE2r`x9o^|r&z*LY_N~pS&yt)b?#4WT7Y}?FtCUkMr zk!Xy}S3BBGu>ggL7#2n)lg&qX91MjZDfYMB-s!`&U`T{&EWgc5kZouJdV_*QQ^nyK z69uqNgCs)y9OOU^6a(N6_TP1gN@ND+y#m;mWb=$xNRMs^^6Za*F(pD`9){9i*vVR} z2~ubZ=Jujw%Hv!EWWXC^hwjEiRID%cY1iwQqoO^fBnnYe)Z%CWw^&~-T>k)g^DwQ) zB|-1-xJM`=fzV2yw}Wm`L-4WiIIk>$?Z6o?V=Imv8?Y+%7&h@IUCgM-2|~v9AGwWh zA{7+NWpF!C#@|yQvdAN3m9c*6qgg8mCI%alb%6`#xXG+(!iL9H?RW_&tfNC-A^2Q6 zw(HLp{j)0h-b!ytNPssQa!q9O)iNbJmq@qY6GdVp8EEkntrJc_tN^uf0~H;0<04_& zI|_jZk~*$%X_|`&I$pJ29x-4mk}WJ*xhtP5Bp^tP85b$kOtIbpR(haf6tm*R-0hb! zTZ9CrY6iFUs?D?%=^+g=^WEJcg1|vH4eYE*LYT5Kgfce5(MCp&#(K&_O?2Uj2^J!b zAVUL7Xgf!-qn*C9X5(ZPZOm?EjdbEkTBFJ?i4C>a5sp|GN|X^a`%U<93LORMcNqlrL7#Evr6n5K<+HqdwuT*^#-1RO1vR ze2pdC>jp$E0TL?j{{T0Wh%^#+FgQs(oOI#{n@JIH2AChKL>W$tv>@R z2m(DqH#_iaDr3YJMzm2fad+L~5v(R%f<#l?#9bIzP+%zmX<6!6X(ZvUY~0 zL%)n32_~RXp|S&hd_kp%L6QMeS{jSJ5g6;s_gOM7#{fh)jFqY> zf+@AgfpjlZ-Vr%PyA@5AQ**1F;c}#i2}!nx12E-nYljK`Sd1edJ`l(|-}Ex|JQ{?I z&gKZ8E?N%wm=OTseGDo$djNO2r;PA7pSvIH#= zQ9+^J4(bdP@xmwY1ja-CD}rS0G=3eJ82n{ZZc}}aZaQ3xv_^PZcknStXwvRm@++<0 zHJT9=NTl-yHF05+K9JP55@scjjBKiat(iJZj+0IV{Fqd4pRD5%Z$fBCjD2Q0yC9Jm zu%y5zaXq*X7DT30)goW#7Mysn2|NXO>PJu>XGsy{@4(t)@Q~a|e8)3J!w6HOzSozo zIxuRmm=G(oCj9VYBq>zw#E!nJE{s@PiEfhS(=GL#g!yz_TT0YcvL}Mlr%16M(~w300v+6 zzdkZ%LX{G(PoB8xJ)lm*0Low9%QOo^yD54uuCLE zH^*15q|)Y`ga^h+Uw~E^2ri-^J_Rq8pil`em}UiqLAL==Lt?*{D(rK+SuNYofeCvj2OM3|cO zpvf>C!EzHb`9WqeXUz-%N?qOG+a;)NfGGFkKAVFaTxbwLV31soM;Ms_^>amq0=4+d zDwPBUF4kXz5VN5vY&|~}kC0|SF-9YWS*HeKjmscMKW~@3TrdU#hl@x8-V=1?qxavCa?q$XZ^hv`s@JIA@kD6CDG!BON=<60WI*2Gus$j3AU=;~K z3<`w;@ZNwU17;LeAORAn-p*Hdlr>Q#%*rhNrsFS_t7b^fiX3spM6$8uiGTw%+2rld F|JfXIBY*$^ literal 0 HcmV?d00001 diff --git a/include/dmastuff.h b/include/dmastuff.h new file mode 100644 index 0000000..7f34869 --- /dev/null +++ b/include/dmastuff.h @@ -0,0 +1,183 @@ +#ifndef _DMASTUFF_H +#define _DMASTUFF_H + +#ifndef i2c_bbpll +#define i2c_bbpll 0x67 +#define i2c_bbpll_en_audio_clock_out 4 +#define i2c_bbpll_en_audio_clock_out_msb 7 +#define i2c_bbpll_en_audio_clock_out_lsb 7 +#define i2c_bbpll_hostid 4 + +#define i2c_writeReg_Mask(block, host_id, reg_add, Msb, Lsb, indata) rom_i2c_writeReg_Mask(block, host_id, reg_add, Msb, Lsb, indata) +#define i2c_readReg_Mask(block, host_id, reg_add, Msb, Lsb) rom_i2c_readReg_Mask(block, host_id, reg_add, Msb, Lsb) +#define i2c_writeReg_Mask_def(block, reg_add, indata) \ + i2c_writeReg_Mask(block, block##_hostid, reg_add, reg_add##_msb, reg_add##_lsb, indata) +#define i2c_readReg_Mask_def(block, reg_add) \ + i2c_readReg_Mask(block, block##_hostid, reg_add, reg_add##_msb, reg_add##_lsb) +#endif +#ifndef ETS_SLC_INUM +#define ETS_SLC_INUM 1 +#endif + + + +//From i2s_reg.h +#define DR_REG_I2S_BASE (0x60000e00) + +#define I2STXFIFO (DR_REG_I2S_BASE + 0x0000) +#define I2SRXFIFO (DR_REG_I2S_BASE + 0x0004) +#define I2SCONF (DR_REG_I2S_BASE + 0x0008) +#define I2S_BCK_DIV_NUM 0x0000003F +#define I2S_BCK_DIV_NUM_S 22 +#define I2S_CLKM_DIV_NUM 0x0000003F +#define I2S_CLKM_DIV_NUM_S 16 +#define I2S_BITS_MOD 0x0000000F +#define I2S_BITS_MOD_S 12 +#define I2S_RECE_MSB_SHIFT (BIT(11)) +#define I2S_TRANS_MSB_SHIFT (BIT(10)) +#define I2S_I2S_RX_START (BIT(9)) +#define I2S_I2S_TX_START (BIT(8)) +#define I2S_MSB_RIGHT (BIT(7)) +#define I2S_RIGHT_FIRST (BIT(6)) +#define I2S_RECE_SLAVE_MOD (BIT(5)) +#define I2S_TRANS_SLAVE_MOD (BIT(4)) +#define I2S_I2S_RX_FIFO_RESET (BIT(3)) +#define I2S_I2S_TX_FIFO_RESET (BIT(2)) +#define I2S_I2S_RX_RESET (BIT(1)) +#define I2S_I2S_TX_RESET (BIT(0)) +#define I2S_I2S_RESET_MASK 0xf + + #define I2SINT_RAW (DR_REG_I2S_BASE + 0x000c) +#define I2S_I2S_TX_REMPTY_INT_RAW (BIT(5)) +#define I2S_I2S_TX_WFULL_INT_RAW (BIT(4)) +#define I2S_I2S_RX_REMPTY_INT_RAW (BIT(3)) +#define I2S_I2S_RX_WFULL_INT_RAW (BIT(2)) +#define I2S_I2S_TX_PUT_DATA_INT_RAW (BIT(1)) +#define I2S_I2S_RX_TAKE_DATA_INT_RAW (BIT(0)) + + +#define I2SINT_ST (DR_REG_I2S_BASE + 0x0010) +#define I2S_I2S_TX_REMPTY_INT_ST (BIT(5)) +#define I2S_I2S_TX_WFULL_INT_ST (BIT(4)) +#define I2S_I2S_RX_REMPTY_INT_ST (BIT(3)) +#define I2S_I2S_RX_WFULL_INT_ST (BIT(2)) +#define I2S_I2S_TX_PUT_DATA_INT_ST (BIT(1)) +#define I2S_I2S_RX_TAKE_DATA_INT_ST (BIT(0)) + + #define I2SINT_ENA (DR_REG_I2S_BASE + 0x0014) +#define I2S_I2S_TX_REMPTY_INT_ENA (BIT(5)) +#define I2S_I2S_TX_WFULL_INT_ENA (BIT(4)) +#define I2S_I2S_RX_REMPTY_INT_ENA (BIT(3)) +#define I2S_I2S_RX_WFULL_INT_ENA (BIT(2)) +#define I2S_I2S_TX_PUT_DATA_INT_ENA (BIT(1)) +#define I2S_I2S_RX_TAKE_DATA_INT_ENA (BIT(0)) + + #define I2SINT_CLR (DR_REG_I2S_BASE + 0x0018) +#define I2S_I2S_TX_REMPTY_INT_CLR (BIT(5)) +#define I2S_I2S_TX_WFULL_INT_CLR (BIT(4)) +#define I2S_I2S_RX_REMPTY_INT_CLR (BIT(3)) +#define I2S_I2S_RX_WFULL_INT_CLR (BIT(2)) +#define I2S_I2S_PUT_DATA_INT_CLR (BIT(1)) +#define I2S_I2S_TAKE_DATA_INT_CLR (BIT(0)) + +#define I2STIMING (DR_REG_I2S_BASE + 0x001c) +#define I2S_TRANS_BCK_IN_INV (BIT(22)) +#define I2S_RECE_DSYNC_SW (BIT(21)) +#define I2S_TRANS_DSYNC_SW (BIT(20)) +#define I2S_RECE_BCK_OUT_DELAY 0x00000003 +#define I2S_RECE_BCK_OUT_DELAY_S 18 +#define I2S_RECE_WS_OUT_DELAY 0x00000003 +#define I2S_RECE_WS_OUT_DELAY_S 16 +#define I2S_TRANS_SD_OUT_DELAY 0x00000003 +#define I2S_TRANS_SD_OUT_DELAY_S 14 +#define I2S_TRANS_WS_OUT_DELAY 0x00000003 +#define I2S_TRANS_WS_OUT_DELAY_S 12 +#define I2S_TRANS_BCK_OUT_DELAY 0x00000003 +#define I2S_TRANS_BCK_OUT_DELAY_S 10 +#define I2S_RECE_SD_IN_DELAY 0x00000003 +#define I2S_RECE_SD_IN_DELAY_S 8 +#define I2S_RECE_WS_IN_DELAY 0x00000003 +#define I2S_RECE_WS_IN_DELAY_S 6 +#define I2S_RECE_BCK_IN_DELAY 0x00000003 +#define I2S_RECE_BCK_IN_DELAY_S 4 +#define I2S_TRANS_WS_IN_DELAY 0x00000003 +#define I2S_TRANS_WS_IN_DELAY_S 2 +#define I2S_TRANS_BCK_IN_DELAY 0x00000003 +#define I2S_TRANS_BCK_IN_DELAY_S 0 + +#define I2S_FIFO_CONF (DR_REG_I2S_BASE + 0x0020) +#define I2S_I2S_RX_FIFO_MOD 0x00000007 +#define I2S_I2S_RX_FIFO_MOD_S 16 +#define I2S_I2S_TX_FIFO_MOD 0x00000007 +#define I2S_I2S_TX_FIFO_MOD_S 13 +#define I2S_I2S_DSCR_EN (BIT(12)) +#define I2S_I2S_TX_DATA_NUM 0x0000003F +#define I2S_I2S_TX_DATA_NUM_S 6 +#define I2S_I2S_RX_DATA_NUM 0x0000003F +#define I2S_I2S_RX_DATA_NUM_S 0 + + +#define I2SRXEOF_NUM (DR_REG_I2S_BASE + 0x0024) +#define I2S_I2S_RX_EOF_NUM 0xFFFFFFFF +#define I2S_I2S_RX_EOF_NUM_S 0 + +#define I2SCONF_SIGLE_DATA (DR_REG_I2S_BASE + 0x0028) +#define I2S_I2S_SIGLE_DATA 0xFFFFFFFF +#define I2S_I2S_SIGLE_DATA_S 0 + +#define I2SCONF_CHAN (DR_REG_I2S_BASE + 0x002c) +#define I2S_RX_CHAN_MOD 0x00000003 +#define I2S_RX_CHAN_MOD_S 3 +#define I2S_TX_CHAN_MOD 0x00000007 +#define I2S_TX_CHAN_MOD_S 0 + + +//From sdio_slv.h + + +struct sdio_queue +{ + uint32 blocksize:12; + uint32 datalen:12; + uint32 unused:5; + uint32 sub_sof:1; + uint32 eof:1; + uint32 owner:1; + + uint32 buf_ptr; + uint32 next_link_ptr; +}; + +struct sdio_slave_status_element +{ + uint32 wr_busy:1; + uint32 rd_empty :1; + uint32 comm_cnt :3; + uint32 intr_no :3; + uint32 rx_length:16; + uint32 res:8; +}; + +union sdio_slave_status +{ + struct sdio_slave_status_element elm_value; + uint32 word_value; +}; + +#define RX_AVAILIBLE 2 +#define TX_AVAILIBLE 1 +#define INIT_STAGE 0 + +#define SDIO_QUEUE_LEN 8 +#define MOSI 0 +#define MISO 1 +#define SDIO_DATA_ERROR 6 + +#define SLC_INTEREST_EVENT (SLC_TX_EOF_INT_ENA | SLC_RX_EOF_INT_ENA | SLC_RX_UDF_INT_ENA | SLC_TX_DSCR_ERR_INT_ENA) +#define TRIG_TOHOST_INT() SET_PERI_REG_MASK(SLC_INTVEC_TOHOST , BIT0);\ + CLEAR_PERI_REG_MASK(SLC_INTVEC_TOHOST , BIT0) + + + +#endif + diff --git a/include/pin_mux_register.h b/include/pin_mux_register.h new file mode 100644 index 0000000..fc0f403 --- /dev/null +++ b/include/pin_mux_register.h @@ -0,0 +1,139 @@ +/* + * Copyright (c) Espressif System 2010 - 2012 + * + */ + +#ifndef _PIN_MUX_H_ +#define _PIN_MUX_H_ + +#define PERIPHS_IO_MUX 0x60000800 + +#define PERIPHS_IO_MUX_FUNC 0x13 +#define PERIPHS_IO_MUX_FUNC_S 4 +#define PERIPHS_IO_MUX_PULLUP BIT7 +#define PERIPHS_IO_MUX_PULLDWN BIT6 +#define PERIPHS_IO_MUX_SLEEP_PULLUP BIT3 +#define PERIPHS_IO_MUX_SLEEP_PULLDWN BIT2 +#define PERIPHS_IO_MUX_SLEEP_OE BIT1 +#define PERIPHS_IO_MUX_OE BIT0 + +#define PERIPHS_IO_MUX_CONF_U (PERIPHS_IO_MUX + 0x00) +#define SPI0_CLK_EQU_SYS_CLK BIT8 +#define SPI1_CLK_EQU_SYS_CLK BIT9 + +#define PERIPHS_IO_MUX_MTDI_U (PERIPHS_IO_MUX + 0x04) +#define FUNC_MTDI 0 +#define FUNC_I2SI_DATA 1 +#define FUNC_HSPIQ_MISO 2 +#define FUNC_GPIO12 3 +#define FUNC_UART0_DTR 4 + +#define PERIPHS_IO_MUX_MTCK_U (PERIPHS_IO_MUX + 0x08) +#define FUNC_MTCK 0 +#define FUNC_I2SI_BCK 1 +#define FUNC_HSPID_MOSI 2 +#define FUNC_GPIO13 3 +#define FUNC_UART0_CTS 4 + +#define PERIPHS_IO_MUX_MTMS_U (PERIPHS_IO_MUX + 0x0C) +#define FUNC_MTMS 0 +#define FUNC_I2SI_WS 1 +#define FUNC_HSPI_CLK 2 +#define FUNC_GPIO14 3 +#define FUNC_UART0_DSR 4 + +#define PERIPHS_IO_MUX_MTDO_U (PERIPHS_IO_MUX + 0x10) +#define FUNC_MTDO 0 +#define FUNC_I2SO_BCK 1 +#define FUNC_HSPI_CS0 2 +#define FUNC_GPIO15 3 +#define FUNC_U0RTS 4 +#define FUNC_UART0_RTS 4 + +#define PERIPHS_IO_MUX_U0RXD_U (PERIPHS_IO_MUX + 0x14) +#define FUNC_U0RXD 0 +#define FUNC_I2SO_DATA 1 +#define FUNC_GPIO3 3 +#define FUNC_CLK_XTAL_BK 4 + +#define PERIPHS_IO_MUX_U0TXD_U (PERIPHS_IO_MUX + 0x18) +#define FUNC_U0TXD 0 +#define FUNC_SPICS1 1 +#define FUNC_GPIO1 3 +#define FUNC_CLK_RTC_BK 4 + +#define PERIPHS_IO_MUX_SD_CLK_U (PERIPHS_IO_MUX + 0x1c) +#define FUNC_SDCLK 0 +#define FUNC_SPICLK 1 +#define FUNC_GPIO6 3 +#define UART1_CTS 4 + +#define PERIPHS_IO_MUX_SD_DATA0_U (PERIPHS_IO_MUX + 0x20) +#define FUNC_SDDATA0 0 +#define FUNC_SPIQ_MISO 1 +#define FUNC_GPIO7 3 +#define FUNC_U1TXD 4 +#define FUNC_UART1_TXD 4 + +#define PERIPHS_IO_MUX_SD_DATA1_U (PERIPHS_IO_MUX + 0x24) +#define FUNC_SDDATA1 0 +#define FUNC_SPID_MOSI 1 +#define FUNC_GPIO8 3 +#define FUNC_U1RXD 4 +#define FUNC_UART1_RXD 4 + +#define PERIPHS_IO_MUX_SD_DATA2_U (PERIPHS_IO_MUX + 0x28) +#define FUNC_SDDATA2 0 +#define FUNC_SPIHD 1 +#define FUNC_GPIO9 3 +#define UFNC_HSPIHD 4 + +#define PERIPHS_IO_MUX_SD_DATA3_U (PERIPHS_IO_MUX + 0x2c) +#define FUNC_SDDATA3 0 +#define FUNC_SPIWP 1 +#define FUNC_GPIO10 3 +#define FUNC_HSPIWP 4 + +#define PERIPHS_IO_MUX_SD_CMD_U (PERIPHS_IO_MUX + 0x30) +#define FUNC_SDCMD 0 +#define FUNC_SPICS0 1 +#define FUNC_GPIO11 3 +#define U1RTS 4 +#define UART1_RTS 4 + +#define PERIPHS_IO_MUX_GPIO0_U (PERIPHS_IO_MUX + 0x34) +#define FUNC_GPIO0 0 +#define FUNC_SPICS2 1 +#define FUNC_CLK_OUT 4 + +#define PERIPHS_IO_MUX_GPIO2_U (PERIPHS_IO_MUX + 0x38) +#define FUNC_GPIO2 0 +#define FUNC_I2SO_WS 1 +#define FUNC_U1TXD_BK 2 +#define FUNC_UART1_TXD_BK 2 +#define FUNC_U0TXD_BK 4 +#define FUNC_UART0_TXD_BK 4 + +#define PERIPHS_IO_MUX_GPIO4_U (PERIPHS_IO_MUX + 0x3C) +#define FUNC_GPIO4 0 +#define FUNC_CLK_XTAL 1 + +#define PERIPHS_IO_MUX_GPIO5_U (PERIPHS_IO_MUX + 0x40) +#define FUNC_GPIO5 0 +#define FUNC_CLK_RTC 1 + +#define PIN_PULLUP_DIS(PIN_NAME) CLEAR_PERI_REG_MASK(PIN_NAME, PERIPHS_IO_MUX_PULLUP) +#define PIN_PULLUP_EN(PIN_NAME) SET_PERI_REG_MASK(PIN_NAME, PERIPHS_IO_MUX_PULLUP) + +//XXX THIS LOOKS WRONG. + +#undef PIN_FUNC_SELECT + +#define PIN_FUNC_SELECT(PIN_NAME, FUNC) do { \ + CLEAR_PERI_REG_MASK(PIN_NAME, (PERIPHS_IO_MUX_FUNC<t2~gpMsRXJ7NO&NTicr|J<|v z|Mz|8Ux{lgUA2|+&CP4OgE51G13`sj%^q;bG?DP%4n|f@6)$#YySnK~LM& zAQcK9t2ctMH=Iy0ZDfNe6NOAF9#%uuvcW{Cw8-VRiasSsH84%tAbo0wl7(VHim)o1 zy1|s7q=#_9NwOe|h-=!3u*gVI4U1tafK!Gk-Ev`-Y9+-qH%{h-)P@CiL#9YbPRfEZ z1G=F4L?}0Wm<<@;*1HXJ;yjEq>|IpDUXsZMsZ39X(2%&;@x%yvNNQKmST(>-EWTGGp8i8=g7rmMZvA-(zrh=wbE81c)pF%%L?kU7fmY6Ny!PYgw& z6a**cN*gUngZm{LL;=nAP~)^LNOb`2i9jxkC=hCx>xwDP)^O4w63dQ7yp}J?8Clo? z90ZYG^(+-4g@R^jfl*$lu?glAFZP9XLz1Hi-mnxb0HExe4TC(xE-B0BwlgYd6ms3v zJvrL>u#is%F)4yn0Q@!$@6F|N`#QKPl2m}y*ruaBl=U9jjERM$8In^?lg)(BAmIgq zh%l~h%ugSij`r85jzsZl zA&wW0$8r7tPiwedsL#apx4z$c>-Dv_Uu(YoTI=nfxBh*j^Y@3=t=~0o{iS*9*6Pih zYd3$}y7}Wced|g*ex-gT##~*dHrZ&LjJ8zgYthu~UGufQlP4p%4o+vVoL7ucXX} zK}X7@S#xq4Qqc4mg1|Hl>miVXblGosu!1VTT3H=im@|U%4iWs4ANAw@knPvTmMaUs z>=(ToaFw(lJ%7qy!1nw&47kQlebYT;^v*t|ZN>FlKj<%wmA!*l@S`-xrSWOF-B{Tg za4PNbmYiL=-Q!1`x1;zs?wx@kaMqjKo5d!^@tqqe&kZJS;EK>Z-2ylZjj0Y9fY*&V zs{|K2@W_(LBlSC!c6DcV>RGg`PbWJxyD(lR=0XSFCD__suu6Uk1Tb#FDb9{D$OyL= zkcsTxZCv|0GLg!J*$}a2W>K@LEI@^`40gGM+LLp8Z-b>)Ke%^xZsv9}quD4PA49_Z zB_D4fREK=L$Y>-1cD&d3}W3w~5liCFKprq4ZFz{4g^}rPo3A@Oxc|hIN_`b7luEPk2FwQV)ZLoskIkOdiqYA&{i$ zVPNonQ}$^)!^D!NA=S&Q-EH&V$QANAab!Uf6(JT^x zUo%nLbC2%UF23Lx-S(ZnPDAeOdj!`G+Li(l35a&?q9uoX8Cudw?181Crp3gC*Uq!* zea06Nc1Seda@3YUh{Sqy1tpp+^|UrAZyY3XB%EpV^wl^`b3Bh>07AVi_(NNG-Or`6KAy0eFxG zWsr2O^fyiv&BPe7XE2eZwNN=o<*KEEYN93LZTJf?%4onGRu1yNM9bfQVjF{vu z=Zt#_uo&EJOb+Z;l5ZnUp|H?C9yA!;-&YcBYp87okc!iqD$`fm!EqIY%#+S^CKNl1 z0K_M}0P&>XAR(X0Pm`W(&=;fth?WK*rynF!0e2yWsFp|#h0ja{)FWO@_$KIrH0A)1 zJt64{LdPReyIH=kBNtGTsf;$b4xpgky342obV+g=ri2HfYzx{}Dc=kAvHjp8(yQ%; zJ9ufN?8_>Jdr2CE3rra+Ll4UqJ_Rr(1c!YHN(p%rvj5P4Uqz(v(fzVe!V>fd6h@ZZ zI4Y0+{iUKf#-vqydZ;LtEW!xNR@_KGvfcIJS)?YqN*?@u*sdyXPd#+Xx-@S`(87^2 zXu1oVbEr7dx*d(|9$V9$hM*|Fv_DtxnATXsT*3K~#dmE~pZ@)!PR zyZ*02d7Zy)9(d>+X6dwzKlhtKtY+>*-tanKwm3e$qX%p~X+pkn zchtS!?Z;v3QM2EVJ3NWe*?kgOAgfQAWPl#QfxfJbPYiVsmjpVKD0c?jd+zeMYDaAM zy-&_L(yj*onhKsqoEr7L5t3sD4%}VRJBy~}Q1KxfvwgEQB~Z3fRD{Sz;R~MxQglKy zwok~H^SY&A91L=gR|F&WAh zZ=W8*i&bd=H1-^>28|GsspyuxC@P&3yub*AwHoTh{5i_1k=!FW&3~HQQ?Lvo=z{Y-%7=uuFaI@?a7IZj_ zA}o6)OSy^Pb0#0@rLK z8c^vBb`w2UXUvT}wK_H_$zt>zt>d4Skx1O(2nNe&WxTui2e53XvaQvwfk?6)%#Xo) z-0hLjgojLQsvJLyq_yL0dNIP z@|UY_0QCpd%kg@YfVLT4>N$nVkGmc;Tk_48DV&f^)T(&3!Sh?xH{#9KJ18NL@{*<- zbl;@SB{qIhB?+&4vU{W{yDcC#y91)-LHq)r9Ve_a&5kKjo+?OKP1L zQzWy9m4C-cXN|L8m#@K43)xYuRJ8d+PBc;~*}9`jFF%Q6Hg9YG!hBKhOZFv z%KFS5M{TU(3gNcg)tH-XrR?Ph%B-?kbx!EG&tPXPL47b$=e&9IX6SV!hJJ-4O{30@ zhjtAe;-8w^7%~3ps z^Z}LIgA{821Qt;YOK1eFz?F2VShD0ra}?@;3INu^P(Mp$!n)v+v$oz7{*+lIyr0Qw zAhIGBGkc8co@7b4b&fK{Z{7qM3CHDY+@D~Up$5`a-{jU|?);+YTn`HFS(Y`;;k#f+ zoT2DO{RGIG&jTBXzz>#tS#W|w6JPVDViWg-BK4YTa)7Y#)yrGW(!=|by@w5^V zvNQf#FyiSrO5k2YoH!A_f)%CD^TS1GauKQqqP59n6#SqU93Tn~(96d|32!uNxgUu8 z-GO**vR=Li+sX^wedmt%u9mrLIZD6-=5r8^jwe8dlSrlB0S^LJlSq+QeB~)g@Dvq+ zN#JN0HlZl|)8GcVNM6&2a}7tVNNkNWHC*IJMARyxq2H zLAc-{wTL|H@K@6p63OYN>CCy4AoZpQ$7-;Ye-KzG+FUH3{Xh85Dc>x7!*ig#L@;~G zn;u1ADlSl&BOyPEgs!4#U|_a9aPynY)(ycoU3#!mv&1rIgrodRQA;+PV=1bu^yzhP z`XY6H-*ny8qSgLR>bBX>9eNq*G8u_fJ%TN|q@Rcg_B3}=uwBmOwj%=w%&r1c89d^u(#O{YE%Nj3dH}fVoUCci35eGFmOR+;AR20+W-+?^LRTgH<8%sz6l3g!##ET&o;-@pS0!aZ8jwd3?fkd~)P{l?BtB1XMSwjY4NzR(HGt|2(^_DSH zAX%S_8aWb6ayAw-vz)$&3-Bpp;U-0dalInh*MOOM9tXs~3YPg;ER6vMmP$wdd(6uk zq@OK$1VDN;8A#rV#zmF3> z1&zAKzOzcUmgJY4JY=L%uNppq;;wP(SIL55%l3S*`_Y4=zA=~!)AH5sopK+$e(SKI zk7E2VbGPTAzjGytMmwJ&(b##N=*%S{OdZkKw-?hzV6-_B7=<&_XC`{)+5LDv)9nx0 zhgXwwCgdDm2c<#hD?>1`_~iAfT-)w==!ri+OT8h z_HPfgell_IjmqDncVDu6xA<#0PZX0|wjCk#HF^7=KHID7^SWLzx9crCKu#ZcbX^yH+XsA?*mR@Di3Z{NOcyc2}3jcZ!^mafNjJ)MFXfT*gfjZdWD zf#u`l>6EIaR3MicPpeuweQQdanu5wl)8U1=G^~T4@lOGzN9rtCz?d|xSYi{fSFf`f2@+&1=n!I6`>lS%xR=J zD^xkj;U>`+F(c7Pal$V%{o8rL%x5Bi1^`>9{@A!3UKWeOWhk-_s5EIvz)pSbw)_3Wx5arMe&NerK;KlXd??>_7J`@j44IlkrmhX4I{SUomR z3F)UwAW{hF4JBT?rI!}cTbSd_G2&>=cL{}YRkKMf=NaXbHI8`r^CtXLO(+!rqPKgn(4%G!hNA31}# z#aWTniaW|0LucAQN_B*SxZ`Ne_ky3|wlW?E&#Y??=AL}EqrItO$;M!aZ{sSK6n@pe zyx1q&Frn+)8czi)mRnmnU&Zn@(3Z8doH|Wxsl3otNo=ce)Qpt9?|9!{^L9-I`FgIq z$W_^0x$j`E`|Dre*7g=?IRA{X>4Qt%ZMenp-crZOr7+DL3bwZ$I)3OFta6z1K zV9B4ISQe2Q&;Bn4F78WE0#Pj7!B@xI*A$IEGba#A8PAt-uW4y*nio^6&d`1U6CM%>Ea+O10le3%{Vd zCxM2+%=&;Im9xVlf6a)}0kQ5w8p8eh6c%kTcGQXnOSqLlDo#SSROuf~P>B@1ejM7M zGh{Dc;7K=%xkmC!MX$ZSRPs8FLg8vG5^_$*_)6o}2$^`7k-AHO(XMi$r~;LES#}jJ zG3S?WF|XaVw!|&ZU?cxy$;;A5CSZk6?542<$5`#M0pIQP+0zV2lD`h zDMLCFsrFzM+l`3erT&55q?q<5ik7X{M)r^0W<4vnCLC4h=H> zJGtM1H&QSn7ZlkwHg_FD71Q3wqVAY9_A@GZt08*|p1DaCuhB)*;%#qac{TIAJP#I# z5et|L<*TR)Q=}naMgrMLgcJ#+A{H}0#@#FVhPxn%T2NvZSu@xQSvQuZ$%pAqZ(5y^ zbx=3Gy4k6j2WOUdW}TN`kcr1KD!^)xKq)H1DsMr7vxZzE9+rq%v<;)Ldj!MAyh>*n zSklB685Bg`u0Z*%T?UM7p&xdKA-f(!$i{=4E7rT|_t_ +#include + +//6502 defines +// #define UNDOCUMENTED //when this is defined, undocumented opcodes are handled. + //otherwise, they're simply treated as NOPs. + +// #define NES_CPU //when this is defined, the binary-coded decimal (BCD) + //status flag is not honored by ADC and SBC. the 2A03 + //CPU in the Nintendo Entertainment System does not + //support BCD operation. + +#define FLAG_CARRY 0x01 +#define FLAG_ZERO 0x02 +#define FLAG_INTERRUPT 0x04 +#define FLAG_DECIMAL 0x08 +#define FLAG_BREAK 0x10 +#define FLAG_CONSTANT 0x20 +#define FLAG_OVERFLOW 0x40 +#define FLAG_SIGN 0x80 + +#define BASE_STACK 0x100 + +#define saveaccum(n) a = (uint8_t)((n) & 0x00FF) + + +//flag modifier macros +#define setcarry() status |= FLAG_CARRY +#define clearcarry() status &= (~FLAG_CARRY) +#define setzero() status |= FLAG_ZERO +#define clearzero() status &= (~FLAG_ZERO) +#define setinterrupt() status |= FLAG_INTERRUPT +#define clearinterrupt() status &= (~FLAG_INTERRUPT) +#define setdecimal() status |= FLAG_DECIMAL +#define cleardecimal() status &= (~FLAG_DECIMAL) +#define setoverflow() status |= FLAG_OVERFLOW +#define clearoverflow() status &= (~FLAG_OVERFLOW) +#define setsign() status |= FLAG_SIGN +#define clearsign() status &= (~FLAG_SIGN) + + +//flag calculation macros +#define zerocalc(n) {\ + if ((n) & 0x00FF) clearzero();\ + else setzero();\ +} + +#define signcalc(n) {\ + if ((n) & 0x0080) setsign();\ + else clearsign();\ +} + +#define carrycalc(n) {\ + if ((n) & 0xFF00) setcarry();\ + else clearcarry();\ +} + +#define overflowcalc(n, m, o) { /* n = result, m = accumulator, o = memory */ \ + if (((n) ^ (uint16_t)(m)) & ((n) ^ (o)) & 0x0080) setoverflow();\ + else clearoverflow();\ +} + + +//6502 CPU registers +uint16_t pc; +uint8_t sp, a, x, y, status; + + +//helper variables +uint32_t clockticks6502 = 0, clockgoal6502 = 0; +uint16_t oldpc, ea, reladdr, value, result; +uint8_t opcode, oldstatus; + +//externally supplied functions +extern uint8_t read6502(uint16_t address); +extern void write6502(uint16_t address, uint8_t value); + +//a few general functions used by various other functions +void push16(uint16_t pushval) { + write6502(BASE_STACK + sp, (pushval >> 8) & 0xFF); + write6502(BASE_STACK + ((sp - 1) & 0xFF), pushval & 0xFF); + sp -= 2; +} + +void push8(uint8_t pushval) { + write6502(BASE_STACK + sp--, pushval); +} + +uint16_t pull16() { + uint16_t temp16; + temp16 = read6502(BASE_STACK + ((sp + 1) & 0xFF)) | ((uint16_t)read6502(BASE_STACK + ((sp + 2) & 0xFF)) << 8); + sp += 2; + return(temp16); +} + +uint8_t pull8() { + return (read6502(BASE_STACK + ++sp)); +} + +void reset6502() { + // pc = ((uint16_t)read6502(0xfffc) | ((uint16_t)read6502(0xfffd) << 8)); + pc = 0xff00; + + a = 0; + x = 0; + y = 0; + sp = 0xFF; + status |= FLAG_CONSTANT | FLAG_BREAK; +} + + +static void (*addrtable[256])(); +static void (*optable[256])(); +uint8_t penaltyop, penaltyaddr; + +//addressing mode functions, calculates effective addresses +static void imp() { //implied +} + +static void acc() { //accumulator +} + +static void imm() { //immediate + ea = pc++; +} + +static void zp() { //zero-page + ea = (uint16_t)read6502((uint16_t)pc++); +} + +static void zpx() { //zero-page,X + ea = ((uint16_t)read6502((uint16_t)pc++) + (uint16_t)x) & 0xFF; //zero-page wraparound +} + +static void zpy() { //zero-page,Y + ea = ((uint16_t)read6502((uint16_t)pc++) + (uint16_t)y) & 0xFF; //zero-page wraparound +} + +static void rel() { //relative for branch ops (8-bit immediate value, sign-extended) + reladdr = (uint16_t)read6502(pc++); + if (reladdr & 0x80) reladdr |= 0xFF00; +} + +static void abso() { //absolute + ea = (uint16_t)read6502(pc) | ((uint16_t)read6502(pc+1) << 8); + pc += 2; +} + +static void absx() { //absolute,X + uint16_t startpage; + ea = ((uint16_t)read6502(pc) | ((uint16_t)read6502(pc+1) << 8)); + startpage = ea & 0xFF00; + ea += (uint16_t)x; + + if (startpage != (ea & 0xFF00)) { //one cycle penlty for page-crossing on some opcodes + penaltyaddr = 1; + } + + pc += 2; +} + +static void absy() { //absolute,Y + uint16_t startpage; + ea = ((uint16_t)read6502(pc) | ((uint16_t)read6502(pc+1) << 8)); + startpage = ea & 0xFF00; + ea += (uint16_t)y; + + if (startpage != (ea & 0xFF00)) { //one cycle penlty for page-crossing on some opcodes + penaltyaddr = 1; + } + + pc += 2; +} + +static void ind() { //indirect + uint16_t eahelp, eahelp2; + eahelp = (uint16_t)read6502(pc) | (uint16_t)((uint16_t)read6502(pc+1) << 8); + eahelp2 = (eahelp & 0xFF00) | ((eahelp + 1) & 0x00FF); //replicate 6502 page-boundary wraparound bug + ea = (uint16_t)read6502(eahelp) | ((uint16_t)read6502(eahelp2) << 8); + pc += 2; +} + +static void indx() { // (indirect,X) + uint16_t eahelp; + eahelp = (uint16_t)(((uint16_t)read6502(pc++) + (uint16_t)x) & 0xFF); //zero-page wraparound for table pointer + ea = (uint16_t)read6502(eahelp & 0x00FF) | ((uint16_t)read6502((eahelp+1) & 0x00FF) << 8); +} + +static void indy() { // (indirect),Y + uint16_t eahelp, eahelp2, startpage; + eahelp = (uint16_t)read6502(pc++); + eahelp2 = (eahelp & 0xFF00) | ((eahelp + 1) & 0x00FF); //zero-page wraparound + ea = (uint16_t)read6502(eahelp) | ((uint16_t)read6502(eahelp2) << 8); + startpage = ea & 0xFF00; + ea += (uint16_t)y; + + if (startpage != (ea & 0xFF00)) { //one cycle penlty for page-crossing on some opcodes + penaltyaddr = 1; + } +} + +static uint16_t getvalue() { + if (addrtable[opcode] == acc) return((uint16_t)a); + else return((uint16_t)read6502(ea)); +} + +static uint16_t getvalue16() { + return((uint16_t)read6502(ea) | ((uint16_t)read6502(ea+1) << 8)); +} + +static void putvalue(uint16_t saveval) { + if (addrtable[opcode] == acc) a = (uint8_t)(saveval & 0x00FF); + else write6502(ea, (saveval & 0x00FF)); +} + + +//instruction handler functions +static void adc() { + penaltyop = 1; + value = getvalue(); + result = (uint16_t)a + value + (uint16_t)(status & FLAG_CARRY); + + carrycalc(result); + zerocalc(result); + overflowcalc(result, a, value); + signcalc(result); + + #ifndef NES_CPU + if (status & FLAG_DECIMAL) { + clearcarry(); + + if ((a & 0x0F) > 0x09) { + a += 0x06; + } + if ((a & 0xF0) > 0x90) { + a += 0x60; + setcarry(); + } + + clockticks6502++; + } + #endif + + saveaccum(result); +} + +static void and() { + penaltyop = 1; + value = getvalue(); + result = (uint16_t)a & value; + + zerocalc(result); + signcalc(result); + + saveaccum(result); +} + +static void asl() { + value = getvalue(); + result = value << 1; + + carrycalc(result); + zerocalc(result); + signcalc(result); + + putvalue(result); +} + +static void bcc() { + if ((status & FLAG_CARRY) == 0) { + oldpc = pc; + pc += reladdr; + if ((oldpc & 0xFF00) != (pc & 0xFF00)) clockticks6502 += 2; //check if jump crossed a page boundary + else clockticks6502++; + } +} + +static void bcs() { + if ((status & FLAG_CARRY) == FLAG_CARRY) { + oldpc = pc; + pc += reladdr; + if ((oldpc & 0xFF00) != (pc & 0xFF00)) clockticks6502 += 2; //check if jump crossed a page boundary + else clockticks6502++; + } +} + +static void beq() { + if ((status & FLAG_ZERO) == FLAG_ZERO) { + oldpc = pc; + pc += reladdr; + if ((oldpc & 0xFF00) != (pc & 0xFF00)) clockticks6502 += 2; //check if jump crossed a page boundary + else clockticks6502++; + } +} + +static void bit() { + value = getvalue(); + result = (uint16_t)a & value; + + zerocalc(result); + status = (status & 0x3F) | (uint8_t)(value & 0xC0); +} + +static void bmi() { + if ((status & FLAG_SIGN) == FLAG_SIGN) { + oldpc = pc; + pc += reladdr; + if ((oldpc & 0xFF00) != (pc & 0xFF00)) clockticks6502 += 2; //check if jump crossed a page boundary + else clockticks6502++; + } +} + +static void bne() { + if ((status & FLAG_ZERO) == 0) { + oldpc = pc; + pc += reladdr; + if ((oldpc & 0xFF00) != (pc & 0xFF00)) clockticks6502 += 2; //check if jump crossed a page boundary + else clockticks6502++; + } +} + +static void bpl() { + if ((status & FLAG_SIGN) == 0) { + oldpc = pc; + pc += reladdr; + if ((oldpc & 0xFF00) != (pc & 0xFF00)) clockticks6502 += 2; //check if jump crossed a page boundary + else clockticks6502++; + } +} + +static void brk() { + pc++; + push16(pc); //push next instruction address onto stack + push8(status | FLAG_BREAK); //push CPU status to stack + setinterrupt(); //set interrupt flag + pc = (uint16_t)read6502(0xFFFE) | ((uint16_t)read6502(0xFFFF) << 8); +} + +static void bvc() { + if ((status & FLAG_OVERFLOW) == 0) { + oldpc = pc; + pc += reladdr; + if ((oldpc & 0xFF00) != (pc & 0xFF00)) clockticks6502 += 2; //check if jump crossed a page boundary + else clockticks6502++; + } +} + +static void bvs() { + if ((status & FLAG_OVERFLOW) == FLAG_OVERFLOW) { + oldpc = pc; + pc += reladdr; + if ((oldpc & 0xFF00) != (pc & 0xFF00)) clockticks6502 += 2; //check if jump crossed a page boundary + else clockticks6502++; + } +} + +static void clc() { + clearcarry(); +} + +static void cld() { + cleardecimal(); +} + +static void cli() { + clearinterrupt(); +} + +static void clv() { + clearoverflow(); +} + +static void cmp() { + penaltyop = 1; + value = getvalue(); + result = (uint16_t)a - value; + + if (a >= (uint8_t)(value & 0x00FF)) setcarry(); + else clearcarry(); + if (a == (uint8_t)(value & 0x00FF)) setzero(); + else clearzero(); + signcalc(result); +} + +static void cpx() { + value = getvalue(); + result = (uint16_t)x - value; + + if (x >= (uint8_t)(value & 0x00FF)) setcarry(); + else clearcarry(); + if (x == (uint8_t)(value & 0x00FF)) setzero(); + else clearzero(); + signcalc(result); +} + +static void cpy() { + value = getvalue(); + result = (uint16_t)y - value; + + if (y >= (uint8_t)(value & 0x00FF)) setcarry(); + else clearcarry(); + if (y == (uint8_t)(value & 0x00FF)) setzero(); + else clearzero(); + signcalc(result); +} + +static void dec() { + value = getvalue(); + result = value - 1; + + zerocalc(result); + signcalc(result); + + putvalue(result); +} + +static void dex() { + x--; + + zerocalc(x); + signcalc(x); +} + +static void dey() { + y--; + + zerocalc(y); + signcalc(y); +} + +static void eor() { + penaltyop = 1; + value = getvalue(); + result = (uint16_t)a ^ value; + + zerocalc(result); + signcalc(result); + + saveaccum(result); +} + +static void inc() { + value = getvalue(); + result = value + 1; + + zerocalc(result); + signcalc(result); + + putvalue(result); +} + +static void inx() { + x++; + + zerocalc(x); + signcalc(x); +} + +static void iny() { + y++; + + zerocalc(y); + signcalc(y); +} + +static void jmp() { + pc = ea; +} + +static void jsr() { + push16(pc - 1); + pc = ea; +} + +static void lda() { + penaltyop = 1; + value = getvalue(); + a = (uint8_t)(value & 0x00FF); + + zerocalc(a); + signcalc(a); +} + +static void ldx() { + penaltyop = 1; + value = getvalue(); + x = (uint8_t)(value & 0x00FF); + + zerocalc(x); + signcalc(x); +} + +static void ldy() { + penaltyop = 1; + value = getvalue(); + y = (uint8_t)(value & 0x00FF); + + zerocalc(y); + signcalc(y); +} + +static void lsr() { + value = getvalue(); + result = value >> 1; + + if (value & 1) setcarry(); + else clearcarry(); + zerocalc(result); + signcalc(result); + + putvalue(result); +} + +static void nop() { + switch (opcode) { + case 0x1C: + case 0x3C: + case 0x5C: + case 0x7C: + case 0xDC: + case 0xFC: + penaltyop = 1; + break; + } +} + +static void ora() { + penaltyop = 1; + value = getvalue(); + result = (uint16_t)a | value; + + zerocalc(result); + signcalc(result); + + saveaccum(result); +} + +static void pha() { + push8(a); +} + +static void php() { + push8(status | FLAG_BREAK); +} + +static void pla() { + a = pull8(); + + zerocalc(a); + signcalc(a); +} + +static void plp() { + status = pull8() | FLAG_CONSTANT; +} + +static void rol() { + value = getvalue(); + result = (value << 1) | (status & FLAG_CARRY); + + carrycalc(result); + zerocalc(result); + signcalc(result); + + putvalue(result); +} + +static void ror() { + value = getvalue(); + result = (value >> 1) | ((status & FLAG_CARRY) << 7); + + if (value & 1) setcarry(); + else clearcarry(); + zerocalc(result); + signcalc(result); + + putvalue(result); +} + +static void rti() { + status = pull8(); + value = pull16(); + pc = value; +} + +static void rts() { + value = pull16(); + pc = value + 1; +} + +static void sbc() { + penaltyop = 1; + value = getvalue() ^ 0x00FF; + result = (uint16_t)a + value + (uint16_t)(status & FLAG_CARRY); + + carrycalc(result); + zerocalc(result); + overflowcalc(result, a, value); + signcalc(result); + + #ifndef NES_CPU + if (status & FLAG_DECIMAL) { + clearcarry(); + + a -= 0x66; + if ((a & 0x0F) > 0x09) { + a += 0x06; + } + if ((a & 0xF0) > 0x90) { + a += 0x60; + setcarry(); + } + + clockticks6502++; + } + #endif + + saveaccum(result); +} + +static void sec() { + setcarry(); +} + +static void sed() { + setdecimal(); +} + +static void sei() { + setinterrupt(); +} + +static void sta() { + putvalue(a); +} + +static void stx() { + putvalue(x); +} + +static void sty() { + putvalue(y); +} + +static void tax() { + x = a; + + zerocalc(x); + signcalc(x); +} + +static void tay() { + y = a; + + zerocalc(y); + signcalc(y); +} + +static void tsx() { + x = sp; + + zerocalc(x); + signcalc(x); +} + +static void txa() { + a = x; + + zerocalc(a); + signcalc(a); +} + +static void txs() { + sp = x; +} + +static void tya() { + a = y; + + zerocalc(a); + signcalc(a); +} + +//undocumented instructions +#ifdef UNDOCUMENTED + static void lax() { + lda(); + ldx(); + } + + static void sax() { + sta(); + stx(); + putvalue(a & x); + if (penaltyop && penaltyaddr) clockticks6502--; + } + + static void dcp() { + dec(); + cmp(); + if (penaltyop && penaltyaddr) clockticks6502--; + } + + static void isb() { + inc(); + sbc(); + if (penaltyop && penaltyaddr) clockticks6502--; + } + + static void slo() { + asl(); + ora(); + if (penaltyop && penaltyaddr) clockticks6502--; + } + + static void rla() { + rol(); + and(); + if (penaltyop && penaltyaddr) clockticks6502--; + } + + static void sre() { + lsr(); + eor(); + if (penaltyop && penaltyaddr) clockticks6502--; + } + + static void rra() { + ror(); + adc(); + if (penaltyop && penaltyaddr) clockticks6502--; + } +#else + #define lax nop + #define sax nop + #define dcp nop + #define isb nop + #define slo nop + #define rla nop + #define sre nop + #define rra nop +#endif + + +static void (*addrtable[256])() = { +/* | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | A | B | C | D | E | F | */ +/* 0 */ imp, indx, imp, indx, zp, zp, zp, zp, imp, imm, acc, imm, abso, abso, abso, abso, /* 0 */ +/* 1 */ rel, indy, imp, indy, zpx, zpx, zpx, zpx, imp, absy, imp, absy, absx, absx, absx, absx, /* 1 */ +/* 2 */ abso, indx, imp, indx, zp, zp, zp, zp, imp, imm, acc, imm, abso, abso, abso, abso, /* 2 */ +/* 3 */ rel, indy, imp, indy, zpx, zpx, zpx, zpx, imp, absy, imp, absy, absx, absx, absx, absx, /* 3 */ +/* 4 */ imp, indx, imp, indx, zp, zp, zp, zp, imp, imm, acc, imm, abso, abso, abso, abso, /* 4 */ +/* 5 */ rel, indy, imp, indy, zpx, zpx, zpx, zpx, imp, absy, imp, absy, absx, absx, absx, absx, /* 5 */ +/* 6 */ imp, indx, imp, indx, zp, zp, zp, zp, imp, imm, acc, imm, ind, abso, abso, abso, /* 6 */ +/* 7 */ rel, indy, imp, indy, zpx, zpx, zpx, zpx, imp, absy, imp, absy, absx, absx, absx, absx, /* 7 */ +/* 8 */ imm, indx, imm, indx, zp, zp, zp, zp, imp, imm, imp, imm, abso, abso, abso, abso, /* 8 */ +/* 9 */ rel, indy, imp, indy, zpx, zpx, zpy, zpy, imp, absy, imp, absy, absx, absx, absy, absy, /* 9 */ +/* A */ imm, indx, imm, indx, zp, zp, zp, zp, imp, imm, imp, imm, abso, abso, abso, abso, /* A */ +/* B */ rel, indy, imp, indy, zpx, zpx, zpy, zpy, imp, absy, imp, absy, absx, absx, absy, absy, /* B */ +/* C */ imm, indx, imm, indx, zp, zp, zp, zp, imp, imm, imp, imm, abso, abso, abso, abso, /* C */ +/* D */ rel, indy, imp, indy, zpx, zpx, zpx, zpx, imp, absy, imp, absy, absx, absx, absx, absx, /* D */ +/* E */ imm, indx, imm, indx, zp, zp, zp, zp, imp, imm, imp, imm, abso, abso, abso, abso, /* E */ +/* F */ rel, indy, imp, indy, zpx, zpx, zpx, zpx, imp, absy, imp, absy, absx, absx, absx, absx /* F */ +}; + +static void (*optable[256])() = { +/* | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | A | B | C | D | E | F | */ +/* 0 */ brk, ora, nop, slo, nop, ora, asl, slo, php, ora, asl, nop, nop, ora, asl, slo, /* 0 */ +/* 1 */ bpl, ora, nop, slo, nop, ora, asl, slo, clc, ora, nop, slo, nop, ora, asl, slo, /* 1 */ +/* 2 */ jsr, and, nop, rla, bit, and, rol, rla, plp, and, rol, nop, bit, and, rol, rla, /* 2 */ +/* 3 */ bmi, and, nop, rla, nop, and, rol, rla, sec, and, nop, rla, nop, and, rol, rla, /* 3 */ +/* 4 */ rti, eor, nop, sre, nop, eor, lsr, sre, pha, eor, lsr, nop, jmp, eor, lsr, sre, /* 4 */ +/* 5 */ bvc, eor, nop, sre, nop, eor, lsr, sre, cli, eor, nop, sre, nop, eor, lsr, sre, /* 5 */ +/* 6 */ rts, adc, nop, rra, nop, adc, ror, rra, pla, adc, ror, nop, jmp, adc, ror, rra, /* 6 */ +/* 7 */ bvs, adc, nop, rra, nop, adc, ror, rra, sei, adc, nop, rra, nop, adc, ror, rra, /* 7 */ +/* 8 */ nop, sta, nop, sax, sty, sta, stx, sax, dey, nop, txa, nop, sty, sta, stx, sax, /* 8 */ +/* 9 */ bcc, sta, nop, nop, sty, sta, stx, sax, tya, sta, txs, nop, nop, sta, nop, nop, /* 9 */ +/* A */ ldy, lda, ldx, lax, ldy, lda, ldx, lax, tay, lda, tax, nop, ldy, lda, ldx, lax, /* A */ +/* B */ bcs, lda, nop, lax, ldy, lda, ldx, lax, clv, lda, tsx, lax, ldy, lda, ldx, lax, /* B */ +/* C */ cpy, cmp, nop, dcp, cpy, cmp, dec, dcp, iny, cmp, dex, nop, cpy, cmp, dec, dcp, /* C */ +/* D */ bne, cmp, nop, dcp, nop, cmp, dec, dcp, cld, cmp, nop, dcp, nop, cmp, dec, dcp, /* D */ +/* E */ cpx, sbc, nop, isb, cpx, sbc, inc, isb, inx, sbc, nop, sbc, cpx, sbc, inc, isb, /* E */ +/* F */ beq, sbc, nop, isb, nop, sbc, inc, isb, sed, sbc, nop, isb, nop, sbc, inc, isb /* F */ +}; + +static const uint8_t ticktable[256] = { +/* | 0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | A | B | C | D | E | F | */ +/* 0 */ 7, 6, 2, 8, 3, 3, 5, 5, 3, 2, 2, 2, 4, 4, 6, 6, /* 0 */ +/* 1 */ 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 7, 7, /* 1 */ +/* 2 */ 6, 6, 2, 8, 3, 3, 5, 5, 4, 2, 2, 2, 4, 4, 6, 6, /* 2 */ +/* 3 */ 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 7, 7, /* 3 */ +/* 4 */ 6, 6, 2, 8, 3, 3, 5, 5, 3, 2, 2, 2, 3, 4, 6, 6, /* 4 */ +/* 5 */ 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 7, 7, /* 5 */ +/* 6 */ 6, 6, 2, 8, 3, 3, 5, 5, 4, 2, 2, 2, 5, 4, 6, 6, /* 6 */ +/* 7 */ 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 7, 7, /* 7 */ +/* 8 */ 2, 6, 2, 6, 3, 3, 3, 3, 2, 2, 2, 2, 4, 4, 4, 4, /* 8 */ +/* 9 */ 2, 6, 2, 6, 4, 4, 4, 4, 2, 5, 2, 5, 5, 5, 5, 5, /* 9 */ +/* A */ 2, 6, 2, 6, 3, 3, 3, 3, 2, 2, 2, 2, 4, 4, 4, 4, /* A */ +/* B */ 2, 5, 2, 5, 4, 4, 4, 4, 2, 4, 2, 4, 4, 4, 4, 4, /* B */ +/* C */ 2, 6, 2, 8, 3, 3, 5, 5, 2, 2, 2, 2, 4, 4, 6, 6, /* C */ +/* D */ 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 7, 7, /* D */ +/* E */ 2, 6, 2, 8, 3, 3, 5, 5, 2, 2, 2, 2, 4, 4, 6, 6, /* E */ +/* F */ 2, 5, 2, 8, 4, 4, 6, 6, 2, 4, 2, 7, 4, 4, 7, 7 /* F */ +}; + + +void nmi6502() { + push16(pc); + push8(status); + status |= FLAG_INTERRUPT; + pc = (uint16_t)read6502(0xFFFA) | ((uint16_t)read6502(0xFFFB) << 8); +} + +uint16_t getPC() { + return pc; +} + +void irq6502() { + push16(pc); + push8(status); + status |= FLAG_INTERRUPT; + pc = (uint16_t)read6502(0xFFFE) | ((uint16_t)read6502(0xFFFF) << 8); +} + +uint8_t callexternal = 0; +void (*loopexternal)(); + +void exec6502(uint32_t tickcount) { + clockgoal6502 += tickcount; + + while (clockticks6502 < clockgoal6502) { + opcode = read6502(pc++); + status |= FLAG_CONSTANT; + + penaltyop = 0; + penaltyaddr = 0; + + (*addrtable[opcode])(); + (*optable[opcode])(); + clockticks6502 += ticktable[opcode]; + if (penaltyop && penaltyaddr) clockticks6502++; + } + +} + +void step6502() { + opcode = read6502(pc++); + status |= FLAG_CONSTANT; + + penaltyop = 0; + penaltyaddr = 0; + + (*addrtable[opcode])(); + (*optable[opcode])(); + clockticks6502 += ticktable[opcode]; + if (penaltyop && penaltyaddr) clockticks6502++; + clockgoal6502 = clockticks6502; +} + diff --git a/user/generate_video.c b/user/generate_video.c new file mode 100644 index 0000000..4e4ce74 --- /dev/null +++ b/user/generate_video.c @@ -0,0 +1,259 @@ +/****************************************************************************** + * Copyright 2013-2015 Espressif Systems + * 2015 <>< Charles Lohr + * 2017 Hrvoje Cavrak + */ + +#include "slc_register.h" +#include +#include "user_interface.h" +#include "pin_mux_register.h" +#include "signetics_video_rom.h" +#include + +#define WS_I2S_BCK 1 +#define WS_I2S_DIV 2 + +#define LINE_BUFFER_LENGTH 160 +#define PAL_LINES 625 +#define LINETYPES 6 + +#define SYNC_LEVEL 0x99999999 +#define WHITE_LEVEL 0xffffffff +#define BLACK_LEVEL 0xbbbbbbbb + +extern uint8_t terminal_ram[40 * 24]; + +uint32_t i2s_dma_buffer[LINE_BUFFER_LENGTH*LINETYPES]; +static struct sdio_queue i2sBufDesc[PAL_LINES]; + +int current_pixel_line; + +LOCAL void slc_isr(void) { + struct sdio_queue *finishedDesc; + uint32 slc_intr_status; + uint8_t x; + + //Grab int status + slc_intr_status = READ_PERI_REG(SLC_INT_STATUS); + + //clear all intr flags + WRITE_PERI_REG(SLC_INT_CLR, 0xffffffff); + + if (slc_intr_status & SLC_RX_EOF_INT_ST) { + //The DMA subsystem is done with this block: Push it on the queue so it can be re-used. + finishedDesc=(struct sdio_queue*)READ_PERI_REG(SLC_RX_EOF_DES_ADDR); + + struct sdio_queue * next = (struct sdio_queue *)finishedDesc->next_link_ptr; + uint32_t * buffer_pointer = (uint32_t*)next->buf_ptr; + + if( next->unused > 1) + { + current_pixel_line = 0; + } + + else if( next->unused ) + { + uint8_t pixel_column = 30; + + /* Determines which terminal line we are currently rendering, 192 pixel lines >> 3 = 24 text lines. Each is 40 bytes long. */ + uint8_t *terminal_line = &terminal_ram[40 * (current_pixel_line >> 3)]; + + /* 8 banks (one for each char line) of 192 bytes contain character definitions. Each character is pre-encoded to optimize performance. */ + uint32_t *character_row = &Signetics_2513_Modulated_Video_ROM[(current_pixel_line & 0b111) * 192]; + + /* For each character in the line */ + for( x = 0; x < 40; x++ ) + { + + /* Get pre-encoded character definition from array */ + uint32_t *character = &character_row[3*(terminal_line[x] & 0x3F)]; + + /* Use loop unrolling to improve performance */ + buffer_pointer[pixel_column++] = *character++; + buffer_pointer[pixel_column++] = *character++; + buffer_pointer[pixel_column++] = *character++; + } + + current_pixel_line++; + } + } +} + + +/* PAL signals */ +#define SHORT_SYNC_INTERVAL 5 +#define LONG_SYNC_INTERVAL 75 +#define BACK_PORCH 20 +#define NORMAL_SYNC_INTERVAL 10 +#define LINE_SIGNAL_INTERVAL 150 + +#define SHORT_SYNC 0 +#define LONG_SYNC 1 +#define BLACK_SIGNAL 2 +#define SHORT_TO_LONG 3 +#define LONG_TO_SHORT 4 +#define LINE_SIGNAL 5 + +//Initialize I2S subsystem for DMA circular buffer use +void ICACHE_FLASH_ATTR testi2s_init() { + int x, y; + + uint32_t * line = i2s_dma_buffer; + + uint8_t single_line_timings[20] = { + SHORT_SYNC_INTERVAL, LONG_SYNC_INTERVAL, SHORT_SYNC_INTERVAL, LONG_SYNC_INTERVAL, + LONG_SYNC_INTERVAL, SHORT_SYNC_INTERVAL, LONG_SYNC_INTERVAL, SHORT_SYNC_INTERVAL, + NORMAL_SYNC_INTERVAL, LINE_SIGNAL_INTERVAL, + SHORT_SYNC_INTERVAL, LONG_SYNC_INTERVAL, SHORT_SYNC_INTERVAL, LONG_SYNC_INTERVAL, + LONG_SYNC_INTERVAL, SHORT_SYNC_INTERVAL, LONG_SYNC_INTERVAL, SHORT_SYNC_INTERVAL, + NORMAL_SYNC_INTERVAL, LINE_SIGNAL_INTERVAL + }; + + uint32_t single_line_levels[20] = { + SYNC_LEVEL, BLACK_LEVEL, SYNC_LEVEL, BLACK_LEVEL, + SYNC_LEVEL, BLACK_LEVEL, SYNC_LEVEL, BLACK_LEVEL, + SYNC_LEVEL, BLACK_LEVEL, + SYNC_LEVEL, BLACK_LEVEL, SYNC_LEVEL, BLACK_LEVEL, + SYNC_LEVEL, BLACK_LEVEL, SYNC_LEVEL, BLACK_LEVEL, + SYNC_LEVEL, BLACK_LEVEL, + }; + + uint8_t i, signal; + + for (signal = 0; signal < 20; signal++) + for (i=0; i < single_line_timings[signal]; i++, *line++ = single_line_levels[signal]) ; + + + + /* Reference: http://martin.hinner.info/vga/pal_tv_diagram_interlace.jpg */ + + uint16_t pal_lines[42] = { + /* Lines Pattern Unused */ + 3, LONG_SYNC, 0, + 4, LONG_TO_SHORT, 0, + 6, SHORT_SYNC, 0, + + 57, BLACK_SIGNAL, 0, + 250, LINE_SIGNAL, 1, + 311, BLACK_SIGNAL, 0, + + 313, SHORT_SYNC, 0, + 314, SHORT_TO_LONG, 0, + 316, LONG_SYNC, 0, + 318, SHORT_SYNC, 0, + + 370, BLACK_SIGNAL, 0, + 562, LINE_SIGNAL, 1, + 623, BLACK_SIGNAL, 0, + + 626, SHORT_SYNC, 0, + }; + + uint16_t *pal_line = pal_lines; + + //Initialize DMA buffer descriptors in such a way that they will form a circular + //buffer. + for (x=0; x + +#define RAM_SIZE 0x5000 +#define INSTRUCTIONS_CHUNK 10000 + +#define TERM_WIDTH 40 +#define TERM_HEIGHT 24 + +#define SPACE 0x20 + + +static volatile os_timer_t emulator_callback_timer, cursor_timer; + +uint8_t computer_ram[RAM_SIZE], + terminal_ram[TERM_WIDTH * TERM_HEIGHT]; + +uint16_t load_target_start; + +uint32_t current_start, + current_end, + loop_counter = 0; + +/* Current terminal row and column */ +uint8_t term_x = 0, + term_y = 0, + cursor_visible = 0, + cursor_disabled = 0; + +struct pia6821 { + uint8_t keyboard_register; + uint8_t keyboard_control; + uint8_t display_register; + uint8_t display_control; + +} pia = {0}; + + +/* ---------- Function definitions ------------ */ + +void ICACHE_FLASH_ATTR reset_emulator() { + term_x = 0; + term_y = 0; + + ets_memset( computer_ram, 0xff, sizeof(computer_ram) ); + ets_memset( terminal_ram, 0b100000, sizeof(terminal_ram) ); + reset6502(); +} + +uint8_t read6502(uint16_t address) { + /* Address in RAM */ + if (address < RAM_SIZE) + return computer_ram[address]; + + /* 4kB of RAM (0x4000-0x5000) is logically mapped to memory bank 0xE000, needed for BASIC. */ + else if ((address & 0xF000) == 0xE000) + return computer_ram[address - 0xA000]; + + /* PIA peripheral interface */ + else if ((address & 0xFFF0) == 0xD010) { + /* Set keyboard control register to 0 if key was read */ + if (address == 0xD010) { + pia.keyboard_control = 0x00; + } + + return *(&pia.keyboard_register + address - 0xD010); + } + + /* Address belongs to Woz Monitor ROM (0xFF00 - 0xFFFF) */ + else if ((address & 0xFF00) == 0xFF00) + return woz_monitor[address - 0xFF00]; + + /* Default value */ + return 0xff; +} + + +void ICACHE_FLASH_ATTR toggle_cursor() { + uint8_t i; + + cursor_visible ^= 1; + terminal_ram[term_y * TERM_WIDTH + term_x] = cursor_visible | cursor_disabled ? 0x20 : 0x00; +} + + +void ICACHE_FLASH_ATTR terminal_write(uint8_t value) { + /* When changing the terminal_ram, disable cursor first */ + cursor_disabled = 1; + + /* Commit change */ + toggle_cursor(); + + /* End of line reached or return pressed */ + if(term_x > 39 || value == 0x0D) { + term_x = 0; + + if(term_y >= 23) { + /* Scroll 1 line up (copy 23 text lines only, blank the last one) */ + ets_memcpy(terminal_ram, &terminal_ram[TERM_WIDTH], TERM_WIDTH * (TERM_HEIGHT - 1)); + ets_memset(terminal_ram + TERM_WIDTH * (TERM_HEIGHT - 1), SPACE, TERM_WIDTH); + + } + else + term_y++; + + } + + /* Only printable characters go to terminal RAM. Other characters don't move the cursor either. */ + if (value >= 0x20 && value <= 0x7E) { + terminal_ram[term_y * TERM_WIDTH + term_x] = value & 0x3F; + term_x++; + } + + /* Enable cursor again */ + cursor_disabled = 0; +} + + +void write6502(uint16_t address, uint8_t value) +{ + if(address < RAM_SIZE) { + computer_ram[address] = value; + } + + /* Address belongs to a 4kB bank mapped at (0xE000 - 0xF000), translate it to real RAM 0x4000-0x5000 + * this is needed to run Apple BASIC */ + else if((address & 0xF000) == 0xE000) { + computer_ram[address - 0xA000] = value; + } + + /* Write to PIA chip. */ + else if (address == 0xD010) { + pia.keyboard_register = value; + + /* If a key was pressed, write to keyboard control register as well */ + pia.keyboard_control = 0xFF; + } + else if (address == 0xD012) { + terminal_write(value ^ 0x80); + } +} + + +static void ICACHE_FLASH_ATTR emulator_task(os_event_t *events) +{ + current_start = system_get_time(); + exec6502(INSTRUCTIONS_CHUNK); + current_end = system_get_time(); +} + + +static void ICACHE_FLASH_ATTR dataRecvCallback(void *arg, char *pusrdata, unsigned short lenght){ + + char input_character = *pusrdata; + + /* Convert lowercase to uppercase */ + if (input_character > 0x60 && input_character < 0x7B) + input_character ^= 0x20; + + /* Convert LF to CR */ + else if (input_character == 0x0A) + input_character = 0x0D; + + /* Convert backspace to "rub out" */ + else if (input_character == 0x7F) + input_character = '_'; + + /* Enable CPU reset from telnet (Ctrl + C) */ + else if (input_character == 0x03) { + reset_emulator(); + return; + } + + write6502(0xd010, input_character | 0x80); +} + + +static void ICACHE_FLASH_ATTR connectionCallback(void *arg){ + struct espconn *telnet_server = arg; + espconn_regist_recvcb(telnet_server, dataRecvCallback); +} + + +void tftp_server_recv(void *arg, char *pdata, unsigned short len) +{ + struct espconn* udp_server_local = arg; + uint8_t ack[] = {0x00, 0x04, 0x00, 0x00}; + + if (len < 4) + return; + + /* Write request, this is the first package */ + if (pdata[1] == 0x02) { + load_target_start = (computer_ram[0x27] << 8) + computer_ram[0x26]; + if (load_target_start >= 0xE000) + load_target_start -= 0xA000; + } + + /* Data packet */ + else if(pdata[1] == 0x03) { + /* Copy sequence number into ACK packet and send it */ + ets_memcpy(&ack[2], &pdata[2], 2); + + ets_memcpy(&computer_ram[load_target_start], pdata + 4, len - 4); + load_target_start += (len - 4); + + } + + espconn_send(udp_server_local, ack, 4); +} + + +void ICACHE_FLASH_ATTR user_init(void) +{ + uint16_t ui_address; + struct ip_info ip_address; + + char ssid[32] = "SSID"; + char password[32] = "PASSWORD"; + + uart_div_modify(0, UART_CLK_FREQ / 115200); + + uint32 credentials[16] = {0}; + + spi_flash_read(0x3c000, (uint32 *)&credentials[0], 16 * sizeof(uint32)); + + struct station_config stationConf; + + ets_strcpy(&stationConf.ssid, &credentials[0]); + ets_strcpy(&stationConf.password, &credentials[8]); + + current_start = system_get_time(); + + reset_emulator(); + testi2s_init(); + + system_update_cpu_freq( SYS_CPU_160MHZ ); + + /* Create a 10ms timer to call back the emulator task function periodically */ + os_timer_setfn(&emulator_callback_timer, (os_timer_func_t *) emulator_task, NULL); + os_timer_arm(&emulator_callback_timer, 10, 1); + + /* Toggle cursor every 300 ms */ + os_timer_setfn(&cursor_timer, (os_timer_func_t *) toggle_cursor, NULL); + os_timer_arm(&cursor_timer, 300, 1); + + /* Initialize wifi connection */ + wifi_set_opmode( STATION_MODE ); + + wifi_station_set_config(&stationConf); + wifi_set_phy_mode(PHY_MODE_11B); + wifi_station_set_auto_connect(1); + wifi_station_connect(); + + + /* TFTP server */ + struct espconn *tftp_server = (struct espconn *)os_zalloc(sizeof(struct espconn)); + ets_memset( tftp_server, 0, sizeof( struct espconn ) ); + tftp_server->type = ESPCONN_UDP; + tftp_server->proto.udp = (esp_udp *)os_zalloc(sizeof(esp_udp)); + tftp_server->proto.udp->local_port = 69; + + espconn_regist_recvcb(tftp_server, tftp_server_recv); + espconn_create(tftp_server); + + + /* Telnet server */ + struct espconn *telnet_server = (struct espconn *)os_zalloc(sizeof(struct espconn)); + ets_memset(telnet_server, 0, sizeof(struct espconn)); + + espconn_create(telnet_server); + telnet_server->type = ESPCONN_TCP; + telnet_server->proto.tcp = (esp_tcp *)os_zalloc(sizeof(esp_tcp)); + telnet_server->proto.tcp->local_port = 23; + espconn_regist_connectcb(telnet_server, connectionCallback); + + espconn_accept(telnet_server); +} diff --git a/user/woz_monitor.h b/user/woz_monitor.h new file mode 100644 index 0000000..0373a77 --- /dev/null +++ b/user/woz_monitor.h @@ -0,0 +1,35 @@ +uint8_t woz_monitor[] = { + 0xD8, 0x58, 0xA0, 0x7F, 0x8C, 0x12, 0xD0, 0xA9, + 0xA7, 0x8D, 0x11, 0xD0, 0x8D, 0x13, 0xD0, 0xC9, + 0xDF, 0xF0, 0x13, 0xC9, 0x9B, 0xF0, 0x03, 0xC8, + 0x10, 0x0F, 0xA9, 0xDC, 0x20, 0xEF, 0xFF, 0xA9, + 0x8D, 0x20, 0xEF, 0xFF, 0xA0, 0x01, 0x88, 0x30, + 0xF6, 0xAD, 0x11, 0xD0, 0x10, 0xFB, 0xAD, 0x10, + 0xD0, 0x99, 0x00, 0x02, 0x20, 0xEF, 0xFF, 0xC9, + 0x8D, 0xD0, 0xD4, 0xA0, 0xFF, 0xA9, 0x00, 0xAA, + 0x0A, 0x85, 0x2B, 0xC8, 0xB9, 0x00, 0x02, 0xC9, + 0x8D, 0xF0, 0xD4, 0xC9, 0xAE, 0x90, 0xF4, 0xF0, + 0xF0, 0xC9, 0xBA, 0xF0, 0xEB, 0xC9, 0xD2, 0xF0, + 0x3B, 0x86, 0x28, 0x86, 0x29, 0x84, 0x2A, 0xB9, + 0x00, 0x02, 0x49, 0xB0, 0xC9, 0x0A, 0x90, 0x06, + 0x69, 0x88, 0xC9, 0xFA, 0x90, 0x11, 0x0A, 0x0A, + 0x0A, 0x0A, 0xA2, 0x04, 0x0A, 0x26, 0x28, 0x26, + 0x29, 0xCA, 0xD0, 0xF8, 0xC8, 0xD0, 0xE0, 0xC4, + 0x2A, 0xF0, 0x97, 0x24, 0x2B, 0x50, 0x10, 0xA5, + 0x28, 0x81, 0x26, 0xE6, 0x26, 0xD0, 0xB5, 0xE6, + 0x27, 0x4C, 0x44, 0xFF, 0x6C, 0x24, 0x00, 0x30, + 0x2B, 0xA2, 0x02, 0xB5, 0x27, 0x95, 0x25, 0x95, + 0x23, 0xCA, 0xD0, 0xF7, 0xD0, 0x14, 0xA9, 0x8D, + 0x20, 0xEF, 0xFF, 0xA5, 0x25, 0x20, 0xDC, 0xFF, + 0xA5, 0x24, 0x20, 0xDC, 0xFF, 0xA9, 0xBA, 0x20, + 0xEF, 0xFF, 0xA9, 0xA0, 0x20, 0xEF, 0xFF, 0xA1, + 0x24, 0x20, 0xDC, 0xFF, 0x86, 0x2B, 0xA5, 0x24, + 0xC5, 0x28, 0xA5, 0x25, 0xE5, 0x29, 0xB0, 0xC1, + 0xE6, 0x24, 0xD0, 0x02, 0xE6, 0x25, 0xA5, 0x24, + 0x29, 0x07, 0x10, 0xC8, 0x48, 0x4A, 0x4A, 0x4A, + 0x4A, 0x20, 0xE5, 0xFF, 0x68, 0x29, 0x0F, 0x09, + 0xB0, 0xC9, 0xBA, 0x90, 0x02, 0x69, 0x06, 0x2C, + 0x12, 0xD0, 0x30, 0xFB, 0x8D, 0x12, 0xD0, 0x60, + 0x00, 0x00, 0x00, 0x0F, 0x00, 0xFF, 0x00, 0x00 +}; +