moved ice40hx8k to board/buildenv structure

This commit is contained in:
Alan Garfield 2018-02-12 08:22:14 +11:00
parent 8a190610ed
commit 0ed336f1f6
13 changed files with 3 additions and 1 deletions

View File

@ -11,7 +11,8 @@ The project with the switch of the 6502 CPU from the gate simulated core to a lo
To build:
```
make
$ cd yosys
$ make
```
### Features

View File

@ -0,0 +1 @@
../ice40hx8k.pcf