Added fusesoc doc.

This commit is contained in:
Niels Moseley 2018-02-12 16:15:26 +01:00
parent ae7717e1a7
commit 98f00f3482
1 changed files with 18 additions and 0 deletions

18
doc/fusesoc.txt Normal file
View File

@ -0,0 +1,18 @@
From PR #14:
"This adds support for simulating the two testbenches. Tested with
icarus, modelsim, isim or xsim. Default is icarus
fusesoc run --target=$target --tool=$tool apple-one
where $target is apple1_tb or vga_tb and $tool is icarus,isim,modelsim or xsim
It also adds targets for building for the de0 and tinyfpga_b2 boards
fusesoc build --target={de0,tinyfpga_b2} apple-one
All ROM and ROM files can be overriden on the command-line, e.g.
fusesoc build --target=de0 apple-one --BASIC_FILENAME=/path/to/file.hex
Use fusesoc build --target=$target apple-one --help to see all
parameters."