#************************************************************** # This .sdc file is created by Terasic Tool. # Users are recommended to modify this file to match users logic. #************************************************************** #************************************************************** # Create Clock #************************************************************** create_clock -period "50.0 MHz" [get_ports CLOCK_50] #************************************************************** # Create Generated Clock #************************************************************** derive_pll_clocks create_generated_clock -divide_by 2 -source [get_ports CLOCK_50] -name clk25 [get_registers clk25] #************************************************************** # Set Clock Latency #************************************************************** #************************************************************** # Set Clock Uncertainty #************************************************************** derive_clock_uncertainty #************************************************************** # Set Input Delay #************************************************************** #************************************************************** # Set Output Delay #************************************************************** #************************************************************** # Set Clock Groups #************************************************************** #************************************************************** # Set False Path #************************************************************** #************************************************************** # Set Multicycle Path #************************************************************** #************************************************************** # Set Maximum Delay #************************************************************** #************************************************************** # Set Minimum Delay #************************************************************** #************************************************************** # Set Input Transition #************************************************************** #************************************************************** # Set Load #**************************************************************