verilog-apple-one/rtl/rom_wozmon.v
2018-01-27 14:27:10 +11:00

22 lines
326 B
Verilog

module rom_wozmon(
input clk,
input [7:0] address,
output reg [7:0] dout
);
parameter ROM_FILENAME = "../roms/wozmon.hex";
reg [7:0] rom[0:255];
initial
$readmemh(ROM_FILENAME, rom, 0, 255);
always @(posedge clk)
begin
dout <= rom[address];
end
endmodule