initializing the registers

This commit is contained in:
Thiago Auler dos Santos 2017-11-27 23:36:15 -02:00
parent 6dc70b91c5
commit ec8b20c407
2 changed files with 4 additions and 0 deletions

View File

@ -13,6 +13,10 @@ void init()
// pc is set using 0xFFFC
pc = read_word(0xFFFC);
sp = 0xFF;
sr = 0x20;
ac = 0x00;
x = 0x00;
y = 0x00;
}
void fetch()