diff --git a/.gitignore b/.gitignore index f805e81..aa04e65 100644 --- a/.gitignore +++ b/.gitignore @@ -1,33 +1,44 @@ -# Object files -*.o -*.ko -*.obj +#Gitignore for files generated by Xilinx ISE + +*.log +*.svf +*.scr +*.cmd +*.bak +*.lso *.elf - -# Precompiled Headers -*.gch -*.pch - -# Libraries -*.lib -*.a -*.la -*.lo - -# Shared objects (inc. Windows DLLs) -*.dll -*.so -*.so.* -*.dylib - -# Executables +*.ace +*~ +*# +*.swp +*.ini +*.html +*.vhi +*.wdb +*.stx +*.xmsgs +*.xreport *.exe -*.out -*.app -*.i*86 -*.x86_64 -*.hex +*.cmd_log +*_beh.prj +*.ncd +isim +db +incremental_db +work +*.cr.mti +vsim.wlf +transcript +webtalk.log +webtalk_impact.xml +pepExtractor.prj +impact.xsl +impact_impact.xwbt -# Debug files -*.dSYM/ -*.su +spi6502b_html*/ +__projnav*/ + +#ignore OS noise + +Thumbs.db +.DS_Store diff --git a/SPI6502B.cel b/SPI6502B.cel new file mode 100644 index 0000000..e69de29 diff --git a/SPI6502B.lfp b/SPI6502B.lfp new file mode 100644 index 0000000..8cce84c --- /dev/null +++ b/SPI6502B.lfp @@ -0,0 +1,40 @@ +# begin LFP file C:\sources\spi65\SPI6502B.lfp +designfile spi6502b.ngd +IO_GROUP "spi_Nsel" ; +IO_GROUP "spi_miso" ; +IO_GROUP "spi_int" ; +IO_GROUP "cpu_d" ; +IO_GROUP "cpu_a" ; +NET "spi_sclk" COLOR=6 ; +NET "spi_Nsel<3>" COLOR=6 IO_GROUP="spi_Nsel" ; +NET "spi_Nsel<2>" COLOR=6 IO_GROUP="spi_Nsel" ; +NET "spi_Nsel<1>" COLOR=6 IO_GROUP="spi_Nsel" ; +NET "spi_Nsel<0>" COLOR=6 IO_GROUP="spi_Nsel" ; +NET "spi_mosi" COLOR=6 ; +NET "spi_miso<3>" COLOR=6 IO_GROUP="spi_miso" ; +NET "spi_miso<2>" COLOR=6 IO_GROUP="spi_miso" ; +NET "spi_miso<1>" COLOR=6 IO_GROUP="spi_miso" ; +NET "spi_miso<0>" COLOR=6 IO_GROUP="spi_miso" ; +NET "spi_int<3>" COLOR=6 IO_GROUP="spi_int" ; +NET "spi_int<2>" COLOR=6 IO_GROUP="spi_int" ; +NET "spi_int<1>" COLOR=6 IO_GROUP="spi_int" ; +NET "spi_int<0>" COLOR=6 IO_GROUP="spi_int" ; +NET "Ncs2" COLOR=6 ; +NET "extclk" COLOR=6 ; +NET "diag" COLOR=6 ; +NET "cpu_rnw" COLOR=6 ; +NET "cpu_Nres" COLOR=6 ; +NET "cpu_Nphi2" COLOR=6 ; +NET "cpu_Nirq" COLOR=6 ; +NET "cpu_d<7>" COLOR=6 IO_GROUP="cpu_d" ; +NET "cpu_d<6>" COLOR=6 IO_GROUP="cpu_d" ; +NET "cpu_d<5>" COLOR=6 IO_GROUP="cpu_d" ; +NET "cpu_d<4>" COLOR=6 IO_GROUP="cpu_d" ; +NET "cpu_d<3>" COLOR=6 IO_GROUP="cpu_d" ; +NET "cpu_d<2>" COLOR=6 IO_GROUP="cpu_d" ; +NET "cpu_d<1>" COLOR=6 IO_GROUP="cpu_d" ; +NET "cpu_d<0>" COLOR=6 IO_GROUP="cpu_d" ; +NET "cpu_a<1>" COLOR=6 IO_GROUP="cpu_a" ; +NET "cpu_a<0>" COLOR=6 IO_GROUP="cpu_a" ; +INST "spi_mosi_OBUFE" COLOR=7 ; +INST "cpu_Nirq_OBUFE" COLOR=8 ; diff --git a/SPI6502B.ucf b/SPI6502B.ucf new file mode 100644 index 0000000..29233d9 --- /dev/null +++ b/SPI6502B.ucf @@ -0,0 +1,43 @@ +#net "diag" loc="P29"; + +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "cpu_a<0>" LOC = "P22" ; +NET "cpu_a<1>" LOC = "P24" ; +NET "cpu_d<0>" LOC = "P2" ; +NET "cpu_d<1>" LOC = "P3" ; +NET "cpu_d<2>" LOC = "P4" ; +NET "cpu_d<3>" LOC = "P8" ; +NET "cpu_d<4>" LOC = "P9" ; +NET "cpu_d<5>" LOC = "P11" ; +NET "cpu_d<6>" LOC = "P12" ; +NET "cpu_d<7>" LOC = "P13" ; +NET "cpu_Nirq" LOC = "P14" ; +NET "cpu_Nphi2" LOC = "P5" ; +NET "cpu_Nres" LOC = "P19" ; +NET "cpu_rnw" LOC = "P7" ; +NET "cs1" LOC = "P20" ; +NET "diag" LOC = "P29" ; +NET "extclk" LOC = "P6" ; +NET "Ncs2" LOC = "P18" ; +NET "spi_int<0>" LOC = "P42" ; +NET "spi_int<1>" LOC = "P40" ; +NET "spi_int<2>" LOC = "P39" ; +NET "spi_int<3>" LOC = "P1" ; +NET "spi_miso<0>" LOC = "P44" ; +NET "spi_miso<1>" LOC = "P43" ; +NET "spi_miso<2>" LOC = "P38" ; +NET "spi_miso<3>" LOC = "P37" ; +NET "spi_mosi" LOC = "P35" ; +NET "spi_Nsel<0>" LOC = "P28" ; +NET "spi_Nsel<1>" LOC = "P27" ; +NET "spi_Nsel<2>" LOC = "P26" ; +NET "spi_Nsel<3>" LOC = "P25" ; +NET "spi_sclk" LOC = "P34" ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE diff --git a/SPI6502B.ucf.untf b/SPI6502B.ucf.untf new file mode 100644 index 0000000..e69de29 diff --git a/SPI6502B1.1.vhd b/SPI6502B1.1.vhd new file mode 100644 index 0000000..e1f02a9 --- /dev/null +++ b/SPI6502B1.1.vhd @@ -0,0 +1,367 @@ +---------------------------------------------------------------------------------- +-- Company: n/a +-- Engineer: A. Fachat +-- +-- Create Date: 12:37:11 05/07/2011 +-- Design Name: SPI65B +-- Module Name: SPI6502B - Behavioral +-- Project Name: CS/A NETUSB 2.0 +-- Target Devices: CS/A NETUSB 2.0 +-- Tool versions: +-- Description: An SPI interface for 6502-based computers (or compatible). +-- modelled after the SPI65 interface by Daryl Rictor +-- (see http://sbc.rictor.org/io/65spi.html ) +-- This implementation here, however, is a complete reimplementation +-- as the ABEL language of the original implementation is not supported +-- by ISE anymore. +-- Also I added the interrupt input handling, replacing four of the +-- original SPI select outputs with four interrupt inputs +-- Also folded out the single MISO input into one input for each of the +-- four supported devices, reducing external parts count again by one. +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Revision 0.02 - removed spiclk and replaced with clksrc and clkcnt_is_zero combination, +-- to drive up SPI clock to half of input clock (and not one fourth only as before) +-- unfortunately that costed one divisor bit to fit into the CPLD +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--use IEEE.NUMERIC_STD.ALL; + + +-- Uncomment the following library declaration if instantiating +-- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity SPI6502B is + + + Port ( cpu_d : inout STD_LOGIC_VECTOR (7 downto 0); + cpu_rnw : in STD_LOGIC; + cpu_Nirq : out STD_LOGIC; + cpu_Nres : in STD_LOGIC; + cpu_a : in STD_LOGIC_VECTOR (1 downto 0); + cpu_Nphi2 : in STD_LOGIC; + cs1 : in STD_LOGIC; + Ncs2 : in STD_LOGIC; + extclk : in STD_LOGIC; + spi_miso: in std_logic_vector (3 downto 0); + spi_mosi : out STD_LOGIC; + spi_sclk : out STD_LOGIC; + spi_Nsel : out STD_LOGIC_VECTOR (3 downto 0); + spi_int : in STD_LOGIC_VECTOR (3 downto 0); + diag : out std_logic + ); + + constant DIV_WIDTH : integer := 3; + +end SPI6502B; + +architecture Behavioral of SPI6502B is + + -- interface signals + signal selected: std_logic; + signal reset: std_logic; + signal int_out: std_logic; + signal is_read: std_logic; + signal int_din: std_logic_vector (7 downto 0); + signal int_dout: std_logic_vector (7 downto 0); + + signal int_mosi: std_logic; + signal int_miso: std_logic; + signal int_sclk: std_logic; + + -------------------------- + -- internal state + signal spidatain: std_logic_vector (7 downto 0); + signal spidataout: std_logic_vector (7 downto 0); + signal spiint: std_logic; -- spi interrupt state + + -- spi register flags + signal tc: std_logic; -- transmission complete; cleared on spi data read + signal ier: std_logic; -- enable general SPI interrupts + signal bsy: std_logic; -- SPI busy + signal frx: std_logic; -- fast receive mode + signal tmo: std_logic; -- tri-state mosi + signal ece: std_logic; -- external clock enable; 0=phi2, 1=external clock + signal cpol: std_logic; -- shift clock polarity; 0=rising edge, 1=falling edge + signal cpha: std_logic; -- shift clock phase; 0=leading edge, 1=rising edge + + signal divisor: std_logic_vector(DIV_WIDTH-1 downto 0); + + signal slavesel: std_logic_vector(3 downto 0); -- slave select output (0=selected) + signal slaveinten: std_logic_vector(3 downto 0); -- slave interrupt enable (1=enabled) + signal slaveint: std_logic_vector (3 downto 0); -- slave interrupt inputs + + -------------------------- + -- helper signals + + -- shift engine + signal start_shifting: std_logic; -- shifting data + signal shifting2: std_logic; -- shifting data + signal shiftdone: std_logic; -- shifting data done + signal shiftcnt: std_logic_vector(3 downto 0); -- shift counter (5 bit) + + -- spi clock + signal clksrc: std_logic; -- clock source (phi2 or extclk) + signal divcnt: std_logic_vector(DIV_WIDTH-1 downto 0); -- divisor counter + + signal shiftclk : std_logic; + +begin + + diag <= not (bsy or not slavesel(0)); --'0'; --shifting2; --shiftdone; --shiftcnt(2); + + -------------------------- + + bsy <= start_shifting or shifting2; + + process(start_shifting, shiftdone, shiftclk) + begin + if (rising_edge(shiftclk)) then + if (shiftdone = '1') then + shifting2 <= '0'; + else + shifting2 <= start_shifting; + end if; + end if; + end process; + + process(shiftcnt, reset, shiftclk) + begin + if (reset = '1') then + shiftdone <= '0'; + elsif (rising_edge(shiftclk)) then + if (shiftcnt = "1111") then + shiftdone <= '1'; + else + shiftdone <= '0'; + end if; + end if; + end process; + + process(reset, shifting2, shiftcnt, shiftclk) + begin + if (reset='1') then + shiftcnt <= (others => '0'); + elsif (rising_edge(shiftclk)) then + if (shifting2 = '1') then + -- count phase + shiftcnt <= shiftcnt + 1; + else + shiftcnt <= (others => '0'); + end if; + end if; + end process; + + inproc: process(reset, shifting2, + shiftcnt, shiftclk, spidatain, int_miso) + begin + if (reset='1') then + spidatain <= (others => '0'); + elsif (rising_edge(shiftclk)) then + if (shifting2 = '1' and shiftcnt(0) = '1') then + -- shift in to input register + spidatain (7 downto 1) <= spidatain (6 downto 0); + spidatain (0) <= int_miso; + end if; + end if; + end process; + + outproc: process(reset, shifting2, spidataout, cpol, cpha, + shiftcnt, shiftclk) + begin + if (reset='1') then + int_mosi <= '1'; + int_sclk <= cpol; + else + -- clock is sync'd + if (rising_edge(shiftclk)) then + if (shifting2='0' or shiftdone = '1') then + int_mosi <= '1'; + int_sclk <= cpol; + else + -- output data directly from output register + case shiftcnt(3 downto 1) is + when "000" => int_mosi <= spidataout(7); + when "001" => int_mosi <= spidataout(6); + when "010" => int_mosi <= spidataout(5); + when "011" => int_mosi <= spidataout(4); + when "100" => int_mosi <= spidataout(3); + when "101" => int_mosi <= spidataout(2); + when "110" => int_mosi <= spidataout(1); + when "111" => int_mosi <= spidataout(0); + when others => int_mosi <= '1'; + end case; + int_sclk <= cpol xor cpha xor shiftcnt(0); + end if; + end if; + end if; + end process; + + + -- shift operation enable + shiften: process(reset, selected, cpu_rnw, cpu_a, frx, shiftdone) + begin + -- start shifting + if (reset='1' or shiftdone='1') then + start_shifting <= '0'; + elsif (falling_edge(selected) and cpu_a="00" and (frx='1' or cpu_rnw='0')) then + -- access to register 00, either write (cpu_rnw=0) or fast receive bit set (frx) + -- then both types of access (write but also read) + start_shifting <= '1'; + end if; + end process; + + -------------------------- + -- spiclk - spi clock generation + -- spiclk is still 2 times the freq. than sclk + clksrc <= cpu_Nphi2 when (ece = '0') else extclk; + + -- is a pulse signal to allow for divisor==0 + --shiftclk <= clksrc when divcnt = "000000" else '0'; + shiftclk <= clksrc when bsy = '1' else '0'; + + clkgen: process(reset, divisor, clksrc) + begin + if (reset='1') then + divcnt <= divisor; + --spiclk <= '0'; + elsif (falling_edge(clksrc)) then + if (shiftclk = '1') then + divcnt <= divisor; + --spiclk <= not(spiclk); + else + divcnt <= divcnt - 1; + end if; + end if; + end process; + + -------------------------- + -- interrupt generation + int_out <= spiint + or (slaveint(0) and slaveinten(0)) + or (slaveint(1) and slaveinten(1)) + or (slaveint(2) and slaveinten(2)) + or (slaveint(3) and slaveinten(3)); + + -------------------------- + -- interface section + -- inputs + reset <= not (cpu_Nres); + selected <= cs1 and not(Ncs2); -- and cpu_phi2; + is_read <= selected and cpu_Nphi2 and cpu_rnw; + int_din <= cpu_d; + slaveint <= not(spi_int); -- active low interrupt inputs + + int_miso <= + (spi_miso(0) and not(slavesel(0))) + or (spi_miso(1) and not(slavesel(1))) + or (spi_miso(2) and not(slavesel(2))) + or (spi_miso(3) and not(slavesel(3))); + + -- outputs + cpu_d <= int_dout when (is_read='1') else (others => 'Z'); -- data bus tristate + cpu_Nirq <= '0' when (int_out='1') else 'Z'; -- wired-or + spi_sclk <= int_sclk; + spi_mosi <= int_mosi when tmo='0' else 'Z'; -- mosi tri-state + spi_Nsel <= slavesel; + + tc_proc: process (selected, shiftdone) + begin + if (shiftdone = '1') then + tc <= '1'; + elsif (falling_edge(selected) and cpu_a="00" + --elsif (falling_edge(cpu_phi2) and selected='1' and cpu_a="00" + --and cpu_rnw='1' -- both reads _and_ writes clear the interrupt + ) then + tc <= '0'; + end if; + end process; + + spiint <= tc and ier; + + -------------------------- + -- cpu register section + -- cpu read + cpu_read: process (is_read, cpu_a, + spidatain, tc, ier, bsy, frx, tmo, ece, cpol, cpha, divisor, + slavesel, slaveint, slaveinten) + begin + if (is_read = '1') then + case cpu_a is + when "00" => -- read SPI data in + int_dout <= spidatain; + when "01" => -- read status register + int_dout(0) <= cpha; + int_dout(1) <= cpol; + int_dout(2) <= ece; + int_dout(3) <= tmo; + int_dout(4) <= frx; + int_dout(5) <= bsy; + int_dout(6) <= ier; + int_dout(7) <= tc; + when "10" => -- read sclk divisor + int_dout(DIV_WIDTH-1 downto 0) <= divisor; + int_dout(3) <= '0'; + int_dout(7 downto 4) <= slaveint; + when "11" => -- read slave select / slave interrupt state + int_dout(3 downto 0) <= slavesel; + int_dout(7 downto 4) <= slaveinten; + when others => + int_dout <= (others => '0'); + end case; + else + int_dout <= (others => '0'); + end if; + end process; + + -- cpu write + cpu_write: process(reset, selected, cpu_rnw, cpu_a, int_din) + begin + if (reset = '1') then + cpha <= '0'; + cpol <= '0'; + ece <= '0'; + tmo <= '0'; + frx <= '0'; + ier <= '0'; + slavesel <= (others => '1'); + slaveinten <= (others => '0'); + divisor <= (others => '0'); + elsif (falling_edge(selected) and cpu_rnw = '0') then + --elsif (falling_edge(cpu_phi2) and selected='1' and cpu_rnw='0') then + case cpu_a is + when "00" => -- write SPI data out (see other process above) + spidataout <= int_din; + when "01" => -- write status register + cpha <= int_din(0); + cpol <= int_din(1); + ece <= int_din(2); + tmo <= int_din(3); + frx <= int_din(4); + -- no bit 5 + ier <= int_din(6); + -- no bit 7; + when "10" => -- write divisor + divisor <= int_din(DIV_WIDTH-1 downto 0); + when "11" => -- write slave select / slave interrupt enable + slavesel <= int_din(3 downto 0); + slaveinten <= int_din(7 downto 4); + when others => + end case; + end if; + end process; + +end Behavioral; + diff --git a/_ngo/netlist.lst b/_ngo/netlist.lst new file mode 100644 index 0000000..0956acd --- /dev/null +++ b/_ngo/netlist.lst @@ -0,0 +1,2 @@ +C:\sources\AppleIISd\spi6502b.ngc 1494084468 +OK diff --git a/_pace.ucf b/_pace.ucf new file mode 100644 index 0000000..add0940 --- /dev/null +++ b/_pace.ucf @@ -0,0 +1,41 @@ + +NET "cpu_Nphi2" loc="P5"; +NET "extclk" loc="P6"; +NET "cpu_rnw" loc="P7"; + +#net "diag" loc="P29"; + +NET "cpu_d<0>" loc="P2"; +NET "cpu_d<1>" loc="P3"; +NET "cpu_d<2>" loc="P4"; +NET "cpu_d<3>" loc="P8"; +NET "cpu_d<4>" loc="P9"; +NET "cpu_d<5>" loc="P11"; +NET "cpu_d<6>" loc="P12"; +NET "cpu_d<7>" loc="P13"; + +NET "cpu_Nirq" loc="P14"; +NET "Ncs2" loc="P18"; +NET "cs1" loc="P20"; +NET "cpu_Nres" loc="P19"; + +NET "cpu_a<0>" loc="P22"; +NET "cpu_a<1>" loc="P24"; + +NET "spi_int<0>" loc="P42"; +NET "spi_int<1>" loc="P40"; +NET "spi_int<2>" loc="P39"; +NET "spi_int<3>" loc="P1"; + +NET "spi_Nsel<0>" loc="P28"; +NET "spi_Nsel<1>" loc="P27"; +NET "spi_Nsel<2>" loc="P26"; +NET "spi_Nsel<3>" loc="P25"; + +NET "spi_sclk" loc="P34"; +NET "spi_mosi" loc="P35"; + +NET "spi_miso<0>" loc="P44"; +NET "spi_miso<1>" loc="P43"; +NET "spi_miso<2>" loc="P38"; +NET "spi_miso<3>" loc="P37"; diff --git a/spi65.cdf b/spi65.cdf new file mode 100644 index 0000000..d3ad07b --- /dev/null +++ b/spi65.cdf @@ -0,0 +1,16 @@ +JedecChain; +FileRevision(JESDxxA); +/* NoviceMode */ +/* Active Mode BS */ +/* Mode BS */ +/* Cable PlatformCableUSB usb21 6000000 */ + P ActionCode(Cfg) + Device + PartName(xc9572xl) + File("C:\sources\spi65\spi6502b.jed") + ; +/* Mode SS */ +/* Mode SM */ +/* Mode BSFILE */ +/* Mode HW140 */ +ChainEnd; diff --git a/spi65.dhp b/spi65.dhp new file mode 100644 index 0000000..769350c --- /dev/null +++ b/spi65.dhp @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.2e +$75x4>763-Xnzd}foo8#5+72(-k0=989971304g<9=<=5;=841c851011?9<;=o415567623:?k0=99:410;13g<9=3:94>855c851?381>?9564AsakJdkbj2KyoeAmjdaww<=Edfi`Xt~j9:ALIHOS\LN<7N\JAUGG<>EheyCeyo4Eovjp|Oi{}k0IczftxLbi`d@ndlYn~{k|a:KmgiscmFha:6B@AEGG<>Jffnjkhl4Lh`qewIido:=6Bfbscq}Vrf|lgnby}7;Lgpwdab{k1[mnengdLbi`?3Xj`dhfesd8WUO1=98I=#?!vif27>UWA??;>O?!1/tk`(77>890_]G9510A5+7)~an&==9>3:QSM337:K;%=#xgd,32045<[YC=9=2:QSM337:K;%=#xgd,1757=TX@<>.0,ula+4=880_]G9510A5+7)~an&8??=;RRJ2065J8$:"{fk-5126>UWA??;>O?!1/tk`(>59;1X\D8:03@2*4(q`m'3?;5\estfwg=Smz~]inz`rr`8PjvAaeoGe~zk;TqfWqgsmdoex~?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84Xe`\Ma773QnfS@oeosTfvvohf8:0TicPMhllvScu{`ee?6ocl`9aamgqafxj`h5meicumjtfd$;:>h5meicumjtfd$;9;h6ljh`tjkwgk%=2h7okgawklvdj*0m1iieoyinpbh(>?i2hbbiKathvzf>dnfmZjofohe59ja`ce3`dh`xjj-02;f>oikeoi ?>6c9jjfjrll':>9o4ioaoqac*;?30ecmcueg.0d=nfjf~hh#;4`9jjfjrll'3855`bkKm``~d3zid|hO}ciManf=tkfznJdbjOch`?vehxlFbbh@zm79pmhvkm11x|d8:03``?vvn><:9n ?>1b9ptl028;h&=<7l;rrj2065j$;9;o5|ph4647d*;0h0}g9510a)11f3zzb:8>=b,5a?vvn><:9n 68e:qw`Zjho`iT=<8;139ppaYkgnchSx`|iflf`2=r{l':<494urg.54603|yn!1~h#>2458qvc*9;<=7x}j-255?pub%:2=7x}j-575?pub%=<>7x}j-478qvc*>?1~h#7579vw`+?>tJK|>k4@Az7a?@=910:w^j54d82>454i9=96?o641ym70<63g9=6?5+35807>{Tk3>n6<4>32c337<5i0>:7^?8:5g95?74;h:<>7=n06096dbe>2Y:;7:j:08276g7?;09mihn;Ra90`<62898m=9=:3cf2d=T9>0?i7?5121b4fg=:j8>m6]l:5g95?74;h:hm747a:>1Xo7:j:08276g7kk0:>=ll;R34>1c=93;8?l>kb;3gffc<[j0?i7?5121b4ad=9lk;96]>7;6f>4<6;:k:><4=a31a?Ve=7g5<81X=:4;e;39565f9l31>9l:1:Q`>1c=93;8?l?j9;07a0?<[8=18h4>:010e4cf28=;;k5\c;6f>4<6;:k:il4>9660?V702=o1=7?<3`3fe?7>j990_n4;e;39565f9lk1=4l>5:Q23?2b280:?>m9a681`5cf3Zi18h4>:010g3g02;n>585\1687a?7=9:9h;=o5246b6>Ud2=o1=7?<3b53e?420h9k50;395~Uc2=o1=7?<3`246?4f1=:0b9o5819L74<73F;86=5yF3794?7=93:p_i4;e;39565d?9k1>8:n2:l255;2xj1b=92di6<5@2g83?!7e291/=?4=0:&20?6<,8o1<6*>d;:8K47=82E:97>4O5a95~{e<<0;6=4?:1ym0a5}i94O5394>I3k3;pqB?9:0y~yg3b290;6=4?{o6g>742=80(4O5a95~{H9?0:wpsm5383>5<729qe8i4=e:la>7=H:o0;7)??:5d8Kd<73F>h650;2xj1b=">2:20(>>50:&24?2a3Fo1<6Ai:19L05<73F>h6o4>f:M1g?433F9;6j5369~DE \ No newline at end of file diff --git a/spi65.npl b/spi65.npl new file mode 100644 index 0000000..9c31b13 --- /dev/null +++ b/spi65.npl @@ -0,0 +1,26 @@ +JDF G +// Created by Project Navigator ver 1.0 +PROJECT spi65 +DESIGN spi65 +DEVFAM xc9500xl +DEVFAMTIME 0 +DEVICE xc9572xl +DEVICETIME 1468568184 +DEVPKG PC44 +DEVPKGTIME 1475334247 +DEVSPEED -10 +DEVSPEEDTIME 1469967516 +DEVTOPLEVELMODULETYPE HDL +TOPLEVELMODULETYPETIME 0 +DEVSYNTHESISTOOL XST (VHDL/Verilog) +SYNTHESISTOOLTIME 0 +DEVSIMULATOR Other +SIMULATORTIME 0 +DEVGENERATEDSIMULATIONMODEL VHDL +GENERATEDSIMULATIONMODELTIME 0 +SOURCE SPI6502B1.1.vhd +DEPASSOC spi6502b SPI6502B.ucf +[STATUS-ALL] +spi6502b.ngcFile=WARNINGS,1494084467 +[STRATEGY-LIST] +Normal=True diff --git a/spi6502b._hrpt b/spi6502b._hrpt new file mode 100644 index 0000000..804880a --- /dev/null +++ b/spi6502b._hrpt @@ -0,0 +1 @@ +Up-to-date diff --git a/spi6502b.bld b/spi6502b.bld new file mode 100644 index 0000000..28cca87 --- /dev/null +++ b/spi6502b.bld @@ -0,0 +1,23 @@ +Release - ngdbuild G.38 +Copyright (c) 1995-2004 Xilinx, Inc. All rights reserved. + +Command Line: ngdbuild -dd _ngo -uc SPI6502B.ucf -p xc9500xl spi6502b.ngc +spi6502b.ngd + +Reading NGO file "C:/sources/AppleIISd/spi6502b.ngc" ... +Reading component libraries for design expansion... + +Annotating constraints to design from file "SPI6502B.ucf" ... + +Checking timing specifications ... +Checking expanded design ... + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Total memory usage is 58840 kilobytes + +Writing NGD file "spi6502b.ngd" ... + +Writing NGDBUILD log file "spi6502b.bld"... diff --git a/spi6502b.gyd b/spi6502b.gyd new file mode 100644 index 0000000..6f7ccb8 --- /dev/null +++ b/spi6502b.gyd @@ -0,0 +1,58 @@ +Pin Freeze File: version G.38 + +9572XL44PC XC9572XL-10-PC44 +Ncs2 S:PIN18 +cpu_Nphi2 S:PIN5 +cpu_Nres S:PIN19 +cpu_a<0> S:PIN22 +cpu_a<1> S:PIN24 +cpu_rnw S:PIN7 +cs1 S:PIN20 +extclk S:PIN6 +spi_int<0> S:PIN42 +spi_int<1> S:PIN40 +spi_int<2> S:PIN39 +spi_int<3> S:PIN1 +spi_miso<0> S:PIN44 +spi_miso<1> S:PIN43 +spi_miso<2> S:PIN38 +spi_miso<3> S:PIN37 +cpu_Nirq S:PIN14 +diag S:PIN29 +cpu_d<0> S:PIN2 +cpu_d<1> S:PIN3 +cpu_d<2> S:PIN4 +cpu_d<3> S:PIN8 +cpu_d<4> S:PIN9 +cpu_d<5> S:PIN11 +cpu_d<6> S:PIN12 +cpu_d<7> S:PIN13 +spi_mosi S:PIN35 +spi_sclk S:PIN34 +spi_Nsel<0> S:PIN28 +spi_Nsel<1> S:PIN27 +spi_Nsel<2> S:PIN26 +spi_Nsel<3> S:PIN25 + + +;The remaining section of the .gyd file is for documentation purposes only. +;It shows where your internal equations were placed in the last successful fit. + +PARTITION FB1_1 spidataout<3> spidataout<2> spidataout<1> spidataout<0> + int_dout<0> int_dout<1> tmo int_dout<2> + slaveinten<0> frx ece divisor<2> + divisor<1> divisor<0> int_dout<3> cpol + int_dout<4> cpha +PARTITION FB2_1 start_shifting/start_shifting_RSTF__$INT int_mosi EXP6_ + +PARTITION FB3_1 shifting2 int_dout<5> shiftdone $OpTx$INV$22__$INT + int_dout<6> start_shifting spidatain<7> int_dout<7> + cpu_Nirq_OBUFE spidatain<6> spidatain<5> spidatain<4> + spidatain<3> spidatain<2> spidatain<1> shiftcnt<3> + shiftcnt<2> cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST +PARTITION FB4_1 tc slavesel<3> shiftcnt<0> spidataout<7> + slavesel<2> spidataout<6> spidataout<5> slavesel<1> + spidataout<4> shiftcnt<1> slavesel<0> slaveinten<3> + slaveinten<2> diag_OBUF slaveinten<1> ier + int_sclk spidatain<0> + diff --git a/spi6502b.imp b/spi6502b.imp new file mode 100644 index 0000000..804880a --- /dev/null +++ b/spi6502b.imp @@ -0,0 +1 @@ +Up-to-date diff --git a/spi6502b.jed b/spi6502b.jed new file mode 100644 index 0000000..7aa95a8 --- /dev/null +++ b/spi6502b.jed @@ -0,0 +1,1666 @@ +Programmer Jedec Bit Map +Date Extracted: Sat May 06 17:27:53 2017 + +QF46656* +QP44* +QV0* +F0* +X0* +J0 0* +N DEVICE XC9572XL-10-PC44* +N PPMAP 11 1* +N PPMAP 29 11* +N PPMAP 31 12* +N PPMAP 33 13* +N PPMAP 38 14* +N PPMAP 46 18* +N PPMAP 49 19* +N PPMAP 12 2* +N PPMAP 50 20* +N PPMAP 52 22* +N PPMAP 59 24* +N PPMAP 62 25* +N PPMAP 63 26* +N PPMAP 65 27* +N PPMAP 68 28* +N PPMAP 72 29* +N PPMAP 13 3* +N PPMAP 82 33* +N PPMAP 83 34* +N PPMAP 87 35* +N PPMAP 88 36* +N PPMAP 89 37* +N PPMAP 90 38* +N PPMAP 92 39* +N PPMAP 15 4* +N PPMAP 3 40* +N PPMAP 7 42* +N PPMAP 9 43* +N PPMAP 10 44* +N PPMAP 20 5* +N PPMAP 21 6* +N PPMAP 24 7* +N PPMAP 26 8* +N PPMAP 27 9* +L0000000 00000000 00000000 00000000 00000000* +L0000032 10000000 00000000 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000* +L0000096 00000000 00000000 00000000 00000000* +L0000128 00000000 00000000 00000000 00000000* +L0000160 00000000 00000000 00000000 00001000* +L0000192 00000000 00000000 00000000 00000000* +L0000224 00000000 00000000 00000000 00000000* +L0000256 00000000 00000000 00000000 00000000* +L0000288 000000 000000 000000 000000* +L0000312 000000 000000 000000 000000* +L0000336 000000 000000 000000 000000* +L0000360 000000 000000 010000 000000* +L0000384 000000 000000 000000 000000* +L0000408 000000 000000 000000 000000* +L0000432 00000000 00000000 00000000 00000000* +L0000464 00000000 00000000 00000000 00010000* +L0000496 10000000 00000000 00000000 00000000* +L0000528 00000000 00000000 00000000 00010000* +L0000560 00000000 00000000 00000000 00000100* +L0000592 00000000 00000000 00000000 00000000* +L0000624 00000000 00000000 00000000 00000100* +L0000656 00000000 00000000 00000000 00000000* +L0000688 00000000 00000000 00000000 00000000* +L0000720 000000 000000 000000 000000* +L0000744 000000 000000 000000 000000* +L0000768 000000 000000 000000 100000* +L0000792 000000 000000 000000 000000* +L0000816 000000 000000 000000 000001* +L0000840 000000 000000 000000 000000* +L0000864 00000000 00000000 00000000 00000000* +L0000896 00000000 00000000 00000000 00000000* +L0000928 00000000 00000000 00000000 00000000* +L0000960 00000000 00000000 00000000 00000000* +L0000992 00000000 00000000 00000000 00000000* +L0001024 00000000 00000000 00000000 00000000* +L0001056 10000000 00000000 00000000 10000000* +L0001088 00000000 00000000 00000000 00000000* +L0001120 00000000 00000000 00000000 00000000* +L0001152 000000 000000 000000 000000* +L0001176 000000 000000 000000 000000* +L0001200 000000 000000 000000 000000* +L0001224 000000 000000 000000 000000* +L0001248 000000 000000 000000 000000* +L0001272 000000 000000 000000 000001* +L0001296 00000000 00000000 00000000 00000000* +L0001328 00000000 00000000 00000000 00000000* +L0001360 00000000 00000000 00000000 00000000* +L0001392 00000000 00000000 00000000 00000000* +L0001424 00000000 00000000 00000000 00000000* +L0001456 00000000 00000000 00000000 00000000* +L0001488 00000000 00000000 00000000 00000000* +L0001520 10000000 00000000 00000000 00000000* +L0001552 00000000 00000000 00000000 00000000* +L0001584 000000 000000 000000 100000* +L0001608 000000 000000 000000 000000* +L0001632 000000 000000 000000 000000* +L0001656 000000 000000 000000 000000* +L0001680 000000 000000 000000 000000* +L0001704 000000 000000 000000 000000* +L0001728 00000000 00000000 00000000 00000000* +L0001760 00000000 00000000 00000000 00000000* +L0001792 00000000 00000000 10000000 00000000* +L0001824 00000000 00000000 00000000 00000000* +L0001856 00000000 00000000 00000000 00000100* +L0001888 00000000 00000000 00000000 00000000* +L0001920 00000000 00000000 00000000 00000100* +L0001952 00000000 00000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000* +L0002016 000000 000000 000000 000000* +L0002040 000000 000000 000000 000000* +L0002064 000000 000000 000000 000000* +L0002088 100000 000000 000001 000000* +L0002112 000000 000000 000000 000000* +L0002136 000000 000000 000000 000000* +L0002160 00000000 00000000 00000000 00000000* +L0002192 00000000 00000000 00000000 00000000* +L0002224 00000000 00000000 00000000 00000000* +L0002256 00000000 00000000 00000000 10000000* +L0002288 00000000 00000000 00000000 00000000* +L0002320 00000000 00000000 00000000 00000000* +L0002352 00000000 00000000 00000000 00000000* +L0002384 00000000 00000000 00000000 00000000* +L0002416 00000000 00000000 00000000 00000000* +L0002448 000000 000000 000000 000000* +L0002472 000000 000000 000000 000000* +L0002496 100000 000000 000000 000000* +L0002520 000000 000000 000000 000000* +L0002544 000000 000000 000000 000000* +L0002568 000000 000000 000000 000000* +L0002592 00000010 00000000 10111100 00000000* +L0002624 01000011 00000000 00000000 01000000* +L0002656 00000000 00000000 00000000 00000000* +L0002688 00000011 00000000 00000000 00000000* +L0002720 00000010 00000000 00000000 00000000* +L0002752 00000011 10000000 00011100 00000000* +L0002784 00000000 00000000 00000000 00000000* +L0002816 00000000 00000000 00000000 00000000* +L0002848 00000000 00000000 00000000 00000000* +L0002880 000000 000000 000000 000000* +L0002904 000000 000000 100110 000000* +L0002928 000000 000000 000000 000000* +L0002952 000000 000000 000000 000000* +L0002976 000000 000000 000000 000000* +L0003000 000000 000000 000000 000000* +L0003024 00000010 00000000 00000000 00000000* +L0003056 00000001 00000000 00000000 00000000* +L0003088 01000001 00000000 00000000 01000000* +L0003120 00000010 00000000 00000000 00000000* +L0003152 00000000 00000000 00000000 00000000* +L0003184 00000011 00000000 00000000 00000000* +L0003216 00000010 00000000 00000000 00000000* +L0003248 00000001 00000000 00000000 00000000* +L0003280 00000000 00000000 00000000 00000000* +L0003312 000000 000000 000000 000000* +L0003336 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000* +L0003384 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000* +L0003432 000000 000000 000000 000000* +L0003456 00000000 00000000 00000000 00000000* +L0003488 00100000 00000000 00000000 00000000* +L0003520 10000000 00000000 00000000 00000000* +L0003552 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000* +L0003616 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 01000000* +L0003680 00000000 00000000 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000* +L0003744 000000 000000 000000 000000* +L0003768 000000 000000 000000 000000* +L0003792 000000 000000 000000 000001* +L0003816 000000 000000 000000 000000* +L0003840 000000 000000 000000 000000* +L0003864 000000 000000 000000 000000* +L0003888 00000000 00000000 00000000 00000000* +L0003920 10000000 00000000 00000000 00000000* +L0003952 00000000 00000000 00000000 00000000* +L0003984 00000000 00000000 00000000 00000000* +L0004016 00100000 00000000 00000000 00000000* +L0004048 00000000 00000000 00000000 00000000* +L0004080 00000000 00000000 00000000 00000000* +L0004112 00000000 00000000 00000000 00000000* +L0004144 00000000 00000000 00000000 00000000* +L0004176 000000 000000 000000 010000* +L0004200 000000 000000 000000 000000* +L0004224 000000 000000 000000 000000* +L0004248 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000* +L0004296 000000 000000 000000 000000* +L0004320 11111100 00000000 01000000 00000000* +L0004352 00000000 00000000 00000000 00000000* +L0004384 00000000 00000000 00000000 00000000* +L0004416 00000000 00000000 00000000 00000000* +L0004448 00000000 00000000 00000000 00000000* +L0004480 11111100 00000000 00000000 00000000* +L0004512 01100100 00000000 00000000 00000000* +L0004544 01100100 00000000 00000000 00000000* +L0004576 01100100 00000000 00000000 00000000* +L0004608 011001 000000 000000 000000* +L0004632 111111 000000 000000 000000* +L0004656 010010 000000 010000 010000* +L0004680 010010 000000 000000 000000* +L0004704 010010 000000 010000 000000* +L0004728 010000 000000 000000 000000* +L0004752 00000000 00000000 00000000 00000000* +L0004784 00000000 00000000 10000000 00000000* +L0004816 00000000 00000000 00000000 00000000* +L0004848 00000000 00000000 00000000 00000000* +L0004880 00000000 00000000 00000000 00000000* +L0004912 00000000 00000000 00000000 00000000* +L0004944 00000000 00000000 00000000 00000000* +L0004976 00000000 00000000 00000000 00000000* +L0005008 00000000 00000000 10000000 00000000* +L0005040 000000 000000 000000 000000* +L0005064 000000 000000 000000 000000* +L0005088 000000 000000 000000 000000* +L0005112 000000 000000 000000 010000* +L0005136 000000 000000 000000 000000* +L0005160 000000 000000 000000 000000* +L0005184 00000011 00000010 00000011 00000011* +L0005216 00000011 00000010 00000011 00000011* +L0005248 00000011 00000000 00000011 00100011* +L0005280 00000011 00000000 00000011 00000011* +L0005312 00100011 00000000 00000011 00000011* +L0005344 00000011 00000000 00000011 00000011* +L0005376 00000011 00000000 00000011 00000011* +L0005408 00000011 00000000 00000011 00000011* +L0005440 00000011 00000000 00000001 00000011* +L0005472 000000 000000 000000 000000* +L0005496 000000 000000 000000 000000* +L0005520 000000 000000 000000 000000* +L0005544 000000 000000 000000 000000* +L0005568 000000 000000 000000 000000* +L0005592 000000 000000 000000 000000* +L0005616 00000011 00000000 00000011 00000011* +L0005648 00100011 00000010 00000001 00100011* +L0005680 00000011 00000010 00000011 00000011* +L0005712 00000011 00000000 00000001 00000011* +L0005744 00000001 00000000 00000001 00000010* +L0005776 00000000 00000000 00000011 00000011* +L0005808 00000011 00000000 00000011 00000011* +L0005840 00000000 00000000 00000001 00000011* +L0005872 00000011 00000000 00000000 00000011* +L0005904 000000 000000 001000 000000* +L0005928 000010 000000 000000 000000* +L0005952 000000 000000 000000 000000* +L0005976 000000 000000 000000 000000* +L0006000 000000 000000 000000 000000* +L0006024 000000 000000 000000 000000* +L0006048 00000011 00000000 00000011 00000001* +L0006080 00000011 00000010 00000011 00000011* +L0006112 00000011 00000000 00000011 00000011* +L0006144 00000011 00000000 00000011 00000011* +L0006176 00000011 00000000 00000011 10000010* +L0006208 00000011 00000000 00000011 00000011* +L0006240 00000011 00000000 00000011 00100011* +L0006272 00000011 00000000 00000011 00000011* +L0006304 00000011 00000000 00000011 00000011* +L0006336 000000 000000 000000 000000* +L0006360 000000 000000 000000 000000* +L0006384 000000 000000 000000 000000* +L0006408 000000 000000 000000 000000* +L0006432 000000 000000 000000 000000* +L0006456 000000 000000 000000 000000* +L0006480 00000000 00000010 00000000 00000010* +L0006512 00000000 00000010 00000010 00000000* +L0006544 00000000 00000010 00000000 00000000* +L0006576 00000000 00000000 00000000 00000000* +L0006608 00000010 00000000 00000010 00000001* +L0006640 00000011 00000000 00000000 00000000* +L0006672 00000000 00000000 00000000 00000000* +L0006704 00000011 00000000 00000010 00000000* +L0006736 00000000 00000000 00000010 00000000* +L0006768 000000 000000 000000 001000* +L0006792 000000 000000 000000 000000* +L0006816 000000 000000 000000 000000* +L0006840 000000 000000 000000 000000* +L0006864 000000 000000 000000 000000* +L0006888 000000 000000 000000 000000* +L0006912 00000011 00000000 00000001 00000011* +L0006944 00000011 00000010 00000011 00000011* +L0006976 00000011 00000000 00000011 00000011* +L0007008 00000011 00000000 00000011 00000011* +L0007040 00000011 00000000 00000011 00000010* +L0007072 00000011 00000000 00000011 00000011* +L0007104 00000011 00000000 00000011 00000011* +L0007136 00000111 00000000 00000011 00000011* +L0007168 00000011 00000000 00000001 00000011* +L0007200 000000 000000 000000 000000* +L0007224 000000 000000 000000 000000* +L0007248 000000 000000 000000 001000* +L0007272 000000 100000 000000 000000* +L0007296 000000 000000 000000 000000* +L0007320 000000 000000 000000 000000* +L0007344 00000001 00000010 00000011 00000011* +L0007376 00000001 00000000 00000001 00000011* +L0007408 00000001 00000010 00000011 00000011* +L0007440 00000001 00000000 00000001 00000001* +L0007472 00000001 00000000 00000001 00000010* +L0007504 00000000 00000000 00000011 00000001* +L0007536 00000011 00000000 00000011 00000001* +L0007568 00000000 00000000 00000001 00000011* +L0007600 00000011 00000000 00000000 00000001* +L0007632 000000 000000 000000 000000* +L0007656 000000 000000 000000 000000* +L0007680 000000 000000 000001 000000* +L0007704 000000 000000 000000 001000* +L0007728 000000 000000 000000 000000* +L0007752 000000 000000 000000 000000* +L0007776 00000011 00000000 00000001 00000011* +L0007808 00000011 00000010 00000011 00000011* +L0007840 00000011 00000000 00000001 00000001* +L0007872 00000011 00000000 00000001 00010011* +L0007904 00000011 00000000 00000011 00000010* +L0007936 00000011 00000000 00000011 00000011* +L0007968 00000011 00000000 00000011 00000011* +L0008000 00000011 00000000 00000011 00000011* +L0008032 00000011 00000000 00000001 00000011* +L0008064 000000 000000 000000 000000* +L0008088 000000 000000 000000 000000* +L0008112 000000 000000 000000 000000* +L0008136 000000 000000 000000 000000* +L0008160 000000 000000 000000 000000* +L0008184 000000 000000 000000 000000* +L0008208 00000011 00000000 00000001 00000010* +L0008240 00000011 00000010 00000001 00010011* +L0008272 00000011 00000010 00000001 00000001* +L0008304 00000011 00000000 00000001 00000011* +L0008336 00000001 00000000 00000001 00000010* +L0008368 00000000 00000000 00000001 00000011* +L0008400 00000011 00000000 00000010 00000011* +L0008432 00000000 00000000 00000000 00000011* +L0008464 00000011 00000000 00000000 00000011* +L0008496 000000 000000 000000 000000* +L0008520 000000 000000 000000 000000* +L0008544 000000 000000 000000 000000* +L0008568 000000 000000 000000 000000* +L0008592 000000 000000 010000 000000* +L0008616 000000 000000 000000 000000* +L0008640 00000001 00000000 00000000 00000000* +L0008672 00000001 00000010 00000010 10000011* +L0008704 00000001 00000000 01000000 00000001* +L0008736 00000001 00000000 00000000 00000001* +L0008768 00000011 00000000 00000010 00000010* +L0008800 00000010 00000000 00000000 00000000* +L0008832 00000011 00000000 00000000 00010000* +L0008864 00000011 00000000 00000010 00000011* +L0008896 00000011 00000000 00000001 00000001* +L0008928 000000 000000 000000 000000* +L0008952 000000 000000 000000 000000* +L0008976 000000 000000 000000 000000* +L0009000 000000 000000 000000 000000* +L0009024 000000 000000 000000 000000* +L0009048 000000 000000 000000 000000* +L0009072 00000000 00000000 00000000 00000010* +L0009104 00000000 00000000 01000000 00000000* +L0009136 00000000 00000000 00000000 00000000* +L0009168 00000000 00000000 00000000 00000000* +L0009200 00000000 00000000 00000000 00000000* +L0009232 01000000 00000000 00000000 00001001* +L0009264 00000000 00000000 00000000 00000001* +L0009296 00000000 00000000 00000000 00000001* +L0009328 00000000 00000000 00000000 00000000* +L0009360 000000 000000 000000 000100* +L0009384 000000 000000 000000 000000* +L0009408 000000 000000 000000 000000* +L0009432 000000 000000 000000 000000* +L0009456 000000 000000 000000 000000* +L0009480 000000 000000 000000 000000* +L0009504 00000000 00000000 00000000 00000000* +L0009536 00000000 00000010 00000000 00000000* +L0009568 00000000 00000000 00000000 00000000* +L0009600 00000000 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 00000000* +L0009664 00000000 00000000 00000000 00000000* +L0009696 00000000 00000000 00000000 00000000* +L0009728 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00000000 00000000* +L0009792 000000 000000 000000 000000* +L0009816 000000 000000 000000 000000* +L0009840 000100 000000 000000 000100* +L0009864 000000 000000 000000 000000* +L0009888 000000 000000 000000 000000* +L0009912 000000 000000 000000 000000* +L0009936 00000000 00000000 00000000 00000000* +L0009968 00000000 00000010 00000010 00000000* +L0010000 00000000 00000000 00000000 00000000* +L0010032 00000000 00000000 00000000 00000000* +L0010064 00000000 00000000 00000000 00000000* +L0010096 00000000 00000000 00000000 00000001* +L0010128 00000000 00000000 00010000 00000001* +L0010160 00100000 00000000 00000000 00000001* +L0010192 00000000 00000000 00000000 00000000* +L0010224 000000 000000 000000 000000* +L0010248 000000 000000 000000 000000* +L0010272 000000 000000 000000 000000* +L0010296 000000 000000 000000 000000* +L0010320 000000 000000 000000 000000* +L0010344 000100 000000 000000 000100* +L0010368 00000000 00000000 00000000 00000000* +L0010400 00001000 00000010 00000000 00001000* +L0010432 00000000 00000000 01000000 00000000* +L0010464 00000000 00000000 00000000 00000000* +L0010496 00000000 00000000 00000000 00000000* +L0010528 00000000 00000000 00000000 00000000* +L0010560 00000000 00000000 00000000 00000000* +L0010592 00000000 00000000 00000000 00000000* +L0010624 00000000 00000000 00000000 00000001* +L0010656 000000 000000 000000 000000* +L0010680 000000 000000 000000 000000* +L0010704 000000 000000 000000 000000* +L0010728 000000 000000 000000 000000* +L0010752 000000 000000 000000 000000* +L0010776 000000 000000 000000 000000* +L0010800 00000000 00000000 00000000 00000010* +L0010832 00000000 00000000 00000000 00000000* +L0010864 00000000 00000010 10000000 00000000* +L0010896 00000000 00000000 00000000 00000000* +L0010928 00001000 00000000 00000000 00001000* +L0010960 00000000 00000000 11100000 00000000* +L0010992 00000000 00000000 11100000 00000000* +L0011024 00000000 00000000 11100000 00000000* +L0011056 00000000 00000000 11100000 00000000* +L0011088 000000 000000 111000 000000* +L0011112 000000 000000 000000 000000* +L0011136 000000 000000 000000 000000* +L0011160 010000 000000 000000 000000* +L0011184 000000 000000 000000 000000* +L0011208 000000 000000 000000 000000* +L0011232 00000011 00000010 00000011 00000011* +L0011264 00000011 00000010 00000011 01100011* +L0011296 00000011 00000000 00000011 11100011* +L0011328 00000011 00000000 00000011 00000011* +L0011360 00000011 00000000 00000011 00000011* +L0011392 00000011 00000000 00000011 00000011* +L0011424 00001011 00000000 00000011 00000011* +L0011456 00000011 00000000 00000011 00000011* +L0011488 00000011 00000000 00000011 00000011* +L0011520 000000 000000 000000 000000* +L0011544 000000 000000 000000 000000* +L0011568 000000 000000 000000 011000* +L0011592 000000 000000 000000 011000* +L0011616 000000 000000 000000 000000* +L0011640 000000 000000 000000 000000* +L0011664 00000000 00000000 00000000 00000000* +L0011696 00000000 00000000 00001000 00001100* +L0011728 00000000 00000000 00000000 00000000* +L0011760 00000000 00000000 00000000 00000000* +L0011792 00000000 00000000 00000000 00001000* +L0011824 00000000 00000000 00000000 00000000* +L0011856 00000000 00000000 00000000 11111000* +L0011888 01000000 00000000 00000000 00000000* +L0011920 00000000 00000000 00000000 00000000* +L0011952 000010 000000 000000 111100* +L0011976 000000 000000 000000 000000* +L0012000 000000 000000 000000 000110* +L0012024 000000 000000 000000 000000* +L0012048 000000 000000 000000 000000* +L0012072 000000 000000 000000 000110* +L0012096 00000000 00000000 00000000 00000000* +L0012128 00000000 00000000 00000000 00000000* +L0012160 00000000 00000000 00000000 00000000* +L0012192 00000000 00000000 00000000 00000000* +L0012224 00000000 00000000 00000000 00000000* +L0012256 00000000 00000000 00000000 00000000* +L0012288 00000000 00000000 00000000 00000000* +L0012320 00000000 00000000 00000000 00000000* +L0012352 00000000 00000000 00000000 00000000* +L0012384 000000 000000 000000 000000* +L0012408 000000 000000 000000 000000* +L0012432 000000 000000 000000 000010* +L0012456 000000 000000 000000 000000* +L0012480 000000 000000 000000 000000* +L0012504 000000 000000 000000 000000* +L0012528 00000000 00000000 00000000 00000000* +L0012560 00000000 00000000 00000000 00000000* +L0012592 00000000 00000000 00000000 00000000* +L0012624 00000000 00000000 00000000 00000000* +L0012656 00000000 00000000 00000000 00000000* +L0012688 00000000 00000000 00000000 00000000* +L0012720 00000000 00000000 00001000 00001000* +L0012752 00000000 00000000 00000000 00000000* +L0012784 00000000 00000000 00000000 00000000* +L0012816 000000 000000 000000 000000* +L0012840 000000 000000 000000 000000* +L0012864 000000 000000 000000 000000* +L0012888 000000 000000 000000 000000* +L0012912 000000 000000 000000 000000* +L0012936 000000 000000 000000 000000* +L0012960 00000000 00000000 00000000 00000000* +L0012992 00000000 00000000 00000000 00000011* +L0013024 00000000 00000000 00000000 00000000* +L0013056 00000000 00000000 00000000 00000000* +L0013088 00000000 00000000 00000000 00000011* +L0013120 00000000 00000000 00000000 00000000* +L0013152 00000000 00000000 00000000 00000000* +L0013184 00000000 00000000 00000000 00000111* +L0013216 00000000 00000000 00000000 00000000* +L0013248 000000 000000 000000 000000* +L0013272 000000 000000 000000 000000* +L0013296 000000 000000 000000 000000* +L0013320 000000 000000 000000 000000* +L0013344 000000 000000 000000 000000* +L0013368 000000 000000 000000 000000* +L0013392 11111100 00000000 00000000 00000000* +L0013424 00000000 00000000 00000100 00000000* +L0013456 00000000 00000000 00000000 00000000* +L0013488 00000000 00000000 00000000 00000000* +L0013520 00000000 00000000 00000000 00000000* +L0013552 11111100 00000000 00000000 00000000* +L0013584 01100100 00000000 00000000 00000000* +L0013616 01100100 00000000 00000000 00000000* +L0013648 01100100 00000000 00000000 00000100* +L0013680 011001 000000 000000 000001* +L0013704 111111 000000 000000 000000* +L0013728 010010 000000 100000 000000* +L0013752 010010 000000 000000 000000* +L0013776 010010 000000 000000 000000* +L0013800 010000 000000 000000 000000* +L0013824 00000000 00000010 00000000 00000000* +L0013856 00000000 10000000 00000010 00000000* +L0013888 00000000 10000000 00000000 01000000* +L0013920 00000000 00000000 00000010 00000000* +L0013952 00000010 00000000 00000010 00000001* +L0013984 00000011 00000000 00000000 00000000* +L0014016 00000000 00000000 00000000 00000000* +L0014048 00000011 00000000 00000010 00000000* +L0014080 00000000 00000000 00000011 00000000* +L0014112 000000 000000 000000 000000* +L0014136 000000 000000 000000 000000* +L0014160 000000 100000 000000 000000* +L0014184 000000 000000 000000 000000* +L0014208 000000 100000 000000 000000* +L0014232 000000 000000 000000 000100* +L0014256 00000011 00000000 00000011 00000011* +L0014288 00000011 00000010 00000001 01000011* +L0014320 00000011 00000000 00000011 00000011* +L0014352 00000011 00000000 00000101 00000011* +L0014384 00000001 00000000 00000001 00000010* +L0014416 00000000 00000000 00000011 00000011* +L0014448 00000011 00000000 00000111 00000011* +L0014480 00000000 10000000 00000001 00000011* +L0014512 00000011 00000000 00000000 00000011* +L0014544 000000 100000 000000 000000* +L0014568 000000 100000 000000 000000* +L0014592 000000 000000 100000 000100* +L0014616 000000 100000 000000 000000* +L0014640 000000 000000 000000 000000* +L0014664 000000 000000 000000 000000* +L0014688 00000011 00000000 00000011 00000011* +L0014720 00000011 00000010 00000001 00000011* +L0014752 00000011 00000000 00000011 00011111* +L0014784 00000011 00000000 00000001 00000011* +L0014816 00000001 00000000 00000001 00000010* +L0014848 00000000 00000000 00000011 00000011* +L0014880 00000011 00000000 00000011 00000011* +L0014912 00000000 00000000 00000001 00000111* +L0014944 00000011 10000000 00000000 11110111* +L0014976 000000 000000 000000 000000* +L0015000 000000 000000 000000 000000* +L0015024 000000 000000 000000 000000* +L0015048 000000 000000 000000 100111* +L0015072 000000 000000 000000 000000* +L0015096 000000 000000 000000 000000* +L0015120 00000011 10000000 00000000 00000010* +L0015152 00000011 00000000 00000000 00000011* +L0015184 00000011 00000000 00000000 00000001* +L0015216 00000011 00000000 00000000 01100011* +L0015248 00000001 00000000 00000000 00000110* +L0015280 00000000 00000000 00000010 00000011* +L0015312 00000011 00000000 00000000 00000111* +L0015344 00000000 00000000 00000000 00000010* +L0015376 00000011 00000000 00000000 00000010* +L0015408 010000 000000 000000 000000* +L0015432 000000 000000 000000 000000* +L0015456 000000 000000 001000 000000* +L0015480 000000 000000 000000 000000* +L0015504 000000 000000 000000 011000* +L0015528 000000 000000 000000 000000* +L0015552 00000000 00000000 00000000 00000010* +L0015584 00000000 00000000 00000000 01100111* +L0015616 00000000 00000000 00000000 11100000* +L0015648 00000000 00000000 00000000 00000000* +L0015680 00000000 00000000 00000000 00000010* +L0015712 00000000 00000000 00000000 00000000* +L0015744 00000000 00000000 00000000 00000000* +L0015776 00000000 00000000 00000000 00000010* +L0015808 00000000 00000000 00000000 00000000* +L0015840 000000 000000 000000 000000* +L0015864 000000 000000 000000 000000* +L0015888 000000 000000 000000 011000* +L0015912 000000 000000 000000 011000* +L0015936 000000 000000 000000 000000* +L0015960 000000 000000 000000 000010* +L0015984 00000011 00000000 00000001 00000000* +L0016016 00000011 00000000 00000001 00001000* +L0016048 00000011 00000000 00000001 00000001* +L0016080 00000011 00000000 00000001 00000011* +L0016112 00000001 00000000 00000001 00001000* +L0016144 00000000 00000000 00000001 00000011* +L0016176 00000011 00000000 00000010 11111011* +L0016208 00000000 00000000 00000000 00000000* +L0016240 00000011 00000000 00000000 00000011* +L0016272 000000 000000 000000 111100* +L0016296 000000 000000 000000 000000* +L0016320 000000 000000 000000 000110* +L0016344 000010 000000 000000 000000* +L0016368 000000 000000 000000 000000* +L0016392 000000 000000 000000 000100* +L0016416 00000000 00000000 00000000 00000000* +L0016448 00000000 00000000 00000000 00000000* +L0016480 00000000 00000000 00000000 00000000* +L0016512 00000000 00000000 00000000 00000000* +L0016544 00000000 00000000 00000000 00000000* +L0016576 00000000 00000000 00000000 00000000* +L0016608 00000000 00000000 00000000 00000000* +L0016640 00000000 00000000 00000000 00000000* +L0016672 00000000 00000000 00000000 00000000* +L0016704 000000 000000 000000 000000* +L0016728 000000 000000 000000 000000* +L0016752 000000 000000 000000 000000* +L0016776 000000 000000 000000 000000* +L0016800 000000 000000 000000 000000* +L0016824 000000 000000 000000 000000* +L0016848 00000011 00000000 00000000 00000000* +L0016880 00000011 00000000 00000000 00000011* +L0016912 00000011 00000000 00000000 00000001* +L0016944 00000011 00000000 00000000 00000011* +L0016976 00000001 00000000 00000000 00000010* +L0017008 00000000 00000000 00000010 00000011* +L0017040 00000011 00000000 00000001 00000011* +L0017072 00000000 00000000 00000001 00000010* +L0017104 00000011 00000000 00000000 00000010* +L0017136 000000 000000 000000 000000* +L0017160 000000 000000 000000 000000* +L0017184 000000 000000 000000 000000* +L0017208 000000 000000 000000 000000* +L0017232 000000 000000 000000 000000* +L0017256 000000 000000 000000 000000* +L0017280 00000000 00000000 00000000 00000000* +L0017312 00000000 00000000 00000000 00010000* +L0017344 00000000 00000000 00000000 00000000* +L0017376 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000100* +L0017440 00000000 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000* +L0017504 00000000 00000000 00000000 00000000* +L0017536 00000000 00000000 00000000 00000000* +L0017568 000000 000000 000000 000000* +L0017592 000000 000000 000000 000000* +L0017616 000000 000000 000000 100000* +L0017640 000000 000000 000000 000000* +L0017664 000000 000000 000000 000000* +L0017688 000000 000000 000000 000000* +L0017712 00000000 00000000 00000000 00000000* +L0017744 00000000 00000000 00000000 00000000* +L0017776 00000000 00000000 00000000 00000000* +L0017808 00000000 00000000 00111100 00010000* +L0017840 00000000 00000000 00000000 00000000* +L0017872 00000000 00000000 00000000 00000000* +L0017904 00000000 00000000 00000000 00000100* +L0017936 00000000 00000000 00000000 00000000* +L0017968 00000000 00000000 00011100 00000000* +L0018000 000000 000000 000000 000000* +L0018024 000000 000000 000000 000000* +L0018048 000000 000000 100000 000000* +L0018072 000000 000000 000000 000000* +L0018096 000000 000000 000110 000001* +L0018120 000000 000000 000000 000000* +L0018144 00000000 00000000 00000000 00000000* +L0018176 00000000 00000000 00000000 00000000* +L0018208 00000000 00000000 00000000 00000000* +L0018240 00000000 00000000 00000000 00000000* +L0018272 00000000 00000000 00000000 00001000* +L0018304 00000000 00000000 00000000 00000000* +L0018336 00000000 00000000 00000000 00000000* +L0018368 00000000 00000000 00000000 00000000* +L0018400 00000000 00000000 00000000 00000000* +L0018432 000000 000000 000000 000000* +L0018456 000000 000000 000000 000000* +L0018480 000000 000000 000000 000000* +L0018504 000000 000000 000000 010000* +L0018528 000000 000000 000000 000000* +L0018552 000000 000000 000000 000010* +L0018576 00000000 00000000 00000000 00000000* +L0018608 00000000 00000000 00000000 00001100* +L0018640 00000000 00000000 00000000 00000000* +L0018672 00000000 00000000 00000000 00000000* +L0018704 00000000 00000000 00000000 00000000* +L0018736 00000000 00000000 00000000 00000000* +L0018768 00000000 00000000 00000000 00000000* +L0018800 00000000 00000000 00000000 00000000* +L0018832 00000000 00000000 00000000 00000000* +L0018864 000000 000000 000000 000000* +L0018888 000000 000000 000000 000000* +L0018912 000000 000000 000000 010000* +L0018936 000000 000000 000000 000000* +L0018960 000000 000000 000000 000000* +L0018984 000000 000000 000000 000000* +L0019008 00000000 00000000 00000000 00000000* +L0019040 00000000 00000010 00000010 00000011* +L0019072 00000000 00000000 00000000 00000000* +L0019104 00000000 00000000 00000000 00000000* +L0019136 00000010 00000000 00000010 00000011* +L0019168 00000011 00000000 00000000 00000000* +L0019200 00000000 00000000 00000000 00000000* +L0019232 00000011 00000000 00000010 00000011* +L0019264 00000000 00000000 00000010 00000000* +L0019296 000000 000000 000000 000000* +L0019320 000000 000000 000000 000000* +L0019344 000000 000000 000000 000000* +L0019368 000000 000000 000000 000000* +L0019392 000000 000000 000000 000000* +L0019416 000000 000000 000000 000000* +L0019440 00000011 00000010 00000011 00000011* +L0019472 00000011 00000010 00000011 00000011* +L0019504 00000011 00000010 00000011 00000011* +L0019536 00000011 00000000 00000011 00000011* +L0019568 00000011 00000000 00000011 00000011* +L0019600 00100011 00000000 00000011 00000011* +L0019632 00000011 00000000 00000011 00000011* +L0019664 00000011 00000000 00000011 00000011* +L0019696 00000011 00000000 00000001 00000011* +L0019728 000000 000000 000000 000000* +L0019752 000000 000000 000000 000000* +L0019776 000000 000000 000000 000000* +L0019800 000000 000000 000000 000000* +L0019824 000000 000000 000000 000000* +L0019848 000000 000000 000000 000000* +L0019872 00000011 00000010 00000011 00000011* +L0019904 00000011 00000010 00000011 00000011* +L0019936 00000011 00000010 00000011 00000011* +L0019968 00000011 00000000 00000011 00000011* +L0020000 00000011 00000000 00000011 00000011* +L0020032 00000011 00000000 00000011 00000011* +L0020064 00000011 00000000 00000011 00000111* +L0020096 00000011 00000000 00000011 00000011* +L0020128 00000011 00000000 00000011 00000011* +L0020160 000000 000000 000000 000000* +L0020184 000000 000000 000000 000000* +L0020208 000000 000000 000000 000000* +L0020232 000000 000000 000000 000000* +L0020256 000000 000000 000000 000000* +L0020280 000000 000000 000000 000000* +L0020304 00000011 00000010 00000011 00000011* +L0020336 00000011 00000010 00000011 00000011* +L0020368 00000011 00000010 00000011 00000011* +L0020400 00000011 00000000 00000011 00000011* +L0020432 00000011 00000000 00000011 00000110* +L0020464 00000011 00000000 00000011 00000011* +L0020496 00000011 00000000 00000011 00000011* +L0020528 00000011 00000000 00000011 00000011* +L0020560 00000011 00000000 00000001 00000011* +L0020592 000000 000000 000000 000000* +L0020616 000000 000000 000000 000000* +L0020640 000000 000000 000000 000000* +L0020664 000000 000000 000000 000000* +L0020688 000000 000000 000000 000000* +L0020712 000000 000000 000000 000000* +L0020736 00000011 00000000 00000001 00000011* +L0020768 00000011 00000010 00000011 00000011* +L0020800 00000011 00000010 00000001 00000001* +L0020832 00000011 00000000 00000001 00000011* +L0020864 00000011 00000000 00000011 00000010* +L0020896 00000011 00000000 00000011 00000011* +L0020928 00000011 00000000 00000011 00000011* +L0020960 00000011 00000000 00000011 00000011* +L0020992 00000011 00000000 00000001 00000011* +L0021024 000000 000000 000000 000000* +L0021048 000000 000000 000000 000000* +L0021072 000000 000000 000000 000000* +L0021096 000000 000000 000000 000000* +L0021120 000000 000000 000000 000000* +L0021144 000000 000000 000000 000000* +L0021168 00000001 00000000 00000000 11101110* +L0021200 00000001 00000010 00000010 00000011* +L0021232 00000001 00000000 10000000 00000001* +L0021264 00000001 00000000 00000000 00000001* +L0021296 00000011 00000000 00000010 00000010* +L0021328 00000010 00000000 11100000 11110001* +L0021360 00000011 00000000 11100000 00000001* +L0021392 00000011 00000000 11100010 00000011* +L0021424 00000011 00000000 11100001 00000001* +L0021456 000000 000000 111000 000000* +L0021480 000000 000000 010000 011110* +L0021504 000000 000000 000000 000000* +L0021528 000000 000000 000000 000000* +L0021552 000000 000000 000000 000000* +L0021576 000000 000000 000000 000000* +L0021600 00000000 00000000 00000001 00000010* +L0021632 00000000 00000000 00000011 00000000* +L0021664 00000000 00000000 00000000 00000000* +L0021696 00000000 00000000 00000000 00000000* +L0021728 00000010 00000000 00000011 00000010* +L0021760 00000000 00000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00000000* +L0021824 00000000 00000000 00000000 00000000* +L0021856 00000000 00000000 00000000 00000000* +L0021888 000000 000000 000000 000000* +L0021912 000000 000000 000000 000000* +L0021936 000000 000000 000000 000000* +L0021960 000000 000000 000000 000000* +L0021984 000000 000000 000000 000000* +L0022008 000000 000000 000000 000000* +L0022032 00000000 00000000 00000000 00000011* +L0022064 00000000 00000000 00000000 00000010* +L0022096 00000000 00000000 00000000 00000000* +L0022128 00000000 00000000 00000000 00000000* +L0022160 00000010 00000000 00000000 00000010* +L0022192 00000000 00000000 00000000 00000000* +L0022224 00000000 00000000 00000000 00000000* +L0022256 00000000 00000000 00000000 00000000* +L0022288 00000000 00000000 00000000 00000000* +L0022320 000000 000000 000000 000000* +L0022344 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000* +L0022392 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000* +L0022440 000000 000000 000000 000000* +L0022464 00000001 00000000 00000000 00000011* +L0022496 00000001 00000000 00000010 00000101* +L0022528 00000000 00000000 00000000 00000000* +L0022560 00000000 00000000 00000000 00000000* +L0022592 00000010 00000000 00000000 00000010* +L0022624 00000000 00000000 00000000 00000000* +L0022656 00000000 00000000 00000000 00000000* +L0022688 00000000 00000000 00000000 00000000* +L0022720 00000000 00000000 00000000 00000000* +L0022752 000000 000000 000000 000000* +L0022776 000000 000000 000000 000000* +L0022800 000000 000000 000000 000000* +L0022824 000000 000000 000000 000000* +L0022848 000000 000000 000000 000000* +L0022872 000000 000000 000000 000000* +L0022896 00000000 00000011 00000010 00000010* +L0022928 00000000 00000001 00000001 00000010* +L0022960 00000000 00000000 00000000 00000000* +L0022992 00000000 00000000 00000000 00000000* +L0023024 00000010 00000011 00000010 00000010* +L0023056 00000000 00000000 00000000 00000000* +L0023088 00000000 00000000 00000000 00000000* +L0023120 00000000 00000000 00000000 00000000* +L0023152 00000000 00000000 01000000 00000000* +L0023184 000001 000000 000000 000000* +L0023208 000000 000000 000001 000000* +L0023232 000000 000000 000000 000000* +L0023256 000000 000000 000000 000000* +L0023280 000000 000000 000000 000000* +L0023304 000000 000000 000000 000010* +L0023328 00000000 00000000 00000000 00000010* +L0023360 00000000 00000000 00000000 00000010* +L0023392 00000011 00000000 00000001 00000001* +L0023424 00000000 00000000 00000000 00000000* +L0023456 00000000 00000000 00000000 00000010* +L0023488 00000000 00000000 00000000 00000000* +L0023520 00000000 00000000 00000000 00000000* +L0023552 00000000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000000 00000000* +L0023616 000000 000000 000000 000000* +L0023640 000000 000000 000000 000000* +L0023664 000000 000000 000000 000000* +L0023688 000000 000000 000000 000000* +L0023712 000000 000000 000000 000000* +L0023736 000000 000000 000000 000000* +L0023760 00000001 00000000 00000010 00000010* +L0023792 00000001 00000000 00000000 00000010* +L0023824 00000010 00000000 00000000 00000000* +L0023856 00000000 00000000 00000000 00000000* +L0023888 00000001 00000000 00000010 00000010* +L0023920 00000000 00000000 00000000 00000000* +L0023952 00000000 00000000 00000000 00000000* +L0023984 00000000 00000000 10000000 00000000* +L0024016 00000000 00000000 00000000 00000000* +L0024048 000000 000000 000000 000000* +L0024072 000000 000000 000000 000000* +L0024096 000000 000000 000000 000000* +L0024120 000000 000000 000001 000000* +L0024144 000000 000000 000000 000000* +L0024168 000000 000000 000000 000000* +L0024192 00000001 00000000 00000010 00000011* +L0024224 00000001 00000000 00000000 00000011* +L0024256 00000000 00000000 00000000 00000000* +L0024288 00000000 00000000 00000000 00000000* +L0024320 00000010 00000001 00000010 00000010* +L0024352 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000* +L0024416 00000000 00000000 00000000 00000000* +L0024448 00000000 00000000 00000000 00000000* +L0024480 000000 000000 000000 000000* +L0024504 000000 000000 000000 000000* +L0024528 000000 000000 000000 000000* +L0024552 000000 000000 000000 000000* +L0024576 000000 000000 000000 000000* +L0024600 000000 000000 000000 000000* +L0024624 00000000 00000000 00000001 00000010* +L0024656 00000000 00000000 00000000 00000010* +L0024688 00000000 00000000 00000000 00000000* +L0024720 00000000 00000000 00000000 00000000* +L0024752 00000000 00000000 00000001 00000010* +L0024784 00000000 00000000 00000000 00000000* +L0024816 00000000 00000000 00000000 00000000* +L0024848 00000000 00000000 00000000 00000000* +L0024880 00000000 00000000 00000000 00000000* +L0024912 000000 000000 000000 000000* +L0024936 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000* +L0024984 000000 000000 000000 000000* +L0025008 000000 000000 000000 000000* +L0025032 000000 000000 000000 000001* +L0025056 00000000 00000011 00000001 00000010* +L0025088 00000010 00000010 00000000 00000010* +L0025120 01000000 00000000 00000000 00000001* +L0025152 00000000 00000000 00000000 00000000* +L0025184 00000000 00000011 00000011 00000010* +L0025216 00000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000000 00000000* +L0025280 00000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000* +L0025344 000010 000000 000000 000100* +L0025368 000000 000000 000000 000000* +L0025392 000000 000000 000000 000000* +L0025416 000000 000000 000000 000000* +L0025440 000000 000000 000000 000000* +L0025464 000001 000000 000000 000000* +L0025488 00000001 00000001 00000001 00000010* +L0025520 01000001 00000000 00000001 00000010* +L0025552 00000000 00000000 00000000 00000000* +L0025584 00000000 00000000 00000000 00000000* +L0025616 00000000 00000001 00000010 00000010* +L0025648 00000000 00000000 00000000 00000000* +L0025680 00001000 00000000 00000000 00010000* +L0025712 00000000 00000000 00000000 00000000* +L0025744 00000000 00000000 00000000 00000000* +L0025776 000000 000000 000000 000000* +L0025800 000000 000000 000000 000000* +L0025824 000001 000000 000000 000000* +L0025848 000000 000000 000000 000000* +L0025872 000000 000000 000000 000000* +L0025896 000000 000000 000000 000000* +L0025920 00000010 00000000 00000000 00000010* +L0025952 00000010 00000000 00000000 00000010* +L0025984 00000000 00000000 00000000 00000000* +L0026016 00000000 00000000 00000000 00000000* +L0026048 00000010 00000001 00000010 00000010* +L0026080 00000000 00000000 00000000 00000000* +L0026112 00000000 00000000 00000000 00000000* +L0026144 00000000 00000000 00100000 00000000* +L0026176 00000000 00000000 00000000 00000000* +L0026208 000000 000000 000000 000000* +L0026232 000000 000000 000000 000000* +L0026256 000000 000000 000000 000000* +L0026280 000000 000000 000000 000000* +L0026304 000000 100000 000000 000000* +L0026328 000000 000000 000001 000000* +L0026352 00000000 00000000 00000010 00000010* +L0026384 00000000 00000000 00000000 00000010* +L0026416 00000000 00000000 00000000 00000000* +L0026448 00000000 00000000 00000000 00000000* +L0026480 00000010 00000000 00000010 00000010* +L0026512 00000000 00000000 00000000 00000000* +L0026544 00000000 00000000 00000000 00000000* +L0026576 00000000 00000000 00000000 00000000* +L0026608 00000000 00000000 00000000 00000000* +L0026640 000000 000000 000000 000000* +L0026664 000000 000000 000000 000000* +L0026688 000000 000000 000000 000000* +L0026712 000000 000000 000000 000000* +L0026736 000000 000000 000000 000000* +L0026760 000000 000000 000000 000000* +L0026784 00000001 00000000 00000011 00000011* +L0026816 00000001 00000000 00000011 00000010* +L0026848 00000000 00000000 00000000 00000000* +L0026880 11111100 00000000 00000000 01101100* +L0026912 00000010 00000001 00000000 00000011* +L0026944 00000000 00000000 00000000 00000000* +L0026976 00000000 00000000 00000000 00000000* +L0027008 00000000 00000000 00000000 11110000* +L0027040 10011000 00000000 00000000 00000000* +L0027072 000000 000000 000000 000000* +L0027096 000000 000000 000000 000000* +L0027120 000000 000000 010000 000000* +L0027144 000000 000000 000000 000000* +L0027168 101101 000000 000000 011110* +L0027192 000000 000000 000000 000000* +L0027216 00000001 00000000 00000011 00000011* +L0027248 00000001 00000000 00000001 00000011* +L0027280 00000000 00000000 10000000 00000000* +L0027312 00000000 00000000 00000000 00000000* +L0027344 00000010 00000000 00000011 00000000* +L0027376 01100100 00000000 11100000 00000000* +L0027408 01100100 00000000 11100000 00000000* +L0027440 01100100 00000000 11100000 00000000* +L0027472 01100100 00000000 11100000 00000000* +L0027504 011001 000000 111000 000000* +L0027528 010010 000000 000000 000000* +L0027552 010010 000000 000000 000000* +L0027576 010010 000000 000000 000000* +L0027600 010010 000000 000000 000000* +L0027624 010000 000000 000000 000000* +L0027648 00000000 00000000 00000010 00000010* +L0027680 00000000 00000000 00000000 00000010* +L0027712 00000000 00000000 00000000 00000000* +L0027744 00000000 00000000 00000000 00000000* +L0027776 00000001 00000000 00000010 00000010* +L0027808 00000000 00000000 00000000 00000000* +L0027840 00000000 00000000 00000000 00000000* +L0027872 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00000000* +L0027936 000000 000000 000000 000000* +L0027960 000000 000000 000000 000000* +L0027984 000000 000000 000000 000000* +L0028008 000000 000000 000000 000000* +L0028032 000000 000000 000000 000000* +L0028056 000000 000000 000000 000000* +L0028080 00000011 00000000 00000010 00000000* +L0028112 00000010 00000000 00000001 00000000* +L0028144 00000000 00000000 00000000 00000001* +L0028176 00000000 00000000 00000000 00000000* +L0028208 00000001 00000000 00000010 00000010* +L0028240 00000000 00000000 00000000 00000000* +L0028272 00000000 00000000 00000000 00000000* +L0028304 00000000 00000000 00000000 00000000* +L0028336 00000000 00000000 00000000 00000000* +L0028368 000000 000000 000000 000000* +L0028392 010000 000000 000000 000000* +L0028416 000000 000000 000000 000000* +L0028440 000000 000000 000000 000000* +L0028464 000000 000000 000000 000000* +L0028488 000000 000000 000000 000000* +L0028512 00000000 00000010 00000011 00000001* +L0028544 00000000 10000000 00000000 00000000* +L0028576 00000000 00000000 00000000 00000010* +L0028608 00000000 00000000 00000000 00000000* +L0028640 00001101 00000010 00000011 00000000* +L0028672 00000000 00000000 00000000 00000000* +L0028704 00000000 00000000 00000000 00000000* +L0028736 00000000 00000000 00000000 00000000* +L0028768 00000000 00000000 00000000 00000000* +L0028800 000000 000000 000000 001000* +L0028824 000000 000000 000000 000000* +L0028848 100000 000000 000000 000000* +L0028872 000000 000000 000000 000000* +L0028896 000000 000000 000000 000000* +L0028920 000000 000000 000000 000000* +L0028944 00000010 00000010 00000011 00000010* +L0028976 00001110 00000010 00000001 00000010* +L0029008 00000001 00000000 00000000 00000001* +L0029040 00000000 00000000 00000000 00000000* +L0029072 00000010 00000001 00000011 00000000* +L0029104 00000000 00000000 00000000 00000000* +L0029136 00000000 00000000 00000000 00100000* +L0029168 00000000 00000000 00000000 00000000* +L0029200 00000000 00000000 00000000 00000000* +L0029232 000000 000000 000000 000000* +L0029256 000000 000000 000000 000000* +L0029280 000000 000000 000000 000000* +L0029304 100000 000000 000000 000000* +L0029328 000000 000000 000000 000000* +L0029352 000000 000000 000000 000000* +L0029376 00000010 00000001 00000001 00000010* +L0029408 00000010 00000000 00000000 00000011* +L0029440 00000000 00000000 00000000 00000000* +L0029472 00000000 00000000 00000000 00000000* +L0029504 00000011 00000001 00000001 00000000* +L0029536 00000000 00000000 00000000 00000000* +L0029568 00000000 00000000 00000000 00000000* +L0029600 00000000 00000000 00000000 00000000* +L0029632 00000000 00000000 00000000 00000000* +L0029664 000000 000000 000000 000000* +L0029688 000000 000000 000000 000000* +L0029712 000000 000000 000000 000000* +L0029736 000000 000000 000000 000000* +L0029760 000000 000000 000000 000000* +L0029784 000000 000000 000000 000000* +L0029808 00000001 00000000 00000001 00000001* +L0029840 00000001 00000000 00000001 00000000* +L0029872 00000000 00000000 00000000 00000000* +L0029904 00000000 00000000 00000000 00000000* +L0029936 00000000 00000001 00000000 00000001* +L0029968 00000000 00000000 00000000 00000000* +L0030000 00000000 00000000 00000000 00000000* +L0030032 00000000 00000000 00000000 00000000* +L0030064 00000000 00000000 00000000 00000000* +L0030096 000000 000000 000000 000000* +L0030120 000000 000000 000000 000000* +L0030144 000000 000000 000100 000000* +L0030168 000000 000000 000000 000000* +L0030192 000000 000000 000000 000000* +L0030216 000000 000000 000000 000000* +L0030240 00000000 00000000 01000010 11101110* +L0030272 00000000 00000000 00000110 00000011* +L0030304 00000000 00000000 00000001 00000000* +L0030336 00000000 00000000 00000000 00000000* +L0030368 00000000 00000000 00000010 00000010* +L0030400 00000000 00000000 00000000 11110000* +L0030432 00000000 00000000 00000100 00000000* +L0030464 00000000 00000000 00000000 00000000* +L0030496 00000000 00000000 00000000 00000000* +L0030528 000000 000000 000000 000000* +L0030552 000000 000000 000000 011110* +L0030576 000000 000000 000000 000000* +L0030600 000000 000000 000000 000000* +L0030624 000000 000000 000000 000000* +L0030648 000000 000000 000000 000000* +L0030672 00000001 00000001 00000001 00000000* +L0030704 00000000 10000001 00000001 00000000* +L0030736 00000000 10000000 00000000 00000010* +L0030768 00000000 00000000 00111100 00000000* +L0030800 00000001 00000001 00000000 00000000* +L0030832 00000000 00000000 10000000 00000000* +L0030864 00000000 00000000 00000000 00000000* +L0030896 00000000 10000000 00000000 00000000* +L0030928 00000000 00000000 00011100 00000000* +L0030960 000000 100000 000000 000000* +L0030984 000000 100000 000000 000000* +L0031008 000000 100000 000000 000000* +L0031032 000000 100000 000000 000000* +L0031056 000000 100000 000110 000000* +L0031080 000000 000000 000000 000000* +L0031104 00000010 00000001 00000001 00000000* +L0031136 11001010 00000001 00000000 00000000* +L0031168 11000000 10000000 10000000 00000000* +L0031200 00000000 00000000 00000000 00000000* +L0031232 00001010 00000001 00000001 00000000* +L0031264 00000000 00000000 00000000 00000000* +L0031296 10001000 00000000 00000000 00000000* +L0031328 11100100 00000000 01100000 00000000* +L0031360 00000000 00000000 00000000 00000000* +L0031392 011011 100000 111000 000000* +L0031416 000000 000000 000000 000000* +L0031440 100100 000000 010000 000000* +L0031464 110000 100000 000000 000000* +L0031488 000010 100000 010000 000000* +L0031512 010100 000000 000000 000000* +L0031536 00000000 00000001 00000001 00000000* +L0031568 00110100 10000001 00000001 00000000* +L0031600 00000000 00000000 00000000 00000000* +L0031632 00000000 00000000 00000000 00000000* +L0031664 00110101 00000001 00000001 00000010* +L0031696 01100100 00000000 11100000 00000000* +L0031728 00010000 00000000 00000000 00000000* +L0031760 00000000 10000000 10000000 00000000* +L0031792 01100100 00000000 11100000 00000000* +L0031824 000100 000000 000000 000000* +L0031848 010010 100000 000000 000000* +L0031872 001001 100000 000000 000000* +L0031896 000010 000000 000000 000000* +L0031920 010000 000000 000000 000000* +L0031944 001001 000000 000000 000000* +L0031968 00000001 00000000 00000011 00000010* +L0032000 00000000 00000000 00000011 00000010* +L0032032 00000000 00000000 00000000 00000000* +L0032064 00000000 00000000 00000000 00000000* +L0032096 00000001 00000000 00000001 00000000* +L0032128 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000000* +L0032192 00000000 10000000 00000000 00000000* +L0032224 00000000 00000000 00000000 00000000* +L0032256 000000 000000 000000 000000* +L0032280 000000 000000 000000 000000* +L0032304 000000 000000 000000 000000* +L0032328 000000 000000 000000 000000* +L0032352 000000 000000 000000 000000* +L0032376 000000 000000 000000 000000* +L0032400 00000000 00000000 00000001 00000000* +L0032432 00000000 00000000 00000000 00000000* +L0032464 00000000 00000000 00000000 00000000* +L0032496 00000000 00000000 00000000 00000000* +L0032528 00000001 00000000 00000000 00000000* +L0032560 00000000 00000000 00000000 00000000* +L0032592 00000000 00000000 00000000 00000000* +L0032624 00000000 00000000 00000000 00000000* +L0032656 00000000 00000000 00000000 00000000* +L0032688 000000 000000 000000 000000* +L0032712 000000 000000 000000 000000* +L0032736 000000 000000 000000 000000* +L0032760 000000 000000 000000 000000* +L0032784 000000 000000 000000 000000* +L0032808 000000 000000 000000 000000* +L0032832 00000011 00000000 00000011 00000010* +L0032864 00000001 00000000 00000011 00000010* +L0032896 00000000 00000000 00000000 00000001* +L0032928 00000000 00000000 00000000 00000000* +L0032960 00000010 00000000 00000011 00000010* +L0032992 00000000 00000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000* +L0033056 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000* +L0033120 000000 000000 000000 000000* +L0033144 000000 000000 000000 000000* +L0033168 000000 000000 000000 000000* +L0033192 000000 000000 000000 000000* +L0033216 000000 000000 000000 000000* +L0033240 000000 000000 000000 000000* +L0033264 00000000 00000000 00000000 00000000* +L0033296 00000000 00000000 00000000 00000000* +L0033328 00000000 00000000 00000000 00000000* +L0033360 00000000 00000000 00000000 00000000* +L0033392 00000000 00000000 00000000 00000000* +L0033424 00000000 00000000 00000000 00000000* +L0033456 00000000 00000000 00000000 00000000* +L0033488 00000000 00000000 00000000 00000000* +L0033520 00000000 00000000 00000000 00000000* +L0033552 000000 000000 000000 000000* +L0033576 000000 000000 000000 000000* +L0033600 000000 000000 000000 000000* +L0033624 000000 000000 000000 000000* +L0033648 000000 000000 000000 000000* +L0033672 000000 000000 000000 000000* +L0033696 00000010 00000010 00000010 00010010* +L0033728 11110100 00000010 00000010 00000010* +L0033760 11000000 00000000 00000000 00000000* +L0033792 00000000 00000000 00000000 00000000* +L0033824 00110100 00000000 00000000 00000000* +L0033856 00000000 00000000 10000000 00000100* +L0033888 10010010 10000010 00000010 00000010* +L0033920 10000000 00000000 00000000 00000000* +L0033952 01100100 00000000 11100000 00000000* +L0033984 011101 000000 111000 000000* +L0034008 000010 000000 000000 100001* +L0034032 100001 000000 010000 000000* +L0034056 100000 000000 000000 000000* +L0034080 010010 000000 010000 000000* +L0034104 010001 000000 000000 000000* +L0034128 00000000 00000000 00000000 00000000* +L0034160 00001000 00000000 00000000 00000000* +L0034192 00000000 00000000 10000000 00000000* +L0034224 00000000 00000000 00000000 00000000* +L0034256 00001000 00000000 00000000 00000000* +L0034288 01100100 00000000 01100000 00000000* +L0034320 00001000 00000000 00000000 00000000* +L0034352 01100100 00000000 11100000 00000000* +L0034384 00000000 00000000 00000000 00000000* +L0034416 000010 000000 000000 000000* +L0034440 010000 000000 000000 000000* +L0034464 001100 000000 000000 000000* +L0034488 010010 000000 000000 000000* +L0034512 000000 000000 000000 000000* +L0034536 001100 000000 000000 000000* +L0034560 00000000 00000000 00000000 00000000* +L0034592 00000000 00000000 00000000 00000000* +L0034624 00000000 00000000 00000000 00000000* +L0034656 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00000000 00000000* +L0034720 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000* +L0034784 10000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00000000* +L0034848 000100 000000 000000 010000* +L0034872 000000 000000 000000 000000* +L0034896 000000 000000 000000 000000* +L0034920 000000 000000 000000 000000* +L0034944 000000 000000 000000 000000* +L0034968 000100 000000 000000 000000* +L0034992 00000000 00000000 00000000 00000000* +L0035024 00000000 00000000 00000000 00000000* +L0035056 00000000 00000000 00000000 00000000* +L0035088 00000000 00000000 00000000 00000000* +L0035120 00000000 00000000 00000000 00000000* +L0035152 00000000 00000000 00000000 00000000* +L0035184 10010000 00000000 00000000 01000000* +L0035216 00000000 00000000 00000000 00000000* +L0035248 00000000 00000000 00100000 00000000* +L0035280 000000 000000 000000 000000* +L0035304 000000 000000 000001 000000* +L0035328 000100 000000 000000 000000* +L0035352 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000* +L0035400 000000 000000 000000 000000* +L0035424 00000000 00000000 00000000 00000000* +L0035456 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000* +L0035520 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000* +L0035584 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000* +L0035648 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000* +L0035712 000000 000000 000000 000000* +L0035736 000000 000000 000000 000000* +L0035760 001000 000000 000000 000000* +L0035784 000000 000000 000000 000000* +L0035808 000000 000000 000000 000000* +L0035832 000000 000000 000000 000000* +L0035856 00000000 00000000 00000000 00000000* +L0035888 00000000 00000000 00000000 00000000* +L0035920 00000000 00000000 00000000 00000000* +L0035952 00000000 00000000 00000000 00000000* +L0035984 00000000 00000000 00000000 00000000* +L0036016 00000100 00000000 00000000 00000000* +L0036048 00000000 00000000 00000000 00000000* +L0036080 00000000 00000000 00000000 00000000* +L0036112 00000000 00000000 00000000 00000000* +L0036144 000000 000000 000000 000000* +L0036168 000000 000000 000000 000000* +L0036192 000000 000000 000000 000000* +L0036216 000000 000000 000000 000000* +L0036240 000000 000000 000000 000000* +L0036264 001000 000000 000000 000000* +L0036288 00000000 00000000 00000000 00000000* +L0036320 00000000 00000000 00000000 00100000* +L0036352 00000000 00000000 00000000 00000000* +L0036384 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000* +L0036448 00000000 00000000 00000000 00000000* +L0036480 00000000 00000000 00000000 00001000* +L0036512 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000* +L0036576 000000 000000 000000 000000* +L0036600 000000 000000 000000 000000* +L0036624 000000 000000 000001 000000* +L0036648 000000 000000 000000 000000* +L0036672 000000 000000 000000 000000* +L0036696 000000 000000 000000 000000* +L0036720 00000000 00000000 00000000 00000000* +L0036752 00000000 00000000 00000000 00000000* +L0036784 00000000 00000000 00000000 00100000* +L0036816 00000000 00000000 00000000 00000000* +L0036848 00000000 00000000 00000000 00000000* +L0036880 00000000 00000000 00000000 00000000* +L0036912 00000000 00000000 00000000 00000000* +L0036944 00000000 00000000 00000000 00000000* +L0036976 00000000 00000000 00000000 00000000* +L0037008 000000 000000 000000 000000* +L0037032 000000 000000 000000 000000* +L0037056 000000 000000 000000 000010* +L0037080 000000 000000 000000 000000* +L0037104 000010 000000 000000 000000* +L0037128 000000 000000 000000 000000* +L0037152 00000000 00000000 00000000 00000000* +L0037184 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000* +L0037248 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000* +L0037312 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00000000 00000000* +L0037376 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00000000* +L0037440 000000 000000 000000 000000* +L0037464 000000 000000 000000 000000* +L0037488 000001 000000 000000 000000* +L0037512 000000 000000 000000 000000* +L0037536 000000 000000 000000 000000* +L0037560 000000 000000 000000 000000* +L0037584 00000000 00000000 00000000 00000000* +L0037616 00000000 00000000 00100000 00000000* +L0037648 00000000 00000000 00000000 00000000* +L0037680 00000000 00000000 00000000 00000000* +L0037712 00000000 00000000 00000000 00000000* +L0037744 00000000 00000000 00000000 00000000* +L0037776 00000000 00000000 00000000 00000000* +L0037808 00000000 00000000 00000000 00000000* +L0037840 01000000 00000000 00000000 00000000* +L0037872 000000 000000 010000 000000* +L0037896 000000 000000 000000 000000* +L0037920 000000 000000 000000 000001* +L0037944 000000 000000 000000 000000* +L0037968 000000 000000 000000 000000* +L0037992 000001 000000 000000 000000* +L0038016 00000000 00000000 00000000 00000000* +L0038048 00000000 00000000 00000000 00000000* +L0038080 00000000 00000000 00000000 00000000* +L0038112 00000000 00000000 00000000 00000000* +L0038144 00010000 00000000 00000000 00000000* +L0038176 00000000 00000000 00000000 00000000* +L0038208 00000000 00000000 00000000 00000000* +L0038240 00000000 00000000 00000000 00000000* +L0038272 00000000 00000000 00000000 00000000* +L0038304 000000 000000 000000 000000* +L0038328 000000 000000 000000 000000* +L0038352 000000 100000 000000 000000* +L0038376 000000 000000 000000 001000* +L0038400 000000 000000 000000 000000* +L0038424 001000 000000 000000 000000* +L0038448 00000000 00000000 00000000 00000000* +L0038480 00010000 00000000 00000000 00000000* +L0038512 00000000 00000000 00000000 00000000* +L0038544 00000000 00000000 00000000 00000000* +L0038576 00000000 00000000 00000000 00000000* +L0038608 00000000 00000000 00100000 00000000* +L0038640 00000000 00000000 00000000 00000000* +L0038672 00000000 00000000 00000000 00000000* +L0038704 00000000 00000000 00000000 00000000* +L0038736 000000 000000 000000 000000* +L0038760 000000 000000 000000 000000* +L0038784 001000 000000 000000 001000* +L0038808 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000* +L0038856 000000 000000 000001 000000* +L0038880 00000000 10000000 00000000 00000000* +L0038912 00010000 10000000 10000000 00000000* +L0038944 00000000 10000000 00000000 00000000* +L0038976 00000000 00000000 00000000 00000000* +L0039008 00000000 00000000 00000000 00000000* +L0039040 00000000 00000000 00000000 00000000* +L0039072 00000000 00000000 00000000 00000000* +L0039104 00000000 10000000 00000000 00000000* +L0039136 00000000 00000000 00000000 00000000* +L0039168 000000 100000 000000 000000* +L0039192 000000 100000 000000 000000* +L0039216 000000 100000 000000 000000* +L0039240 000000 100000 000000 000000* +L0039264 000000 100000 000000 000000* +L0039288 000000 000000 000000 000000* +L0039312 00000000 00000000 00000000 00000000* +L0039344 00000000 00000000 00000000 00000000* +L0039376 00000000 00000000 00000000 00000000* +L0039408 00000000 00000000 00000000 00000000* +L0039440 00010000 00000000 00000000 10000000* +L0039472 00000000 00000000 00000000 00000000* +L0039504 00000000 00000000 00000000 00000000* +L0039536 00000000 00000000 00000000 00000000* +L0039568 00000100 00000000 00000000 00000000* +L0039600 000000 000000 000000 000000* +L0039624 000000 000000 000000 000000* +L0039648 000000 000000 000000 000000* +L0039672 000000 000000 000000 000000* +L0039696 000000 000000 000000 000000* +L0039720 000000 000000 000000 000000* +L0039744 00000000 00000000 00000000 00000000* +L0039776 00000000 00000000 00000000 00000000* +L0039808 00111100 00000000 00111100 00000000* +L0039840 00000000 00000000 00000000 00000000* +L0039872 00000000 00000000 00000000 00000000* +L0039904 00000000 00000000 00000000 00001000* +L0039936 00000000 00000000 00000000 00000000* +L0039968 00011000 00000000 00011100 00000000* +L0040000 00000000 00000000 00000000 00000000* +L0040032 000000 000000 000000 000000* +L0040056 000000 100000 000000 000000* +L0040080 000000 000000 000000 000000* +L0040104 001101 000000 100110 000000* +L0040128 000000 000000 000000 000000* +L0040152 000000 000000 000000 000000* +L0040176 00000000 00000000 00000000 00000000* +L0040208 00000000 00000000 00000000 00000000* +L0040240 00000000 00000000 00000000 00000000* +L0040272 11000000 00000000 00000000 00000000* +L0040304 00000000 00000000 00000000 00000000* +L0040336 00000000 00000000 00000000 00000000* +L0040368 00000000 00000000 00000000 00000000* +L0040400 00000000 00000000 00000000 00000000* +L0040432 10000000 00000000 00000000 00000000* +L0040464 000000 000000 000000 000000* +L0040488 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000* +L0040536 000000 000000 000000 000000* +L0040560 100000 000000 000000 000000* +L0040584 000000 000000 000000 000000* +L0040608 00000000 00000000 00000000 00000000* +L0040640 00000000 00000000 00000000 00000000* +L0040672 00000000 00000000 10000000 00000000* +L0040704 00000000 00000000 00000000 00000000* +L0040736 00000000 00000000 00000000 00000000* +L0040768 00000000 00000000 11100000 00000000* +L0040800 00000000 00000000 11100000 00000000* +L0040832 00000000 00000000 11100000 00000000* +L0040864 00000000 00000000 11100000 00000000* +L0040896 000000 000000 111000 000000* +L0040920 000000 000000 010000 000000* +L0040944 000000 000000 000000 000000* +L0040968 000000 000000 000000 000000* +L0040992 000000 000000 000000 000000* +L0041016 000000 000000 000000 000000* +L0041040 00000000 00000000 00000000 00000000* +L0041072 00000000 00000000 00000000 10000000* +L0041104 00000000 00000000 00000000 00000000* +L0041136 00000000 00000000 00000000 00000000* +L0041168 00000000 00000000 00000000 00000000* +L0041200 00000000 00000000 00000000 00000000* +L0041232 00000000 00000000 00000000 00000000* +L0041264 00000000 00000000 00000000 00000000* +L0041296 00000000 00000000 00000000 00000000* +L0041328 000000 000000 000000 000000* +L0041352 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000* +L0041400 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000* +L0041448 000000 000000 000000 000000* +L0041472 00000000 00000000 00000000 00000000* +L0041504 00000000 00000000 01000000 00000000* +L0041536 00000000 10000000 00000000 00000000* +L0041568 00000000 00000000 00000000 00000000* +L0041600 00000000 00000000 00000000 00000000* +L0041632 00000000 00000000 00000000 00000000* +L0041664 00000000 00000000 00000000 00000000* +L0041696 00000000 00000000 00000000 00000000* +L0041728 00000000 00000000 00000000 00000000* +L0041760 000000 000000 000000 000000* +L0041784 000000 000000 000000 000000* +L0041808 000000 000000 000000 000000* +L0041832 000000 000000 000000 000000* +L0041856 000000 000000 000000 000000* +L0041880 000000 000000 000000 000000* +L0041904 00000000 00000000 00000000 00000000* +L0041936 00000000 00000000 00000000 00000000* +L0041968 00000000 00000000 00000000 00000000* +L0042000 00000000 00000000 00000000 00000000* +L0042032 00000000 00000000 00000000 00000000* +L0042064 00000000 00000000 00000000 00000000* +L0042096 00000000 00000000 00000000 00000000* +L0042128 00000000 00000000 00000000 00000000* +L0042160 00000000 00000000 00000000 00000000* +L0042192 001000 000000 000000 000000* +L0042216 000000 000000 000000 000000* +L0042240 000000 000000 000000 000000* +L0042264 000000 000000 000000 000000* +L0042288 000000 000000 000000 000000* +L0042312 000000 000000 000000 000000* +L0042336 00000000 00000000 00000000 00000000* +L0042368 00000000 00000000 00000000 00000000* +L0042400 00000000 00000000 00000000 00000000* +L0042432 00000000 00000000 00000000 00000000* +L0042464 00000000 00000000 00000000 00000000* +L0042496 00000000 00000000 00000000 00000000* +L0042528 00000000 00000000 00000000 00000000* +L0042560 00000000 00000000 00000000 00000000* +L0042592 00000000 00000000 00000000 00000000* +L0042624 000000 100000 000000 000000* +L0042648 000000 000000 000000 000000* +L0042672 000000 000000 000000 000000* +L0042696 000000 000000 000000 000000* +L0042720 000000 000000 000000 000000* +L0042744 000000 000000 000000 000000* +L0042768 00000000 00000000 00000000 00000000* +L0042800 00000000 00000000 00010000 00000000* +L0042832 00000000 00000000 00000000 00000000* +L0042864 00000000 00000000 00000000 00000000* +L0042896 00000000 00000000 00000000 00000000* +L0042928 00000000 00000000 00000000 00000000* +L0042960 00000000 00000000 00000000 00000000* +L0042992 00000000 00000000 00000000 00000000* +L0043024 00000000 00000000 00000000 00000000* +L0043056 000000 000000 100000 000000* +L0043080 000000 000000 000000 000000* +L0043104 000000 000000 000000 000000* +L0043128 000000 000000 000000 000000* +L0043152 000000 000000 000000 000000* +L0043176 000000 000000 000000 000000* +L0043200 00000000 00000000 00000000 00000000* +L0043232 00000000 10000000 00000000 00000000* +L0043264 00000000 10000000 00000000 00000000* +L0043296 00000000 00000000 00000000 00000000* +L0043328 00000000 00000000 00000000 00000000* +L0043360 00000000 00000000 00000000 00000000* +L0043392 00010000 00000000 00000000 00000000* +L0043424 00000000 10000000 00000000 00000000* +L0043456 00000000 00000000 00000000 00000000* +L0043488 000000 100000 000000 000000* +L0043512 000000 000000 000000 000000* +L0043536 000000 000000 000000 000000* +L0043560 000000 000000 000000 000000* +L0043584 000000 000000 000000 000000* +L0043608 000000 000000 000000 000000* +L0043632 00000000 00000000 00000000 00000000* +L0043664 00000000 00000000 00000000 00000000* +L0043696 00000000 00000000 00000000 00000000* +L0043728 00000000 00000000 00000100 00000000* +L0043760 00000000 00000000 00000000 00000000* +L0043792 00000000 00000000 00000000 00000000* +L0043824 00000000 00000000 00000000 00000000* +L0043856 00000000 00000000 00000000 00000000* +L0043888 00100000 00000000 00000100 00000000* +L0043920 000100 000000 000000 000000* +L0043944 000000 100000 000000 000000* +L0043968 000000 100000 100000 000000* +L0043992 000000 100000 000000 000000* +L0044016 000000 100000 000000 000000* +L0044040 000000 000000 000000 000000* +L0044064 00000000 00000000 00000000 00000000* +L0044096 00000000 00000000 00000000 00000000* +L0044128 00000000 00000000 00000000 00000000* +L0044160 00000000 00000000 00000000 00000000* +L0044192 00000000 00000000 00000000 00000000* +L0044224 00000000 00000000 00000000 00000000* +L0044256 00000000 00000000 00000000 00000000* +L0044288 00000000 00000000 00000000 00000000* +L0044320 00000000 00000000 00000000 00000000* +L0044352 000000 000000 000000 000000* +L0044376 000000 000000 000000 000000* +L0044400 000000 000000 000000 000000* +L0044424 000000 000000 000000 000000* +L0044448 000000 000000 000000 000000* +L0044472 000000 000000 000000 000000* +L0044496 00000000 00000000 00000000 00000000* +L0044528 00000000 00000000 00000000 00000000* +L0044560 00000000 00000000 00000000 00000000* +L0044592 00000000 00000000 00000000 00000000* +L0044624 00000000 00000000 00000000 00000000* +L0044656 00000000 00000000 01000000 00000000* +L0044688 00000000 00000000 00000000 00000000* +L0044720 00000000 00000000 00000000 00000000* +L0044752 00000000 00000000 00000000 00000000* +L0044784 000000 000000 000000 000000* +L0044808 000000 000000 000000 000000* +L0044832 000000 000000 000000 000000* +L0044856 000000 000000 000000 000000* +L0044880 000000 000000 000001 000000* +L0044904 010000 000000 000000 000000* +L0044928 00000000 00000000 00000000 00000000* +L0044960 00000100 00000000 00000000 00000000* +L0044992 00000000 00000000 00000000 00000000* +L0045024 00000000 00000000 00000000 00000000* +L0045056 00000000 00000000 00000000 00000000* +L0045088 00000000 00000000 00000000 00000000* +L0045120 00000000 00000000 00000000 00000000* +L0045152 00000000 00000000 01000000 00000000* +L0045184 00000000 00000000 00000000 00000000* +L0045216 000000 000000 000000 000000* +L0045240 000000 000000 000000 000000* +L0045264 000000 000000 000000 000000* +L0045288 000000 000000 000000 000000* +L0045312 000000 000000 000001 000000* +L0045336 000000 000000 000000 000000* +L0045360 00000000 00000000 00000000 00000000* +L0045392 00000000 00000000 00000000 00000000* +L0045424 00000000 00000000 00000000 00000000* +L0045456 00000000 00000000 00000000 00000000* +L0045488 00000100 00000000 00000000 00000000* +L0045520 00000000 00000000 00000000 00000000* +L0045552 00000000 00000000 00000000 00000000* +L0045584 00000000 00000000 00000000 00000000* +L0045616 00000000 00000000 00000000 00000000* +L0045648 000000 000000 000000 000000* +L0045672 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000* +L0045720 000000 000000 000000 000000* +L0045744 010000 000000 000000 000000* +L0045768 000000 000000 000000 000000* +L0045792 00000000 00000000 00000000 00000000* +L0045824 00000000 00000000 00000000 00000000* +L0045856 00000000 00000000 00000000 00000000* +L0045888 00000000 00000000 00000000 00000000* +L0045920 00000000 00000000 00000000 00000000* +L0045952 00000000 00000000 00000000 00000000* +L0045984 00000000 00000000 00000000 00000000* +L0046016 00000000 00000000 00000000 00000000* +L0046048 00000000 00000000 00000000 00000000* +L0046080 000000 000000 000000 100000* +L0046104 000000 000000 000000 000000* +L0046128 000000 000000 000000 000000* +L0046152 000000 000000 000000 000000* +L0046176 000000 000000 000000 000000* +L0046200 000000 000000 000000 000000* +L0046224 00000000 00000000 00000000 00000000* +L0046256 00000000 00000000 00000000 00000000* +L0046288 00000000 00000000 00000000 00000000* +L0046320 00000000 00000000 00000000 00000000* +L0046352 00000000 00000000 00000000 00000000* +L0046384 01100100 00000000 00000000 00000000* +L0046416 01100100 00000000 00000000 10000000* +L0046448 01100100 00000000 00000000 00000000* +L0046480 01100100 00000000 00000000 00000000* +L0046512 011001 000000 000000 000000* +L0046536 010010 000000 000000 000000* +L0046560 010010 000000 000010 000000* +L0046584 010010 000000 000000 000000* +L0046608 010010 000000 000000 000000* +L0046632 010000 000000 000000 000000* +CDFEE* +1A8C diff --git a/spi6502b.mfd b/spi6502b.mfd new file mode 100644 index 0000000..96cfda5 --- /dev/null +++ b/spi6502b.mfd @@ -0,0 +1,843 @@ +MDF Database: version 1.0 +MDF_INFO | spi6502b | XC9572XL-10-PC44 +MACROCELL | 1 | 1 | int_mosi +ATTRIBUTES | 8652706 | 0 +INPUTS | 12 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<5> | shifting2 | spidataout<1> | start_shifting/start_shifting_RSTF__$INT.EXP | EXP6_.EXP | $OpTx$INV$22__$INT | cpu_Nres | tmo +INPUTMC | 11 | 2 | 15 | 2 | 16 | 3 | 9 | 2 | 2 | 3 | 6 | 2 | 0 | 0 | 2 | 1 | 0 | 1 | 2 | 2 | 3 | 0 | 6 +INPUTP | 1 | 49 +IMPORTS | 2 | 1 | 0 | 1 | 2 +EQ | 21 | + !spi_mosi.D = shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<1> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<5> & shifting2 +;Imported pterms FB2_1 + # shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<3> & shifting2 + # !shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<7> & shifting2 +;Imported pterms FB2_3 + # shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<0> & shifting2 + # shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<2> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<4> & shifting2 + # !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<6> & shifting2; + spi_mosi.CLK = !$OpTx$INV$22__$INT; + spi_mosi.AP = !cpu_Nres; + spi_mosi.OE = !tmo; + +MACROCELL | 3 | 10 | slavesel<0> +ATTRIBUTES | 4588514 | 0 +OUTPUTMC | 4 | 3 | 10 | 3 | 0 | 0 | 4 | 3 | 13 +INPUTS | 8 | spi_Nsel<0> | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 10 +INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +EQ | 7 | + spi_Nsel<0>.T = spi_Nsel<0> & cpu_a<1> & cpu_a<0> & + !cpu_d<0>.PIN + # !spi_Nsel<0> & cpu_a<1> & cpu_a<0> & + cpu_d<0>.PIN; + !spi_Nsel<0>.CLK = cs1 & !Ncs2; + spi_Nsel<0>.AP = !cpu_Nres; + spi_Nsel<0>.CE = !cpu_rnw; + +MACROCELL | 3 | 7 | slavesel<1> +ATTRIBUTES | 4588514 | 0 +OUTPUTMC | 3 | 3 | 7 | 3 | 0 | 0 | 5 +INPUTS | 8 | spi_Nsel<1> | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 7 +INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +EQ | 7 | + spi_Nsel<1>.T = spi_Nsel<1> & cpu_a<1> & cpu_a<0> & + !cpu_d<1>.PIN + # !spi_Nsel<1> & cpu_a<1> & cpu_a<0> & + cpu_d<1>.PIN; + !spi_Nsel<1>.CLK = cs1 & !Ncs2; + spi_Nsel<1>.AP = !cpu_Nres; + spi_Nsel<1>.CE = !cpu_rnw; + +MACROCELL | 3 | 4 | slavesel<2> +ATTRIBUTES | 4588514 | 0 +OUTPUTMC | 3 | 3 | 4 | 3 | 17 | 0 | 7 +INPUTS | 8 | spi_Nsel<2> | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 4 +INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +EQ | 7 | + spi_Nsel<2>.T = spi_Nsel<2> & cpu_a<1> & cpu_a<0> & + !cpu_d<2>.PIN + # !spi_Nsel<2> & cpu_a<1> & cpu_a<0> & + cpu_d<2>.PIN; + !spi_Nsel<2>.CLK = cs1 & !Ncs2; + spi_Nsel<2>.AP = !cpu_Nres; + spi_Nsel<2>.CE = !cpu_rnw; + +MACROCELL | 3 | 1 | slavesel<3> +ATTRIBUTES | 4588514 | 0 +OUTPUTMC | 3 | 3 | 1 | 3 | 17 | 0 | 14 +INPUTS | 8 | spi_Nsel<3> | cpu_a<1> | cpu_a<0> | cpu_d<3>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 1 +INPUTP | 7 | 59 | 52 | 26 | 50 | 46 | 49 | 24 +EQ | 7 | + spi_Nsel<3>.T = spi_Nsel<3> & cpu_a<1> & cpu_a<0> & + !cpu_d<3>.PIN + # !spi_Nsel<3> & cpu_a<1> & cpu_a<0> & + cpu_d<3>.PIN; + !spi_Nsel<3>.CLK = cs1 & !Ncs2; + spi_Nsel<3>.AP = !cpu_Nres; + spi_Nsel<3>.CE = !cpu_rnw; + +MACROCELL | 0 | 15 | cpol +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 3 | 0 | 15 | 3 | 16 | 0 | 5 +INPUTS | 8 | cpol | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 15 +INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +EQ | 5 | + cpol.T = cpol & !cpu_a<1> & cpu_a<0> & !cpu_d<1>.PIN + # !cpol & !cpu_a<1> & cpu_a<0> & cpu_d<1>.PIN; + !cpol.CLK = cs1 & !Ncs2; + cpol.AR = !cpu_Nres; + cpol.CE = !cpu_rnw; + +MACROCELL | 0 | 10 | ece +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 3 | 0 | 10 | 0 | 7 | 2 | 3 +INPUTS | 8 | ece | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 10 +INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +EQ | 5 | + ece.T = ece & !cpu_a<1> & cpu_a<0> & !cpu_d<2>.PIN + # !ece & !cpu_a<1> & cpu_a<0> & cpu_d<2>.PIN; + !ece.CLK = cs1 & !Ncs2; + ece.AR = !cpu_Nres; + ece.CE = !cpu_rnw; + +MACROCELL | 0 | 17 | cpha +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 4 | 0 | 17 | 3 | 16 | 0 | 4 | 3 | 15 +INPUTS | 8 | cpha | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 17 +INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +EQ | 5 | + cpha.T = cpha & !cpu_a<1> & cpu_a<0> & !cpu_d<0>.PIN + # !cpha & !cpu_a<1> & cpu_a<0> & cpu_d<0>.PIN; + !cpha.CLK = cs1 & !Ncs2; + cpha.AR = !cpu_Nres; + cpha.CE = !cpu_rnw; + +MACROCELL | 0 | 9 | frx +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 3 | 0 | 9 | 2 | 5 | 0 | 16 +INPUTS | 8 | frx | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 9 +INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +EQ | 5 | + frx.T = frx & !cpu_a<1> & cpu_a<0> & !cpu_d<4>.PIN + # !frx & !cpu_a<1> & cpu_a<0> & cpu_d<4>.PIN; + !frx.CLK = cs1 & !Ncs2; + frx.AR = !cpu_Nres; + frx.CE = !cpu_rnw; + +MACROCELL | 3 | 15 | ier +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 5 | 3 | 15 | 2 | 4 | 2 | 17 | 3 | 14 | 3 | 16 +INPUTS | 13 | ier | cpu_a<1> | cpu_a<0> | cpu_d<6>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw | cpha | shiftcnt<0> | shiftdone | shifting2 | slaveinten<1>.EXP +INPUTMC | 6 | 3 | 15 | 0 | 17 | 3 | 2 | 2 | 2 | 2 | 0 | 3 | 14 +INPUTP | 7 | 59 | 52 | 31 | 50 | 46 | 49 | 24 +EXPORTS | 1 | 3 | 16 +IMPORTS | 1 | 3 | 14 +EQ | 8 | + ier.T = !ier & !cpu_a<1> & cpu_a<0> & cpu_d<6>.PIN +;Imported pterms FB4_15 + # ier & !cpu_a<1> & cpu_a<0> & !cpu_d<6>.PIN; + !ier.CLK = cs1 & !Ncs2; + ier.AR = !cpu_Nres; + ier.CE = !cpu_rnw; + ier.EXP = cpu_Nres & cpha & !shiftcnt<0> & !shiftdone & + shifting2 + +MACROCELL | 0 | 8 | slaveinten<0> +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 3 | 0 | 8 | 0 | 16 | 2 | 17 +INPUTS | 8 | slaveinten<0> | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 8 +INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +EQ | 7 | + slaveinten<0>.T = slaveinten<0> & cpu_a<1> & cpu_a<0> & + !cpu_d<4>.PIN + # !slaveinten<0> & cpu_a<1> & cpu_a<0> & + cpu_d<4>.PIN; + !slaveinten<0>.CLK = cs1 & !Ncs2; + slaveinten<0>.AR = !cpu_Nres; + slaveinten<0>.CE = !cpu_rnw; + +MACROCELL | 3 | 14 | slaveinten<1> +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 5 | 3 | 14 | 2 | 1 | 2 | 17 | 3 | 13 | 3 | 15 +INPUTS | 11 | slaveinten<1> | cpu_a<1> | cpu_a<0> | cpu_d<5>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw | ier | cpu_d<6>.PIN | diag_OBUF.EXP +INPUTMC | 3 | 3 | 14 | 3 | 15 | 3 | 13 +INPUTP | 8 | 59 | 52 | 29 | 50 | 46 | 49 | 24 | 31 +EXPORTS | 1 | 3 | 15 +IMPORTS | 1 | 3 | 13 +EQ | 9 | + slaveinten<1>.T = !slaveinten<1> & cpu_a<1> & cpu_a<0> & + cpu_d<5>.PIN +;Imported pterms FB4_14 + # slaveinten<1> & cpu_a<1> & cpu_a<0> & + !cpu_d<5>.PIN; + !slaveinten<1>.CLK = cs1 & !Ncs2; + slaveinten<1>.AR = !cpu_Nres; + slaveinten<1>.CE = !cpu_rnw; + slaveinten<1>.EXP = ier & !cpu_a<1> & cpu_a<0> & !cpu_d<6>.PIN + +MACROCELL | 3 | 12 | slaveinten<2> +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 3 | 3 | 12 | 2 | 4 | 2 | 17 +INPUTS | 8 | slaveinten<2> | cpu_a<1> | cpu_a<0> | cpu_d<6>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 12 +INPUTP | 7 | 59 | 52 | 31 | 50 | 46 | 49 | 24 +EQ | 7 | + slaveinten<2>.T = slaveinten<2> & cpu_a<1> & cpu_a<0> & + !cpu_d<6>.PIN + # !slaveinten<2> & cpu_a<1> & cpu_a<0> & + cpu_d<6>.PIN; + !slaveinten<2>.CLK = cs1 & !Ncs2; + slaveinten<2>.AR = !cpu_Nres; + slaveinten<2>.CE = !cpu_rnw; + +MACROCELL | 3 | 11 | slaveinten<3> +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 3 | 3 | 11 | 2 | 7 | 2 | 17 +INPUTS | 8 | slaveinten<3> | cpu_a<1> | cpu_a<0> | cpu_d<7>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 11 +INPUTP | 7 | 59 | 52 | 33 | 50 | 46 | 49 | 24 +EQ | 7 | + slaveinten<3>.T = slaveinten<3> & cpu_a<1> & cpu_a<0> & + !cpu_d<7>.PIN + # !slaveinten<3> & cpu_a<1> & cpu_a<0> & + cpu_d<7>.PIN; + !slaveinten<3>.CLK = cs1 & !Ncs2; + slaveinten<3>.AR = !cpu_Nres; + slaveinten<3>.CE = !cpu_rnw; + +MACROCELL | 0 | 6 | tmo +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 3 | 1 | 1 | 0 | 6 | 0 | 14 +INPUTS | 8 | tmo | cpu_a<1> | cpu_a<0> | cpu_d<3>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 6 +INPUTP | 7 | 59 | 52 | 26 | 50 | 46 | 49 | 24 +EQ | 5 | + tmo.T = tmo & !cpu_a<1> & cpu_a<0> & !cpu_d<3>.PIN + # !tmo & !cpu_a<1> & cpu_a<0> & cpu_d<3>.PIN; + !tmo.CLK = cs1 & !Ncs2; + tmo.AR = !cpu_Nres; + tmo.CE = !cpu_rnw; + +MACROCELL | 0 | 13 | divisor<0> +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 2 | 0 | 13 | 0 | 4 +INPUTS | 8 | divisor<0> | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 13 +INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +EQ | 5 | + divisor<0>.T = divisor<0> & cpu_a<1> & !cpu_a<0> & !cpu_d<0>.PIN + # !divisor<0> & cpu_a<1> & !cpu_a<0> & cpu_d<0>.PIN; + !divisor<0>.CLK = cs1 & !Ncs2; + divisor<0>.AR = !cpu_Nres; + divisor<0>.CE = !cpu_rnw; + +MACROCELL | 0 | 12 | divisor<1> +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 2 | 0 | 12 | 0 | 5 +INPUTS | 8 | divisor<1> | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 12 +INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +EQ | 5 | + divisor<1>.T = divisor<1> & cpu_a<1> & !cpu_a<0> & !cpu_d<1>.PIN + # !divisor<1> & cpu_a<1> & !cpu_a<0> & cpu_d<1>.PIN; + !divisor<1>.CLK = cs1 & !Ncs2; + divisor<1>.AR = !cpu_Nres; + divisor<1>.CE = !cpu_rnw; + +MACROCELL | 0 | 11 | divisor<2> +ATTRIBUTES | 4326256 | 0 +OUTPUTMC | 2 | 0 | 11 | 0 | 7 +INPUTS | 8 | divisor<2> | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 11 +INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +EQ | 5 | + divisor<2>.T = divisor<2> & cpu_a<1> & !cpu_a<0> & !cpu_d<2>.PIN + # !divisor<2> & cpu_a<1> & !cpu_a<0> & cpu_d<2>.PIN; + !divisor<2>.CLK = cs1 & !Ncs2; + divisor<2>.AR = !cpu_Nres; + divisor<2>.CE = !cpu_rnw; + +MACROCELL | 3 | 17 | spidatain<0> +ATTRIBUTES | 8520560 | 0 +OUTPUTMC | 2 | 2 | 14 | 0 | 4 +INPUTS | 9 | spi_Nsel<3> | spi_miso<3> | spi_Nsel<2> | spi_miso<2> | tc.EXP | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 6 | 3 | 1 | 3 | 4 | 3 | 0 | 2 | 3 | 3 | 2 | 2 | 0 +INPUTP | 3 | 89 | 90 | 49 +IMPORTS | 1 | 3 | 0 +EQ | 8 | + spidatain<0>.D = !spi_Nsel<2> & spi_miso<2> + # !spi_Nsel<3> & spi_miso<3> +;Imported pterms FB4_1 + # !spi_Nsel<0> & spi_miso<0> + # !spi_Nsel<1> & spi_miso<1>; + spidatain<0>.CLK = !$OpTx$INV$22__$INT; + spidatain<0>.AR = !cpu_Nres; + spidatain<0>.CE = shiftcnt<0> & shifting2; + +MACROCELL | 2 | 14 | spidatain<1> +ATTRIBUTES | 8520560 | 0 +OUTPUTMC | 2 | 2 | 13 | 0 | 5 +INPUTS | 5 | spidatain<0> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 3 | 17 | 2 | 3 | 3 | 2 | 2 | 0 +INPUTP | 1 | 49 +EQ | 4 | + spidatain<1>.D = spidatain<0>; + spidatain<1>.CLK = !$OpTx$INV$22__$INT; + spidatain<1>.AR = !cpu_Nres; + spidatain<1>.CE = shiftcnt<0> & shifting2; + +MACROCELL | 2 | 13 | spidatain<2> +ATTRIBUTES | 8520560 | 0 +OUTPUTMC | 2 | 2 | 12 | 0 | 7 +INPUTS | 5 | spidatain<1> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 2 | 14 | 2 | 3 | 3 | 2 | 2 | 0 +INPUTP | 1 | 49 +EQ | 4 | + spidatain<2>.D = spidatain<1>; + spidatain<2>.CLK = !$OpTx$INV$22__$INT; + spidatain<2>.AR = !cpu_Nres; + spidatain<2>.CE = shiftcnt<0> & shifting2; + +MACROCELL | 2 | 12 | spidatain<3> +ATTRIBUTES | 8520560 | 0 +OUTPUTMC | 2 | 2 | 11 | 0 | 14 +INPUTS | 5 | spidatain<2> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 2 | 13 | 2 | 3 | 3 | 2 | 2 | 0 +INPUTP | 1 | 49 +EQ | 4 | + spidatain<3>.D = spidatain<2>; + spidatain<3>.CLK = !$OpTx$INV$22__$INT; + spidatain<3>.AR = !cpu_Nres; + spidatain<3>.CE = shiftcnt<0> & shifting2; + +MACROCELL | 2 | 11 | spidatain<4> +ATTRIBUTES | 8520560 | 0 +OUTPUTMC | 2 | 2 | 10 | 0 | 16 +INPUTS | 5 | spidatain<3> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 2 | 12 | 2 | 3 | 3 | 2 | 2 | 0 +INPUTP | 1 | 49 +EQ | 4 | + spidatain<4>.D = spidatain<3>; + spidatain<4>.CLK = !$OpTx$INV$22__$INT; + spidatain<4>.AR = !cpu_Nres; + spidatain<4>.CE = shiftcnt<0> & shifting2; + +MACROCELL | 2 | 10 | spidatain<5> +ATTRIBUTES | 8520560 | 0 +OUTPUTMC | 2 | 2 | 9 | 2 | 1 +INPUTS | 5 | spidatain<4> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 2 | 11 | 2 | 3 | 3 | 2 | 2 | 0 +INPUTP | 1 | 49 +EQ | 4 | + spidatain<5>.D = spidatain<4>; + spidatain<5>.CLK = !$OpTx$INV$22__$INT; + spidatain<5>.AR = !cpu_Nres; + spidatain<5>.CE = shiftcnt<0> & shifting2; + +MACROCELL | 2 | 9 | spidatain<6> +ATTRIBUTES | 8520560 | 0 +OUTPUTMC | 2 | 2 | 6 | 2 | 4 +INPUTS | 5 | spidatain<5> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 2 | 10 | 2 | 3 | 3 | 2 | 2 | 0 +INPUTP | 1 | 49 +EQ | 4 | + spidatain<6>.D = spidatain<5>; + spidatain<6>.CLK = !$OpTx$INV$22__$INT; + spidatain<6>.AR = !cpu_Nres; + spidatain<6>.CE = shiftcnt<0> & shifting2; + +MACROCELL | 2 | 6 | spidatain<7> +ATTRIBUTES | 8520560 | 0 +OUTPUTMC | 1 | 2 | 7 +INPUTS | 5 | spidatain<6> | $OpTx$INV$22__$INT | cpu_Nres | shiftcnt<0> | shifting2 +INPUTMC | 4 | 2 | 9 | 2 | 3 | 3 | 2 | 2 | 0 +INPUTP | 1 | 49 +EQ | 4 | + spidatain<7>.D = spidatain<6>; + spidatain<7>.CLK = !$OpTx$INV$22__$INT; + spidatain<7>.AR = !cpu_Nres; + spidatain<7>.CE = shiftcnt<0> & shifting2; + +MACROCELL | 3 | 16 | int_sclk +ATTRIBUTES | 8651698 | 0 +INPUTS | 8 | cpol | cpu_Nres | cpha | shiftcnt<0> | shiftdone | shifting2 | $OpTx$INV$22__$INT | ier.EXP +INPUTMC | 7 | 0 | 15 | 0 | 17 | 3 | 2 | 2 | 2 | 2 | 0 | 2 | 3 | 3 | 15 +INPUTP | 1 | 49 +IMPORTS | 1 | 3 | 15 +EQ | 9 | + spi_sclk.D = cpol + $ cpu_Nres & !cpha & shiftcnt<0> & !shiftdone & + shifting2 +;Imported pterms FB4_16 + # cpu_Nres & cpha & !shiftcnt<0> & !shiftdone & + shifting2; + spi_sclk.CLK = !$OpTx$INV$22__$INT; + spi_sclk.AP = !cpu_Nres & cpol; + spi_sclk.AR = !cpu_Nres & !cpol; + +MACROCELL | 2 | 15 | shiftcnt<3> +ATTRIBUTES | 4326192 | 0 +OUTPUTMC | 5 | 1 | 1 | 2 | 15 | 2 | 2 | 1 | 0 | 1 | 2 +INPUTS | 7 | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<3> | $OpTx$INV$22__$INT | cpu_Nres +INPUTMC | 6 | 2 | 16 | 3 | 2 | 3 | 9 | 2 | 0 | 2 | 15 | 2 | 3 +INPUTP | 1 | 49 +EQ | 5 | + shiftcnt<3>.T = shiftcnt<3> & !shifting2 + # shiftcnt<2> & shiftcnt<0> & shiftcnt<1> & + shifting2; + shiftcnt<3>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<3>.AR = !cpu_Nres; + +MACROCELL | 2 | 16 | shiftcnt<2> +ATTRIBUTES | 4326192 | 0 +OUTPUTMC | 6 | 1 | 1 | 2 | 15 | 2 | 16 | 2 | 2 | 1 | 0 | 1 | 2 +INPUTS | 6 | shiftcnt<0> | shiftcnt<1> | shifting2 | shiftcnt<2> | $OpTx$INV$22__$INT | cpu_Nres +INPUTMC | 5 | 3 | 2 | 3 | 9 | 2 | 0 | 2 | 16 | 2 | 3 +INPUTP | 1 | 49 +EQ | 4 | + shiftcnt<2>.T = shiftcnt<2> & !shifting2 + # shiftcnt<0> & shiftcnt<1> & shifting2; + shiftcnt<2>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<2>.AR = !cpu_Nres; + +MACROCELL | 3 | 2 | shiftcnt<0> +ATTRIBUTES | 8520496 | 0 +OUTPUTMC | 15 | 3 | 17 | 2 | 14 | 2 | 13 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 6 | 3 | 16 | 2 | 15 | 2 | 16 | 3 | 2 | 3 | 9 | 2 | 2 | 3 | 15 +INPUTS | 4 | shiftcnt<0> | shifting2 | $OpTx$INV$22__$INT | cpu_Nres +INPUTMC | 3 | 3 | 2 | 2 | 0 | 2 | 3 +INPUTP | 1 | 49 +EQ | 3 | + shiftcnt<0>.D = !shiftcnt<0> & shifting2; + shiftcnt<0>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<0>.AR = !cpu_Nres; + +MACROCELL | 3 | 9 | shiftcnt<1> +ATTRIBUTES | 8520496 | 0 +OUTPUTMC | 7 | 1 | 1 | 2 | 15 | 2 | 16 | 3 | 9 | 2 | 2 | 1 | 0 | 1 | 2 +INPUTS | 5 | shiftcnt<0> | shiftcnt<1> | shifting2 | $OpTx$INV$22__$INT | cpu_Nres +INPUTMC | 4 | 3 | 2 | 3 | 9 | 2 | 0 | 2 | 3 +INPUTP | 1 | 49 +EQ | 4 | + shiftcnt<1>.D = shiftcnt<0> & !shiftcnt<1> & shifting2 + # !shiftcnt<0> & shiftcnt<1> & shifting2; + shiftcnt<1>.CLK = !$OpTx$INV$22__$INT; + shiftcnt<1>.AR = !cpu_Nres; + +MACROCELL | 2 | 2 | shiftdone +ATTRIBUTES | 8520496 | 0 +OUTPUTMC | 7 | 1 | 1 | 3 | 16 | 3 | 0 | 2 | 0 | 1 | 0 | 1 | 2 | 3 | 15 +INPUTS | 6 | shiftcnt<3> | shiftcnt<2> | shiftcnt<0> | shiftcnt<1> | $OpTx$INV$22__$INT | cpu_Nres +INPUTMC | 5 | 2 | 15 | 2 | 16 | 3 | 2 | 3 | 9 | 2 | 3 +INPUTP | 1 | 49 +EQ | 4 | + shiftdone.D = shiftcnt<3> & shiftcnt<2> & shiftcnt<0> & + shiftcnt<1>; + shiftdone.CLK = !$OpTx$INV$22__$INT; + shiftdone.AR = !cpu_Nres; + +MACROCELL | 2 | 5 | start_shifting +ATTRIBUTES | 4326192 | 0 +OUTPUTMC | 5 | 2 | 5 | 2 | 1 | 2 | 0 | 3 | 13 | 2 | 3 +INPUTS | 8 | frx | start_shifting | cpu_a<1> | cpu_a<0> | cpu_rnw | cs1 | Ncs2 | start_shifting/start_shifting_RSTF__$INT +INPUTMC | 3 | 0 | 9 | 2 | 5 | 1 | 0 +INPUTP | 5 | 59 | 52 | 24 | 50 | 46 +EQ | 4 | + start_shifting.T = !cpu_rnw & !start_shifting & !cpu_a<1> & !cpu_a<0> + # frx & !start_shifting & !cpu_a<1> & !cpu_a<0>; + !start_shifting.CLK = cs1 & !Ncs2; + start_shifting.AR = !start_shifting/start_shifting_RSTF__$INT; + +MACROCELL | 3 | 0 | tc +ATTRIBUTES | 8520672 | 0 +OUTPUTMC | 3 | 2 | 7 | 2 | 17 | 3 | 17 +INPUTS | 9 | cs1 | Ncs2 | shiftdone | cpu_a<1> | cpu_a<0> | spi_Nsel<0> | spi_miso<0> | spi_Nsel<1> | spi_miso<1> +INPUTMC | 3 | 2 | 2 | 3 | 10 | 3 | 7 +INPUTP | 6 | 50 | 46 | 59 | 52 | 10 | 9 +EXPORTS | 1 | 3 | 17 +EQ | 6 | + tc.D = Gnd; + !tc.CLK = cs1 & !Ncs2; + tc.AP = shiftdone; + tc.CE = !cpu_a<1> & !cpu_a<0>; + tc.EXP = !spi_Nsel<0> & spi_miso<0> + # !spi_Nsel<1> & spi_miso<1> + +MACROCELL | 0 | 3 | spidataout<0> +ATTRIBUTES | 4326240 | 0 +OUTPUTMC | 2 | 0 | 3 | 1 | 2 +INPUTS | 8 | spidataout<0> | cpu_a<1> | cpu_a<0> | cpu_d<0>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 3 +INPUTP | 7 | 59 | 52 | 12 | 50 | 46 | 49 | 24 +EQ | 6 | + spidataout<0>.T = spidataout<0> & !cpu_a<1> & !cpu_a<0> & + !cpu_d<0>.PIN + # !spidataout<0> & !cpu_a<1> & !cpu_a<0> & + cpu_d<0>.PIN; + !spidataout<0>.CLK = cs1 & !Ncs2; + spidataout<0>.CE = cpu_Nres & !cpu_rnw; + +MACROCELL | 0 | 2 | spidataout<1> +ATTRIBUTES | 4326240 | 0 +OUTPUTMC | 2 | 1 | 1 | 0 | 2 +INPUTS | 8 | spidataout<1> | cpu_a<1> | cpu_a<0> | cpu_d<1>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 2 +INPUTP | 7 | 59 | 52 | 13 | 50 | 46 | 49 | 24 +EQ | 6 | + spidataout<1>.T = spidataout<1> & !cpu_a<1> & !cpu_a<0> & + !cpu_d<1>.PIN + # !spidataout<1> & !cpu_a<1> & !cpu_a<0> & + cpu_d<1>.PIN; + !spidataout<1>.CLK = cs1 & !Ncs2; + spidataout<1>.CE = cpu_Nres & !cpu_rnw; + +MACROCELL | 0 | 1 | spidataout<2> +ATTRIBUTES | 4326240 | 0 +OUTPUTMC | 2 | 0 | 1 | 1 | 2 +INPUTS | 8 | spidataout<2> | cpu_a<1> | cpu_a<0> | cpu_d<2>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 1 +INPUTP | 7 | 59 | 52 | 15 | 50 | 46 | 49 | 24 +EQ | 6 | + spidataout<2>.T = spidataout<2> & !cpu_a<1> & !cpu_a<0> & + !cpu_d<2>.PIN + # !spidataout<2> & !cpu_a<1> & !cpu_a<0> & + cpu_d<2>.PIN; + !spidataout<2>.CLK = cs1 & !Ncs2; + spidataout<2>.CE = cpu_Nres & !cpu_rnw; + +MACROCELL | 0 | 0 | spidataout<3> +ATTRIBUTES | 4326240 | 0 +OUTPUTMC | 2 | 1 | 0 | 0 | 0 +INPUTS | 8 | spidataout<3> | cpu_a<1> | cpu_a<0> | cpu_d<3>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 0 | 0 +INPUTP | 7 | 59 | 52 | 26 | 50 | 46 | 49 | 24 +EQ | 6 | + spidataout<3>.T = spidataout<3> & !cpu_a<1> & !cpu_a<0> & + !cpu_d<3>.PIN + # !spidataout<3> & !cpu_a<1> & !cpu_a<0> & + cpu_d<3>.PIN; + !spidataout<3>.CLK = cs1 & !Ncs2; + spidataout<3>.CE = cpu_Nres & !cpu_rnw; + +MACROCELL | 3 | 8 | spidataout<4> +ATTRIBUTES | 4326240 | 0 +OUTPUTMC | 2 | 3 | 8 | 1 | 2 +INPUTS | 8 | spidataout<4> | cpu_a<1> | cpu_a<0> | cpu_d<4>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 8 +INPUTP | 7 | 59 | 52 | 27 | 50 | 46 | 49 | 24 +EQ | 6 | + spidataout<4>.T = spidataout<4> & !cpu_a<1> & !cpu_a<0> & + !cpu_d<4>.PIN + # !spidataout<4> & !cpu_a<1> & !cpu_a<0> & + cpu_d<4>.PIN; + !spidataout<4>.CLK = cs1 & !Ncs2; + spidataout<4>.CE = cpu_Nres & !cpu_rnw; + +MACROCELL | 3 | 6 | spidataout<5> +ATTRIBUTES | 4326240 | 0 +OUTPUTMC | 2 | 1 | 1 | 3 | 6 +INPUTS | 8 | spidataout<5> | cpu_a<1> | cpu_a<0> | cpu_d<5>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 6 +INPUTP | 7 | 59 | 52 | 29 | 50 | 46 | 49 | 24 +EQ | 6 | + spidataout<5>.T = spidataout<5> & !cpu_a<1> & !cpu_a<0> & + !cpu_d<5>.PIN + # !spidataout<5> & !cpu_a<1> & !cpu_a<0> & + cpu_d<5>.PIN; + !spidataout<5>.CLK = cs1 & !Ncs2; + spidataout<5>.CE = cpu_Nres & !cpu_rnw; + +MACROCELL | 3 | 5 | spidataout<6> +ATTRIBUTES | 4326240 | 0 +OUTPUTMC | 2 | 3 | 5 | 1 | 2 +INPUTS | 8 | spidataout<6> | cpu_a<1> | cpu_a<0> | cpu_d<6>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 5 +INPUTP | 7 | 59 | 52 | 31 | 50 | 46 | 49 | 24 +EQ | 6 | + spidataout<6>.T = spidataout<6> & !cpu_a<1> & !cpu_a<0> & + !cpu_d<6>.PIN + # !spidataout<6> & !cpu_a<1> & !cpu_a<0> & + cpu_d<6>.PIN; + !spidataout<6>.CLK = cs1 & !Ncs2; + spidataout<6>.CE = cpu_Nres & !cpu_rnw; + +MACROCELL | 3 | 3 | spidataout<7> +ATTRIBUTES | 4326240 | 0 +OUTPUTMC | 2 | 1 | 0 | 3 | 3 +INPUTS | 8 | spidataout<7> | cpu_a<1> | cpu_a<0> | cpu_d<7>.PIN | cs1 | Ncs2 | cpu_Nres | cpu_rnw +INPUTMC | 1 | 3 | 3 +INPUTP | 7 | 59 | 52 | 33 | 50 | 46 | 49 | 24 +EQ | 6 | + spidataout<7>.T = spidataout<7> & !cpu_a<1> & !cpu_a<0> & + !cpu_d<7>.PIN + # !spidataout<7> & !cpu_a<1> & !cpu_a<0> & + cpu_d<7>.PIN; + !spidataout<7>.CLK = cs1 & !Ncs2; + spidataout<7>.CE = cpu_Nres & !cpu_rnw; + +MACROCELL | 0 | 4 | int_dout<0> +ATTRIBUTES | 265986 | 0 +INPUTS | 10 | cpu_rnw | spidatain<0> | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | cpu_Nphi2 | divisor<0> | cpha | spi_Nsel<0> +INPUTMC | 4 | 3 | 17 | 0 | 13 | 0 | 17 | 3 | 10 +INPUTP | 6 | 24 | 59 | 52 | 50 | 46 | 20 +EQ | 9 | + cpu_d<0> = cpu_rnw & spi_Nsel<0> & cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & cpha & !cpu_a<1> & cpu_a<0> & cs1 & + !Ncs2 & cpu_Nphi2 + # cpu_rnw & divisor<0> & cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & spidatain<0> & !cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<0>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + +MACROCELL | 0 | 5 | int_dout<1> +ATTRIBUTES | 265986 | 0 +INPUTS | 10 | cpu_rnw | spidatain<1> | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | cpu_Nphi2 | divisor<1> | cpol | spi_Nsel<1> +INPUTMC | 4 | 2 | 14 | 0 | 12 | 0 | 15 | 3 | 7 +INPUTP | 6 | 24 | 59 | 52 | 50 | 46 | 20 +EQ | 9 | + cpu_d<1> = cpu_rnw & spi_Nsel<1> & cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & cpol & !cpu_a<1> & cpu_a<0> & cs1 & + !Ncs2 & cpu_Nphi2 + # cpu_rnw & divisor<1> & cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & spidatain<1> & !cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<1>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + +MACROCELL | 0 | 7 | int_dout<2> +ATTRIBUTES | 265986 | 0 +INPUTS | 10 | cpu_rnw | spidatain<2> | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | cpu_Nphi2 | divisor<2> | ece | spi_Nsel<2> +INPUTMC | 4 | 2 | 13 | 0 | 11 | 0 | 10 | 3 | 4 +INPUTP | 6 | 24 | 59 | 52 | 50 | 46 | 20 +EQ | 9 | + cpu_d<2> = cpu_rnw & spi_Nsel<2> & cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & ece & !cpu_a<1> & cpu_a<0> & cs1 & + !Ncs2 & cpu_Nphi2 + # cpu_rnw & divisor<2> & cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & spidatain<2> & !cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<2>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + +MACROCELL | 0 | 14 | int_dout<3> +ATTRIBUTES | 265986 | 0 +INPUTS | 9 | cpu_rnw | spidatain<3> | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | cpu_Nphi2 | tmo | spi_Nsel<3> +INPUTMC | 3 | 2 | 12 | 0 | 6 | 3 | 1 +INPUTP | 6 | 24 | 59 | 52 | 50 | 46 | 20 +EQ | 7 | + cpu_d<3> = cpu_rnw & spi_Nsel<3> & cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & tmo & !cpu_a<1> & cpu_a<0> & cs1 & + !Ncs2 & cpu_Nphi2 + # cpu_rnw & spidatain<3> & !cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2; + cpu_d<3>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + +MACROCELL | 0 | 16 | int_dout<4> +ATTRIBUTES | 265986 | 0 +INPUTS | 10 | cpu_rnw | spidatain<4> | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | cpu_Nphi2 | spi_int<0> | frx | slaveinten<0> +INPUTMC | 3 | 2 | 11 | 0 | 9 | 0 | 8 +INPUTP | 7 | 24 | 59 | 52 | 50 | 46 | 20 | 7 +EQ | 9 | + cpu_d<4> = cpu_rnw & frx & !cpu_a<1> & cpu_a<0> & cs1 & + !Ncs2 & cpu_Nphi2 + # cpu_rnw & slaveinten<0> & cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & spidatain<4> & !cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & cpu_a<1> & !cpu_a<0> & cs1 & !Ncs2 & + !spi_int<0> & cpu_Nphi2; + cpu_d<4>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + +MACROCELL | 2 | 1 | int_dout<5> +ATTRIBUTES | 265986 | 0 +INPUTS | 11 | cpu_rnw | start_shifting | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | cpu_Nphi2 | shifting2 | slaveinten<1> | spidatain<5> | shifting2.EXP +INPUTMC | 5 | 2 | 5 | 2 | 0 | 3 | 14 | 2 | 10 | 2 | 0 +INPUTP | 6 | 24 | 59 | 52 | 50 | 46 | 20 +IMPORTS | 1 | 2 | 0 +EQ | 12 | + cpu_d<5> = cpu_rnw & slaveinten<1> & cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & spidatain<5> & !cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & start_shifting & !cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & !cpu_a<1> & cpu_a<0> & cs1 & !Ncs2 & + shifting2 & cpu_Nphi2 +;Imported pterms FB3_1 + # cpu_rnw & cpu_a<1> & !cpu_a<0> & cs1 & !Ncs2 & + !spi_int<1> & cpu_Nphi2; + cpu_d<5>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + +MACROCELL | 2 | 4 | int_dout<6> +ATTRIBUTES | 265986 | 0 +INPUTS | 10 | cpu_rnw | spidatain<6> | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | cpu_Nphi2 | spi_int<2> | ier | slaveinten<2> +INPUTMC | 3 | 2 | 9 | 3 | 15 | 3 | 12 +INPUTP | 7 | 24 | 59 | 52 | 50 | 46 | 20 | 92 +EQ | 9 | + cpu_d<6> = cpu_rnw & ier & !cpu_a<1> & cpu_a<0> & cs1 & + !Ncs2 & cpu_Nphi2 + # cpu_rnw & slaveinten<2> & cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & spidatain<6> & !cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & cpu_a<1> & !cpu_a<0> & cs1 & !Ncs2 & + !spi_int<2> & cpu_Nphi2; + cpu_d<6>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + +MACROCELL | 2 | 7 | int_dout<7> +ATTRIBUTES | 265986 | 0 +INPUTS | 10 | cpu_rnw | spidatain<7> | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | cpu_Nphi2 | spi_int<3> | tc | slaveinten<3> +INPUTMC | 3 | 2 | 6 | 3 | 0 | 3 | 11 +INPUTP | 7 | 24 | 59 | 52 | 50 | 46 | 20 | 11 +EQ | 9 | + cpu_d<7> = cpu_rnw & slaveinten<3> & cpu_a<1> & cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & spidatain<7> & !cpu_a<1> & !cpu_a<0> & + cs1 & !Ncs2 & cpu_Nphi2 + # cpu_rnw & tc & !cpu_a<1> & cpu_a<0> & cs1 & + !Ncs2 & cpu_Nphi2 + # cpu_rnw & cpu_a<1> & !cpu_a<0> & cs1 & !Ncs2 & + !spi_int<3> & cpu_Nphi2; + cpu_d<7>.OE = cpu_rnw & cs1 & !Ncs2 & cpu_Nphi2; + +MACROCELL | 2 | 0 | shifting2 +ATTRIBUTES | 8520480 | 0 +OUTPUTMC | 20 | 1 | 1 | 3 | 17 | 2 | 14 | 2 | 13 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 6 | 3 | 16 | 2 | 15 | 2 | 16 | 3 | 2 | 3 | 9 | 2 | 1 | 3 | 13 | 2 | 3 | 1 | 0 | 1 | 2 | 3 | 15 +INPUTS | 10 | shiftdone | start_shifting | $OpTx$INV$22__$INT | cpu_rnw | cpu_a<1> | cpu_a<0> | cs1 | Ncs2 | spi_int<1> | cpu_Nphi2 +INPUTMC | 3 | 2 | 2 | 2 | 5 | 2 | 3 +INPUTP | 7 | 24 | 59 | 52 | 50 | 46 | 3 | 20 +EXPORTS | 1 | 2 | 1 +EQ | 4 | + shifting2.D = !shiftdone & start_shifting; + shifting2.CLK = !$OpTx$INV$22__$INT; + shifting2.EXP = cpu_rnw & cpu_a<1> & !cpu_a<0> & cs1 & !Ncs2 & + !spi_int<1> & cpu_Nphi2 + +MACROCELL | 3 | 13 | diag_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 3 | 14 +INPUTS | 7 | spi_Nsel<0> | start_shifting | shifting2 | slaveinten<1> | cpu_a<1> | cpu_a<0> | cpu_d<5>.PIN +INPUTMC | 4 | 3 | 10 | 2 | 5 | 2 | 0 | 3 | 14 +INPUTP | 3 | 59 | 52 | 29 +EXPORTS | 1 | 3 | 14 +EQ | 3 | + diag = spi_Nsel<0> & !start_shifting & !shifting2; + diag_OBUF.EXP = slaveinten<1> & cpu_a<1> & cpu_a<0> & + !cpu_d<5>.PIN + +MACROCELL | 2 | 8 | cpu_Nirq_OBUFE +ATTRIBUTES | 265986 | 0 +INPUTS | 1 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST +INPUTMC | 1 | 2 | 17 +EQ | 2 | + cpu_Nirq = Gnd; + cpu_Nirq.OE = cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST; + +MACROCELL | 2 | 3 | $OpTx$INV$22__$INT +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 16 | 1 | 1 | 3 | 17 | 2 | 14 | 2 | 13 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 6 | 3 | 16 | 2 | 15 | 2 | 16 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 0 +INPUTS | 5 | ece | cpu_Nphi2 | extclk | start_shifting | shifting2 +INPUTMC | 3 | 0 | 10 | 2 | 5 | 2 | 0 +INPUTP | 2 | 20 | 21 +EQ | 3 | + $OpTx$INV$22__$INT = ece & !extclk + # !ece & !cpu_Nphi2 + # !start_shifting & !shifting2; + +MACROCELL | 1 | 0 | start_shifting/start_shifting_RSTF__$INT +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 2 | 2 | 5 | 1 | 1 +INPUTS | 8 | cpu_Nres | shiftdone | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | spidataout<3> | shifting2 | spidataout<7> +INPUTMC | 7 | 2 | 2 | 2 | 15 | 2 | 16 | 3 | 9 | 0 | 0 | 2 | 0 | 3 | 3 +INPUTP | 1 | 49 +EXPORTS | 1 | 1 | 1 +EQ | 5 | + start_shifting/start_shifting_RSTF__$INT = cpu_Nres & !shiftdone; + start_shifting/start_shifting_RSTF__$INT.EXP = shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<3> & shifting2 + # !shiftcnt<3> & !shiftcnt<2> & !shiftcnt<1> & + !shiftdone & !spidataout<7> & shifting2 + +MACROCELL | 2 | 17 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 1 | 2 | 8 +INPUTS | 10 | ier | tc | slaveinten<3> | spi_int<3> | slaveinten<2> | spi_int<2> | slaveinten<0> | spi_int<0> | slaveinten<1> | spi_int<1> +INPUTMC | 6 | 3 | 15 | 3 | 0 | 3 | 11 | 3 | 12 | 0 | 8 | 3 | 14 +INPUTP | 4 | 11 | 92 | 7 | 3 +EQ | 5 | + cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST = ier & tc + # slaveinten<0> & !spi_int<0> + # slaveinten<1> & !spi_int<1> + # slaveinten<2> & !spi_int<2> + # slaveinten<3> & !spi_int<3>; + +MACROCELL | 1 | 2 | EXP6_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 1 | 1 +INPUTS | 9 | shiftcnt<3> | shiftcnt<2> | shiftcnt<1> | shiftdone | spidataout<0> | shifting2 | spidataout<2> | spidataout<4> | spidataout<6> +INPUTMC | 9 | 2 | 15 | 2 | 16 | 3 | 9 | 2 | 2 | 0 | 3 | 2 | 0 | 0 | 1 | 3 | 8 | 3 | 5 +EXPORTS | 1 | 1 | 1 +EQ | 8 | + EXP6_.EXP = shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<0> & shifting2 + # shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<2> & shifting2 + # !shiftcnt<3> & shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<4> & shifting2 + # !shiftcnt<3> & !shiftcnt<2> & shiftcnt<1> & + !shiftdone & !spidataout<6> & shifting2 + +PIN | cpu_Nres | 64 | 0 | N/A | 49 | 41 | 1 | 1 | 3 | 10 | 3 | 7 | 3 | 4 | 3 | 1 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 15 | 0 | 8 | 3 | 14 | 3 | 12 | 3 | 11 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 3 | 17 | 2 | 14 | 2 | 13 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 6 | 3 | 16 | 2 | 15 | 2 | 16 | 3 | 2 | 3 | 9 | 2 | 2 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 1 | 0 +PIN | cpu_rnw | 64 | 0 | N/A | 24 | 35 | 3 | 10 | 3 | 7 | 3 | 4 | 3 | 1 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 15 | 0 | 8 | 3 | 14 | 3 | 12 | 3 | 11 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 2 | 5 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 14 | 0 | 16 | 2 | 1 | 2 | 4 | 2 | 7 | 2 | 0 +PIN | Ncs2 | 64 | 0 | N/A | 46 | 36 | 3 | 10 | 3 | 7 | 3 | 4 | 3 | 1 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 15 | 0 | 8 | 3 | 14 | 3 | 12 | 3 | 11 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 2 | 5 | 3 | 0 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 14 | 0 | 16 | 2 | 1 | 2 | 4 | 2 | 7 | 2 | 0 +PIN | cs1 | 64 | 0 | N/A | 50 | 36 | 3 | 10 | 3 | 7 | 3 | 4 | 3 | 1 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 15 | 0 | 8 | 3 | 14 | 3 | 12 | 3 | 11 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 2 | 5 | 3 | 0 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 14 | 0 | 16 | 2 | 1 | 2 | 4 | 2 | 7 | 2 | 0 +PIN | cpu_a<1> | 64 | 0 | N/A | 59 | 37 | 3 | 10 | 3 | 7 | 3 | 4 | 3 | 1 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 15 | 0 | 8 | 3 | 14 | 3 | 12 | 3 | 11 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 2 | 5 | 3 | 0 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 14 | 0 | 16 | 2 | 1 | 2 | 4 | 2 | 7 | 2 | 0 | 3 | 13 +PIN | cpu_a<0> | 64 | 0 | N/A | 52 | 37 | 3 | 10 | 3 | 7 | 3 | 4 | 3 | 1 | 0 | 15 | 0 | 10 | 0 | 17 | 0 | 9 | 3 | 15 | 0 | 8 | 3 | 14 | 3 | 12 | 3 | 11 | 0 | 6 | 0 | 13 | 0 | 12 | 0 | 11 | 2 | 5 | 3 | 0 | 0 | 3 | 0 | 2 | 0 | 1 | 0 | 0 | 3 | 8 | 3 | 6 | 3 | 5 | 3 | 3 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 14 | 0 | 16 | 2 | 1 | 2 | 4 | 2 | 7 | 2 | 0 | 3 | 13 +PIN | spi_miso<3> | 64 | 0 | N/A | 89 | 1 | 3 | 17 +PIN | spi_miso<2> | 64 | 0 | N/A | 90 | 1 | 3 | 17 +PIN | spi_miso<1> | 64 | 0 | N/A | 9 | 1 | 3 | 0 +PIN | spi_miso<0> | 64 | 0 | N/A | 10 | 1 | 3 | 0 +PIN | cpu_Nphi2 | 64 | 0 | N/A | 20 | 10 | 0 | 4 | 0 | 5 | 0 | 7 | 0 | 14 | 0 | 16 | 2 | 1 | 2 | 4 | 2 | 7 | 2 | 3 | 2 | 0 +PIN | spi_int<0> | 64 | 0 | N/A | 7 | 2 | 0 | 16 | 2 | 17 +PIN | spi_int<1> | 64 | 0 | N/A | 3 | 2 | 2 | 0 | 2 | 17 +PIN | spi_int<2> | 64 | 0 | N/A | 92 | 2 | 2 | 4 | 2 | 17 +PIN | spi_int<3> | 64 | 0 | N/A | 11 | 2 | 2 | 7 | 2 | 17 +PIN | extclk | 64 | 0 | N/A | 21 | 1 | 2 | 3 +PIN | spi_mosi | 536871040 | 0 | N/A | 87 +PIN | spi_Nsel<0> | 536871040 | 0 | N/A | 68 +PIN | spi_Nsel<1> | 536871040 | 0 | N/A | 65 +PIN | spi_Nsel<2> | 536871040 | 0 | N/A | 63 +PIN | spi_Nsel<3> | 536871040 | 0 | N/A | 62 +PIN | spi_sclk | 536871040 | 0 | N/A | 83 +PIN | diag | 536871040 | 0 | N/A | 72 +PIN | cpu_Nirq | 536871040 | 0 | N/A | 38 +PIN | cpu_d<0> | 536870976 | 0 | N/A | 12 | 4 | 3 | 10 | 0 | 17 | 0 | 13 | 0 | 3 +PIN | cpu_d<1> | 536870976 | 0 | N/A | 13 | 4 | 3 | 7 | 0 | 15 | 0 | 12 | 0 | 2 +PIN | cpu_d<2> | 536870976 | 0 | N/A | 15 | 4 | 3 | 4 | 0 | 10 | 0 | 11 | 0 | 1 +PIN | cpu_d<3> | 536870976 | 0 | N/A | 26 | 3 | 3 | 1 | 0 | 6 | 0 | 0 +PIN | cpu_d<4> | 536870976 | 0 | N/A | 27 | 3 | 0 | 9 | 0 | 8 | 3 | 8 +PIN | cpu_d<5> | 536870976 | 0 | N/A | 29 | 3 | 3 | 14 | 3 | 6 | 3 | 13 +PIN | cpu_d<6> | 536870976 | 0 | N/A | 31 | 4 | 3 | 15 | 3 | 12 | 3 | 5 | 3 | 14 +PIN | cpu_d<7> | 536870976 | 0 | N/A | 33 | 2 | 3 | 11 | 3 | 3 diff --git a/spi6502b.ngc b/spi6502b.ngc new file mode 100644 index 0000000..3660ee4 --- /dev/null +++ b/spi6502b.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.2e +$3:044<:3CE\XZ5cqw\e97=87;?794FNQWW>vvnW`d0>4?>0780?OIX\^1}gPmhql86<768<087AZTQWW>vvnWGyn`1=50?31?=4>5833HDO>95NNE17?DHC<11IY^QFNGM2?F4@CKL>0JIM_3:DGT1=ALYO:7J:4G/1;7>AIL81B>6G?2:K26>O5:2C8>6G;4:KAQC5UFtb|PRdqvhq:668k0Aljk_^l3411XEqeyS_k|umv?6;7f3DkohRQa0164[H~hzVXnxb{<2<27>KflmUTb=>;7^]m45679890Aljk_^l3411XWg:;<5:Ob`aYXf9:?;RQa01278586=2GjhiQPn1273ZYi89:=0=0>2:Onq}YXf9::5R\jstnw56=Je|rTSc>?18]Qavsk|8;87@czx^]m457>W[oxyaz=129Nip~XWg:;=4Q]erwop6743Dg~tRQa013:[Wct}e~?=>5Bmtz\[k6790UYi~{ct431?HkrpVUe<=<;_Sgpqir6;2GfyuQPn1210ZTb{|f=<=4Mlw{[Zh78;>T^h}zlu027>Kj}qUTb=>=4^Pfwpjs;890A`{w_^l3472XZly~`y:>2:Onq}YXf9:99R\jstnw56=Je|rTSc>?24]Qavsk|8;87@czx^]m4542W[oxyaz=129Nip~XWg:;>8Q]erwop6743Dg~tRQa0106[Wct}e~?=?5Bmtz\[k67:?UYi~{ct018IhsWVd;<=4Mlw{[Zh78;Kj}qUTb=>=6^Pfwpjs<880A`{w_^l3471XZly~`y?<;Lov|ZYi898?26]Qavsk|;;87@czx^]m4540W[oxyaz<129Nip~XWg:;>:Q]erwop1753Dg~tRQa010;[Wct}e~:?6Cbuy]\j5650VXnxb{1018IhsWVd;Kj}qUTb=>=9^Pfwpjs9:1FaxvP_o236?28]Qavsk|:;87@czx^]m454>W[oxyaz;149Nip~XWg:;?=QF0^Pfwpjs9?1FaxvP_o2375YN8VXnxb{1048IhsWVd;<>>PI1]Qavsk|;;=7@czx^]m4557W@:T^h}zlu122>Kj}qUTb=><0^K3[Wct}e~?=85Bmtz\[k67;9UB=R\jstnw53=Je|rTSc>?31]J5ZTb{|f=<84Mlw{[Zh78::TE6:Onq}YXf9:8_Sgpqir39<1FaxvP_o2375YN:VXnxb{179Nip~XWg:;?=QF2^Pfwpjs98<0A`{w_^l3466XA;UYi~{ct335?HkrpVUe<==?_H0\V`urd}9::6Cbuy]\j5648VC9S_k|umv750=Je|rTSc>?31]J7ZTb{|f=;5Bmtz\[k67;9UB?R\jstnw5402GfyuQPn1204ZO4W[oxyaz;149Nip~XWg:;??PI1]Qavsk|;;=7@czx^]m4556W@:T^h}zlu122>Kj}qUTb=><1^K3[Wct}e~?=85Bmtz\[k67;8UB=R\jstnw53=Je|rTSc>?30]J5ZTb{|f=<84Mlw{[Zh78:;TE6:Onq}YXf9:8=RG>_Sgpqir39<1FaxvP_o2374YN:VXnxb{179Nip~XWg:;?_H0\V`urd}9::6Cbuy]\j5649VC9S_k|umv750=Je|rTSc>?30]J7ZTb{|f=;5Bmtz\[k67;8UB?R\jstnw5402GfyuQPn1205ZO4W[oxyaz;149Nip~XWg:;??QF0^Pfwpjs9?1FaxvP_o2377YN8VXnxb{1048IhsWVd;<>Kj}qUTb=><2^K3[Wct}e~?=85Bmtz\[k67;;UB=R\jstnw53=Je|rTSc>?33]J5ZTb{|f=<84Mlw{[Zh78:8TE6:Onq}YXf9:8>RG>_Sgpqir39<1FaxvP_o2377YN:VXnxb{179Nip~XWg:;??QF2^Pfwpjs98<0A`{w_^l3464XA;UYi~{ct335?HkrpVUe<===_H0\V`urd}9::6Cbuy]\j564:VC9S_k|umv750=Je|rTSc>?35]J4ZTb{|f=;5Bmtz\[k67;=UBPRdqvhq56>2GfyuQPn1200ZO7W[oxyaz;149Nip~XWg:;?9QF1^Pfwpjs9?1FaxvP_o2371YN9VXnxb{1048IhsWVd;<>:PI0]Qavsk|;;=7@czx^]m4553W@;T^h}zlu122>Kj}qUTb=><4^K2[Wct}e~?=85Bmtz\[k67;=UB>R\jstnw53=Je|rTSc>?35]J6ZTb{|f=<84Mlw{[Zh78:>TE?Q]erwop7713Dg~tRQa0117[L4XZly~`y=>6:Onq}YXf9:88RG=_Sgpqir39<1FaxvP_o2371YN;VXnxb{179Nip~XWg:;?9QF3^Pfwpjs98<0A`{w_^l3462XA:UYi~{ct335?HkrpVUe<==;_H1\V`urd}9::6Cbuy]\j564?35]J0ZTb{|f=;5Bmtz\[k67;=UB8R\jstnw5402GfyuQPn1200ZO3W[oxyaz;149Nip~XWg:;?9QF5^Pfwpjs9?1FaxvP_o2371YN=VXnxb{1048IhsWVd;<>:PI4]Qavsk|;;=7@czx^]m4553W@?T^h}zlu122>Kj}qUTb=><4^K6[Wct}e~?=85Bmtz\[k67;=UB:R\jstnw53=Je|rTSc>?35]J2ZTb{|f=<84Mlw{[Zh78:>TE;Q]erwop7713Dg~tRQa0117[L0XZly~`y=>6:Onq}YXf9:88RG9_Sgpqir39<1FaxvP_o2371YN?VXnxb{179Nip~XWg:;?9QF7^Pfwpjs98<0A`{w_^l3462XA>UYi~{ct335?HkrpVUe<==;_H5\V`urd}9::6Cbuy]\j564?41]N|jtXZly~`y?8;LzlvZYi89>;S@v`r^]m45679<1Ftb|P_o2305YXf9:;>1>15:L000323G9?9:;4N266=0=I;=<:96@<4716?K53>:9749M710><2D?9;94NDVTKWM33Gix>45Acr0\MGSA92E?7BLZF49LFP@B;2EY>>5@R218KW243FX>=6^;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH=4PSG2?T00TB\=6:ZPPIOE?2RXXRIAD69[WQYQKJ<0TilPIe33?]bjWDkacXjrrklj462Rd0=0>3:Zpp<=Xf9:::1>1a:]m4571494:m6Qa0135858512Ue<=?9<0?17>1:4?`9\j566>595=;5Pn12232=Xf9::;<84_o235=16811Tb=>>803;?Zh7882:>55Pn122<45?3Vd;<<6>499\j56608?37R`?00:22==Xf9::4<97;^l344>6011Tb=>>80;4?Zh7882946Qa013;65>8:]m457?:;20Sc>?1900<>Yi89;3>964_o235=4202Ue<=?727:8[k67918<46Qa013;6=>1Tb=>>8558[k6791?<7R`?00:53>Yi89;3;:5Pn122<=18;^l34766?2Ue<=?2058[k67:8;<7R`?03313>Yi898:?:5Pn121510984_o23600;84_o23620584_o23689\j56485;556Qa0113878>3Vd;<>>33?;8[k67;86;245Pn120597912Ue<==><3<:?Zh78:;7?374_o2377:7601Tb=><2=3==>Yi89990?06;^l3462;8730Sc>?35>2:<=Xf9:881<19:]m45534:427R`?026?0;?89\j564<5<556Qa011782813Vd;<>98;^l34616>2Ue<==77:]m455?9>1Tb=><8348[k67<;=0Sc>?4335?Zh78=><7R`?05623>Yi89>?>:5Pn127060;30Sc>?46>2:<=Xf9:?;1<19:]m45204:4=7R`?0754?Zh78?=:;6Qa014463=Xf9:2<:5Pn12:44?79\j5768>1Tb=?>00:8[k6699;;46Qa003354>>11373>Yi88;;>:5Pn132461=0Sc>>11:4?Zh798:2:6Qa00303>Yi88;8=55Pn132746?3Vd;=<=>199\j576;8837R`?10127==Xf9;:?<:8;^l35455?2Ue<>1274?Zh7989=;6Qa003032=Xf9;:?594_o2256?13Vd;=<88;^l3540602Ue<>17363>Yi88;=>:5Pn132261>=0Sc>>17:4?Zh798<2:6Qa003:3>Yi88;2=55Pn132=46?3Vd;=<7>199\j57618837R`?10;27==Xf9;:5<:8;^l354?5?2Ue<>1874?Zh7983=;6Qa003:32=Xf9;:5594_o225269\j5759:=0Sc>>2064?Zh79;;>;6Qa000222=Xf9;9=:94_o2264>03Vd;=??66:]m4443?2Ue<<<;199\j575<8:37R`?13625==Xf9;98<<7;^l35726;>1Tb=?=4358[k66:=9<7R`?13673>Yi888?9:5Pn131031998;^l3572??2Ue<<<;979\j575?>1Tb=?=70:8[k66:>;;46Qa000454>:?=8:]m44409:=0Sc>>2604?Zh79;=8;6Qa000402=Xf9;9;894_o2262003Vd;=?987:]m44400>1Tb=?=7848[k66;9=0Sc>>313;?Zh79:::<55Pn130447?3Vd;=>>>299\j574889<7R`?12213>Yi889;?:5Pn130411>31;0?gu~<2hxu<:4br{10>dtq:>0o}fn4:aski>ew}Vk7<3o4cqw\e97=8720o}{Pa=3=g>ew}VkTew}Vn7>364cqw\`95902i{yRj34?:8gusXl5?546mu^f?2;g374dhtjwjtX801oe{g|os]2=>bn~`yd~Ri;ekumviuW;UE8;>>3:g`a3=bp}iggo5jxuaooZOE]O90jv<;hgqf>oi|Vndyy2?>c9jjqYcg|~7=3l4iov\`jss4;4i7d`{_emvp959j2cexRj`uu>7:g=nf}Uocxz35?`8mkrXlf0;0m;hlw[air|5=546gat^ojwj?3`dS`g|o5;8mkrXe`yd945fnu]nmvi112cexRcfsn5:?lhsWdcxc574iov\iluh111bbyQbork4?lhsWf46gat^mvp4?099jjqYh}}837d`{_nww7==nf}Udyy:7;hlw[jss=11bbyQ`uu4;?lhsWf;55fnu]lqq>?3`dSb{{999jjqYtkeam7d`{_raooZYi89:;==5fnu]pgimXWg:;<=?>0:kmpZuddbUTb=>?0333?lhsWziggRQa01237c=nf}UxoaeP_o2344773`dS~mck^]m456698:0eczPsbnh[Zh789;9;6g|_sgb`==n{Vxnmi?7;hq\v`gc:11bR|jae1;?luXzlko885}ergw2>tb{l~:46}gigv`hn?<{acmxnbd189pll`skea956}gigv`hn5>3zbbjymck5;8wmoa|jf`945|hhdwgim1j2ycekzlnu>3:g=t``locz31?`8wmoa|jd0?0m;rjjbqei|595m6}gigv`jqY7i2ycekzlnu]2e>uoao~hbyQ=a:qkmcrdf}U856}gigvgkkc>3zbbjygag333?vnnn}cek?QPn123447<{acmxd`h2^]m456798;0egithld6ZYi89:;>i5|l`tfmkrbf5:5h6}cawgjjqci484o7~bnvdkmp`h;:7n0aoyehlwak:46j1x`lxjiovfjZ6d3zfjzhgatdl\5f=tdh|neczjn^0`?vjf~lcexh`P3c9phdpb{lf7<3l4smcuavck484i7~bnvdqfh949j2ygm{k|em>0:d=tdh|nhbP0`9phdpb{lfT=l5|l`tfw`jX:h1x`lxjsdn\7c=tdh|nhbP3^L720773zfjzh}jl^1\J10298:0aoyergo[6YIuwaVcex1=50?c8wuoXag~7?3k4sqk\mkrX8VCIYKk4sqk\mkrX9VCIYKk4sqk\mkrX:VCIYKk4sqk\mkrX;VCIYKl4sqk\iluh494i7~~f_lkpk979j2y{eRcfsn>1:a=tx`Ufe~a33;2=f>uwaVgbb2<>g9ptlYjazeTuwaVgbbQ<_H@VB==tx`Ufc~gj;rrj[hitaVEIYKK7;rrj[vekcm1x|dQ|cmi\KGSAk2y{eio{ahl?4;e<{ycomyofn=3=g>uwamkmd`32?a8wuoci}kbb1=1c:qsmagsi`d783m4sqkgeqgnf5?5o6}iecwelh;>7i0}gkaucjj919j2y{eio{ahl\4g=tx`njxlga_0`8wuoci}kbbRuwamkmb{{_3a8wuoci}kdyyQo6}iecwejssW?i0}gkauclqqY0m2ymzPsikeplh`:2~h?6zbo99{g<378qfvLM~6a59CD}44n3L1>7?tSe8gg?7>2898o:>n:377ef}il00:7cjn:39'`=8:nc:f17c<7280:w^j5db82=?74;j=;m7<:4`a8rQeb290:6<4>a2yP`?bd2831=>=l71c9602fk2.o>7<:;%a;>7>53k88j7>52182e783>>o5=?0;6)mn:376?ke>2910e?;;:18'gd<5=<1eo44>;:k116<72-ij6?;:;oa:>7=c383>!ef28i:7cm6:198m4e7290/ol4>c09mg<<632c98i4?:%ab>72d3gi26=54i36a>5<#kh098n5ac882?>o52;10e?:6:18'gd<52=<#8i=6=4+c`82gf=ik00=76%>c683>!ef28ih7cm6:498/4e?290/ol4>cb9mg<<332!:o44?:%ab>4ed3gi26>54+0ab>5<#kh0:on5ac881?>-6kk0;6)mn:0a`?ke>2810'5=96=4+c`8106=ik00:76a=4083>!ef2;>87cm6:398k727290/ol4=429mg<<432h:mo4?:283>5}#ko0>7Ej?;If6?l7d2900eo6k3:17d?k:188kf6=831vn<6i:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo?79;297?6=8r.hj7;4He28La3>id83:17pl>7683>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66sm17:94?5=83:p(nh55:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:49K`5=Ol<1b=n4?::k2`?6=3fi;6=44}c37=?6=;3:15;|`167<72=0;6=u+cg827>Nc82Bo96gj:188mg<722c26=44oba94?=zj;8?6=4;:183!ea2890Di>4He78m`<722ci6=44i883>>idk3:17plm2;297?7=;r.hj7<9;If3?l`=831b==4?::m``?6=3kni6=4<:183!ea2m>0Di>4He78m4e=831b=i4?::m`4?6=3tym6=4={_d89ad=9j1v<>50;0xZ46<5mh1=i5rsbf94?4|Vjn01il5c19~yg45=3:187>50z&`b?743An;7Ej:;hg94?=nj3:17d750;9lgf<722wi=9?50;094?6|,jl1>?5Gd19K`0=n9k0;66al0;29?xd6m80;684?:1y'gc<6<2Bo<6Fk5:kf>5<5;|`17=<72<0;6=u+cg823>Nc82Bo96gj:188md<722chn7>5;h;94?=hkj0;66smd783>4<729q/ok4>a:Jg4>Nc=2e:47>5;|`172<72<0;6=u+cg823>Nc82Bo96gj:188md<722chn7>5;h;94?=hkj0;66smd683>4<729q/ok4k1:Jg4>Nc=2eh;7>5;|`27c<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::a55`=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f71>290>6=4?{%ae>42<@m:0Di;4id83>>of2900eo4?::k:>5<5<2290;w)mi:068La6<@m?0eh4?::kb>5<5<7s-im6<:4He28La34He78m4`=831b=n4?::k2`?6=3`;n6=44ob294?=zj5;na3>5<55;294~"dn3;?7Ej?;If6?lc=831bm7>5;h`94?=n13:17bml:188yg40m3:197>50z&`b?733An;7Ej:;hg94?=ni3:17dl50;9j=?6=3fih6=44}c04`?6==3:17dk50;9je?6=3`h1<75f9;29?jed2900qo?kb;297?6=8r.hj7;4He28La3>id83:17pl<3;297?6=8r.hj7m<;If3?Mb23`;h6=44i0f94?=hk90;66sm8983>6<729q/ok4l3:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:b18La6<@m?0e5;|`40?6=;3:17d?l:188m4b=831do=4?::a2c<72:0;6=u+cg8`7>Nc82Bo96g>c;29?l7c2900cn>50;9~f33=8391<7>t$bd9g6=Ol91Ch85f1b83>>o6l3:17bm?:188yg0729086=4?{%ae>f5<@m:0Di;4i0a94?=n9m0;66al0;29?xdam3:187>50z&`b?e33An;7Ej:;h3`>5<>id83:17pl>3883>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66sm12494?5=83:p(nh55:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:49K`5=Ol<1b=n4?::k2`?6=3fi;6=44}c31b?6=;3:15;|`26a<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::a57g=83?1<7>t$bd93>Nc82Bo96g>f;29?l7d2900e5;|`2a7<72<0;6=u+cg820>Nc82Bo96gj:188md<722ci6=44i883>>idk3:17pl>0`83>7<729q/ok4=2:Jg4>Nc=2c:n7>5;na3>5<4He78m4e=831b=i4?::m`4?6=3thn;7>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3thoj7>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3thn=7>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3thn?7>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3thn97>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th94<4?:483>5}#ko0:86Fk0:Jg1>ob2900el4?::ka>5<2Bo<6Fk5:k2b?6=3`8;6=44i0a94?=n9m0;66g>e;29?je72900qo<8c;291?6=8r.hj7?;;If3?Mb23`o1<75fa;29?ld=831b57>5;na`>5<55;294~"dn3;?7Ej?;If6?lc=831bm7>5;h`94?=n13:17bml:188yg7e13:197>50z&`b?733An;7Ej:;hg94?=ni3:17dl50;9j=?6=3fih6=44}c3a7dk50;9je?6=3`h1<75f9;29?jed2900qo<70;291?6=8r.hj7?8;If3?Mb23`o1<75fa;29?lee2900e44?::m`g?6=3th9=94?:283>5}#ko0>7Ej?;If6?l7d2900e>k50;094?6|,jl1>?5Gd19K`0=n9k0;66al0;29?xd59?0;6?4?:1y'gc<5:2Bo<6Fk5:k2f?6=3fi;6=44}c047dk50;9je?6=3`h1<75f9;29?jed2900qo<<0;291?6=8r.hj7?;;If3?Mb23`o1<75fa;29?ld=831b57>5;na`>5<53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th2;7>53;294~"dn3i87Ej?;If6?l7d2900e5}#ko0h?6Fk0:Jg1>o6k3:17d?k:188kf6=831vn;o50;194?6|,jl1o>5Gd19K`0=n9j0;66g>d;29?je72900qo;9:180>5<7s-im6n=4He28La3>id83:17pl:1;297?6=8r.hj7m<;If3?Mb23`;h6=44i0f94?=hk90;66sm4b83>6<729q/ok4l3:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:b18La6<@m?0e5;|`0`?6=;3:17d?l:188m4b=831do=4?::a7=<72:0;6=u+cg8`7>Nc82Bo96g>c;29?l7c2900cn>50;9~ft$bd9g6=Ol91Ch85f1b83>>o6l3:17bm?:188yg>c29086=4?{%ae>f5<@m:0Di;4i0a94?=n9m0;66al0;29?xd5=j0;6>4?:1y'gc4He78m4d=831b=<4?::m`4?6=3th:h84?:583>5}#ko0986Fk0:Jg1>o6j3:17d?>:188kf6=831d>>4?::a64b=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f75>290>6=4?{%ae>41<@m:0Di;4id83>>of2900enl50;9j=?6=3fih6=44}c3f0?6=:3:17d?m:188kf6=831vn?5<7s-im6<:4He28La30Di>4He78m`<722cj6=44ic83>>o>2900cnm50;9~f4c>290>6=4?{%ae>42<@m:0Di;4id83>>of2900eo4?::k:>5<5<2290;w)mi:068La6<@m?0eh4?::kb>5<5<7s-im6<<4He28La35<7s-im6<=4He28La35;|`166<72=0;6=u+cg827>Nc82Bo96gj:188mg<722c26=44oba94?=zj;0Di>4He78m`<722cj6=44ic83>>o>2900cnm50;9~f70c290>6=4?{%ae>42<@m:0Di;4id83>>of2900eo4?::k:>5<5<2290;w)mi:068La6<@m?0eh4?::kb>5<5<7s-im6<:4He28La30Di>4He78m`<722cj6=44ic83>>o>2900cnm50;9~f70>290>6=4?{%ae>42<@m:0Di;4id83>>of2900eo4?::k:>5<5<2290;w)mi:068La6<@m?0eh4?::kb>5<5<7s-im6<:4He28La34He78m`<722chn7>5;h;94?=hkj0;66sm21:94?3=83:p(nh5149K`5=Ol<1bi7>5;h`94?=nkk0;66g6:188kfe=831vn?9i:186>5<7s-im6<:4He28La3Nc82Bo96g>c;29?l7c2900cn>50;9~wc<72;qUj63kb;3`?xu683:1>vP>0:?gf?7c3tyhh7>52z\``>;cj3i;7psm22394?3=83:p(nh5159K`5=Ol<1bi7>5;hc94?=nj3:17d750;9lgf<722wi>><50;794?6|,jl1=95Gd19K`0=nm3:17do50;9jf?6=3`31<75`cb83>>{e9jl1<7:50;2x f`=:=1Ch=5Gd49j5g<722c:=7>5;na3>5<5<3290;w)mi:368La6<@m?0e5;n00>5<54;294~"dn38?7Ej?;If6?l7e2900e5;|`2g`<72=0;6=u+cg810>Nc82Bo96g>b;29?l762900cn>50;9l66<722wi=i?50;694?6|,jl1>95Gd19K`0=n9k0;66g>1;29?je72900c?=50;9~f4b3290?6=4?{%ae>72<@m:0Di;4i0`94?=n980;66al0;29?j442900qo?k2;290?6=8r.hj7<;;If3?Mb23`;i6=44i0394?=hk90;66a=3;29?xd58o0;6>4?:1y'gc<23An;7Ej:;h3`>5<>{e:9i1<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj;8j6=4<:183!ea2<1Ch=5Gd49j5f<722c:h7>5;na3>5<4ig83>>o683:17bmk:188fad=8391<7>t$bd9`1=Ol91Ch85f1b83>>o6l3:17bm?:188yv`=838pRk52dc82g>{t990;6?uQ119>`g<6l2wxoi4?:3y]ga=:lk0h<6srb31b>5<2290;w)mi:058La6<@m?0eh4?::kb>5<5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo<6:180>4<4s-im6?84He28mc<722c:<7>5;nag>5<5;na3>5<`g<6k2wx==4?:3y]55=:lk0:h6s|ce83>7}Ykm16ho4l0:~f7>=8391=7=t$bd963=Ol91bj7>5;h33>5<6<729q/ok4k4:Jg4>Nc=2c:o7>5;h3g>5<7}Yn27on7?l;|q24?6=:rT:<63kb;3g?xudl3:1>vPld:?gf?e73twin=4?:283>5}#ko0h?6Fk0:Jg1>o6k3:17d?k:188kf6=831vnll50;194?6|,jl1o>5Gd19K`0=n9j0;66g>d;29?je72900qoo9:180>5<7s-im6n=4He28La3>id83:17pln1;297?6=8r.hj7m<;If3?Mb23`;h6=44i0f94?=hk90;66sm1`594?5=83:p(nh55:Jg4>Nc=2c:o7>5;h3g>5<5<5290;w)mi:308La6<@m?0eo6k3:17d?k:188kf6=831vn5<7s-im6?<4He28La3>{e9hl1<7<50;2x f`=:;1Ch=5Gd49j5g<722eh<7>5;|`2f5<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::a5g7=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f4d529086=4?{%ae>f5<@m:0Di;4i0a94?=n9m0;66al0;29?xd6j:0;6>4?:1y'gc5;na3>5<53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th:ml4?:283>5}#ko0h?6Fk0:Jg1>o6k3:17d?k:188kf6=831vn<76:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo?6e;296?6=8r.hj7<=;If3?Mb23`;i6=44ob294?=zj83m6=4<:183!ea2<1Ch=5Gd49j5f<722c:h7>5;na3>5<52;294~"dn3897Ej?;If6?l7e2900cn>50;9~f4g629096=4?{%ae>74<@m:0Di;4i0`94?=hk90;66sm1`094?5=83:p(nh55:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:49K`5=Ol<1b=n4?::k2`?6=3fi;6=44}c3b0?6=;3:17d?l:188m4b=831do=4?::a5d3=8391<7>t$bd9g6=Ol91Ch85f1b83>>o6l3:17bm?:188yg7>i3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd61k0;6>4?:1y'gc<23An;7Ej:;h3`>5<>{e90i1<7=50;2x f`=k:1Ch=5Gd49j5f<722c:h7>5;na3>5<53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th:5=4?:383>5}#ko09>6Fk0:Jg1>o6j3:17bm?:188yg7>93:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd61;0;6?4?:1y'gc<5:2Bo<6Fk5:k2f?6=3fi;6=44}c3:7?6=:3:17d?m:188kf6=831vn<7;:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo?65;297?6=8r.hj7;4He28La3>id83:17pl>9783>6<729q/ok4l3:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:b18La6<@m?0e5;|`27d?l:188m4b=831do=4?::a5=b=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f4>b29086=4?{%ae>f5<@m:0Di;4i0a94?=n9m0;66al0;29?xd6080;6>4?:1y'gc<23An;7Ej:;h3`>5<>{e9181<7<50;2x f`=:;1Ch=5Gd49j5g<722eh<7>5;|`2<6<72;0;6=u+cg816>Nc82Bo96g>b;29?je72900qo?74;290?6=8r.hj784He28La3>o6m3:17bm?:188yg7?=3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd60?0;6>4?:1y'gc5;na3>5<53;294~"dn3i87Ej?;If6?l7d2900eo6k3:17d?k:188kf6=831vn<97:181>5<7s-im6?<4He28La3>{e9>31<7<50;2x f`=:;1Ch=5Gd49j5g<722eh<7>5;|`23d<72;0;6=u+cg816>Nc82Bo96g>b;29?je72900qo?8b;296?6=8r.hj7<=;If3?Mb23`;i6=44ob294?=zj8=h6=4<:183!ea2<1Ch=5Gd49j5f<722c:h7>5;na3>5<53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th:;h4?:283>5}#ko0>7Ej?;If6?l7d2900e5Gd19K`0=n9j0;66g>d;29?je72900qo?83;297?6=8r.hj7m<;If3?Mb23`;h6=44i0f94?=hk90;66sm16694?5=83:p(nh55:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:49K`5=Ol<1b=n4?::k2`?6=3fi;6=44}c342?6=;3:17d?l:188m4b=831do=4?::a534=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f40>29096=4?{%ae>74<@m:0Di;4i0`94?=hk90;66sm17c94?4=83:p(nh5239K`5=Ol<1b=o4?::m`4?6=3th::o4?:383>5}#ko09>6Fk0:Jg1>o6j3:17bm?:188yg71k3:1>7>50z&`b?453An;7Ej:;h3a>5<5<5290;w)mi:308La6<@m?0eo6k3:17d?k:188kf6=831vn<8i:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo?80;297?6=8r.hj7;4He28La3>id83:17pl>6283>6<729q/ok4l3:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:b18La6<@m?0e5;|`220<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::a530=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f40029086=4?{%ae>f5<@m:0Di;4i0a94?=n9m0;66al0;29?xd6=:0;6>4?:1y'gc<23An;7Ej:;h3`>5<>{e9<31<7<50;2x f`=:;1Ch=5Gd49j5g<722eh<7>5;|`21d<72;0;6=u+cg816>Nc82Bo96g>b;29?je72900qo?:b;296?6=8r.hj7<=;If3?Mb23`;i6=44ob294?=zj8?h6=4=:183!ea2;80Di>4He78m4d=831do=4?::a50b=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f43b29086=4?{%ae>0=Ol91Ch85f1b83>>o6l3:17bm?:188yg72n3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd6>90;6>4?:1y'gc4He78m4e=831b=i4?::m`4?6=3th:984?:283>5}#ko0>7Ej?;If6?l7d2900eo6k3:17d?k:188kf6=831vn<;8:180>5<7s-im6n=4He28La3>id83:17pl>4583>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66sm15c94?4=83:p(nh5239K`5=Ol<1b=o4?::m`4?6=3th:8o4?:383>5}#ko09>6Fk0:Jg1>o6j3:17bm?:188yg73k3:1>7>50z&`b?453An;7Ej:;h3a>5<5<5290;w)mi:308La6<@m?0eo6k3:17d?k:188kf6=831vn<:i:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo?:0;297?6=8r.hj7;4He28La3>id83:17pl>5083>6<729q/ok4l3:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:b18La6<@m?0e5;|`203<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::a511=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f42?29086=4?{%ae>f5<@m:0Di;4i0a94?=n9m0;66al0;29?xd6;l0;6?4?:1y'gc<5:2Bo<6Fk5:k2f?6=3fi;6=44}c33g?6=:3:17d?m:188kf6=831vn<>k:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo??e;296?6=8r.hj7<=;If3?Mb23`;i6=44ob294?=zj8l86=4=:183!ea2;80Di>4He78m4d=831do=4?::a5c2=8381<7>t$bd967=Ol91Ch85f1c83>>id83:17pl>f483>7<729q/ok4=2:Jg4>Nc=2c:n7>5;na3>5<52;294~"dn3897Ej?;If6?l7e2900cn>50;9~f4`029086=4?{%ae>0=Ol91Ch85f1b83>>o6l3:17bm?:188yg7a03:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd6n00;6>4?:1y'gc<23An;7Ej:;h3`>5<>{e9ok1<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj<21<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj<31<7<50;2x f`=:;1Ch=5Gd49j5g<722eh<7>5;|`6e?6=;3:15;|`1b?6=;3:15;|`04?6=<3:15;na3>5<4He78m4d=831do=4?::a77<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::a<0<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::a<3<72;0;6=u+cg816>Nc82Bo96g>b;29?je72900qo68:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo6?:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo6>:181>5<7s-im6?<4He28La3>{e0;0;6>4?:1y'gc<23An;7Ej:;h3`>5<>{e??0;6>4?:1y'gc<23An;7Ej:;h3`>5<>{e?>0;6?4?:1y'gc<5:2Bo<6Fk5:k2f?6=3fi;6=44}c5;>5<4290;w)mi:49K`5=Ol<1b=n4?::k2`?6=3fi;6=44}c52>5<4290;w)mi:49K`5=Ol<1b=n4?::k2`?6=3fi;6=44}c51>5<5290;w)mi:308La6<@m?0e4?:283>5}#ko0>7Ej?;If6?l7d2900e5}#ko0>7Ej?;If6?l7d2900e5}#ko09>6Fk0:Jg1>o6j3:17bm?:188yg0b29086=4?{%ae>0=Ol91Ch85f1b83>>o6l3:17bm?:188yg0529086=4?{%ae>0=Ol91Ch85f1b83>>o6l3:17bm?:188yg0429096=4?{%ae>74<@m:0Di;4i0`94?=hk90;66sm6583>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66sm5e83>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66sm5d83>7<729q/ok4=2:Jg4>Nc=2c:n7>5;na3>5<5;na3>5<5;na3>5<4He78m4d=831do=4?::abc<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::a556=8381<7>t$bd967=Ol91Ch85f1c83>>id83:17pl>0083>7<729q/ok4=2:Jg4>Nc=2c:n7>5;na3>5<7>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th:<>4?:383>5}#ko09>6Fk0:Jg1>o6j3:17bm?:188yg77<3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd68<0;6?4?:1y'gc<5:2Bo<6Fk5:k2f?6=3fi;6=44}cgb>5<5290;w)mi:308La6<@m?0e5}#ko09>6Fk0:Jg1>o6j3:17bm?:188ygcd29096=4?{%ae>74<@m:0Di;4i0`94?=hk90;66smee83>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66smed83>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66smeg83>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66smf183>6<729q/ok4:;If3?Mb23`;h6=44i0f94?=hk90;66smf083>6<729q/ok4l3:Jg4>Nc=2c:o7>5;h3g>5<5<4290;w)mi:b18La6<@m?0e5;|`e1?6=;3:17d?l:188m4b=831do=4?::ab3<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::ab2<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::ab=<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::ab<<72:0;6=u+cg86?Mb73An>7d?l:188m4b=831do=4?::abd<72:0;6=u+cg8`7>Nc82Bo96g>c;29?l7c2900cn>50;9~fcd=8391<7>t$bd9g6=Ol91Ch85f1b83>>o6l3:17bm?:188yg`d29086=4?{%ae>0=Ol91Ch85f1b83>>o6l3:17bm?:188yg`c29086=4?{%ae>0=Ol91Ch85f1b83>>o6l3:17bm?:188yg7403:1>7>50z&`b?453An;7Ej:;h3a>5<5<5290;w)mi:308La6<@m?0e?50;094?6|,jl1>?5Gd19K`0=n9k0;66al0;29?xd6;;0;6?4?:1y'gc<5:2Bo<6Fk5:k2f?6=3fi;6=44}c31g?6=:3:17d?m:188kf6=831vn<>7:181>5<7s-im6?<4He28La3>{e9931<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj1l1<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj0:1<7<50;2x f`=:;1Ch=5Gd49j5g<722eh<7>5;|`:5?6=;3:15;|`ga?6=:3:17d?m:188kf6=831vn5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo4He78m4d=831do=4?::a654=8381<7>t$bd967=Ol91Ch85f1c83>>id83:17pl=0283>7<729q/ok4=2:Jg4>Nc=2c:n7>5;na3>5<53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th9<84?:283>5}#ko0>7Ej?;If6?l7d2900e=850;194?6|,jl196Fk0:Jg1>o6k3:17d?k:188kf6=831vn?>8:180>5<7s-im685Gd19K`0=n9j0;66g>d;29?je72900qo<>1;297?6=8r.hj7;4He28La3>id83:17pl=1383>7<729q/ok4=2:Jg4>Nc=2c:n7>5;na3>5<53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th9?n4?:383>5}#ko09>6Fk0:Jg1>o6j3:17bm?:188yg44l3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd6j<0;6?4?:1y'gc<5:2Bo<6Fk5:k2f?6=3fi;6=44}c3a2?6=:3:17d?m:188kf6=831vn4:50;194?6|,jl196Fk0:Jg1>o6k3:17d?k:188kf6=831vn4;50;094?6|,jl1>?5Gd19K`0=n9k0;66al0;29?xd>>3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd0j3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd0k3:1>7>50z&`b?453An;7Ej:;h3a>5<Nc=2c:o7>5;h3g>5<Nc=2c:o7>5;h3g>5<53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th>?7>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th>87>52;294~"dn3897Ej?;If6?l7e2900cn>50;9~f03=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f1c=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f1`=8381<7>t$bd967=Ol91Ch85f1c83>>id83:17pl:0;297?6=8r.hj7;4He28La3>id83:17pl;9;297?6=8r.hj7;4He28La3>id83:17pl;a;296?6=8r.hj7<=;If3?Mb23`;i6=44ob294?=zj=h1<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj=>1<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj=?1<7<50;2x f`=:;1Ch=5Gd49j5g<722eh<7>5;|`72?6=;3:15;|`0b?6=;3:15;|`74?6=:3:17d?m:188kf6=831vn9?50;194?6|,jl196Fk0:Jg1>o6k3:17d?k:188kf6=831vn>o50;194?6|,jl196Fk0:Jg1>o6k3:17d?k:188kf6=831vn>l50;094?6|,jl1>?5Gd19K`0=n9k0;66al0;29?xd4k3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd4=3:1?7>50z&`b?3<@m:0Di;4i0a94?=n9m0;66al0;29?xd4>3:1>7>50z&`b?453An;7Ej:;h3a>5<Nc=2c:o7>5;h3g>5<Nc=2c:o7>5;h3g>5<53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th3m7>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3th3n7>52;294~"dn3897Ej?;If6?l7e2900cn>50;9~f=e=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~f77?29086=4?{%ae>0=Ol91Ch85f1b83>>o6l3:17bm?:188yg4613:1>7>50z&`b?453An;7Ej:;h3a>5<5<5290;w)mi:308La6<@m?0eo6k3:17d?k:188kf6=831vn??l:180>5<7s-im6n=4He28La3>id83:17pl=0d83>7<729q/ok4=2:Jg4>Nc=2c:n7>5;na3>5<52;294~"dn3897Ej?;If6?l7e2900cn>50;9~f76e29096=4?{%ae>74<@m:0Di;4i0`94?=hk90;66sm23;94?4=83:p(nh5239K`5=Ol<1b=o4?::m`4?6=3thjh7>53;294~"dn3?0Di>4He78m4e=831b=i4?::m`4?6=3thji7>52;294~"dn3897Ej?;If6?l7e2900cn>50;9~fd`=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~fd>=8391<7>t$bd91>Nc82Bo96g>c;29?l7c2900cn>50;9~fd?=8381<7>t$bd967=Ol91Ch85f1c83>>id83:17plna;297?6=8r.hj7;4He28La3>id83:17pln3;297?6=8r.hj7;4He28La3>id83:17pln4;296?6=8r.hj7<=;If3?Mb23`;i6=44ob294?=zjh?1<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj0o1<7=50;2x f`==2Bo<6Fk5:k2g?6=3`;o6=44ob294?=zj0l1<7<50;2x f`=:;1Ch=5Gd49j5g<722eh<7>5;|`b4?6=;3:15;|`2`<<72;0;6=u+cg815>Nc82Bo96g>b;29?je72900qo?mf;296?6=8r.hj7<>;If3?Mb23`;i6=44ob294?=zj8n<6=4=:183!ea2;;0Di>4He78m4d=831do=4?::a5gb=8381<7>t$bd964=Ol91Ch85f1c83>>id83:17plmf;296?6=8r.hj7<>;If3?Mb23`;i6=44ob294?=zj8o<6=4=:183!ea2;;0Di>4He78m4d=831do=4?::a60g=8381<7>t$bd964=Ol91Ch85f1c83>>id83:17pl=5883>7<729q/ok4=1:Jg4>Nc=2c:n7>5;na3>5<47>52;294~"dn38:7Ej?;If6?l7e2900cn>50;9~f73029096=4?{%ae>77<@m:0Di;4i0`94?=hk90;66sm1b694?4=83:p(nh5209K`5=Ol<1b=o4?::m`4?6=3th:o>4?:383>5}#ko09=6Fk0:Jg1>o6j3:17bm?:188yg4293:1>7>50z&`b?463An;7Ej:;h3a>5<5<5290;w)mi:338La6<@m?0e9h50;094?6|,jl1><5Gd19K`0=n9k0;66al0;29?xd57d?m:188kf6=831vn?;j:181>5<7s-im6n?4He28La3>{e:=21<7<50;2x f`=k81Ch=5Gd49j5g<722eh<7>5;|`102<72;0;6=u+cg8`5>Nc82Bo96g>b;29?je72900qo<;6;296?6=8r.hj7m>;If3?Mb23`;i6=44ob294?=zj;>>6=4=:183!ea2j;0Di>4He78m4d=831do=4?::p5f3=838pR;6l809?6s|1b;94?4|V8i270?k2;00?xu6kh0;6?uQ1bc894b42;90q~?lb;296~X6kk16=i:5229~w4ec2909wS?ld:?2`0<5;2wx=?<50;0x971?2l16mo4l0:p5a?=839p1??<:0a8975c28i013g82g>;59?0:n63=f;3`?85728i01<>6:0a8976b28h01?>n:0`894da2j:0q~?ma;296~X6jh16=ol5c19~w4b0290>w0?j4;3a?874m3;i70??d;3`?84693;o70?k7;a3?xu6lj0;6?u21e`9g5=:9mi1=o5rs0`g>5<4s48:=7?l;<02f?7d34;ih7m?;|q264<72;q6>:95e:?a4?e73ty:>>4?:3y>62?=m27j:7m?;|qab?6=;r79=?4>b:?17f<6j27ij7m?;|q2a2<72;q6><651e9>5`1=k91v<<;:181840i3o01l?5c19~w73e2909wS<:b:?11f=650;0x976?2ji01?;j:0`8yv7ak3:1>v3=5b82f>;6nj0ho6s|10:94?4|5{t9l?1<7;t=0g6>fe<583?65ad=9m16=o95c19>53g=9k16>e38`g>;6180:h638d;3`?xu5:>0;65u213f95f=:m>0:o63kf;3`?8c628i01h=51b9>a0<6k279>:4lc:?2f0<6j2wx=o750;5x9g4=n27:n44lc:?14c<6k27:m?4>c:?06?7d349h64d52z?5b?e73488=7k4}r021?6=:9q6>?<5b:?161g=:::21oo522259gg=:9l81n63=808a?87b=3h015g>=j279=;4l0:?175;6m10i70<=6;`897442k16>;k5b:?12ag=::?k1n63=688a?84103h01?88:c9>5ce=kk16>>?5b:?177>95e:?51?e73ty9;k4?:2y>62`=kj16>?o51b9>5g0=9k1v951b9~w4`52909w0?ib;a3?841?3o0q~<71;290~;6<80:n63=808`g>;6080:h63;6;3`?xu5u216;95g=::9;1=o5225g9g5=z{;986=49{<003?ed34;on7?l;<3b3?7c34;m:7?m;<47>4e<5;>>64c47>52z?2b2<6k279954l0:p570=838p1?9l:d9>=23;h70?=f;3`?87fn3;i70?n8;3`?87f93;i70?6a;3`?87>;3;i70?7c;3`?87?93;h70?72;3a?87?<3;h70?8b;3a?870<3;h70?9d;3a?871=3;h70?:c;3a?872=3;h70?;d;3a?873>3;h70?<8;3a?87493;i70?l3;a3?xu5=80;6>u215`95g=::991=o522439g5=z{;8i6=4;{<01b?ed34;<>7?k;<5;>4e<5;:>6b:?61?7d348?47?m;|q16f<72=q6>>>5cb9>534=9m16;>4>c:?141<6k2wx>8o50;0x94`>28i01?;n:b28yv75=3:1>v3=7c8f?8?d2j:0q~<62g=1279;o46;<043??<5;=n645226f9=>;6m;0270<71;;8971d2016=h;59:?2f<<>34;i4774=3:3><=:::o1o=5226:9=>;5;90270<<9;;8974a2016=h>59:?2a<<>34;n4774=35e><=:::;1563=338:?844i330q~<>0;2954}:9hh1=n5218f95f=:91l1=n5219;95f=:9>=1=n5217:95f=:9<21=n5215;95f=::8>1o=521e7954=:9jl1=<521e1954=:9m:1=<521bg954=:9m;1=<521e6954=:9m81=<5rs0g;>5<3s4;n47ml;<34g?7d34;;o7?m;<6a>4e54z?2a<c:?2b`<6l27><7?l;|q242<72;q6==o5c19>62`=j2wx=ol50;`x9a0=9116>:75b:?13dg=::>o1n63=7e8a?840k3h01?6?:d9>62>=j27:no4>b:p55d=838p1<>i:b28971a2h1v?9=:180840i3ih70??4;3g?8g728i0q~?id;296~;6no0h<63>bc825>{t:8=1<7?>{<016??<5;8?64522379=>;59m0h<63=268:?845>3301?<<:89>63c=1279:i46;<05g??<5;;5>00270<98;;897002016=km59:?14=<>3tyn:7>59z?f3?e7348=i7o4=34g>d=::?i1m63=6c8b?841i3k01?86:`9>63>=i279::4n;|qf52z?2f=4}r332?6=:r78?7m?;<0352z?13a4}r325?6=:r7:n44j;<1g>f652z?14=:`9>66>=i279?:4n;<3f6?g<5;2:6l521d79e>;6j00j70?m8;c897572h16>>75a:?2a1k4n;<3f4?g<58o26l521d:9e>;5;80j70<<2;c8975f2h1v3882g>;6:o0:h63>a682g>;6ij0:n63>ae82g>;6il0:n63>9882g>;61l0:n63>9g82g>;6i90:n63>8c82g>;6190:n63>9082g>;61;0:n63>8282f>;60=0:h63>7382g>;6?10:n63>7`82f>;6?m0:o63>6382g>;6>00:n63>6c82f>;6?90:o63>5282g>;6=00:n63>5c82f>;6=l0:o63>4582g>;64b82f>;63482f>;6;;0:n63>c58`4>{t:=l1<7=t=04`>4d<5;:;6f652z?1<4<6n>4}r320?6=:r7?o7m?;<3f52z?2a74}r321?6=:r7>=7m?;<3f=?c55z?17=d:?2b6<6j27>j7?l;<072?7e3ty:>h4?:03x944a2j:018651b9>1<<6j27<:7?l;<54>4d<5>;1=n527382f>;1k3;h708k:0`8934=9j16:>4>b:?6`?7d34?n610:n63:3;3`?83328h0q~?=9;296~;6:h0h<63=278f?xu6:k0;69u213f9g5=::o0:h63<0;3g?8cd28h0q~?<0;2956}:99l1=n521219g5=::1:1m637f;3`?8?728h014:51b9>=0<6j27257?l;<;b>4d<51k1=n528c82f>;fl3;h70oj:0`89d>=9j16m44>b:?b7?7d34k?6f652z?f5?7c348<6nj4}r300?6=lr7:?;4l0:?7a?7d34>m6h51b9>05<6j278m7?l;<1a>4d<5:?1=n523782f>{t:?:1<7=t=34:>`=::?21on521`195f=z{;<86=4<{<05g?c<5;4e57z?27<4d<51:1=n528082f>;0j3;h709l:0`8yv44=3:19v3=388`g>;60k0:h63>f582f>;2i3;h70<;7;3a?xu5>o0;6>u22659gf=:m00:h63nf;3`?xu5?80;6>u226;9gf=:no0:h63n5;3`?xu5:m0;69u22239gf=:9<91=i526d82g>;58?0:o6s|b283>7}:j;0hh63m1;d8yv4213:1>v3>f982g>;5=00h<6s|2e83>7}::k0h<63=9;d8yv41>3:1>v3=6d8`g>;6=90:o6s|10c94?4|5>31o=5223d9a>{t:;21<7`=::;k1o=5rs37e>5<4s48=47k4=344>fe<58h:65<5s4n<6n94=35e>`=z{l>1<7`=:m<0h<6s|1dg94?4|58=<6n>4=0f1>4d52z?5e?e73488>7k4}r051?6=;r79:h4j;<05`?ed34;>j7?l;|q2af<72;q6=5h5c19>5a6=9k1v?2j:016d?k50;6x97552ji01<:;:0f8976028i01;751b9~w4cc2909w0?79;a3?87c93;i7p}>4183>7}:9=;1o=5224a954=z{8836=4={<04a?c<51n1o=5rs0ge>5<5s4;=47m?;<3g7?7e3ty:j<4?:3y>51?=k916=i;51c9~w47e2909w09;:b2897572l1vl3i;70?lf;3a?xu69>0;6?u222:9a>;183i;7p}=6083>6}::?k1i63=688`g>;61<0:o6s|e383>7}::;>1i63j3;a3?xu6mh0;6?u21``9g5=:9jo1=o5rs303>5;a>3;h70h7:0a8yv46m3:1;v3=238`g>;6:h0:o63j7;3g?8402o16n<4>0:?1e?7c34nn6c:p5ac=839p1:ba894?a28n015<51b9~w4`72909w0?:8;a3?87c<3;i7p}j0;296~;b93i;70<=3;g8yv74l3:15v3>3g8`4>;5?00j70<8a;c8971e2h16>:95a:?13`d=::>21m6s|10;94?4|5<<1o=5222c9a>{t98l1<7`=:0:0h<6s|12a94?4|5l?1=i52288``>{t:<:1<7=t=07b>4d<5;:96f65bz?160l4>f:?1=?7734o260182f>;68;0:o63>0282f>;68=0:o63>0482f>{t:8l1<78t=00b>4b<5;886nm4=35955=::h0:o63jb;3a?8`d28i0q~jk:181845:3o01ih5c19~w7122908w0<8d;a`?8cb28i014?51b9~w7172908w0<88;a`?877:3;o70on:0a8yv7cl3:1?v3>e18`g>;6im0:h6377;3`?xu5>=0;6>u227f9a>;5>j0ho63>6g82g>{t9:h1<74=0`1>4e52z?2efd:p5de=838p1b082`>{t9ho1<7f6<58k2650;0x94d62j:01v3>b38`4>;6i10:h6s|1c094?4|58h86n>4=0c:>4e52z?2e=c:p5d>=838p1a`8`4>{t9021<7f6<58k?6n3:1>v3>a18`4>;6i:0:h6s|1`294?4|58k:6n>4=0;a>4b52z?2e7d:p5d4=838p19`82`>{t9h>1<7f6<583i6j3:1>v3>9e82`>;61j0h<6s|19c94?4|582i6n>4=0;5>4e52z?2=5d:p5<6=838p1<7>:b2894?028i0q~?61;296~;61;0h<63>9482`>{t9081<7f6<582o6=3:1>v3>978`4>;60j0:h6s|18494?4|583<6n>4=0:g>4e52z?2c:p5=e=838p1<6k:b2894>b28n0q~?7d;296~;60o0:h63>8d8`4>{t91:1<7f6<582=642j:01<68:0f8yv7?;3:1>v3>858`4>;6010:h6s|19694?4|582>6n>4=0:5>4b52z?2<3c:p5=0=838p1<68:b2894>?28i0q~?77;296~;6000:h63>898`4>{t9>;1<7f6<58=m62j:01<9k:0f8yv7013:1>v3>7`8`4>;6?l0:h6s|16c94?4|58=i6n>4=056>4b52z?23fd:p52e=838p1<9k:b28941428i0q~?8d;296~;6?l0h<63>7282`>{t9>o1<7f6<58=?64l0:?230<6k2wx=:=50;0x94132j:01<99:0a8yv70<3:1>v3>748`4>;6??0:h6s|16794?4|58=<6f652z?2274>c:p534=838p1<86:b28940b28i0q~?99;296~;6>h0h<63>6d82`>{t9?k1<7f6<58v3>6d8`4>;6>:0:h6s|17g94?4|584=047>4e52z?235d:p526=838p1<8<:b28940228n0q~?93;296~;6>=0h<63>6782g>{t9?>1<7f6<58<<6v3>528`4>;6>90:o6s|14194?4|58?26n>4=07g>4b57>52z?21dd:p50g=838p1<;m:b28943a28n0q~?:b;296~;6=j0h<63>5782`>{t9f6<58<;6v3>618`4>;6=<0:h6s|17294?4|58??6n>4=075>4e87>52z?210c:p503=838p1<;9:b28943028n0q~?:6;296~;6=10:h63>568`4>{t9=91<7f6<58?:6v3>4b8`4>;6=90:h6s|15a94?4|58>o6n>4=064>4b52z?20`d:p51c=838p1<:i:b28942228i0q~?;f;296~;6=90h<63>4482`>{t9<:1<7f6<58>=63:1>v3>468`4>;6<10:h6s|15594?4|58>26f652z?27c<6l27:?h4l0:p55e=838p1<>l:b28946c28n0q~??d;296~;68m0h<63>0d82f>{t99o1<74b<58:n6n>4}r3e7?6=:r7:j>4l0:?2b2<6l2wx=k:50;0x94`32j:01v3>f48`4>;6n00:h6s|1g494?4|58l=6n>4=0db>4b52z?2bg<6k27:j:4l0:p5c>=838p1f88`4>{t9ok1<74`<58lj6n>4}r74>5<5s4?i67}:=k0:h63:a;a3?xu5m3:1>v3=f;a3?85628h0q~>5c19~w66=838p1>?5c19>77<6l2wx?<4?:3y>76<6k278>7m?;|q;0?6=:r7347?l;<:6>f66=4={<:5>f6<51=1=i5rs9494?4|5121=i52868`4>{t?o0;6?u28282g>;?83i;7p}70;296~;?93i;706=:0f8yv>62909w06<:0f89=4=k91v:;50;0x92?=9j16;;4l0:p33<72;q6;:4l0:?452z?4=?7c34=36n>4}r53>5<5s4=?6;1<77}:?=0:h6383;a3?xu1j3:1>v39f;3`?80d2j:0q~8l:18180c2j:01;k51e9~w3b=838p1;h51e9>2`20<6k27=>7m?;|q56?6=:r7=?7m?;<47>4b4b<5?>1o=5rs4a94?4|5?:1=n525e8`4>{t=m0;6?u25d8`4>;2n3;o7p}:e;296~;183;o70;i:b28yvc>2909w0k6:b289c7=9j1vk:50;0x9c2=k916ii4>d:pbc<72;q6jk4l0:?e6?7d3ty:<=4?:3y>556=k916ih4>d:p557=838p1<>>:b289c1=9m1v<>=:181877:3i;70h<:0a8yv77;3:1>v3>028`4>;bn3;o7p}>0583>7}:99>1o=52f482g>{t99?1<7f6<5o:1=i5rsdc94?4|5lk1o=52f882`>{tmk0;6?u2ec8`4>;al3;o7p}jc;296~;am3;n70kl:b28yvcc2909w0kk:b289c7=9m1vhk50;0x9`c=k916j?4>d:pac<72;q6ik4l0:?e7?7c3tym<7>52z?e4?e734l>65<5s4l:6n>4=g495a=z{o81<70:o6s|f283>7}:n:0h<63i8;3g?xua=3:1>v3i5;a3?8`>28i0q~h9:1818`12j:01ko51b9~wc1=838p1k95c19>bd<6l2wxj54?:3y>b=4bf6<5oi1=i5rsg`94?4|5oh1o=52fe82g>{tnj0;6?u2fd82`>;ak3i;7p}id;296~;am3;h70hk:b28yv7403:1>v3>3882`>;6;10h<6s|12794?4|589=6f652z?276<6k27:?<4l0:p564=838p1<=<:0f894552j:0q~?=c;296~;6:m0:h63>2b8`4>{t9921<7f6<58:26b:?24<=7<6k273j7m?;|q;b?6=:r72<7m?;<;2>4b4b<50;1o=5rseg94?4|5ml1=i52dd8`4>{t9oo1<74b<58ln6n>4}r034?6=:r79<=4l0:?141<6l2wx>=?50;0x97662j:01?>::0f8yv47:3:1>v3=038`4>;58?0:h6s|21194?4|5;:86n>4=324>4b52z?2bc<6k279<94l0:p653=838p1{t:9=1<776<5;:<6n>4}r025?6=:r79=94>c:?154<<50;0x97752j:01??<:0f8yv46;3:1>v3=1582`>;59:0h<6s|22a94?4|5;9h6n>4=31g>4b52z?17`<6j279?i4l0:p5g3=838p10:h63>b78`4>{t1:0;6?u29682g>;><3i;7p}64;296~;>=3i;7079:0f8yv?22909w078:0f89<0=k91v:o50;0x92c=9j16;o4l0:p3g<72;q6;n4l0:?4`?7c3ty52z?4a?7c34=o6n>4}r45>5<5s400:h6s|6983>7}:>h0:h6399;a3?xu2:3:1>v3:6;3`?8342j:0q~;<:1818332j:018;51e9~w02=838p18851e9>1014<6k27?i7m?;|q7a?6=:r7?j7m?;<73>4bm6=4={<72>4b<5<:1o=5rs5:94?4|5=i1=n52488`4>{t<00;6?u24`8`4>;3j3;o7p};a;296~;3k3;o70:m:b28yv242909w0:8:0a8912=k91v9:50;0x913=k9168;4>d:p00<72;q68:4>d:?72?e73ty8i7>52z?76?7d349m6n>4}r1e>5<5s4>;6n>4=5395a=z{=:1<77}:;m0:o63v3m5c19~w62=838p1>651b9>7073f64e<5031o=5rs8;94?4|50k1o=529c82`>{t1h0;6?u29b82`>;>j3i;7p}79;296~;?l3;h706n:b28yv>f2909w06m:b289=e=9m1v5l50;0x9=b=9m164n4l0:p64>=838p1??7:b28977d28i0q~<>9;296~;5900h<63=1c82`>{t:8k1<74b<5;;j6n>4}r02f?6=:r79=o4l0:?15f<6l2wx>v3=0g82`>;58l0h<6s|21c94?4|5;:h6f652z?14f<6l2792j:0q~ol:1818d728i01lj5c19~wdb=838p1lk5c19>ec<6l2wxmh4?:3y>f5<6l27jj7m?;|qb3?6=:r7jn7?l;f6f6<5hk1=i5rs`;94?4|5hh1=i52a`8`4>{ti;0;6?u2a782g>;f;3i;7p}n3;296~;f<3i;70o::0f8yvg32909w0o9:0f89d3=k91v4j50;0x9d7=9j165h4l0:p=`<72;q65k4l0:?b4?7c3ty2j7>52z?b5?7c34k;6n>4}r3g;6jo0:n6s|1e494?4|V8n=70?k7;3a?xu6jj0;6?uQ1ca894dc28h0q~lj:181[db34hm6;5=h0:n6s|24694?4|V;??70<:9;3a?xu5=:0;6?uQ2418973?28h0q~<:2;296~X5=;16>8951c9~w4e52909wS?l2:?2g1<6j2wx=n>50;0xZ4e734;h?7?m;|q10a<72;qU>9j4=372>4d52z\10g=::<:1=o5rs36b>5<5sW8?m63=4g82f>{t:=31<7;51e9>ea<6l2wxn84?:4y>5f`=k9164=4>d:?6`?7c349j6f6<5<21=i527c82`>;4n3;o70o<:0f8yvd0290?w0?k1;a3?83428n019:51e9>=`<6l2wxn54?:5y>5a4=k916;;4>d:?7=?7c343265<4s4;o?7m?;<52>4b<50>1=i5rscc94?2|58n?6n>4=7a95a=:0o0:h63;e;3g?xuej3:1?v3>d48`4>;1?3;o706n:0f8yv7ci3:1>vP>d`9>5ae=k91v?;k:181[42l2799h4l0:p612=838pR?:;;<07<6n>4}r075?6=:rT98<522549g5=z{;>;6=4={_074>;5<<0h<6srn77f>5<6sAn;7p`95g83>4}Ol91vb;8?:182Mb73td=:<4?:0yK`5=zf?<96=4>{If3?xh1>:0;65<6sAn;7p`96683>4}Ol91vb;87:182Mb73td=:44?:0yK`5=zf?{If3?xh1>k0;65<6sAn;7p`96g83>4}Ol91vb;9?:182Mb73td=;<4?:0yK`5=zf?=96=4>{If3?xh1?:0;65<6sAn;7p`97683>4}Ol91vb;97:182Mb73td=;44?:0yK`5=zf?=j6=4>{If3?xh1?k0;65<6sAn;7p`97g83>4}Ol91vb;6?:182Mb73td=4<4?:0yK`5=zf?296=4={If3?xh10:0;63290:wEj?;|l5<0<728qCh=5rn7:5>5<6sAn;7p`98683>7}Ol91vb;67:182Mb73td=444?:0yK`5=zf?2j6=4>{If3?xh10k0;6d290:wEj?;|l55<6sAn;7p`98g83>4}Ol91vb;7?:182Mb73td=5<4?:0yK`5=zf?396=4>{If3?xh11:0;65<6sAn;7p`99683>4}Ol91vb;77:182Mb73td=544?:0yK`5=zf?3j6=4>{If3?xh11k0;65<6sAn;7p`99g83>4}Ol91vb;o?:182Mb73td=m<4?:0yK`5=zf?k96=4>{If3?xh1i:0;65<6sAn;7p`9a683>4}Ol91vb;o7:182Mb73td=m44?:0yK`5=zf?kj6=4>{If3?xh1ik0;65<6sAn;7p`9ag83>4}Ol91vb;l?:181Mb73td=n<4?:0yK`5=zf?h96=4>{If3?xh1j:0;65<6sAn;7p`9b683>4}Ol91vb;l7:182Mb73td=n44?:2yK`5=zf?hj6=4>{If3?xh1jk0;6?uGd19~j3dd290:wEj?;|l5fa<728qCh=5rn7`f>5<6sAn;7p`9bg83>4}Ol91vb;m?:182Mb73td=o<4?:0yK`5=zf?i96=4>{If3?xh1k:0;65<6sAn;7p`9c683>4}Ol91vb;m7:181Mb73td=o44?:0yK`5=zf?ij6=4>{If3?xh1kk0;65<6sAn;7p`9cg83>4}Ol91vb;j?:182Mb73td=h<4?:0yK`5=zf?n96=4>{If3?xh1l:0;65<5sAn;7p`9d683>6}Ol91vb;j7:182Mb73td=h44?:0yK`5=zf?nj6=4>{If3?xh1lk0;65<6sAn;7p`9dg83>4}Ol91vb;k?:181Mb73td=i<4?:0yK`5=zf?o96=4={If3?xh1m:0;6?uGd19~j3c3290:wEj?;|l5a0<728qCh=5rn7g5>5<6sAn;7p`9e683>4}Ol91vb;k7:182Mb73td=i44?:3yK`5=zf?oj6=4>{If3?xh1mk0;65<6sAn;7p`9eg83>4}Ol91vb;h?:182Mb73td=j<4?:0yK`5=zf?l96=4>{If3?xh1n:0;65<6sAn;7p`9f683>4}Ol91vb;h7:182Mb73td=j44?:0yK`5=zf?lj6=4>{If3?xh1nk0;65<6sAn;7p`9fg83>4}Ol91vb:>?:182Mb73td<<<4?:0yK`5=zf>:96=4>{If3?xh08:0;65<6sAn;7p`80683>4}Ol91vb:>7:182Mb73td<<44?:0yK`5=zf>:j6=4>{If3?xh08k0;65<6sAn;7p`80g83>4}Ol91vb:??:182Mb73td<=<4?:0yK`5=zf>;96=4>{If3?xh09:0;65<6sAn;7p`81683>4}Ol91vb:?7:182Mb73td<=44?:0yK`5=zf>;j6=4>{If3?xh09k0;65<6sAn;7p`81g83>4}Ol91vb:<4?:0yK`5=zf>896=4>{If3?xh0::0;65<6sAn;7p`82683>4}Ol91vb:<7:182Mb73td<>44?:0yK`5=zf>8j6=4>{If3?xh0:k0;65<6sAn;7p`82g83>4}Ol91vb:=?:182Mb73td996=4>{If3?xh0;:0;65<6sAn;7p`83683>4}Ol91vb:=7:182Mb73td9j6=4>{If3?xh0;k0;65<6sAn;7p`83g83>4}Ol91vb::?:182Mb73td<8<4?:0yK`5=zf>>96=4>{If3?xh0<:0;65<6sAn;7p`84683>4}Ol91vb::7:182Mb73td<844?:0yK`5=zf>>j6=4>{If3?xh05<6sAn;7p`84g83>4}Ol91vb:;?:182Mb73td<9<4?:0yK`5=zf>?96=4>{If3?xh0=:0;65<6sAn;7p`85683>4}Ol91vb:;7:182Mb73td<944?:0yK`5=zf>?j6=4>{If3?xh0=k0;65<6sAn;7p`85g83>4}Ol91vb:8?:182Mb73td<:<4?:0yK`5=zf><96=4>{If3?xh0>:0;65<6sAn;7p`86683>4}Ol91vb:87:182Mb73td<:44?:0yK`5=zf>{If3?xh0>k0;65<6sAn;7p`86g83>4}Ol91vb:9?:182Mb73td<;<4?:0yK`5=zf>=96=4>{If3?xh0?:0;65<6sAn;7p`87683>4}Ol91vb:97:182Mb73td<;44?:0yK`5=zf>=j6=4>{If3?xh0?k0;65<6sAn;7p`87g83>4}Ol91vb:6?:182Mb73td<4<4?:0yK`5=zf>296=4>{If3?xh00:0;63290:wEj?;|l4<0<728qCh=5rn6:5>5<6sAn;7p`88683>4}Ol91vb:67:182Mb73td<444?:0yK`5=zf>2j6=4>{If3?xh00k0;6d290:wEj?;|l45<6sAn;7p`88g83>4}Ol91vb:7?:182Mb73td<5<4?:0yK`5=zf>396=4>{If3?xh01:0;65<6sAn;7p`89683>4}Ol91vb:77:182Mb73td<544?:0yK`5=zf>3j6=4>{If3?xh01k0;65<6sAn;7p`89g83>4}Ol91vb:o?:182Mb73tdk96=4>{If3?xh0i:0;65<6sAn;7p`8a683>4}Ol91vb:o7:182Mb73tdkj6=4>{If3?xh0ik0;65<6sAn;7p`8ag83>4}Ol91vb:l?:182Mb73tdh96=4>{If3?xh0j:0;65<6sAn;7p`8b683>4}Ol91vb:l7:182Mb73tdhj6=4>{If3?xh0jk0;65<6sAn;7p`8bg83>4}Ol91vb:m?:182Mb73tdi96=4>{If3?xh0k:0;65<6sAn;7p`8c683>4}Ol91vb:m7:182Mb73tdij6=4>{If3?xh0kk0;65<6sAn;7p`8cg83>4}Ol91vb:j?:182Mb73tdn96=4>{If3?xh0l:0;65<6sAn;7p`8d683>4}Ol91vb:j7:182Mb73tdnj6=4>{If3?xh0lk0;65<6sAn;7p`8dg83>4}Ol91vb:k?:182Mb73tdo96=4>{If3?xh0m:0;65<6sAn;7p`8e683>4}Ol91vb:k7:182Mb73tdoj6=4>{If3?xh0mk0;65<6sAn;7p`8eg83>4}Ol91vb:h?:182Mb73tdl96=4>{If3?xh0n:0;65<6sAn;7p`8f683>4}Ol91vb:h7:182Mb73tdlj6=4>{If3?xh0nk0;65<6sAn;7p`8fg83>4}Ol91vb5>?:182Mb73twvqMNL{8c7>11e:;on9pNOBz2~DEV|uIJ \ No newline at end of file diff --git a/spi6502b.ngd b/spi6502b.ngd new file mode 100644 index 0000000..e4adaaa --- /dev/null +++ b/spi6502b.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.2e +$3f50=#9@>:96*>I516?!7N=5:&Qasotafd1,< 60/6-0%"?3/U:E9?P399%[4O3;V937+Q>I47\7==!W8D?>R:7;']2J12X<11-S<@;8^626>4=AGZ^X7mu^c?5?699=1?6D@_UU8ptlYnf}686=0>5:69MKVR\3y{eRcfsn>0>586>2>1CXZ_UU8ptlYI{lf7?7>1139;>LHH]]0h|xQk<683:6=FFM>0MCJ=4:CM@62DR[VCEJB?4C39@A6=DDB90OA\6;BMNILRSMM=0O_KNTDF0?FRK92Nn7I\L_RW@G@UTN\F<7Io{a=2=3>Bf|h6:2<5J8:G[TDHCMM80JI=4FEA7?CBDM=1MHN^<;GFS0>@CXL80JK64FSMN[PEA92M?7J <829DJA7?46]\j56788;87@okd^]m4520WVd;<=?>149NeabXWg:;8:QPn12309699<1FmijP_o2302YXf9:;:1>1c:ObnjtQm{ybccm4MhllvScu{`ee=?5Bmtz\[k6790UYi~{ct018IhsWVd;<<7PRdqvhq76;2GfyuQPn122=ZTb{|f><=4Mlw{[Zh7883T^h}zlu127>Kj}qUTb=>>9^Pfwpjs<890A`{w_^l344?XZly~`y;>2:Onq}YXf9:98R\jstnw56=Je|rTSc>?25]Qavsk|8;87@czx^]m4543W[oxyaz=129Nip~XWg:;>9Q]erwop6743Dg~tRQa0107[Wct}e~?=?5Bmtz\[k67:<=4Mlw{[Zh78;?T^h}zlu127>Kj}qUTb=>=5^Pfwpjs<880A`{w_^l3470XZly~`y?<;Lov|ZYi898=S_k|umv256=Je|rTSc>?27]Qavsk|;;87@czx^]m4541W[oxyaz<129Nip~XWg:;>;Q]erwop1753Dg~tRQa0104[Wct}e~:?6Cbuy]\j565?VXnxb{1018IhsWVd;Kj}qUTb=>=8^Pfwpjs9:1FaxvP_o236=YUmzgx?29]Qavsk|:;87@czx^]m454?W[oxyaz;139Nip~XWg:;>4Q]erwop45PRdqvhq46>2GfyuQPn1204ZO7W[oxyaz<179Nip~XWg:;?=QF0^Pfwpjs<8?0A`{w_^l3466XA8UYi~{ct048IhsWVd;<>>PI0]Qavsk|8;=7@czx^]m4557W@;T^h}zlu022>Kj}qUTb=><0^K2[Wct}e~8=;5Bmtz\[k67;9UB=R\jstnw0436:Onq}YXf9:8>PI2]Qavsk|8<0A`{w_^l3466XA:UYi~{ct035?HkrpVUe<==?_H1\V`urd}8::6Cbuy]\j5648VC8S_k|umv053=Je|rTSc>?31]J7ZTb{|f8<;4Mlw{[Zh78:;TE=Q]erwop40PRdqvhq46>2GfyuQPn1205ZO7W[oxyaz<179Nip~XWg:;??PI0]Qavsk|8;=7@czx^]m4556W@;T^h}zlu022>Kj}qUTb=><1^K2[Wct}e~8=;5Bmtz\[k67;8UB=R\jstnw0436:Onq}YXf9:8=RG=_Sgpqir49?1FaxvP_o2374YN:VXnxb{4078IhsWVd;<>?PI2]Qavsk|8<0A`{w_^l3467XA:UYi~{ct035?HkrpVUe<==>_H1\V`urd}8::6Cbuy]\j5649VC8S_k|umv053=Je|rTSc>?30]J7ZTb{|f8<;4Mlw{[Zh78:8TE=Q]erwop40PRdqvhq46>2GfyuQPn1206ZO7W[oxyaz<179Nip~XWg:;??QF0^Pfwpjs<8?0A`{w_^l3464XA8UYi~{ct048IhsWVd;<>Kj}qUTb=><2^K2[Wct}e~8=;5Bmtz\[k67;;UB=R\jstnw0436:Onq}YXf9:8>RG=_Sgpqir49?1FaxvP_o2377YN:VXnxb{4078IhsWVd;<>:PI1]Qavsk|8<0A`{w_^l3462XA9UYi~{ct035?HkrpVUe<==;_H2\V`urd}8::6Cbuy]\j564?35]J4ZTb{|f8<;4Mlw{[Zh78:>TE2GfyuQPn1200ZO6W[oxyaz<179Nip~XWg:;?9QF1^Pfwpjs<8?0A`{w_^l3462XA;UYi~{ct048IhsWVd;<>:PI3]Qavsk|8;=7@czx^]m4553W@8T^h}zlu022>Kj}qUTb=><4^K1[Wct}e~8=;5Bmtz\[k67;=UB>R\jstnw043TE>Q]erwop4713Dg~tRQa0117[L5XZly~`y<>6:Onq}YXf9:88RG<_Sgpqir49?1FaxvP_o2371YN;VXnxb{4078IhsWVd;<>:PI5]Qavsk|8<0A`{w_^l3462XA=UYi~{ct035?HkrpVUe<==;_H6\V`urd}8::6Cbuy]\j564?35]J0ZTb{|f8<;4Mlw{[Zh78:>TE8Q]erwop402GfyuQPn1200ZO2W[oxyaz<179Nip~XWg:;?9QF5^Pfwpjs<8?0A`{w_^l3462XA?UYi~{ct048IhsWVd;<>:PI7]Qavsk|8;=7@czx^]m4553W@Kj}qUTb=><4^K5[Wct}e~8=;5Bmtz\[k67;=UB:R\jstnw043TE:Q]erwop4713Dg~tRQa0117[L1XZly~`y<>6:Onq}YXf9:88RG8_Sgpqir49?1FaxvP_o2371YN?VXnxb{4058I}iuWVd;<9>PMymq[Wct}e~:;6Cwos]\j5638VGscQPn123443H4<<=>7C=;5878J6219<1E?98<5:L003323G9?::;4N265=1=I<<<<7CK[WNPH0>Hd{;30Bn}=_H@VB4=H<2EIYK;4OCWEA6=HFL80C_=4OS00?JT4;2EY8>5@R418KPR63Y80\<=4P030?U75;2Z:?>5_1518T4>43Y;2>6^=3:R146=W:;90\?:<;Q067>V5>:1[>:=4P3:0?U4>:2Z8?6^<429S7055]SU48V`urd}90_HZ9;RMVVFC63]90X_G7;UPJWQGSM=1_U]Kn;TQFVZGKAHYh7X]JR^TJWLDKM:1]ON74VHGT[Q_WM8n0TDBFNY/[@G&7&8*XXXL/0/3#EVENA:1SC_:4XNP12>^T\ECI;6V\T^EM@2=_[]U]ON;4X^MMA0=_W]XB:6VPZDPL2>^cjVCon6Vkh^RqmhOi~j1SheQ_rhoW}uca3QncS]|fmWgqwlii991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc84Xn>3:455:5m6Qa01358586i2Ue<=?9<1<1=>Yi89;=0<0n;^l3440;97;27R`?004?6;g0;8[k679?682l5Pn12229599?1Tb=>>769\j566?8<0Sc>?1958[k6791;37R`?00:24==Xf9::46:11Tb=>>801;?Zh7882:855Pn122<43?3Vd;<<6>699\j56608=37R`?00:2<==Xf9::4<78;^l344>502Ue<=?721:8[k67918:46Qa013;67>?1906<>Yi89;3>;64_o235=4002Ue<=?729:8[k679182;6Qa013;72=Xf9::4994_o235=303Vd;<<697:]m457??>1Tb=>>8958[k67913=7R`?00;5?Zh78;:<7R`?03223>Yi898;>:5Pn121460<94_o2364703Vd;1Tb=>=1548[k67:=<0Sc>?2448[k67:?<0Sc>?2648[k67:1<0Sc>?28;8[k67;96;245Pn120497912Ue<==?<3<:?Zh78::7?374_o2374:7601Tb=><1=3==>Yi899:0?06;^l3467;;730Sc>?33>3:<=Xf9:8>1?19:]m45554;427R`?026?4;?>89\j564<58556Qa0117868>3Vd;<>:34?;8[k67;=6>245Pn120090912Ue<==;<6<5?Zh78:=<7R`?02522>Yi8993;6Qa011;52=Xf9:84?84_o230717:]m4523:>1Tb=>;4248[k67<<=0Sc>?4434?Zh78=?9:6Qa01653>Yi89>==:5Pn12727?>89\j563?58556Qa016486813Vd;<;98;^l34316?2Ue<=88279\j56>8>1Tb=>600;8[k671;6;2;5Pn13242=Xf9;:<<64_o22557702Ue<1Tb=?>0258[k6699><7R`?10263>Yi88;;::5Pn132421>2Ue<302;?Zh7989:=55Pn132744?3Vd;=<=>399\j576;8><7R`?10113>Yi88;8?:5Pn132711;8;^l35451?2Ue<>12;5?Zh798<<7R`?1042<>Yi88;===64_o22537602Ue<1Tb=?>6258[k669?><7R`?10463>Yi88;=::5Pn132221>2Ue<911Tb=?>902;?Zh7983:=55Pn132=44?3Vd;=<7>399\j57618><7R`?10;13>Yi88;2?:5Pn132=11>18;5?Zh79;;<7R`?13323>Yi888:>:5Pn131561<:8;^l35772?2Ue<<<>669\j5759>=0Sc>>20:4?Zh79;;2:6Qa00073>Yi888?=55Pn131046?3Vd;=?:>199\j575<8837R`?136272=Xf9;98?94_o2261503Vd;=?:;7:]m4443=>1Tb=?=4758[k66:==<7R`?136;3>Yi888?5;5Pn13132=Xf9;9;<64_o22627702Ue<<<810:8[k66:>;946Qa0004561:<8;^l35714?2Ue<<<8469\j575?<=0Sc>>2644?Zh79;=<;6Qa0004<2=Xf9;9;484_o2275155Pn13044503Vd;=>>=7:]m4457;>1Tb=?<0558[k66;9?<7R`?12253>Yi889;;:5Pn1304=1dtq8>0n~w=4:`p}62ew}Vn78364cqw\`93902i{yRj36?c8gusXl5=1<364cqw\`919m2i{yRjP0^KLFP@Bm2i{yRjP1^KLFP@Bm2i{yRjP2^KLFP@Bm2i{yRjP3^KLFP@Bm2i{yRjP4^KLFP@Bm2i{yRjP5^KLFP@Bm2i{yRjP6^KLFP@Bm2i{yRjP7^KLFP@B?2i{yR|awb9`tpYuf~UBNXH<;bq2<>et9VCIYK:4dhcd1>bnin;27igng^MAQCg9:fjrluhzV8n7igyirmq[7YIoi|Vndyy2;>c9jjqYcg|~793l4iov\`jss4?4i7d`{_emvp91902cexRcfsn;8mkrXe`yd=45fnu]nmvi512cexRcfsn1:?lhsWdcxc974iov\iluh=01bbyQbirm5=>oi|Vgbb96;hlw[hotg130eczPmhql===nf}Ufc~g8;hlw[jss02cexRazt0;8mkrXg|~:<55fnu]lqq4?3`dSb{{399jjqYh}}>37d`{_nww1==nf}Udyy87;hlw[jss?11bbyQ`uu:;?lhsWf555fnu]pgima3`dS~mck^]m4567991bbyQ|cmi\[k6789;:<6gat^q`hnYXf9:;?3g9jjqYtkeaTSc>?0033?lhsWziggRQa01225463zbbjymck3;8wmoa|jf`?45|hhdwgim312ycekzllj7:?vnnn}igg;l4sikepfhs494i7~fffuamp979j2ycekzlnu>1:g=t``locz33?c8wmoa|jdS=o4sikepfhsW8k0egitblw[7g<{acmxn`{_2;8wmoa|meei45|hhdwmka5991xddh{ioe1[Zh789::=6}gigvjjb4XWg:;<=?>1:qkmcrnfn8TSc>?010g?vjf~lcexh`30?f8wigqm`dic2>>e9phdpbag~nb1<1d:qoescnf}oe0>0l;rnbr`oi|ldTukioxia2>>c9phdpb{lf7>3l4smcuavck4:4j7~bnvdqfhZ6f3zfjzh}jl^3b?vjf~lyn`R==5|l`tfw`jX;VD?:8?>0:qoesctmeU8SC:95333?vjf~lyn`R=PN5467==tx`<>uwaVDxiaQ=_N@VBc=tx`UEhbP3^MAQCg<{ycTecz30?c8wuoXag~7=3o4sqk\mkr;:7i0}gPiov?7?69i2y{eRgat=1=a>uwaVcexR>PICWEa>uwaVcexR?PICWEa>uwaVcexRuwaVcexR=PICWEf>uwaVgbb2?>c9ptlYjaze7=3l4sqk\iluh4;4o7~~f_lkpk95=87h0}gPmhql868a3zzbS`g|o^2\MGSAn2y{eRcfsn]2[LDRNo1x|dQbirm\6ZOE]Ol0}gPmhql[6YNJ\L37~~f_lmpm`=tx`Ufc~gPOCWEA==tx`Uxoaek;rrj[vekcVEIYKm4sqkgeqgnf5:5o6}iecwelh;97i0}gkaucjj949k2y{eio{ahl?7;e<{ycomyofn=6=g>uwamkmd`35?a8wuoci}kbb181c:qsmagsi`d7;3l4sqkgeqgnfV:i7~~fd`vbmkY6j2y{eio{ahl\6g=tx`njxlga_2`8wuoci}kbbR:m;rrj`drfagU>n6}iecwelhX>k1x|djnt`km[2b<{ycomyo`uu>3:a=tx`njxlazt=3=`>uwamkmb{{<3e9ptlbf|he~x1;1d:qsmagsif0;0k;rrj`drfg|~7;3m4sqkgeqgh}}U;o6}iecwejssW8i0}gkauclqqY5k2y{eio{anww[6e<{ycomyo`uu]7g>uwamkmb{{_4a8wuoci}kdyyQ9c:qsmagsifS:k4sucqpZuoao~bbj<4tb18phi?3qi29=>wl99{mioip|dvLM~k979CD}4>93L187?tS0g957e=:o0:?>m80`8111gdsg;957?4n00b>7=#9;?1=44d2;l1=>=l71c9602fk2Y?<7<96;49565d?9k1>8:nd:Q2`?41>3<1=>=l71c9602fl2n95<4?:082V7b288h6?h5121`35g=:<>jo6*>7;0g0>N592|_>84?:082>4b2sZ;n6<8:nc:&25g<3:2\:>54={t6a>4=r0c81`1=e:0;1<74g4sS;368ui:e8f>47=990v(44?::k14c<722c9>:4?::k14a<722coh7>5;h003?6=3`8j47>5$02g>7g03g;;o7>4;h0b2?6=,8:o6?o8;o33g?7<3`8j97>5$02g>7g03g;;o7<4;h0b0?6=,8:o6?o8;o33g?5<3`8:?7>5$02g>7753g;;o7>4;h025?6=,8:o6??=;o33g?7<3`82j7>5$02g>7?b3g;;o7>4;h0:`?6=,8:o6?7j;o33g?7<3`82o7>5$02g>7?b3g;;o7<4;h0:f?6=,8:o6?7j;o33g?5<3"8::7>5$02g>77c3g;;o794;*023?6=,8:o6??k;o33g?0<3"8:47>5$02g>77c3g;;o7;4;*02=?6=,8:o6??k;o33g?2<3"8:m7>5$02g>77c3g;;o7=4;*02f?6=,8:o6??k;o33g?4<3"8:o7>5$02g>77c3g;;o7?4;*02a?6=,8:o6??k;o33g?6<3f8;n7>5;n01f?6=3f8jh7>5;n0bb?6=3f82:7>5$02g>7?23g;;o7>4;n0:0?6=,8:o6?7:;o33g?7<3f82?7>5$02g>7?23g;;o7<4;n0:6?6=,8:o6?7:;o33g?5<3k;mo7>53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd6ml0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5`6=8391<7>t$034>45<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554oed94?"6:>0n465rb0fb>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?l8;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:n44?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e9h31<7=50;2x 4702890D"6:>0:>6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~f4?f29086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c040?6=<3819v*>16813>N6901C=>o613:17b??f;29?g77n3:197<56z&252<512.8j75$004>4g<3`;i6=4+13595g=5$004>47732en47>5$004>`><3th:>?4?:083>5}#9;=1i?5`e883>!75?3o376s|2183>7}::00846P=0:p54g=838p1?751`9>574=m01v<750;0x97?=9k1U=45rs02f>5<5s4826{t9j0;6?u2288255=Y9j1v<>i:18184>2l20R<>i;|a6?6=93:1198:?!76?39;7)?=7;31`>"4n38o96a=e;29 4402l207p}>0g83>7}:99l1==h4^02e?xu22909w0??f;33a>;52;o0(i:328Z769;296~;68o0:56P>9:~f711290?6?4:{%323?403A;:56F>1g9'7c<5l<1b>=4?::k2g?6=3`;26=44o02e>5<56;294~"6:>09o6g<8;29 4402:207d?n:18'571=9h10e:4j2:mf=?6=,88<6h64;|q14?6=:r7957=7;_03?xu69h0;6?u22882e>;6:;0n56s|1883>7}::00:n6P>9:p55c=838p1?751008Z46b3ty:o7>52z?1=?7682T:o6s|11d94?4|5;31i55Q11d8yxd5290:6=4?{%32>4$004>44c3-9m6?j:;n0f>5<#9;=1i554}r33b?6=:r7:0g9]55`46b3481>h5+10:9g>{t:90;6?u211d965=Y:91v5<5s4;;j7?6;_3:?x{el80;6>4>:2y'541=<:1C=<74i3094?=n::0;66a>1083>>d6:k0;6>4?:1y'541=98o0D"6:>0:=i5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yv452909wS<=;<31f?553ty9?7>52z\17>;6:k08?6s|10394?4|V8;:70?=b;fe?x{e:>=1<7:52;7x 4702;=0D"4n38o96g=0;29?l7d2900e<750;9l55`=831i==h50;796?0|,8;<6?74$2d96a35<>o613:17o<6:185>5<7s-;9;75<#9;=1?554i0c94?"6:>0:m65f1c83>!75?3;i76g>1383>!75?3;:>65f10294?"6:>0:==54od:94?"6:>0n465rb001>5<6290;w)?=7;g1?jc>290/=?95e998yv472909w0<6:2:8Z7652z?1=?7f34;9>7k6;|q2=?6=:r7957?m;_3:?xu68l0;6?u2288257=Y99o0q~?l:18184>28;;7S?l;|q24c<72;q6>44j8:\24c=zuk81<7?50;2x 47?201/=<95319'571=9;n0(>h52e78k7c=83.:>:4j8:9~w46a2909w0??f;33b>X68o1v84?:3y>55`=99o01?4=e:&25=52z?24c<582T9<6s|1b83>7}:99l1=n5Q1b9~w4?=838p1<>i:0;8Z4?5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qo<<2;291?4=>r.:=:4=8:J25<=O98l0(>h52e78m76=831b=l4?::k2g?6=3`;26=44o02e>5<50;&262<69910ch650;&2625<7s-;9;7?=d:mf{t9h0;6?u211d95d=Y9h1v<750;0x946a28h0R<74}r13>5<5s4;;j7?>2:?26155`=98:0R55;092~"69>09n6F>189K54`<,:l1>i;4i3294?=n9h0;66g>0d83>>o613:17b??f;29?g77n3:1:7>50z&262<5k2c847>5$004>6><3`;j6=4+13595d=2682f>=n9881<7*>268257=51;294~"6:>0:>i5`e983>!75?3o376s|2183>7}:99l1?55Q219~w4g=838p1<>i:0c8Z4g0d83>7}:99l1=<<4^02f?xu483:1>v3>0g8255=:9;>1i55rs02e>5<5s4;;j7k7;_33b>{zj88;6=4>:183!76?39;7E?>9:J25c=#9;=1=?j4$2d96a3268f<>=zj;226=4::385!76?38i7E?>9:J25c=#;o09h85f2183>>o6i3:17d??e;29?l7>2900c<>i:188f46a290=6=4?{%313?4d3`936=4+13597==2682e>=n9k0;6)?=7;3a?>o69;0;6)?=7;326>=n98:1<7*>268255=268f<>=zj88?6=4>:183!75?3;9h6aj8;29 4402l207p}=0;296~;68o0846P=0:p5d<72;q6==h51`9]5d=z{831<74d;6:=0n46s|11d94?4|58:m6h64^02e?x{e9;;1<7?50;2x 47028;j7E?>9:J25c=#9;=1i?5+3g81`0=hm00;6)?=7;g;?>{e90:1<7=50;2x 4702890D"6:>0:>6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~f40729086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c0`f?6==381:v*>1681<>N6901C=>o6k3:17d?6:188k46a2900n<>i:185>5<7s-;9;75<#9;=1?554i0c94?"6:>0:m65f1c83>!75?3;i76g>1383>!75?3;:>65f10294?"6:>0:==54od:94?"6:>0n465rb007>5<6290;w)?=7;31`>ib03:1(<<8:d:8?xu583:1>v3>0g80<>X582wx=l4?:3y>55`=9h1U=l5rs0;94?4|58:m6;6:=0n46s|1b83>7}:99l1=<>4^0a8yv77n3:1>v3>0g8f<>X68o1vqor.:=:4=8:J25<=O98l0(>h52e78m76=831b=l4?::k2g?6=3`;26=44o02e>5<50;&262<69910ch650;&2625<7s-;9;7?=d:mf{t9h0;6?u211d95d=Y9h1v<750;0x946a28h0R<74}r13>5<5s4;;j7?>2:?26155`=98:0R55;092~"69>0946F>189K54`<,:l1>i;4i3294?=n9h0;66g>c;29?l7>2900c<>i:188f46a290=6=4?{%313?4d3`936=4+13597==2682e>=n9k0;6)?=7;3a?>o69;0;6)?=7;326>=n98:1<7*>268255=268f<>=zj88?6=4>:183!75?3;9h6aj8;29 4402l207p}=0;296~;68o0846P=0:p5d<72;q6==h51`9]5d=z{831<74d63>258f<>{t9j0;6?u211d95460g9~yg43k3:197>50z&2522B:=45G10d8 4402l90(>h52e78m63=83.:>:4<8:9j77<72-;9;7=7;:k07?6=,88<6>64;h17>5<#9;=1?554oed94?"6:>0n465rb6c94?5=83:p(3A;:j6*>268f7>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&2627<1s-;:;7<7;I32=>N69o1/?k4=d49j65<722c:m7>5;h3`>5<650;&262<4021b=l4?:%313?7f32c:n7>5$004>4d<3`;:>7>5$004>47532c:==4?:%313?76821di54?:%313?c?32wi=?:50;394?6|,88<6<5<#9;=1i554}r03>5<5s4;;j7=7;_03?xu6i3:1>v3>0g82e>X6i2wx=44?:3y>55`=9k1U=45rs2294?4|58:m652z?24c<6991U=n5rs02e>5<5s4;;j7k7;_33b>{zj;n;6=4::385!76?3837E?>9:J25c=#;o09h85f2183>>o6i3:17d?l:188m4?=831d==h50;9a55`=83<1<7>t$004>7e2680<>=n9h0;6)?=7;3b?>o6j3:1(<<8:0`8?l76:3:1(<<8:031?>o6990;6)?=7;324>=hm10;6)?=7;g;?>{e9;>1<7?50;2x 440288o7bk7:18'571=m110q~a:\2e>{t900;6?u211d95g=Y901v>>50;0x946a28;970?=4;g;?xu6k3:1>v3>0g8255=Y9j1v<>i:181877n3o37S??f:~f7ea290>6?49{%323?4?3A;:56F>1g9'7c<5l<1b>=4?::k2e?6=3`;h6=44i0;94?=h99l1<75m11d94?0=83:p(<<8:3a8m6>=83.:>:4<8:9j5d<72-;9;7?n;:k2f?6=,88<60:9la=<72-;9;7k7;:a572=83;1<7>t$004>44c3fo36=4+1359a==a;296~;68o0:m6P>a:p5<<72;q6==h51c9]5<=z{::1<747534;987k7;|q2g?6=:r7:119]5f=z{8:m6=4={<33b?c?3W;;j6srb30`>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo;=:180>5<7s-;:;7k;;I32=>N69o1/=?95e29'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==9:J25c=#9;=1i>5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998ygg529086=4?{%323?c33A;:56F>1g9'571=m:1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c;;>5<4290;w)?>7;g7?M7612B:=k5+1359a6=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo7<:180>5<7s-;:;7k;;I32=>N69o1/=?95e29'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==9:J25c=#9;=1i>5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg>329086=4?{%323?c33A;:56F>1g9'571=m:1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c5e>5<4290;w)?>7;g7?M7612B:=k5+1359a6=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?;f;290?6=8r.:=:4j5:J25<=O98l0(<<8:d18 6`=:m?0e><50;&262<4021b?>4?:%313?5?32c887>5$004>6><3fnm6=4+1359a==53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd60>0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5=2=8391<7>t$034>45<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554oed94?"6:>0n465rb0:3>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?8e;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:;o4?:483>5}#98=1=85G10;8L47a3-;9;7?=;%1e>7b23`9>6=4+13597==2680<>=n;:0;6)?=7;1;?>o4<3:1(<<8:2:8?jba290/=?95e998yg44;3:197<56z&252<502B:=45G10d8 6`=:m?0e?>50;9j5d<722c:o7>5;h3:>5<5<1290;w)?=7;0`?l5?290/=?953998m4g=83.:>:4>a:9j5g<72-;9;7?m;:k257<72-;9;7?>2:9j546=83.:>:4>1198k`>=83.:>:4j8:9~f443290:6=4?{%313?75l2en47>5$004>`><3ty9<7>52z?24c<402T9<6s|1`83>7}:99l1=l5Q1`9~w4?=838p1<>i:0`8Z4?94j8:p5f<72;q6==h51028Z4e52z?24c1680e>N6901C=40<,:l1>i;4i2394?"6:>08465`dg83>!75?3o37E?=6:9~fg7=8391<7>t$034>`2<@8;27E?>f:&26264;h10>5<#9;=1?554oed94?"6:>0n465rb01;>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?<0;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:??4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e9:>1<7=50;2x 4702890D"6:>0:>6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~f45129086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c0g7?6==381:v*>1681<>N6901C=>o6k3:17d?6:188k46a2900n<>i:185>5<7s-;9;75<#9;=1?554i0c94?"6:>0:m65f1c83>!75?3;i76g>1383>!75?3;:>65f10294?"6:>0:==54od:94?"6:>0n465rb007>5<6290;w)?=7;31`>ib03:1(<<8:d:8?xu583:1>v3>0g80<>X582wx=l4?:3y>55`=9h1U=l5rs0;94?4|58:m6;6:=0n46s|1b83>7}:99l1=<>4^0a8yv77n3:1>v3>0g8f<>X68o1vqo<:0;292?6=8r.:=:4j7:J25<=O98l0(<<8:d18 6`=:m?0e>;50;&262<4021b?;4?:%313?5?32c8>7>5$004>6><3`986=4+13597==1<7*>2680<>=hlo0;6)?=7;g;?>{e:jo1<7;52;4x 4702;20D"4n38o96g=0;29?l7f2900eo6i3:1(<<8:0c8?l7e290/=?951c98m475290/=?951008?l7683:1(<<8:033?>ib03:1(<<8:d:8?xd6:=0;6<4?:1y'571=9;n0ch650;&26250;0x946a2:20R?>4}r3b>5<5s4;;j7?n;_3b?xu613:1>v3>0g82f>X612wx?=4?:3y>55`=98801<<;:d:8yv7d2909w0??f;324>X6k2wx==h50;0x946a2l20R<>i;|a660=83?1>78t$034>7><@8;27E?>f:&0b?4c=2c9<7>5;h3b>5<>i68o0;66l>0g83>3<729q/=?952b9j7=<72-;9;7=7;:k2e?6=,88<65<#9;=1=o54i031>5<#9;=1=<<4;h324?6=,88<6268f<>=z{;:1<76>b:\2=>{t;90;6?u211d9544<588?6h64}r3`>5<5s4;;j7?>0:\2g>{t99l1<7`>3A;:j6*o583:17d?n:188m4e=831b=44?::m24c<722h:5}#9;=1>n5f3983>!75?39376g>a;29 44028k07d?m:18'571=9k10e0;29 44028;;76aj8;29 4402l207pl>2583>4<729q/=?9513f8k`>=83.:>:4j8:9~w76=838p1<>i:2:8Z769;296~;68o0:n6P>9:p75<72;q6==h5100894432l20q~?l:181877n3;:<6P>c:p55`=838p1<>i:d:8Z46a3twi>=750;796?0|,8;<6?64H03:?M76n2.8j70g83>>d68o0;6;4?:1y'571=:j1b?54?:%313?5?32c:m7>5$004>4g<3`;i6=4+13595g=5$004>47732en47>5$004>`><3th:>94?:083>5}#9;=1=?j4od:94?"6:>0n465rs3294?4|58:m6>64^328yv7f2909w0??f;3b?[7f3ty:57>52z?24c<6j2T:56s|3183>7}:99l1=<<4=007>`>"4n38o96g=0;29?l7f2900e<>j:188m4?=831d==h50;9a55`=83<1<7>t$004>7e2680<>=n9h0;6)?=7;3b?>o6j3:1(<<8:0`8?l76:3:1(<<8:031?>o6990;6)?=7;324>=hm10;6)?=7;g;?>{e9;>1<7?50;2x 440288o7bk7:18'571=m110q~a:\2e>{t900;6?u211d95g=Y901v<>j:181877n3;:>6P>0d9~w66=838p1<>i:033?875<3o37p}>0g83>7}:99l1i55Q11d8yxd5><0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a6<6=8381<7>t$034>6g<@8;27E?>f:&262<6>2.8j764;nfe>5<#9;=1i55G1348?xd5>10;6?4?:1y'541=;h1C=<74H03e?!75?3;=7)=i:3f6?l56290/=?953998ka`=83.:>:4j8:J263=55;092~"69>0946F>189K54`<,:l1>i;4i3294?=n9h0;66g>c;29?l7>2900c<>i:188f46a290=6=4?{%313?4d3`936=4+13597==2682e>=n9k0;6)?=7;3a?>o69;0;6)?=7;326>=n98:1<7*>268255=268f<>=zj88?6=4>:183!75?3;9h6aj8;29 4402l207p}=0;296~;68o0846P=0:p5d<72;q6==h51`9]5d=z{831<74d63>258f<>{t9j0;6?u211d95460g9~yg4?:3:197<56z&252<502B:=45G10d8 6`=:m?0e?>50;9j5d<722c:o7>5;h3:>5<5<1290;w)?=7;0`?l5?290/=?953998m4g=83.:>:4>a:9j5g<72-;9;7?m;:k257<72-;9;7?>2:9j546=83.:>:4>1198k`>=83.:>:4j8:9~f443290:6=4?{%313?75l2en47>5$004>`><3ty9<7>52z?24c<402T9<6s|1`83>7}:99l1=l5Q1`9~w4?=838p1<>i:0`8Z4?94j8:p5f<72;q6==h51028Z4e52z?24c16827>N6901C=44<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207plm6;297?6=8r.:=:4j4:J25<=O98l0(<<8:d18 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th2h7>53;294~"69>0n86F>189K54`<,88<6h=4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd?13:1?7>50z&252h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`41?6=;3:1168f0>N6901C=`5<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pl80;297?6=8r.:=:4j4:J25<=O98l0(<<8:d18 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th=n7>53;294~"69>0n86F>189K54`<,88<6h=4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd1>3:1?7>50z&252h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`55?6=;3:1168f0>N6901C=`5<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pl:c;297?6=8r.:=:4j4:J25<=O98l0(<<8:d18 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th>;7>53;294~"69>0n86F>189K54`<,88<6h=4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xdej3:1?7>50z&252h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`bg?6=;3:1168f0>N6901C=`5<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pl=ad83>6<62:q/=<95e09K54?<@8;m7E=k;%1f>`><,:l1>i;4i2394?=n:=0;66akf;29?g75;3:1?7>50z&262<69<1b?<4?:%313?5?32c:=94?:%313?7a32eoj7>5$004>`><@8;=76s|3083>7}:9;91?<5Q309~w72=838p1<<<:037?[433tyoj7>52z?2665<42808w)?>7;g2?M7612B:=k5G3e9'7`7d=>:18'571=;110e5<5s4;9?7=>;_12?xu5<3:1>v3>228251=Y:=1vih50;0x94442ml0Rih4}|`163<72=0969u+10597f=O9830D7b23`9:6=44i3694?=hlo0;66ah52e78m67=83.:>:4<8:9l`c<72-;9;7k7;:aa4<72:0:6>uG3e9'7`64;h07>5<#98=1?554oed94?"69>0n465m13194?5=83:p(<<8:036?l56290/=?953998m473290/=?951g98ka`=83.:>:4j8:J253=53z?03?5634o:6ih4^2`8yvba2909w0=8:ed8Za`670R?:4}|`12c<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm29`94?3=:33A;:j6*o583:17d?n:188m46b2900e<750;9l55`=831i==h50;494?6|,88<6?m4i2:94?"6:>08465f1`83>!75?3;j76g>b;29 44028h07d?>2;29 44028;976g>1183>!75?3;:<65`e983>!75?3o376sm13694?7=83:p(<<8:00g?jc?290/=?95e998yv472909w0??f;1;?[473ty:m7>52z?24c<6i2T:m6s|1883>7}:99l1=o5Q189~w46b2909w0??f;326>X68l1v>>50;0x946a28;;70?=4;g;?xu68o0;6?u211d9a==Y99l0qpl=3483>7<729q/=<953`9K54?<@8;m7)?=7;35?!5a2;n>7d=>:18'571=;110cih50;&262;54}c0;5?6==381:v*>1681<>N6901C=>o6k3:17d?6:188k46a2900n<>i:185>5<7s-;9;75<#9;=1?554i0c94?"6:>0:m65f1c83>!75?3;i76g>1383>!75?3;:>65f10294?"6:>0:==54od:94?"6:>0n465rb007>5<6290;w)?=7;31`>ib03:1(<<8:d:8?xu583:1>v3>0g80<>X582wx=l4?:3y>55`=9h1U=l5rs0;94?4|58:m6;6:=0n46s|1b83>7}:99l1=<>4^0a8yv77n3:1>v3>0g8f<>X68o1vqo<<1;291?4=>r.:=:4=8:J25<=O98l0(>h52e78m76=831b=l4?::k2g?6=3`;26=44o02e>5<50;&262<69910ch650;&2625<7s-;9;7?=d:mf{t9h0;6?u211d95d=Y9h1v<750;0x946a28h0R<74}r13>5<5s4;;j7?>2:?26155`=98:0R55;092~"69>0946F>189K54`<,:l1>i;4i3294?=n9h0;66g>c;29?l7>2900c<>i:188f46a290=6=4?{%313?4d3`936=4+13597==2682e>=n9k0;6)?=7;3a?>o69;0;6)?=7;326>=n98:1<7*>268255=268f<>=zj88?6=4>:183!75?3;9h6aj8;29 4402l207p}=0;296~;68o0846P=0:p5d<72;q6==h51`9]5d=z{831<74d63>258f<>{t9j0;6?u211d95460g9~yg4413:197<56z&252<502B:=45G10d8 6`=:m?0e?>50;9j5d<722c:o7>5;h3:>5<5<1290;w)?=7;0`?l5?290/=?953998m4g=83.:>:4>a:9j5g<72-;9;7?m;:k257<72-;9;7?>2:9j546=83.:>:4>1198k`>=83.:>:4j8:9~f443290:6=4?{%313?75l2en47>5$004>`><3ty9<7>52z?24c<402T9<6s|1`83>7}:99l1=l5Q1`9~w4?=838p1<>i:0`8Z4?94j8:p5f<72;q6==h51028Z4e52z?24c16812>N6901C=>i68o0;66l>0g83>0<52?q/=<95289'7c<5l<1d==h50;9j55c=831b>=4?::k2g?6=3`;26=44b3;94?0=83:p(<<8:3a8m6>=83.:>:4<8:9j5d<72-;9;7?n;:k2f?6=,88<60:9la=<72-;9;7k7;:a574=83;1<7>t$004>`4268f<>=z{;:1<728k01<<=:d;8yv7>2909w0<6:0`8Z4?52z?1=?76:2T:4^0a8yv77n3:1>v3=9;g;?[77n2wvn<4?:083>5}#982146*>16804>"6:>0:>i5+3g81`0=h:l0;6)?=7;g;?>{t99l1<746a3W;;j6s|4;297~;68o0:8;`8yv472909w0??f;03?[473ty:57>52z?24c<612T:56srb35;>5<32;0>w)?>7;04?M7612B:=k5+3g81`0=n:90;66g>c;29?l7>2900c<>i:188f46a290>6?49{%323?4>3-9m6?j:;n33b?6=3`;;i7>5;h03>5<>d513:1:7>50z&262<5k2c847>5$004>6><3`;j6=4+13595d=2682f>=n9881<7*>268257=7>51;294~"6:>0n>6aj9;29 4402l207p}=0;296~;513937S44>a:?2676<<6j2T:56s|11g94?4|5;31=<<4^02f?xu6k3:1>v3=9;324>X6k2wx==h50;0x97?=m11U==h4}|`1>5<6290;w)?>8;;8 4702::0(<<8:00g?!5a2;n>7bi:02f?84=:l1/=<65c:p65<72;q6==h5219]65=z{8i1<74e1>7;t$034>71<@8;27E?>f:&0b?4c=2c9<7>5;h3`>5<h52e78k46a2900e<>j:188m76=831b=n4?::k2=?6=3k826=49:183!75?38h7d=7:18'571=;110e50;&262<69910ch650;&2625<7s-;9;7k=;ng:>5<#9;=1i554}r03>5<5s4826>64^328yv76i3:1>v3=9;3b?875:3o27p}>9;296~;513;i7S?6;|q24`<72;q6>44>139]55c4773W;h7p}>0g83>7}::00n46P>0g9~yg4=83;1<7>t$03;><=#98=1?=5+135957b<,:l1>i;4o3g94?"6:>0n465rs02e>5<5s4;;j7??f:\24c=z{<0;6?u211d955c<5;09i6*>198`?xu583:1>v3>0g814>X582wx=n4?:3y>55`=9j1U=n5rs0;94?4|58:m6<74^0;8yxd5k90;684=:7y'541=:11C=<74H03e?!5a2;n>7d5;c33b?6=>3:12681g>o403:1(<<8:2:8?l7f290/=?951`98m4d=83.:>:4>b:9j544=83.:>:4>1398m477290/=?951028?jc?290/=?95e998yg75<3:1=7>50z&262<6:m1di54?:%313?c?32wx>=4?:3y>55`=;11U>=5rs0c94?4|58:m62909w0??f;3a?[7>3ty8<7>52z?24c<69;16=?:5e99~w4e=838p1<>i:033?[7d3ty:55`=m11U==h4}|`1fc<72<096;u+10596==O9830D7b23`8;6=44i0c94?=n9j0;66g>9;29?j77n3:17o??f;292?6=8r.:>:4=c:k064;h3b>5<#9;=1=l54i0`94?"6:>0:n65f10094?"6:>0:=?54i033>5<#9;=1=<>4;ng;>5<#9;=1i554}c310?6=93:126826a=hm10;6)?=7;g;?>{t:90;6?u211d97==Y:91v5<5s4;;j7?m;_3:?xu483:1>v3>0g8257=:9;>1i55rs0a94?4|58:m60<52?q/=<95299K54?<@8;m7)=i:3f6?l472900e5;n33b?6=3k;;j7>56;294~"6:>09o6g<8;29 4402:207d?n:18'571=9h10e:4>2e9la=<72-;9;7k7;:p65<72;q6==h5399]65=z{8k1<74g139>572=m11v3}#98=1>55G10;8L47a3-9m6?j:;h03>5<>o613:17b??f;29?g77n3:1:7>50z&262<5k2c847>5$004>6><3`;j6=4+13595d=2682f>=n9881<7*>268257=51;294~"6:>0:>i5`e983>!75?3o376s|2183>7}:99l1?55Q219~w4g=838p1<>i:0c8Z4g4773W;h7p}>0g83>7}:99l1i55Q11d8yxd5jj0;684=:7y'541=:11C=<74H03e?!5a2;n>7d5;c33b?6=>3:12681g>o403:1(<<8:2:8?l7f290/=?951`98m4d=83.:>:4>b:9j544=83.:>:4>1398m477290/=?951028?jc?290/=?95e998yg75<3:1=7>50z&262<6:m1di54?:%313?c?32wx>=4?:3y>55`=;11U>=5rs0c94?4|58:m62909w0??f;3a?[7>3ty8<7>52z?24c<69;16=?:5e99~w4e=838p1<>i:033?[7d3ty:55`=m11U==h4}|`1fg<72<096;u+10596==O9830D7b23`8;6=44i0c94?=n9j0;66g>9;29?j77n3:17o??f;292?6=8r.:>:4=c:k064;h3b>5<#9;=1=l54i0`94?"6:>0:n65f10094?"6:>0:=?54i033>5<#9;=1=<>4;ng;>5<#9;=1i554}c310?6=93:126826a=hm10;6)?=7;g;?>{t:90;6?u211d97==Y:91v5<5s4;;j7?m;_3:?xu483:1>v3>0g8257=:9;>1i55rs0a94?4|58:m60<52?q/=<95299K54?<@8;m7)=i:3f6?l472900e5;n33b?6=3k;;j7>56;294~"6:>09o6g<8;29 4402:207d?n:18'571=9h10e:4>2e9la=<72-;9;7k7;:p65<72;q6==h5399]65=z{8k1<74g139>572=m11v3}#98=1>55G10;8L47a3-9m6?j:;h03>5<>o613:17b??f;29?g77n3:1:7>50z&262<5k2c847>5$004>6><3`;j6=4+13595d=2682f>=n9881<7*>268257=51;294~"6:>0:>i5`e983>!75?3o376s|2183>7}:99l1?55Q219~w4g=838p1<>i:0c8Z4g4773W;h7p}>0g83>7}:99l1i55Q11d8yxd57d5<>o613:17o<6:185>5<7s-;9;75<#9;=1?554i0c94?"6:>0:m65f1c83>!75?3;i76g>1383>!75?3;:>65f10294?"6:>0:==54od:94?"6:>0n465rb001>5<6290;w)?=7;g1?jc>290/=?95e998yv472909w0<6:2:8Z7652z?1=?7f34;9>7k6;|q2=?6=:r7957?m;_3:?xu68l0;6?u2288257=Y99o0q~?l:18184>28;;7S?l;|q24c<72;q6>44j8:\24c=zuk:1<7?50;2x 47?2>1/=<95319'571=9;n0(>h52e78k7c=83.:>:4j8:9~w46a2909w0??f;33b>X68o1v<>j:181877n3;;i6P>0d9~w76=838p1<>i:328Z764e<5909i6*>198b?xu613:1>v3>0g82=>X612wvn?;6:186>7<1s-;:;7<6;I32=>N69o1/?k4=d49j65<722c:o7>5;h33a?6=3`;26=44o02e>5<650;&262<4021b=l4?:%313?7f32c:n7>5$004>4d<3`;:>7>5$004>47532c:==4?:%313?76821di54?:%313?c?32wi=?<50;394?6|,88<6h<4od;94?"6:>0n465rs3294?4|5;31?55Q219~w47f2909w0<6:0c894452l30q~?6:18184>28h0R<74}r33a?6=:r7957?>2:\24`=z{8i1<76?49{%323?4?3A;:56F>1g9'7c<5l<1b>=4?::k2e?6=3`;h6=44i0;94?=h99l1<75m11d94?0=83:p(<<8:3a8m6>=83.:>:4<8:9j5d<72-;9;7?n;:k2f?6=,88<60:9la=<72-;9;7k7;:a572=83;1<7>t$004>44c3fo36=4+1359a==a;296~;68o0:m6P>a:p5<<72;q6==h51c9]5<=z{::1<747534;987k7;|q2g?6=:r7:119]5f=z{8:m6=4={<33b?c?3W;;j6srb5694?5=939p(3`896=44i3194?=h98;1<75m13`94?5=83:p(i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207p}=2;296~X5:27:>o4<2:p66<72;qU>>5213`976=z{8;:6=4={_325>;6:k0oj6srb3:0>5<22;0=w)?>7;0;?M7612B:=k5+3g81`0=n:90;66g>a;29?l7d2900e<750;9l55`=831i==h50;494?6|,88<6?m4i2:94?"6:>08465f1`83>!75?3;j76g>b;29 44028h07d?>2;29 44028;976g>1183>!75?3;:<65`e983>!75?3o376sm13694?7=83:p(<<8:00g?jc?290/=?95e998yv472909w0??f;1;?[473ty:m7>52z?24c<6i2T:m6s|1883>7}:99l1=o5Q189~w66=838p1<>i:031?875<3o37p}>c;296~;68o0:==5Q1b9~w46a2909w0??f;g;?[77n2wvn?6;:186>7<1s-;:;7<7;I32=>N69o1/?k4=d49j65<722c:m7>5;h3`>5<650;&262<4021b=l4?:%313?7f32c:n7>5$004>4d<3`;:>7>5$004>47532c:==4?:%313?76821di54?:%313?c?32wi=?:50;394?6|,88<6<5<#9;=1i554}r03>5<5s4;;j7=7;_03?xu6i3:1>v3>0g82e>X6i2wx=44?:3y>55`=9k1U=45rs2294?4|58:m652z?24c<6991U=n5rs02e>5<5s4;;j7k7;_33b>{zj;8;6=4;:387!76?39h7E?>9:J25c=#;o09h85f3083>>o5<3:17bji:188k6d=831i?:4?:383>5}#98=1?:5+135953=O;m1/?h4<8:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==`71680<>=n:=0;6)?>7;1;?>icn3:1(50z&262<69<1b?<4?:%313?5?32c:=94?:%313?7a32eoj7>5$004>`><@8;=76s|3083>7}:9;91?<5Q309~w72=838p1<<<:037?[433tyoj7>52z?266X4j2wxhk4?:3y>727}:m808=6P<1:p61<72;q6i<4=4:\10>{zj;8?6=4;:387!76?39h7E?>9:J25c=#;o09h85f3083>>o5<3:17bji:188k6d=831i?:4?:383>5}#98=1?:5+135953=O;m1/?h4<8:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==`71680<>=n:=0;6)?>7;1;?>icn3:1(50z&262<69<1b?<4?:%313?5?32c:=94?:%313?7a32eoj7>5$004>`><@8;=76s|3083>7}:9;91?<5Q309~w72=838p1<<<:037?[433tyoj7>52z?266X4j2wxhk4?:3y>727}:m808=6P<1:p61<72;q6i<4=4:\10>{zj;8:6=4;:387!76?39h7E?>9:J25c=#;o09h85f3083>>o5<3:17bji:188k6d=831i?:4?:383>5}#98=1?:5+135953=O;m1/?h4<8:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==`71680<>=n:=0;6)?>7;1;?>icn3:1(50z&262<69<1b?<4?:%313?5?32c:=94?:%313?7a32eoj7>5$004>`><@8;=76s|3083>7}:9;91?<5Q309~w72=838p1<<<:037?[433tyoj7>52z?266X4j2wxhk4?:3y>727}:m808=6P<1:p61<72;q6i<4=4:\10>{zj;;m6=4;:387!76?39h7E?>9:J25c=#;o09h85f3083>>o5<3:17bji:188k6d=831i?:4?:383>5}#98=1?:5+135953=O;m1/?h4<8:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==`71680<>=n:=0;6)?>7;1;?>icn3:1(50z&262<69<1b?<4?:%313?5?32c:=94?:%313?7a32eoj7>5$004>`><@8;=76s|3083>7}:9;91?<5Q309~w72=838p1<<<:037?[433tyoj7>52z?266X4j2wxhk4?:3y>727}:m808=6P<1:p61<72;q6i<4=4:\10>{zj;896=4;:387!76?39h7E?>9:J25c=#;o09h85f3083>>o5<3:17bji:188k6d=831i?:4?:383>5}#98=1?:5+135953=O;m1/?h4<8:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==`71680<>=n:=0;6)?>7;1;?>icn3:1(50z&262<69<1b?<4?:%313?5?32c:=94?:%313?7a32eoj7>5$004>`><@8;=76s|3083>7}:9;91?<5Q309~w72=838p1<<<:037?[433tyoj7>52z?266X4j2wxhk4?:3y>727}:m808=6P<1:p61<72;q6i<4=4:\10>{zj;8>6=4;:387!76?39h7E?>9:J25c=#;o09h85f3083>>o5<3:17bji:188k6d=831i?:4?:383>5}#98=1?:5+135953=O;m1/?h4<8:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==`71680<>=n:=0;6)?>7;1;?>icn3:1(50z&262<69<1b?<4?:%313?5?32c:=94?:%313?7a32eoj7>5$004>`><@8;=76s|3083>7}:9;91?<5Q309~w72=838p1<<<:037?[433tyoj7>52z?266X4j2wxhk4?:3y>727}:m808=6P<1:p61<72;q6i<4=4:\10>{zj;886=4;:387!76?39h7E?>9:J25c=#;o09h85f3083>>o5<3:17bji:188k6d=831i?:4?:383>5}#98=1?:5+135953=O;m1/?h4<8:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==`71680<>=n:=0;6)?>7;1;?>icn3:1(50z&262<69<1b?<4?:%313?5?32c:=94?:%313?7a32eoj7>5$004>`><@8;=76s|3083>7}:9;91?<5Q309~w72=838p1<<<:037?[433tyoj7>52z?266X4j2wxhk4?:3y>727}:m808=6P<1:p61<72;q6i<4=4:\10>{zj;<;6=4<:183!76?3;87E?>9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg42l3:1?7>50z&252<6;2B:=45G10d8 4402880(>h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`13f<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376smd183>6<62:q/=<95429K54?>i6980;66l>2c83>6<729q/=<9510g8L47>3A;:j6*>26825a=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110q~<=:181[4534;9n7==;|q17?6=:rT9?63>2c807>{t98;1<73A;:j6*o583:17d?n:188m46b2900e<750;9l55`=831i==h50;494?6|,88<6?m4i2:94?"6:>08465f1`83>!75?3;j76g>b;29 44028h07d?>2;29 44028;976g>1183>!75?3;:<65`e983>!75?3o376sm13694?7=83:p(<<8:00g?jc?290/=?95e998yv472909w0??f;1;?[473ty:m7>52z?24c<6i2T:m6s|1883>7}:99l1=o5Q189~w46b2909w0??f;326>X68l1v>>50;0x946a28;;70?=4;g;?xu68o0;6?u211d9a==Y99l0qpl;8;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th?;7>53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd3>3:1?7?53z&252<3;2B:=45f2383>>o5;3:17b?>1;29?g75j3:1?7>50z&252<69l1C=<74H03e?!75?3;:h6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~w74=838pR?<4=00a>641083>7}Y98;01<>o5;3:17b?>1;29?g75j3:1?7>50z&252<69l1C=<74H03e?!75?3;:h6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~w74=838pR?<4=00a>641083>7}Y98;01<50z&252h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|``e?6=;3:1168f0>N6901C=`5<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pll5;297?6=8r.:=:4j4:J25<=O98l0(<<8:d18 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3thh<7>53;294~"69>0n86F>189K54`<,88<6h=4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd6n10;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5cb=8381<7>t$034>6g<@8;27E?>f:&262<6>2.8j764;nfe>5<#9;=1i55G1348?xd6nl0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5c`=8381<7>t$034>6g<@8;27E?>f:&262<6>2.8j764;nfe>5<#9;=1i55G1348?xd5890;6?4?:1y'541=;h1C=<74H03e?!75?3;=7)=i:3f6?l56290/=?953998ka`=83.:>:4j8:J263=53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd58;0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a655=8391<7>t$034>`2<@8;27E?>f:&26264;h10>5<#9;=1?554oed94?"6:>0n465rb327>5<4290;w)?>7;g7?M7612B:=k5+1359a6=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?i9;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:jl4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e9oh1<7=50;2x 4702l>0D"6:>0n?6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~f4cf29086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c3fb?6=:3:11680e>N6901C=40<,:l1>i;4i2394?"6:>08465`dg83>!75?3o37E?=6:9~f4`729086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c3e5?6=:3:11680e>N6901C=40<,:l1>i;4i2394?"6:>08465`dg83>!75?3o37E?=6:9~f4`529096=4?{%323?5f3A;:56F>1g9'571=9?1/?k4=d49j74<72-;9;7=7;:mgb?6=,88<6h64H005?>{e9o91<7=50;2x 4702890D"6:>0:>6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~f4`329086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c3e1?6=;3:1168f0>N6901C=`5<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pl>f783>6<729q/=<95e59K54?<@8;m7)?=7;g0?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wi=hl50;194?6|,8;<6<=4H03:?M76n2.:>:4>2:&0b?4c=2c8>7>5$004>6><3`986=4+13597==268f<>=zj8oh6=4<:183!76?3;87E?>9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg7bl3:1?7>50z&252h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`2`f<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm1d394?4=83:p(3A;:j6*>26822>"4n38o96g<1;29 4402:207bji:18'571=m11C=?84;|`2a7<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm1d194?4=83:p(3A;:j6*>26822>"4n38o96g<1;29 4402:207bji:18'571=m11C=?84;|`2a1<72;0;6=u+10597d=O9830D5<#9;=1?554oed94?"6:>0n46F>2798yg7b=3:1?7>50z&252<6;2B:=45G10d8 4402880(>h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`2a3<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm1d594?5=83:p(3A;:j6*>268f7>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&2625<7s-;:;7k;;I32=>N69o1/=?95e29'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd6ll0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5a`=8391<7>t$034>`2<@8;27E?>f:&26264;h10>5<#9;=1?554oed94?"6:>0n465rb0f1>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?k3;296?6=8r.:=:4?50;&262<4021dhk4?:%313?c?3A;9:65rb0f7>5<5290;w)?>7;1b?M7612B:=k5+135953=#;o09h85f3083>!75?39376akf;29 4402l20D<<9;:a5a3=83>1<7>t$034>42<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554i2694?"6:>08465`dg83>!75?3o376sm1e494?5=83:p(3A;:j6*>26826>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&2625<7s-;:;7k;;I32=>N69o1/=?95e29'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd6l00;6>4?:1y'541=m=1C=<74H03e?!75?3o87)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5f5=8391<7>t$034>45<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554oed94?"6:>0n465rb0a:>5<5290;w)?>7;1b?M7612B:=k5+135953=#;o09h85f3083>!75?39376akf;29 4402l20D<<9;:a5fg=8381<7>t$034>6g<@8;27E?>f:&262<6>2.8j764;nfe>5<#9;=1i55G1348?xd6kk0;6?4?:1y'541=;h1C=<74H03e?!75?3;=7)=i:3f6?l56290/=?953998ka`=83.:>:4j8:J263=52;294~"69>08m6F>189K54`<,88<6<84$2d96a32680<>=hlo0;6)?=7;g;?M75>21vn5<7s-;:;7?<;I32=>N69o1/=?95139'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd6ko0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5a6=8391<7>t$034>`2<@8;27E?>f:&26264;h10>5<#9;=1?554oed94?"6:>0n465rb0a7>5<4290;w)?>7;g7?M7612B:=k5+1359a6=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?l5;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:o;4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e9j=1<7=50;2x 4702l>0D"6:>0n?6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~f4d429086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c3ae?6=:3:11680e>N6901C=40<,:l1>i;4i2394?"6:>08465`dg83>!75?3o37E?=6:9~f4de29096=4?{%323?5f3A;:56F>1g9'571=9?1/?k4=d49j74<72-;9;7=7;:mgb?6=,88<6h64H005?>{e9ki1<7<50;2x 4702:k0D"6:>0::6*o493:1(<<8:2:8?jba290/=?95e99K570<3th:ni4?:383>5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qo?me;296?6=8r.:=:4?50;&262<4021dhk4?:%313?c?3A;9:65rb0`e>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?l0;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:o<4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e9k>1<7=50;2x 4702l>0D"6:>0n?6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~f4d229086=4?{%323?c33A;:56F>1g9'571=m:1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c3a2?6=;3:116827>N6901C=44<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pl>b683>6<729q/=<95129K54?<@8;m7)?=7;31?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wi=o650;194?6|,8;<6h:4H03:?M76n2.:>:4j3:&0b?4c=2c8>7>5$004>6><3`986=4+13597==268f<>=zj8k?6=4<:183!76?3;87E?>9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg7fi3:1>7>50z&252<4i2B:=45G10d8 44028<0(>h52e78m67=83.:>:4<8:9l`c<72-;9;7k7;I312>=zj8ki6=4=:183!76?39j7E?>9:J25c=#9;=1=;5+3g81`0=n;80;6)?=7;1;?>icn3:1(<<8:d:8L44132wi=lm50;094?6|,8;<6>o4H03:?M76n2.:>:4>6:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==O9;<07pl>ae83>7<729q/=<953`9K54?<@8;m7)?=7;35?!5a2;n>7d=>:18'571=;110cih50;&262;54}c3ba?6=;3:116827>N6901C=44<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pl>ag83>6<729q/=<95129K54?<@8;m7)?=7;31?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wi=o>50;194?6|,8;<6<=4H03:?M76n2.:>:4>2:&0b?4c=2c8>7>5$004>6><3`986=4+13597==268f<>=zj8h:6=4<:183!76?3o?7E?>9:J25c=#9;=1i>5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg7f=3:1?7>50z&252h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`2e3<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm1`594?5=83:p(3A;:j6*>26826>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&2625<7s-;:;7k;;I32=>N69o1/=?95e29'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd61k0;6?4?:1y'541=;h1C=<74H03e?!75?3;=7)=i:3f6?l56290/=?953998ka`=83.:>:4j8:J263=52;294~"69>08m6F>189K54`<,88<6<84$2d96a32680<>=hlo0;6)?=7;g;?M75>21vn<7k:181>5<7s-;:;7=n;I32=>N69o1/=?95179'7c<5l<1b?<4?:%313?5?32eoj7>5$004>`><@88=76sm18g94?4=83:p(3A;:j6*>26822>"4n38o96g<1;29 4402:207bji:18'571=m11C=?84;|`2=c<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm1`294?5=83:p(3A;:j6*>26826>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&262:180>5<7s-;:;7?<;I32=>N69o1/=?95139'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==7>53;294~"69>0n86F>189K54`<,88<6h=4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd61?0;6>4?:1y'541=m=1C=<74H03e?!75?3o87)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5<1=8391<7>t$034>45<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554oed94?"6:>0n465rb0;;>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?69;297?6=8r.:=:4j4:J25<=O98l0(<<8:d18 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:4k4?:383>5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qo?:d;296?6=8r.:=:4?50;&262<4021dhk4?:%313?c?3A;9:65rb07f>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?:f;296?6=8r.:=:4?50;&262<4021dhk4?:%313?c?3A;9:65rb367>5<5290;w)?>7;1b?M7612B:=k5+135953=#;o09h85f3083>!75?39376akf;29 4402l20D<<9;:a613=8381<7>t$034>6g<@8;27E?>f:&262<6>2.8j764;nfe>5<#9;=1i55G1348?xd5:4j8:J263=52;294~"69>08m6F>189K54`<,88<6<84$2d96a32680<>=hlo0;6)?=7;g;?M75>21vn?:7:180>5<7s-;:;7?<;I32=>N69o1/=?95139'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd54?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a61d=8391<7>t$034>45<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554oed94?"6:>0n465rb6594?5=83:p(3A;:j6*>26826>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&262o4H03:?M76n2.:>:4>6:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==O9;<07pl89;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th?i7>53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd3n3:187>50z&252<6<2B:=45G10d8 4402880(>h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:k00?6=,88<6>64;nfe>5<#9;=1i554}c73>5<5290;w)?>7;1b?M7612B:=k5+135953=#;o09h85f3083>!75?39376akf;29 4402l20D<<9;:a14<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sma583>6<729q/=<95129K54?<@8;m7)?=7;31?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wim84?:383>5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qoo9:180>5<7s-;:;7?<;I32=>N69o1/=?95139'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998ygg729096=4?{%323?5f3A;:56F>1g9'571=9?1/?k4=d49j74<72-;9;7=7;:mgb?6=,88<6h64H005?>{ei80;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a=0<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm9783>7<729q/=<953`9K54?<@8;m7)?=7;35?!5a2;n>7d=>:18'571=;110cih50;&262;54}c;4>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo7?:180>5<7s-;:;7?<;I32=>N69o1/=?95139'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==9:J25c=#9;=1=;5+3g81`0=n;80;6)?=7;1;?>icn3:1(<<8:d:8L44132wi5?4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e0k0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a5<#9;=1?554oed94?"6:>0n46F>2798yg>c29086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c:2>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo6=:181>5<7s-;:;7=n;I32=>N69o1/=?95179'7c<5l<1b?<4?:%313?5?32eoj7>5$004>`><@88=76sm8283>6<729q/=<95129K54?<@8;m7)?=7;31?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wi;n4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e?m0;6?4?:1y'541=;h1C=<74H03e?!75?3;=7)=i:3f6?l56290/=?953998ka`=83.:>:4j8:J263=9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg74i3:1?7>50z&252<6;2B:=45G10d8 4402880(>h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`200<72;0;6=u+10597d=O9830D5<#9;=1?554oed94?"6:>0n46F>2798yg7283:1?7>50z&252<6;2B:=45G10d8 4402880(>h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`214<72;0;6=u+10597d=O9830D5<#9;=1?554oed94?"6:>0n46F>2798yg72:3:1>7>50z&252<4i2B:=45G10d8 44028<0(>h52e78m67=83.:>:4<8:9l`c<72-;9;7k7;I312>=zj8?86=4<:183!76?3;87E?>9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg72<3:1>7>50z&252<4i2B:=45G10d8 44028<0(>h52e78m67=83.:>:4<8:9l`c<72-;9;7k7;I312>=zj8?>6=4<:183!76?3;87E?>9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg72>3:1>7>50z&252<4i2B:=45G10d8 44028<0(>h52e78m67=83.:>:4<8:9l`c<72-;9;7k7;I312>=zj89i6=4=:183!76?39j7E?>9:J25c=#9;=1=;5+3g81`0=n;80;6)?=7;1;?>icn3:1(<<8:d:8L44132wi=>m50;094?6|,8;<6>o4H03:?M76n2.:>:4>6:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==O9;<07pl>3e83>7<729q/=<953`9K54?<@8;m7)?=7;35?!5a2;n>7d=>:18'571=;110cih50;&262;54}c30a?6=;3:116827>N6901C=44<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pl>3g83>6<729q/=<95129K54?<@8;m7)?=7;31?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wi=9>50;194?6|,8;<6<=4H03:?M76n2.:>:4>2:&0b?4c=2c8>7>5$004>6><3`986=4+13597==268f<>=zj8>:6=4<:183!76?3;87E?>9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg73:3:1?7>50z&252h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`206<72:0;6=u+1059a1=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm15694?5=83:p(3A;:j6*>268f7>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&2625<7s-;:;7k;;I32=>N69o1/=?95e29'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd6<10;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a51?=8391<7>t$034>45<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554oed94?"6:>0n465rb06b>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo?;b;297?6=8r.:=:4j4:J25<=O98l0(<<8:d18 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:8n4?:283>5}#98=1i95G10;8L47a3-;9;7k<;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e9=n1<7=50;2x 4702890D"6:>0:>6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~f42b29086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c3;=?6=:3:11680e>N6901C=40<,:l1>i;4i2394?"6:>08465`dg83>!75?3o37E?=6:9~f4>129096=4?{%323?5f3A;:56F>1g9'571=9?1/?k4=d49j74<72-;9;7=7;:mgb?6=,88<6h64H005?>{e9181<7<50;2x 4702:k0D"6:>0::6*o493:1(<<8:2:8?jba290/=?95e99K570<3th:4>4?:383>5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qo?8d;296?6=8r.:=:4?50;&262<4021dhk4?:%313?c?3A;9:65rb07:>5<5290;w)?>7;1b?M7612B:=k5+135953=#;o09h85f3083>!75?39376akf;29 4402l20D<<9;:a50g=8391<7>t$034>45<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554oed94?"6:>0n465rb`g94?5=83:p(3A;:j6*>26826>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&262o4H03:?M76n2.:>:4>6:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==O9;<07plm0;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th:>k4?:383>5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qo<;f;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th99<4?:383>5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qo<:2;296?6=8r.:=:4?50;&262<4021dhk4?:%313?c?3A;9:65rb370>5<5290;w)?>7;1b?M7612B:=k5+135953=#;o09h85f3083>!75?39376akf;29 4402l20D<<9;:a602=8381<7>t$034>6g<@8;27E?>f:&262<6>2.8j764;nfe>5<#9;=1i55G1348?xd5=<0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a600=8391<7>t$034>45<@8;27E?>f:&262<6:2.8j764;h10>5<#9;=1?554oed94?"6:>0n465rb374>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo<:8;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3th9:?4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e:?91<7<50;2x 4702:k0D"6:>0::6*o493:1(<<8:2:8?jba290/=?95e99K570<3th9:94?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e:1o1<7<50;2x 4702:k0D"6:>0::6*o493:1(<<8:2:8?jba290/=?95e99K570<3th94k4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e:9<1<7<50;2x 4702:k0D"6:>0::6*o493:1(<<8:2:8?jba290/=?95e99K570<3th9<:4?:383>5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qol<:180>5<7s-;:;7?<;I32=>N69o1/=?95139'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==9:J25c=#9;=1=;5+3g81`0=n;80;6)?=7;1;?>icn3:1(<<8:d:8L44132win84?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e1h0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a=g<72;0;6=u+10597d=O9830D5<#9;=1?554oed94?"6:>0n46F>2798yg?d29086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c:5>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo68:181>5<7s-;:;7=n;I32=>N69o1/=?95179'7c<5l<1b?<4?:%313?5?32eoj7>5$004>`><@88=76sm8983>6<729q/=<95129K54?<@8;m7)?=7;31?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wi;?4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e?:0;6?4?:1y'541=;h1C=<74H03e?!75?3;=7)=i:3f6?l56290/=?953998ka`=83.:>:4j8:J263=9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg0c29086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c4f>5<5290;w)?>7;1b?M7612B:=k5+135953=#;o09h85f3083>!75?39376akf;29 4402l20D<<9;:a2c<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm6983>6<729q/=<95129K54?<@8;m7)?=7;31?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wi:44?:383>5}#98=1?l5G10;8L47a3-;9;7?9;%1e>7b23`9:6=4+13597==268f<>N6:?10qo8n:180>5<7s-;:;7?<;I32=>N69o1/=?95139'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg0329096=4?{%323?5f3A;:56F>1g9'571=9?1/?k4=d49j74<72-;9;7=7;:mgb?6=,88<6h64H005?>{e><0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a1`<72:0;6=u+105956=O9830D5<#9;=1?554i2194?"6:>08465`dg83>!75?3o376sm5g83>7<729q/=<953`9K54?<@8;m7)?=7;35?!5a2;n>7d=>:18'571=;110cih50;&262;54}c43>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qo;6:180>5<7s-;:;7?<;I32=>N69o1/=?95139'7c<5l<1b??4?:%313?5?32c8?7>5$004>6><3fnm6=4+1359a==9:J25c=#9;=1=;5+3g81`0=n;80;6)?=7;1;?>icn3:1(<<8:d:8L44132wi9o4?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{e==0;6>4?:1y'541=9:1C=<74H03e?!75?3;97)=i:3f6?l55290/=?953998m65=83.:>:4<8:9l`c<72-;9;7k7;:a10<72;0;6=u+10597d=O9830D5<#9;=1?554oed94?"6:>0n46F>2798yg3129086=4?{%323?743A;:56F>1g9'571=9;1/?k4=d49j77<72-;9;7=7;:k07?6=,88<6>64;nfe>5<#9;=1i554}c`;>5<4290;w)?>7;30?M7612B:=k5+135957=#;o09h85f3383>!75?39376g<3;29 4402:207bji:18'571=m110qol6:181>5<7s-;:;7=n;I32=>N69o1/=?95179'7c<5l<1b?<4?:%313?5?32eoj7>5$004>`><@88=76smb`83>6<729q/=<95129K54?<@8;m7)?=7;31?!5a2;n>7d==:18'571=;110e>=50;&262<4021dhk4?:%313?c?32wim44?:283>5}#98=1=>5G10;8L47a3-;9;7?=;%1e>7b23`996=4+13597==2680<>=hlo0;6)?=7;g;?>{eih0;6?4?:1y'541=;h1C=<74H03e?!75?3;=7)=i:3f6?l56290/=?953998ka`=83.:>:4j8:J263=9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg41i3:1?7>50z&252<6;2B:=45G10d8 4402880(>h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`12g<72;0;6=u+10597d=O9830D5<#9;=1?554oed94?"6:>0n46F>2798yg41k3:1>7>50z&252<4i2B:=45G10d8 44028<0(>h52e78m67=83.:>:4<8:9l`c<72-;9;7k7;I312>=zj;9:J25c=#9;=1=?5+3g81`0=n;;0;6)?=7;1;?>o4;3:1(<<8:2:8?jba290/=?95e998yg41m3:1?7>50z&252h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|`11c<72;0;6=u+10597d=O9830D5<#9;=1?554oed94?"6:>0n46F>2798yg42j3:1>7>50z&252<4i2B:=45G10d8 44028<0(>h52e78m67=83.:>:4<8:9l`c<72-;9;7k7;I312>=zj;?h6=4=:183!76?39j7E?>9:J25c=#9;=1=;5+3g81`0=n;80;6)?=7;1;?>icn3:1(<<8:d:8L44132wi>:l50;094?6|,8;<6>o4H03:?M76n2.:>:4>6:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==O9;<07pllc;297?6=8r.:=:4>3:J25<=O98l0(<<8:008 6`=:m?0e><50;&262<4021b?>4?:%313?5?32eoj7>5$004>`><3thhh7>52;294~"69>08m6F>189K54`<,88<6<84$2d96a32680<>=hlo0;6)?=7;g;?M75>21vnnk50;194?6|,8;<6<=4H03:?M76n2.:>:4>2:&0b?4c=2c8>7>5$004>6><3`986=4+13597==268f<>=zjj=1<7=50;2x 4702890D"6:>0:>6*o4:3:1(<<8:2:8?l54290/=?953998ka`=83.:>:4j8:9~ff>=8381<7>t$034>6g<@8;27E?>f:&262<6>2.8j764;nfe>5<#9;=1i55G1348?xdd13:1?7>50z&252<6;2B:=45G10d8 4402880(>h52e78m64=83.:>:4<8:9j76<72-;9;7=7;:mgb?6=,88<6h64;|``6?6=;3:116827>N6901C=44<,:l1>i;4i2094?"6:>08465f3283>!75?39376akf;29 4402l207pll3;296?6=8r.:=:4?50;&262<4021dhk4?:%313?c?3A;9:65rbb694?5=83:p(3A;:j6*>26826>"4n38o96g<2;29 4402:207d=<:18'571=;110cih50;&262:4>2:&0b?4c=2c8>7>5$004>6><3`986=4+13597==268f<>=zjko1<7<50;2x 4702:k0D"6:>0::6*o493:1(<<8:2:8?jba290/=?95e99K570<3thij7>53;294~"69>0:?6F>189K54`<,88<6<<4$2d96a32680<>=n;:0;6)?=7;1;?>icn3:1(<<8:d:8?xd5:h0;6?4?:1y'541=;>1C=<74H03e?!75?3;=7E=k;%1f>6><,:l1>i;4i2394?"6:>08465`dg83>!75?3o376sm20294?4=83:p(3A;:j6*>26822>N4l2.8i7=7;%1e>7b23`9:6=4+13597==268f<>=zj;836=4=:183!76?39<7E?>9:J25c=#9;=1=;5G3e9'7`<402.8j764;nfe>5<#9;=1i554}c03a?6=:3:116803>N6901C=40<@:n0(>k5399'7c<5l<1b?<4?:%313?5?32eoj7>5$004>`><3thoi7>52;294~"69>08;6F>189K54`<,88<6<84H2f8 6c=;11/?k4=d49j74<72-;9;7=7;:mgb?6=,88<6h64;|`17=<72;0;6=u+105972=O9830D?50;&262<4021dhk4?:%313?c?32wi>lm50;094?6|,8;<6>94H03:?M76n2.:>:4>6:J0`>"4m3937)=i:3f6?l56290/=?953998ka`=83.:>:4j8:9~f7ge29096=4?{%323?503A;:56F>1g9'571=9?1C?i5+3d80<>"4n38o96g<1;29 4402:207bji:18'571=m110qoicn3:1(<<8:d:8?xd5i00;6?4?:1y'541=;>1C=<74H03e?!75?3;=7E=k;%1f>6><,:l1>i;4i2394?"6:>08465`dg83>!75?3o376sm20794?4=83:p(3A;:j6*>26822>N4l2.8i7=7;%1e>7b23`9:6=4+13597==268f<>=zj;;?6=4=:183!76?39<7E?>9:J25c=#9;=1=;5G3e9'7`<402.8j764;nfe>5<#9;=1i554}c0b7?6=:3:116803>N6901C=40<@:n0(>k5399'7c<5l<1b?<4?:%313?5?32eoj7>5$004>`><3th9m?4?:383>5}#98=1?:5G10;8L47a3-;9;7?9;I1g?!5b2:20(>h52e78m67=83.:>:4<8:9l`c<72-;9;7k7;:a6d7=8381<7>t$034>61<@8;27E?>f:&262<6>2B8h6*7d=>:18'571=;110cih50;&2625<7s-;:;7=8;I32=>N69o1/=?95179K7a=#;l0846*o493:1(<<8:2:8?jba290/=?95e998yg45l3:1>7>50z&252j4$2g9a==#;o09h85f3083>!75?39376akf;29 4402l207pl=b183>7<729q/=<95e19K54?<@8;m7)?=7;35?M5c3-9n6h64$2d96a32680<>=hlo0;6)?=7;g;?>{e:0k1<7<50;2x 4702l:0D"6:>0::6F5<#9;=1?554oed94?"6:>0n465rb3;:>5<5290;w)?>7;g3?M7612B:=k5+135953=O;m1/?h4j8:&0b?4c=2c8=7>5$004>6><3fnm6=4+1359a==52;294~"69>0n<6F>189K54`<,88<6<84H2f8 6c=m11/?k4=d49j74<72-;9;7=7;:mgb?6=,88<6h64;|`1=2<72;0;6=u+1059a5=O9830D?50;&262<4021dhk4?:%313?c?32wi>=l50;394?6|,88<6<>n;I32=>N412.8i7k7;%62>`cn:18'571=99k0Dt$004>46f3A;:56F<9:&0a?5?3->:6k<4i02b>5<#9;=1==o4;|`14c<7280;6=u+135955g<@8;27E=6;%1f>6><,=;1j=5f11c94?"6:>0:26824d=O9830D>74$2g97==#<80:<:5f11c94?"6:>0:26824d=O9830D>74$2g97==#<80:<85f11c94?"6:>0:5<6290;w)?=7;33e>N6901C?45+3d80<>"393om7d??a;29 44028:j76sm22594?7=83:p(<<8:02b?M7612B856*n:18'571=99k07pl=a783>4<729q/=?9511c8L47>3A927)=j:2:8 17=nl1b==o50;&262<68h10qo:4>0`9K54?<@:30(>k5399'04<68:1b==o50;&262<68h10qo:4>0`9K54?<@:30(>k5399'04<68=1b==o50;&262<68h10qo<>3;295?6=8r.:>:4>0`9K54?<@:30(>k5399'04:182>5<7s-;9;7??a:J25<=O;01/?h4<8:&75?`43`;;m7>5$004>46f32wi>4h50;394?6|,88<6<>n;I32=>N412.8i7=7;%62>`g5}#9;=1==o4H03:?M5>3-9n6>64$539bc=n99k1<7*>26824d=51;294~"6:>0:26824d=51;294~"6:>0:26824d=51;294~"6:>0:i68h0;6)?=7;33e>=zj;km6=4>:183!75?3;;m6F>189K7<=#;l0n46*;1;da?j77i3:1(<<8:02b?>{e:0<1<7?50;2x 44028:j7E?>9:J0=>"4m3o37):>:g78k46f290/=?9511c8?xd51=0;6<4?:1y'571=99k0D:4>0`98yg4>;3:1=7>50z&262<68h1C=<74H2;8 6c=m11/8<4i7:m24d<72-;9;7??a:9~f7?5290:6=4?{%313?77i2B:=45G389'7`n;:a64c=83;1<7>t$004>46f3A;:56F<9:&75?cc3";;m7>5$004>46f32wi><950;394?6|,88<6<>n;I32=>N412.?=7hn;*33e?6=,88<6<>n;:a64d=83;1<7>t$004>46f3A;:56F<9:&75?ce3";;m7>5$004>46f32wi><650;394?6|,88<6<>n;I32=>N412.?=7??0:)24d<72-;9;7??a:9~f771290:6=4?{%313?77i2B:=45G389'045<7s-;9;7??a:J25<=O;01/8<4>099(55g=83.:>:4>0`98yg46k3:1=7>50z&262<68h1C=<74H2;8 17=mj1 ==o50;&262<68h10qo<>a;295?6=8r.:>:4>0`9K54?<@:30(9?511;8/46f290/=?9511c8?xu59?0;6>uQ2048977a2:h01??9:02b?xu59>0;6>uQ205897472:h01??8:02b?xu5910;6>uQ20:897462:h01??7:02b?xu5900;6>uQ20;897452:h01??6:02b?xu59h0;6>uQ20c897442:h01??n:02b?xu59k0;6>uQ20`897432:h01??m:02b?xu59j0;6>uQ20a897422:h01??l:02b?xu59l0;6>uQ20g897412:h01??j:02b?xu6?:0;6?u22bc965=:kh0oj6s|23c94?5|5;<4=3:e>64<5;8j6ih4}r024?6=0r7:5=4<2:?12=<4927?i7==;<6e>64<58?j6><4=37e>67<5;?i6>?4=333>a`53z\14g=::9i1hk5221`955g55z?170<4927:4k4<1:?21`<4:279:?4<3:?16=?j50;0x974d2ml01?;5>m08>63=0d8gb>{t9>81<776<5jl1hk5rs057>5<5s48hn7a`;a`52z?12d<4;279?54kf:p523=838p1?ml:3289f6=lo1v?ok:180[4fl279mh4kf:?1ea<68h1v?;6:18184213;;j63=b1805>{t:=n1<767<5;>o6<>i;|q22<<72;q6;l4kf:?1>850;7x975128:m70?j5;11?8072:801?8n:208970e2:;0q~6s|26:94?3|5;n96<>j;<04p1?5<4s488?7??f:?2a7<4;272o7==;|q13<<721q6=:k5339>56>=;;16=>>5339>564=;;16=>:5339>560=;;16>:7511d897612:;0q~;5>908>63>f2806>;2939970;m:208973d2:;0q~?9d;296~;?m3nm70<73;03?xu5>>0;6?>t=357>4e<5;==64e<5;99646b348357??e:?176<6k279h>4>c:?173<6k279c:?14<<6k279:54kf:?1<7<6k2794o4>0d9>6=7=9j16>>?51b9>66g=9j16>>751b9>62>=9j16>:;51b9>6f6=9j16>oh51b9>6gc=9j16>oj51b9>6ge=9j16>ol51b9>6gg=9j16>o751b9>61b=99o01?6<:0a897>328i01?6l:02f?xu6>>0;6?u229;965=:0=0oj6s|2e394?5|5;n:6<>i;<04g?55348;;7=>;|q14<<72=q6h<4=3:?14<<68o16>=?5339>13<4:2wx>9=50;0x972d2ml01?l6:328yv4c;3:18v3>93805>;5l:0:<08>6s|2`294?5|58ij6>?4=371>67<5;k;6ih4}r0;1?6=>r79444>0g9>67e=;;16=k65329>611=;8164>4<2:?1=2<492wx=4=50;0x9a6=98;019h5359~w7gf2909w0<;8;11?84fi3nm7p}>7683>7}::jo1>=52b78gb>{t:8>1<7?9{<3;3?5534;3<7==;<034?5634;m57==;<3e6?5634;nn7==;<3f0?5634;oh7==;<3g6?5534;o?7=>;<3g1?5534;ho7=>;<3`1?5534;ii7=>;<3a2?5534;jh7=>;<3b2?5534;2i7=>;<3:3?5534;357=>;<3;6?56348:87ji;|q1e6<72:q6=4m5309>602=;816>l=5dg9~w71c290?w0<71;33b>;6k:08?6367;11?842>3997p}=8983>0}::1i1==h4=0f6>62<5;>=6>?4=66977=::0k1?<5rs35f>5<3s483>7??f:?2f6<4;272>7==;<061?553ty9mn4?:3y>61g=;;16>lm5dg9~w4112909w01=45229a95<=z{;<:6=4>1z?2bf<4:27:ih4<2:?2a5<4:27:hl4<2:?2g=<4:27:n44<2:?2e<<4:27:5l4<2:?120;4=4:?165<5<279>94=4:?164<5<279=k4=4:?167<5<279>84=4:?166<5<2wx>>750;6x975>28:m70?ld;11?872l39:708n:208yv44i3:18v3=3`824c=:9ho1??5225d976=:>o08>6s|14:94?4|58?i6ih4=3f2>4e5bz?265<5m279oo4>c:?1gf<6k279oi4>c:?1g<<6k279h=4>c:?1gc<6k279oh4>c:?1`7<58279ol4>c:?14f<492wx=8m50;0x94072ml01?j>:0c8yv4d<3:1?v3=cb824c=:952bg806>{t:=o1<7a`<5;:h6?:4}r05=?6=98q6>::5189>620=9016>:95189>63`=lo16>:75189>62>=9016>:;5189>6f6=9016>oh5189>6gc=9016>oj5189>6ge=9016>ol5189>6gg=9016>o75189>61b=9016>875189~w4502902w0?<8;fe?84d83;j70=5rs042>5<5s48;57a`;7>52z?66?ba348>57nh5219>f444|5;9964g<5;2264g<5;n864g<5;:j64g<5;2964g<5;9>6ih4=3:2>4g<5;9:64g<5;9264g<5;2?64g52z?173<5827==7ji;|q150<72;9p1<6n:20894>72:9012:;0142:;01??::ed8yv4f93:1?v3>be805>;5=808=63=a08gb>{t9?>1<776<5?<1hk5rs046>5<5s47652z?176<58272h7ji;|q223<72;q6;=4kf:?17d<582wx>5850;7x97>f28:m70?ja;10?843<39:709j:20897??2:;0q~?8f;2954}:91:1hk5276806>;0039:707::2089<0=;8165=4<2:?:5?56342i6><4=9a974=:0808>6372;12?81d2:801:j5309><3<4:273;7=>;<51>64<5>91?<5rs05b>5<5s4;52c=lo168h4<3:?7b?5434;8h7=>;|q2<4<7289p1<8?:20894>32ml01?j=:0c89dc=;;16mk4<1:?a7?5534h?6>?4=c:977=:j008=63n9;11?8gf2:;01nm5339>ga<4927h;7==;67<5j81??52c2805>;el39970lj:238yv4f13:1>v3=4c806>;5i00oj6s|19`94?4|58996>=4=5695475dz?2<267<5?21??5268805>;1;399708;:23890c=;;169k4<1:?6=?5534?j6>?4=46977=:=<08=6s|2c094?5|5;hi6?>4=3`b>46a34;m87==;|q1f0<72:q6>ok5219>6gb=99l018`8gb>;f<39970o::2389<`=;;16m=4<1:?:e?55343i6>?4}r0;3?6==r794o4>0g9>5ae=;:16>9;5309>3<<4:279544<1:p6f7=839p1?m6:02e?874i39870mj:208yv4d;3:1?v3=cc824c=:9<:1?>52c5806>{t:>l1<7:t=3:0>46a34;j87=<;<:g>64<5;?<6><4}rf1>5<5s4n:6;7452z?10<<4:279mo4kf:p0d<72;q6854kf:?72?453ty9n54?:3y>6f6=99l01:208yv71j3:1>v368;fe?84?938;7p}=7`83>7}::>31>=5226a9`c=z{;h:6=4<{<0ae?47348i57??f:?147<4:2wxho4?:3y>577=m016>i?5219~w4522909w0<87;03?874>3nm7p}=3g83>7}:9j21hk52231974=z{8a`<5;2?6?>4}r0a3?6=;r79o=4=0:?1fc<68o16=o>5339~w75c2909w0?j0;fe?845939:7p}>6g83>7}:i>0oj63=30814>{t:j<1<7=t=3af>46a34;?<7==;<`6>6426=4<{<6;>65<5==1hk5244816>{t:46b348=<7ji;|q1<5<72=q6>5:511d894?22:901?;7:2089=>=;;1v?=j:18187ci3nm70<=2;12?xu6180;6?u21809`c=::ho1>95rs05:>5<5s48o<7a`52z?2f<94<1:p614=838p1<7n:ed897412:;0q~?9c;296~;>;3nm70<72;03?xu5;j0;6?u21dg9`c=::;:1?<5rs04;>5<5s483m7a`53z?1ff<58279no4>0g9>5`0=;;1v<=<:181840>38;70?<4;fe?xu5;k0;6?u21ga9`c=::8l1?<5rs351>575<58?96>?4=01a>67<58><6><4=06:>6457z?131<68o16=:l5339>56>=;:16894=2:?g4?4434><6>=4=00e>6753z?1`5<68o16=9?5339>eg<4:2wx>i<50;1x97b528:m70?6f;11?843n3997p}=2g83>6}:::81==h4=0d3>65<5h;1??5rs362>5<5s4;j57ji;<011?563ty:?<4?:3y>564=lo16>:;5219~w4>b2902w0?60;fe?84dj3;j70h0;6?u2748gb>;50j09<6s|16294?4|5;996?>4=`09`c=z{82o6=4={<302?5434>=6;|q1e7<72:q6=ll5309>605=;816>l<5dg9~w714290iw0<87;33b>;6?k08963;6;00?874i39970?;5;12?872839970?:1;12?872;39970?:4;12?872=39970?:6;12?xu5?80;6;u216`976=::>?1==h4=56966=:<>08>63>3b805>;66s|13g94?4|5;=?6?>4=013>a`53z?1gc<68o16=>h5339>f5<4:2wx>n<50;1x97ef28:m70?:3;10?8e>2:80q~<=e;297~;5;80:6s|2c494?5|5;hm6?>4=3`f>46a34;h<7==;|q2:5329>00<6981v5rs0dg>5<5s4;mi7ji;<030?553ty:jh4?:3y>5c`=lo16>=<5329~w4`a2909w07}::9;1hk52211976=z{;::6=4={<036?ba348;87=<;|q147<72;q6>==5dg9>5c?=;:1v?><:181847<3nm70?ia;11?xu58=0;6?u21g;9`c=:9oh1??5rs0d:>5<5s4;mm7ji;<3ef?543ty:jl4?:3y>5ce=;:16=kl5dg9~w4c>2909w0?ja;fe?87a=3997p}>e`83>7}:9ll1hk521g1976=z{8om6=4={<3e4?ba34;m:7==;|q2b5<72;q6=k?5dg9>5c2=;:1v:18187a:3nm70?jc;10?xu6n;0;6?u21g19`c=:9o?1?>5rs0d0>5<5s4;m87ji;<3e2?543ty:j94?:3y>5c3=lo16=hl5329~w4`22909w0?i6;fe?87bk3997p}>f783>7}:9lh1hk521df977=z{8oi6=4={<3fg?ba34;nh7=<;|q2af<72;q6=hk5329>5`b=lo1v5rs0g2>5<5s4;n>7ji;<3f5`5=lo16=h85329~w4c42909w0?j4;fe?87cm3987p}>e583>7}:9l?1hk521d5976=z{8o>6=4={<3f2?ba34;n47=<;|q2a3<72;q6=h95dg9>5ab=;:1v5<5s4;oi7ji;<3gb?543ty:hh4?:3y>5`6=;:16=ih5dg9~w4b62909w0?k2;fe?87c?3997p}>d383>7}:9m91hk521e4976=z{8n86=4={<3g0?ba34;o47=<;|q2`1<72;q6=i;5dg9>5a?=;:1v3nm70?k7;10?xu6l?0;6?u21e59`c=:9m21??5rs0f4>5<5s4;o47ji;<3g=?553ty:h54?:3y>5ag=;:16=i75dg9~w4e52909w0?l3;fe?87c83997p}>c283>7}:9j31hk521bf976=z{8i26=4={<3`e?ba34;hi7=<;|q2gd<72;q6=nl5dg9>5f`=;:1v5rs0ag>5<5s4;hi7ji;<3`0?553ty:oh4?:3y>5f`=lo16=n:5329~w4ea2909w0?k0;fe?87d=3987p}>d183>7}:9j>1hk521b4977=z{8i?6=4={<3`1?ba34;h;7==;|q2g0<72;q6=n85dg9>5f1=;:1v1??5rs0`0>5<5s4;im7ji;<3ab?553ty:nl4?:3y>5gd=lo16=oh5329~w4de2909w0?mc;fe?87d83987p}>bb83>7}:9kn1hk521b3976=z{8ho6=4={<3aa?ba34;i;7=<;|q2f`<72;q6=oh5dg9>5g2=;:1v5rs0a2>5<5s4;i87ji;<3a2?543ty:n94?:3y>5g3=lo16=o95339~w4d22909w0?m6;fe?87e03997p}>b783>7}:9k=1hk521c:976=z{8h<6=4={<3a=?5434;i47ji;|q2e6<72;q6=l:5dg9>5g7=;;1v5rs0ca>5<5s4;jo7ji;<3a4?543ty:mn4?:3y>5db=lo16=l95329~w4gc2909w0?ne;fe?87e93987p}>ad83>7}:9hl1hk521`7977=z{8km6=4={<3a4?ba34;j97=<;|q2f5<72;q6=o?5dg9>5d0=;:1v:18187f=3nm70?n7;11?xu6i<0;6?u21`49`c=:9h21??5rs0c5>5<5s4;j;7ji;<3b5d?=;:16=l65dg9~w4?32909w0?65;fe?87f:3997p}>9483>7}:90h1hk5218d976=z{83i6=4={<3:g?ba34;j<7=<;|q2=f<72;q6=4j5dg9>5d7=;:1v<7k:18187>m3nm70?68;10?xu61l0;6?u218d9`c=:9h81?>5rs0;e>5<5s4;j<7ji;<3:2?553ty:m=4?:3y>5d7=lo16=485329~w4g62909w0?n2;fe?87>?3987p}>a383>7}:90<1hk5218:977=z{83=6=4={<3:3?ba34;257==;|q2=2<72;q6=465dg9>5i39870?69;fe?xu60o0;6?u2182976=:91l1hk5rs07g>5<5s4;>h7ji;<36a?543ty:9h4?:3y>50c=lo16=8h5309~w43a2909w0?90;10?872n3nm7p}=4583>7}::=>1hk5225:976=z{;>>6=4={<071?ba348?57=<;|q103<72;q6>985dg9>61g=;:1v?:8:181843?3nm70<;b;10?xu5<10;6?u225a977=::=21hk5rs36:>5<5s48?o7=<;<07=?ba3ty98l4?:3y>61e=;=16>9o5dg9~w72e2909w0<;c;16?843j3nm7p}86;296~;0i3997098:ed8yv102909w097:ed892?=;:1v:650;0x92g=;:16;44kf:p0a<72;q68h4kf:?64?563ty?i7>52z?66?5434>m6ih4}r6e>5<5s4?;6ih4=43976=z{<:1<77}:i>08>63n4;fe?xuf<3:1>v3n5;fe?8g12:90q~o::1818g02:901l85dg9~w=ce57=<;a`64<50?1hk5rs8794?4|50<1hk5296807>{t1?0;6?u299807>;>?3nm7p}7f;296~;>;399707?:ed8yv?72909w07>:ed89<4=;:1v4?50;0x9<5=;:165?4kf:p52z?;g?ba342o6>=4}r:`>5<5s42n6>=4=9f9`c=z{1:1<77}:0;0oj6373;10?xu?:3:1>v374;10?8>42ml0q~9m:18181a2:801:m5dg9~w2e=838p1:j5dg9>3`<4;2wx;i4?:3y>3c<4;27o5dg9>514=;;1v<:::181873=3nm70?5<5s4;>=7ji;<30b?543ty:9?4?:3y>504=lo16=965329~w4342909w0?:3;fe?873<3997p}>5583>7}:9<>1hk52152976=z{8?>6=4={<361?ba34;?:7==;|q213<72;q6=885dg9>517=;:1v<=m:181874j3nm70?;a;10?xu6;j0;6?u212a9`c=:9=o1?>5rs01g>5<5s4;?j7=;;<30`?ba3ty:?h4?:3y>56c=lo16=9<5329~w45a2909w0?4183>7}:9=:1hk52156976=z{8>:6=4={<375?ba34;?:7=<;|q207<72;q6=9<5dg9>511=;:1v<:<:181873;3nm70?;8;11?xu6<=0;6?u21569`c=:9=31?>5rs065>5<5s4;?:7ji;<37e?553ty:8:4?:3y>511=lo16=9l5339~w42?2909w0?;8;fe?873j3987p}>4883>7}:9=31hk5215a977=z{8>j6=4={<37e?ba34;?o7=<;|q20g<72;q6=9l5dg9>51b=;:1v<:l:181873k3nm70?;e;11?xu65<5s4;?j7==;<37a?ba3ty:444?:3y>5=g=;:16=575dg9~w4>12909w0?77;10?87?>3nm7p}>8383>7}:91>1??521909`c=z{8286=4={<3;0?5434;3?7ji;|q23a<72;q6=:k5329>52b=lo1v<;6:18187213nm70?:a;10?xu6=h0;6?u214`974=:9{til0;6?u2ag8gb>;e83987p}nf;296~;e939870l?:ed8yv75n3:1>v3>31807>;6:o0oj6s|25d94?4|5;?;6>=4=36e>a`=7>52z?114{t:<>1<7a`<5;?36>=4}r061?6=:r799=4<2:?1108850;0x97372:>01?;9:ed8yv42?3:1>v3=51801>;5=>0oj6s|24:94?4|5;?;6>84=37;>a`7>52z?120<4:279:?4kf:p635=838p1?8<:ed897032:90q~<94;296~;5><08?63=658gb>{t:1o1<7a`<5;2m6>=4}r0;b?6=:r795=4<1:?1=850;0x976?2:801?>9:ed8yv47?3:1>v3=09807>;58>0oj6s|b383>7}:j?08>63m3;fe?xue;3:1>v3m4;fe?8d22:90q~l;:1818d12:901o;5dg9~w=d=ga`6=4={<::>64<51<1hk5rs9494?4|51=1hk5289807>{t0>0;6?u288807>;?03nm7p}81;296~;0=399709=:ed8yv152909w09<:ed8922=;:1v:=50;0x923=;:16;94kf:p2f<72;q6;=4<2:?5`?ba3ty=h7>52z?5a?ba34=4}r4f>5<5s4=;6>=4=7d9`c=z{?=1<710oj6s|6983>7}:>00oj639a;10?xu113:1>v39b;10?80f2ml0q~8=:1818012:801;=5dg9~w35=838p1;:5dg9>20<4;2wx:94?:3y>23<4;27=97ji;|q6`?6=:r7==7==;<7f>a`a`<5?:1?>5rs4d94?4|5?;1?>52618gb>{t=10;6?u25b806>;213nm7p}:9;296~;2i3nm70;m:218yv3f2909w0;l:21890d=lo1v8=50;0x901=;;16994kf:p11<72;q6984kf:?62?543ty>97>52z?63?5434?=6ih4}r`4>5<5s4hi6><4=c:9`c=z{k21<77}:jk08?63ma;fe?xuf03:1>v3nc;11?8g>2ml0q~o6:1818gf2ml01ll5329~wdg=838p1lm5329>eg;o50;0x970f2ml01?8j:208yv41j3:1>v3=6c8gb>;5>m08?6s|27a94?4|5;=4=34`>a`52z?12a908?63=5g8gb>{t:64<5;?i6ih4}r06g?6=:r799i4<3:?11f:l50;0x971d2:901?9m:ed8yvee2909w0mi:2089fe=lo1vnm50;0x9fb=lo16oh4<3:pga<72;q6ok4<3:?`a?ba3tyh:7>52z?`e?5534i<6ih4}ra4>5<5s4i36ih4=b;976=z{j21<77}:k<08>63l2;fe?xud:3:1>v3l3;fe?8e32:90q~m<:1818e22:901n:5dg9~wge=838p1n>5339>faf`a`53z\16<=::;k1?<5223;955g53z\14c=::8:1?<5221d955g53z\162=::;21?<52235955g53z\14a=::9o1?<5221f955g66>=;816>>9511c8yv4f03:1?vP=a99>6de=;816>l6511c8yv4f>3:1?vP=a79>6dd=;816>l8511c8yv4f=3:1?vP=a49>6dg=;816>l;511c8yv4f<3:1?vP=a59>6d?=;816>l:511c8yv46;3:1?vP=129>643=;816><=511c8yv4693:1?vP=109>642=;816>n3:1?vP=9g9>6d5=;816>4h511c8yv4>l3:1?vP=9e9>6d4=;816>4j511c8yv4>k3:1?vP=9b9>6d7=;816>4m511c8yv4>j3:1?vP=9c9>6d6=;816>4l511c8yvb4290>w0<>f;fe?8g32:9015?5329>11<4;27ho7=<;|qg0?6==r79>=4kf:?:b?5434=h6>=4=4;976=:k>08?6s|d483>0}::;;1hk5276807>;>i39870;j:2189f4=;:1vi850;6x97452ml01:<5329>26<4;27ih7=<;|qg3?6=>4kf:?:1?5434<36>=4=c:976=z{m21<7=t=307>a`<50:1?>52b2807>{tl00;69u22379`c=:0k08?63ne;10?80c2:90q~jn:180845>3nm7069:2189d?=;:1v?i4kf:?16g<68h1v?oi:180[4fn279n=4kf:?1ec<68h1v?79:180[4>>2795l4kf:?1=3<68h1v?7;:180[4><279544kf:?1=1<68h1v?7<:180[4>;279554kf:?1=6<68h1v?7=:180[4>:2795:4kf:?1=7<68h1vqco=6;296~N6901vbl<8:182M7612wem?650;3xL47>3tdj>44?:3yK54?3tdj>i4?:3yK54?52zJ25<=zfh8m6=4>{I32=>{ii::1<7?tH03:?xhf;80;6?uG10;8ykg4:3:1>vF>189J55g=9r91qW{ii:>1<7:tH03:?xhf;<0;6?uG10;8ykg4>3:1>vF>189~jd502909wE?>9:me6>=83>pD5<5sA;:56saa2a94?5|@8;27p`n3e83>6}O9830qco3tdj8?4?:2yK54?52zJ25<=zfh>?6=4={I32=>{ii=?1<7?tH03:?xhfvF>189~jd2?290:wE?>9:me1?=838pD5<5sA;:56saa5f94?4|@8;27p`n4d83>7}O9830qco;f;295~N6901vbl;?:181M7612wem8?50;3`M7612wem8=50;0xL47>3tdj994?:3yK54?97>52zJ25<=zfh?=6=4m{I32=>{ii<=1<7vF>189~jd3f290:4vF>189~jd3e2902wE?>9:me0e=839pD5<5sA;:56saa7294?4|@8;27p`n6083>7}O9830qco92;2957}O9830qco93;296~N6901vbl8;:182M7612wem;;50;3xL47>3tdj:;4?:3yK54?52zJ25<=zfh<36=4={I32=>{ii?31<7h0;6?uG10;8ykg1j3:1=vF>189~jd0d2908wE?>9:me3b=83;pD5<5sA;:56saa6394?7|@8;27p`n7383>6}O9830qco83;297~N6901vbl9;:182M7612wem:;50;0xL47>3tdj;;4?:3yK54?52zJ25<=zfh=36=4={I32=>{ii>31<7=tH03:?xhf?h0;6vF>189~jd1d2909wE?>9:me2b=838pD5<5sA;:56saa9394?5|@8;27p`n8283>7}O9830qco74;297~N6901vbl69:181M7612wem5950;0xL47>3tdj454?:3yK54?52zJ25<=zfh2j6=4={I32=>{ii1i1<783:1>vF>189~jd?52909wE?>9:me<5=839pD5<2sA;:56saa8:94?4|@8;27p`n9883>7}O9830qco6a;296~N6901vbl7l:180M7612wem4j50;;xL47>3tdj5h4?:3yK54?52zJ25<=zfhk;6=4={I32=>{iih;1<7?tH03:?xhfi;0;69uG10;8ykgf;3:18vF>189~jdg32908wE?>9:med3=838pD5<4sA;:56saa`;94?4|@8;27p`na`83>4}O9830qconb;295~N6901vblol:182M7612wemlj50;3xL47>3tdjmh4?:0yK54?51zJ25<=zfhh;6=4>{I32=>{iik;1<7?tH03:?xhfj;0;6189~jdd3290:wE?>9:meg3=83;pD5<6sA;:56saac;94?7|@8;27p`nb`83>4}O9830qcomb;295~N6901vblll:182M7612wemoj50;3xL47>3tdjnh4?:0yK54?51zJ25<=zfhi;6=4>{I32=>{iij;1<7?tH03:?xhfk;0;6189~jde3290:wE?>9:mef3=83;pD5<6sA;:56saab;94?7|@8;27p`nc`83>4}O9830qcolb;295~N6901vblml:182M7612wemnj50;3xL47>3tdjoh4?:0yK54?51zJ25<=zfhn;6=4>{I32=>{iim;1<7?tH03:?xhfl;0;6189~jdb3290:wE?>9:mea3=83;pD5<6sA;:56saae;94?7|@8;27p`nd`83>4}O9830qcokb;295~N6901vbljl:182M7612wemij50;3xL47>3tdjhh4?:0yK54?51zJ25<=zfho;6=4>{I32=>{iil;1<7?tH03:?xhfm;0;6189~jdc3290:wE?>9:me`3=83;pD5<6sA;:56saad;94?7|@8;27p`ne`83>4}O9830qcojb;295~N6901vblkl:182M7612wemhj50;3xL47>3tdjih4?:0yK54?51zJ25<=zfhl;6=4>{I32=>{iio;1<7?tH03:?xhfn;0;6189~jd`3290:wE?>9:mec3=83;pD5<6sA;:56saag;94?7|@8;27p`nf`83>4}O9830qcoib;295~N6901vblhl:182M7612wemkj50;3xL47>3tdjjh4?:0yK54?51zJ25<=zfk:;6=4>{I32=>{ij9;1<7?tH03:?xhe8;0;6189~jg63290:wE?>9:mf53=83;pD5<6sA;:56sab1;94?7|@8;27p`m0`83>4}O9830qcl?b;295~N6901vbo>l:182M7612wen=j50;3xL47>3tdi51zJ25<=zfk;;6=4>{I32=>{ij8;1<7?tH03:?xhe9;0;6189~jg73290:wE?>9:mf43=83;pD5<6sA;:56sab0;94?7|@8;27p`m1`83>4}O9830qcl>b;295~N6901vbo?l:182M7612wen3tdi=h4?:0yK54?51zJ25<=zfk8;6=4>{I32=>{ij;;1<7?tH03:?xhe:;0;6189~jg43290:wE?>9:mf73=83;pD5<6sA;:56sab3;94?7|@8;27p`m2`83>4}O9830qcl=b;295~N6901vbo3tdi>h4?:0yK54?51zJ25<=zfk9;6=4>{I32=>{ij:;1<7?tH03:?xhe;;0;6189~jg53290:wE?>9:mf63=83;pD5<6sA;:56sab2;94?7|@8;27p`m3`83>4}O9830qclj50;3xL47>3tdi?h4?:0yK54?51zJ25<=zfk>;6=4>{I32=>{ij=;1<7?tH03:?xhe<;0;6189~jg23290:wE?>9:mf13=83;pD5<6sA;:56sab5;94?7|@8;27p`m4`83>4}O9830qcl;b;295~N6901vbo:l:182M7612wen9j50;3xL47>3tdi8h4?:0yK54?51zJ25<=zfk?;6=4>{I32=>{ij<;1<7?tH03:?xhe=;0;6189~jg33290:wE?>9:mf03=83;pD5<6sA;:56sab4;94?7|@8;27p`m5`83>4}O9830qcl:b;295~N6901vbo;l:182M7612wen8j50;3xL47>3tdi9h4?:0yK54?j7>51zJ25<=zfk<;6=4>{I32=>{ij?;1<7?tH03:?xhe>;0;6189~jg03290:wE?>9:mf33=83;pD5<6sA;:56sab7;94?7|@8;27p`m6`83>4}O9830qcl9b;295~N6901vbo8l:182M7612wen;j50;3xL47>3tdi:h4?:0yK54?51zJ25<=zfk=;6=4>{I32=>{ij>;1<7?tH03:?xhe?;0;6189~jg13290:wE?>9:mf23=83;pD5<6sA;:56sab6;94?7|@8;27p`m7`83>4}O9830qcl8b;295~N6901vbo9l:182M7612wen:j50;3xL47>3tdi;h4?:0yK54?51zJ25<=zfk2;6=4>{I32=>{ij1;1<7?tH03:?xhe0;0;6189~jg>3290:wE?>9:mf=3=83;pD5<6sA;:56sab9;94?7|@8;27p`m8`83>4}O9830qcl7b;295~N6901vbo6l:182M7612wen5j50;3xL47>3tdi4h4?:0yK54?51zJ25<=zfk3;6=4>{I32=>{ij0;1<7?tH03:?xhe1;0;6;3:1=vF>189~jg?3290:wE?>9:mf<3=83;pD5<6sA;:56sab8;94?7|@8;27p`m9`83>4}O9830qcl6b;295~N6901vbo7l:182M7612wen4j50;3xL47>3tdi5h4?:0yK54?51zJ25<=zfkk;6=4>{I32=>{ijh;1<7?tH03:?xhei;0;6189J55g=9r;1qW{I32=>O68h0:w<4rZ3f95~262twenl;50;3xL47>3@;;m7?t1;Y6a<6s=;1qp`ma783>4}O9830E<>n:0y2>x\5l3;p8<4r}o`b3?6=9rB:=45F11c95~7=uS8o69:K24d<6s80vV?j51z62>x{ijh31<7?tH03:?L77i3;p=7sU2e8217=utdiml4?:0yK54?:|X1`?7|<80vqclnb;295~N6901B==o51z39y_4c28q?=7srncc`>5<6sA;:56G>0`824:|mfdb=83;pDi4>{539yxheil0;651zJ25<=N99k1=v?5}[0g>4}393wvbol?:182M7612C:{08~^7b=9r>:6psabc394?7|@8;27D??a;3x5?{]:m0:w9?5}|laf7<728qC=<74I02b>4}62tP9h7?t408~ykde;3:1>vF>189~jgd32909wE?>9:mfg3=838pD5<5sA;:56sabc;94?4|@8;27p`mb`83>7}O9830qclmb;295~N6901B==o51z09y_4c28q?=7srnc``>5<6sA;:56G>0`827:|mfgb=83;pDi4>{539yxhejl0;651zJ25<=N99k1=v<5}[0g>4}393wvbom?:182M7612C:{38~^7b=9r>:6psa6983>7}zf?:1<7?t}o::>5<5std3=7>51zmf0<72;qvblj50;3xykeb290:wp`j4;295~{ink0;65<6std:>h4?:0y~j424290:wp`>6383>4}zf8=<6=4>{|l25<6std9884?:0y~j73f290:wp`=6g83>4}zf;2>6=4>{|l1e6<728qvb?l7:182xhf:<0;6>uF11c9=~5=;391?7=53;197?7=uA;:56T=d;3x0453zJ25<=zfh?96=4>1zJ25<=zf:986=4>{|l00d<728qvb>;i:182xh4?=0;65<6std8m54?:2y~j6g7290:wp`7}zf:i;6=4>{|l0a<<72;qvb>k>:182xh4no0;65<6std?>44?:0y~j15b290:wp`;5283>4}zf=<36=4>{|l73a<728qvb97=:182xh3j=0;6?urn5cb>5<6std?o;4?:0y~j1bc290:wp`;fe83>4}zf<;96=4>{|lb5c<72:qB==o59z197?5=;391?7=53;39yM7612P9h7?t408~ykg>93:1?vF>189~jd442908wD??a;;x7?5=;391?7=53;195?{O9830V?j51z62>x{ii1o1<7=tH03:?xhf:90;6>uF11c9=~5=;391?7=53;197?7=uA;:56T=d;3x0453zJ25<=zfh;n6=4<{H33e??|;391?7=53;197?5=93wC=<74Z3f95~262twem4;50;1xL47>3tdj><4?:2yJ55g=1r91?7=53;197?5=;3;1qE?>9:X1`?7|<80vqco7b;297~N6901vbl<;:180L77i33p?7=53;197?5=;391=7sG10;8^7b=9r>:6psaa8`94?5|@8;27p`n2383>6}N99k15v=53;197?5=;391?7?5}I32=>\5l3;p8<4r}oc;6?6=;rB:=45rn4g3>5<6stwvqMNL{e;5>g?fm;?j;pNOBz2~DEV|uIJ \ No newline at end of file diff --git a/spi6502b.ngr b/spi6502b.ngr new file mode 100644 index 0000000..54a3d6b --- /dev/null +++ b/spi6502b.ngr @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.2e +$2445=639;0=i5>6;Fbpd:6=3:5=>5>6;KMTPR=Lh~j0<;50?386g=52Mkm1?50?32?77Qa012784<76m196R`?014?5?69l281Sc>?93>2>58d3;0h|xQn<083:3=5WG>>4<<42^L71=YneyU9SC::80486ZH3>9;97?QA472\mhvX:VD?:=?>;2:87?B;:3:5n6=5D`vb87<76l186D@_UU8G87<768;0?7GAPTV9@drf4;0;2k5<:NWWTPR=Y581<3?;;28LQQVR\3Xnxb{<383:==42X696=0k;28Qavsk|581<3j43;]m45554;0;2h5<:ekumviu4;0;2;5<_O66<44<;VD?95Qfmq]0[K2208<0?R@;6131?6YI2:1\J102W`g{S>QA47722>5XF=<<=?5<_O653ZojxV9TB9881097<>2=L591<3m44;FbpdG;;3:5i6:5IORVP?B;;3:5=?5;:HLSQQ4?>0680?OIX\^1}gPiov?7?699<1?6D@_UU8ptlYjaze7?7>1f:69KPRW]]0Z0>4?>0680?IR\Y__6_k|umv?7?699?1?6B[[PTV9wuoXFzog0>4?>997>T:4294o794]erwop95=87n087Qa013586<76m1?6R`?022?7?69l2>1Sc>?30>0>58c3=0Tb=>;7=194;`<<3ycekzlnu>0>58692>1aoyehlwak:4294m794|ph]Mw`j;;3:5i6:5sqk\mkr;;3:5j6:5sqk\iluh4:0;2<5:1:4a?311095>LHW]]0Omyo35;2=5>113>UE9?<>2:5\J045W`g{S:QA53022>1XF<8?=?58_O710ZojxV=TB8<;199;>A:0294i754Kauc?3?699;136D@@UU8`tpYc4>0;2h57:HLSQQ0;2g9;>JSSX\^1]1950?37?=b9;>fvrWm6<6=0i;98jjqYcg|~7;7>1119;>vvnlh~jec28:1<25>>={ycomyo`uu>4>5833HDO>95NNE17?DHC<=1Joa|:;@nlea2.0,ula4;E68@969<2N7=384D=094;2B;;7>0H1:14:F?1;2B;?7>0Hlzn7:Fbpd:7611Omyo311<;?Agsi5;:255Kauc?578?3Mkm1?<>99Geqg;9=4j7Io{a=36>58?3Mkm1?:>89Geqg;93:5;6Jnt`>2:<=Ci}k7>7>17:Fbpd:56>1Omyo33?58@drf4=427Io{a=794;1;:2=Ci}k753;4D`vbE==Ci}kJ0=07;EcweD:6611OmyoN<36HK3:DGG1=ALJO?7KJLP29E@U2:Q?5:K13Z723@8U?96G=7^76?L40W??0E?9P749J6=Y7=2C95R>=;H11?L253@?97D8=;H50?LHQ?2FDKDMNLe9OTHYFLMUXYOQ>9:NSIZBANV;j7A^B_EDE[44f3EZFSIHI_01b?IVJWMLMS<:n;MRN[A@AW8?27A^B_EDE[6?JWEVG^T?Q>_0;g?IVJWD_S>R?P21f8HUKXE\R9S9j4LQO\IP^5W8U99i5CPL]NQ]4X9V8=h6B_M^OV\7Y6W;=o7A^B_LW[6Z7X:1i0@]CPMTZ1[4Y4k2F[ARCZX3]2[1eJWEVG^T?Q>_7a8HUKXE\R9SJWEVG^T?Q7a:NSIZ^HZ:U:n6Cnde]\j563?k1FaxvP_o235h0A`{w_^l347>e3Dg~tRQa010:f>Kj}qUTb=><0c9Nip~XWg:;?Kg{UYi~{ct=0=5c=JpfxT^h}zlu>0:4eKg{UTb=>;049M543?=2D:=;>:;O32273H69>:>7C?>7378J470<<1E=<995:L252>23G;:4?;4N03;00=I982=96@>19:6?K7619?0BH6:92>7C?=1178J446:<1E=??;5:L264>23G;9>=;4N00160=I9;8?96@>2346?K75:1?0B<<<249M5753=2D:>>8:;O317=3H6:=<>7C?=4978J4428<1E=?;=5:L260223G;99;;4N00400=I9;=396@>2906?K750??0B<<6049M57?3=2D:>46:;O30473H6;8>>7C?<1978J455<<1E=><75:L276423G;8?9;4N010<0=I9:>996@>3566?K74<1?0B<=:049M5633=2D:?88:;O301=385A12451>H6;>:>7C?<7378J4500<1E=>695:L27<623G;85?;4N01:00=I9:3=96@>38:6?K7389?0B<:?249M5163=2D:8=8:;O374=3:<85A15131>H6<=>>7C?;4778J4230<1E=9;=5:L200223G;?9;;4N06540=I9=<996@>4766?K73>1?0B<:8049M5115=2D:8:8:;O373=33<85A15:71>H6<1<>7C?;8978J42>:<1E=97;5:L20<023G;><=;4N07360=I9<:?96@>51:6?K7299?0B<;>249M5071=2D:9<6:;O36653H6=;2>7C?:3378J434<<1E=8=95:L211623G;>8?;4N07700=I9<>396@>5426?K72=;?0B<;:649M503?=2D:9;>:;O36213H6=>8>7C?:7578J430><1E=86?5:L21=423G;>49;4N07;<0=I9<3;96@>5806?K721??0B<;6849M5367=2D::=::;O35433H6>8>>7C?91778J4058<1E=;<=5:L227223G;=>5;4N04040=I9?9996@>6246?K71;1?0B<8;049M5323=2D::98:;O350=3>85A17771>H6><<>7C?97778J40?:=1E88:;;O6621=I?=??7Cm|209L7>IU::1D^>=4OS60?JT2;2EY4<5_4:RBVQgW33X6;295^<0<5?T:5294?7\2=>79R86<76=1Z0>0;;P>7:1=V4<4?7\29>79R82<76=1Z0:0<;SVO2>Tb{|f46\jstnw54>7:Pfwpjs;11Yi~{ct23:?Wct}e~7<374Rdqvhq:66k1Yi~{ct=094;?c9Qavsk|591<374Rdqvhq:4601Yi~{ct=6==>Tb{|f0806;Sgpqir;>7h0^h}zlu>4>58>3[oxyaz37?c8V`urd}Uba}l4SHEAQVYNFOEj7^GH_CWPMA^e3ZCLSO[\N@OF0>Udd{90_hb;;U[SA6=QKJ30ZDKX_U[SA4b0:ZPPZTSDVZYC]K]TX58\VRX^JI=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy84_o23451?0^knt44?0031?Zh789;Te`~P_o23447>3Vd;<=<30?`8[k678;6:6=06;^l3452;87h0Sc>?05>2>58>3Vd;<=830?`8[k678?6:6=0>2:]m456>W`g{SR`?01;2=>Yi89;=0=0>8:]m4571494Te`~P_o2353:76830Sc>?17>2:4>>^kntZYi89;=0<0>9:]m45714;4:46Qa0135878XadzTSc>?17>1:4d>7008[k679>Uba}QPn122340>803:?Zh7882:=<64_o235=7502Ue<=?720:8[k67919:46Qa013;04>8:]m457?>820Sc>?1952<>Yi89;34<64_o235=?6i2Ue<=?7_hos2>Yi89;2:6Qa01033>Yi898;=55Pn121447f3Vd;Pilr5?Zh78;;:>6Qa0102[lkwWVd;6:]m4543>2Ue<=<:6:]m4541>2Ue<=<86:]m454?>2Ue<=<69:]m455749427R`?022?5;?c9\j5648591<374_o2375:4601Tb=><1=2==>Yi899:0<06;^l3467;:7h0Sc>?30>0>58>3Vd;<>?33?;8[k67;;6;245Pn1206979j2Ue<===<383:<=Xf9:8>1<19:]m455349427R`?026?5;?89\j564<59556Qa0117818>3Vd;<>:35?;8[k67;=6=2o5Pn120091=8730Sc>?35>4:3=Xf9:8;<<4_o2372YneyUTb=><7048[k67;1=0Sc>?393;?Zh78:2:=l5Pn120;2008[k67<;Uba}QPn127640;6^knt<=Xf9:?;1>19:]m452048427R`?055?6;d8:]m452?:8=0Sc>?491;?Zh78=28=l5Pn127;999\j56318;<7R`?05;1<>Yi89>2>?51:8[k67=9;:;6Qa01736==Xf9:>?53323>Yi89?9>55Pn126677f3Vd;<8Yi89?8=?5558[k67==;37R`?04625d=Xf9:>8Rgbp79\j562=880Sc>?54]jiuYXf9:>9<84_o23131:6^knt3=Xf9:>;:5Pn12634>a:]m4530W`g{:6Qa017;3>Yi89?3=55Pn126<47f3Vd;<86Pilr5?Zh78<3<7R`?04;2<>Yi89?2=?6158[k67>9;37R`?07225d=Xf9:=1Tb=>910:8[k67>8;:m6Qa0142[lkw>2Ue<=8=7:]m4505911Tb=>9203b?Zh78?8Te`~9;^l343503Vd;<;=>8:]m450498k0Sc>?62]jiu01`9\j561Yi89<=;6Qa01455==Xf9:=:>9?1Tb=>60008[k6719Uba}QPn12:44?c9\j56>:5;1<384_o23=6753Vd;<4=Pilr\[k671:;=7R`?08726>Yi893>Sdc_^l34<36>2Ue<<>;139\j577023;?Zh798:?=55Pn13240703Vd;=<>98:]m4477>8k0Sc>>11]jiu>?>8:]m4474:820Sc>>1212<>Yi88;88<64_o225636?2Ue<199\j576>;;37R`?10405==Xf9;::9?7;^l354029>1Tb=?>67:8[k669?<:m6Qa0035[lkw02Ue<8:]m447>=8=0Sc>>184;?Zh7983==l5Pn132=Zojx11Tb=?=103;?Zh79;;9=55Pn13156703Vd;=??;8:]m4446<8k0Sc>>20]jiu>9?>8:]m4443:820Sc>>2512<>Yi888?8<94_o22613?3Vd;=?::1`9\j575Yi889;=<64_o22754602Ue<<=?30:8[k66;9>:;6Qa00131==Xf9;8<8?n;^l3566Xadz>7ljkes18fvd3kyrSdc_cqz53=ddbyyo95lpic7?fvhd11h|xQAiss:?fvrWGzce?64cqw\Jwct02i{yRo30?c8gusXi5;1<364cqw\e97902i{yRj30?:8gusXl5;546mu^f?6;>ew}Vn7:3o4cqw\`91=8720o}{Pd=5=3>ew}Vxe{>5ls018`c`33mcjkh5ki`e\mhvXl`kl=:5kiwkpkwg1bbyQ`uu37?lhsWfSdc_hlw[jss911bbyQ|cmi4?luXzlko=95fs^pfeaYneyUbR|jae3f?ncbxVbbio}ci{0?hs02zd`Rolls:8tjjXizo;6~`l^aoo<=wgeUh`fka9:rlvqYfkex27}a}t^cpaq><{acmxnbd179pll`skeaTe`~Psikepfjl911xddh{cova?vnnn}iex1>1b:qkmcrdf}6:2o5|hhdwgkr;:7n0egitblw86<76k1xddh{cov?7;?<{acmxiaae89pll`sagm986}fgo68wl|bi2ygm{kfnugm`>ukiobbyka<1g9phdpbag~nb1=50?f8wigqm`dic2<>99phdpb{lfm7~azrbg\bljbfhgnh6}`usaf[ioimgh37~~f6421fg=tx`UEhb30?`8wuoXFzog0<0m;rrj[Kubd585h6}i^Lpai:4294i7~~f_Oqfh959i2y{eRgat=2=e>uwaVcex1?1a:qsmZoi|585o6}i^kmp95=87k0}gPiov?7;d<{ycTad}`<10:f=tx`njxlga<5<`?vvnlh~jec2:>b9ptlbf|hce0;0j;rrj`drfag6<6=0l;rrj`drfag6<2o5|phfbpdoiW9h0}gkaucjjZ7e3zzbhlznio]1f>uwamkmd`P3c9ptlbf|hceS9l4sqkgeqgnfV?i7~~fd`vbmkY1j2y{eio{ahl\3d=tx`njxlazte9ptlbf|he~x1>1d:qsmagsif0<0k;rrj`drfg|~7>3j4sqkgeqgh}}682i5|phfbpdir|5>5h6}iecwejss4<4o7~~fd`vbkpr;>7l0}gkauclqq:0294o7~~fd`vbkpr;?7<0}gfnu31?vvnag~Te`~Psqkjjq7b3z~j~yQ|hhdwmka53}i87yc`8:wmwlaimm30zlbze^ajj<=qienSb~m5:uj`qn?3qi29=>wl29{kw{GHyhi46NOx20e>C<528qX9h4>c98:5?74;j=;m7<:4`axj4e1281e=n952:&2g0<6k91v_8j51b:9=4<6;:i<=180:?>m80`8111gd3L:m;4?:082>5}T=l0:o5461;307f17i38>8lm4$0`e>d1d5|R8h36<61=k3926i4<6;3a>1`=0g7>5;h0g0?6=3`8n=7>5;h0g7?6=3`;3i7>5;h0fg?6=3`98i7>5$0;;>65c3g;2;7>4;h10g?6=,8336>=k;o3:3?7<3`98n7>5$0;;>65c3g;2;7<4;h10e?6=,8336>=k;o3:3?5<3`8o;7>5$0;;>7b13g;2;7>4;h0g1?6=,8336?j9;o3:3?7<3`9857>5$0;;>65?3g;2;7>4;h103?6=,8336>=7;o3:3?7<3`98:7>5$0;;>65?3g;2;7<4;h101?6=,8336>=7;o3:3?5<3"8o47>5$0;;>7ba3g;2;794;*0g=?6=,8336?ji;o3:3?0<3"8om7>5$0;;>7ba3g;2;7;4;*0gf?6=,8336?ji;o3:3?2<3"8oo7>5$0;;>7ba3g;2;7=4;*0g`?6=,8336?ji;o3:3?4<3"8oi7>5$0;;>7ba3g;2;7?4;*0f4?6=,8336?ji;o3:3?6<3f8o>7>5;n0f0?6=3f98j7>5;n174?6=3f9887>5$0;;>6543g;2;7>4;n106?6=,8336>=<;o3:3?7<3f98=7>5$0;;>6543g;2;7<4;n104?6=,8336>=<;o3:3?5<3k8hn7>5a;49b~"6jm09oo5f31094?=n:8i1<75f35394?=n:;;1<75f2e294?=n:;?1<75f2d594?=n:8k1<75f32294?=h:o91<75m2bc94?5=83:p(n750;194?6|,8ho68?4i8694?=n1<0;66a>8g83>>{e:j?1<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::a6f0=8391<7>t$0`g>071<75f9483>>i60o0;66sm2b594?5=83:p(n650;794?6|,8ho6<7=;h;7>5<>of93:17b?7f;29?xu5n:0;6?uQ2g1897ef282m7p}<0383>7}Y;9801?mn:868yv46k3:1>vP=1b9>6f?=1=1v>:>:181[539279o4465:p677=838pR?<>;<0`1??33ty9h=4?:3y]6a6<5;i>64;4}r011?6=:rT9>8522b49=1=z{;o<6=4={_0f3>;5k?0296s|20c94?4|V;;j70{tm=0;6?u22b795=`<5;i364;4}rg6>5<5s48h:7?7f:?1g=<>>2wxi;4?:3y>6f1=91l01?m7:`38yvc02909w05o48;0;66g=1b83>>o4<;0;66g=2083>>o5l80;66g=2483>>o5m10;66g=1`83>>o4;80;66a=f583>>d5k:0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`1g7<72:0;6=u+1cf914=n1=0;66g65;29?j7?n3:17pl=bd83>6<729q/=oj5509j=1<722c297>5;n3;b?6=3th9nk4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd5k90;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`1g4<72<0;6=u+1cf95<41<75f9483>>o>>3:17do>:188k4>a2900q~n=519d8yv57:3:1>vP<039>6f5=1=1v??l:181[46k279o?464:p714=838pR>:=;<0`6??23ty9><4?:3y]677<5;hn64:4}r0g5?6=:rT9h<522cg9=0=z{;8>6=4={_011>;5jo0286s|2d:94?4|V;o3700q~=<1;296~X4;816>n>5949~w`>=838p1?m=:0:e?84d933?7p}j9;296~;5jl0:4k522b39=0=z{lk1<74>a348h=779;|qff?6=:r79o=4>8g9>6f7=i81vhm50;0x97e420?01?m>:0:e?x{e:kn1<7o56;dx 4dc2;ho7d=?2;29?l46k3:17d=;3;29?l4593:17d6=44o0:e>5<53;294~"6jm0>=6g64;29?l?22900c<6i:188yg4e?3:1?7>50z&2fa<292c287>5;h;6>5<be865>o><3:17d7::188k4>a2900qo6=44o0:e>5<55;294~"6jm0:5?5f9583>>o>=3:17d79:188md7=831d=5h50;9~w7`22909wS>=:181[57:279nn464:p64e=838pR??l;<0af??33ty88>4?:3y]715<5;hi64;4}r015?6=:rT9><522c59=1=z{;oi6=4={_0ff>;5j>0296s|23794?4|V;8>70a;296~X59h16>o75959~w6552909wS=<2:?1f<<>=2wxii4?:3y>6gd=91l01?ln:868yvcb2909w0;5jh0296s|eg83>7}::k21=5h4=3`b><0om5949>6gg=91l0qpl=b783>=<22jq/=oj52c48m6652900e??l:188m6232900e?<>:188m6072900e??n:188m6532900c?h9:188f7d229086=4?{%3a`?363`3?6=44i8794?=h91l1<75rb3`7>5<4290;w)?md;72?l?32900e4;50;9l5=`=831vn?l>:180>5<7s-;ih7;>;h;7>5<92c287>5;h;6>5<;5j<0:4k5rs221>5<5sW9;>63=b48:0>{t:8i1<77}Y;=>01?l;:878yv4593:1>vP=209>6g7=1=1v>8?:181[518279n<465:p64g=838pR??n;<0a6??33ty8?94?:3y]762<5;h964;4}rd1>5<5s48i87?7f:?1f6<><2wxj>4?:3y>6g7=91l01?l<:878yv`32909w0;5j:02:6s|f483>7}::k?158522c195=`46|,8ho6?l?;h136?6=3`8:o7>5;h171?6=3`89=7>5;h0f`?6=3`8997>5;h101?6=3`8:m7>5;h113?6=3f8m;7>5;c0bb?6=;3:1be865>o><3:17d7::188k4>a2900qo6=44o0:e>5<53;294~"6jm0>=6g64;29?l?22900c<6i:188yg4fi3:1>7>50z&2fa2c2?7>5;n3;b?6=3th9mo4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd5ij0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`1ea<72<0;6=u+1cf95<41<75f9483>>o>>3:17do>:188k4>a2900q~16>lh519d8yv57:3:1>vP<039>6d`=1=1v??l:181[46k279mh464:p713=838pR>::;<0ba??23ty9><4?:3y]677<5;k264:4}r0f`?6=:rT9ii522`;9=0=z{;8>6=4={_011>;5ik0286s|32794?4|V:9>700q~==7;296~X4:>16>lm5949~wc0=838p1?oj:0:e?84fl33?7p}i7;296~;5i00:4k522`f9=0=z{o21<74>a348jn77:;|qe=?6=:r79mo4>8g9>6db=1?1vko50;0x97gd282m70v3=ag8:1>;5im0:4k5r}c0b5<=6=44i302>5<5<5<5<5<4290;w)?md;72?l?32900e4;50;9l5=`=831vn?o=:181>5<7s-;ih7o9;h;0>5<be865>o><3:17d7::188k4>a2900qo6=44o0:e>5<55;294~"6jm0:5?5f9583>>o>=3:17d79:188md7=831d=5h50;9~w7`?2909wS>=:181[57:279m:464:p64e=838pR??l;<0b2??33ty88;4?:3y]710<5;k=64;4}r015?6=:rT9><522`39=1=z{;io6=4={_0``>;5i80296s|23794?4|V;8>70a;296~X59h16>l:5959~w64?2909wS==8:?1e1<>=2wxjn4?:3y>6d0=91l01?o::868yv`c2909w0;5i<0296s|fd83>7}::h81=5h4=3c0><30083>7}::h=158522`795=`46|,8ho6?o?;h136?6=3`8:o7>5;h173?6=3`89=7>5;h0fa?6=3`8997>5;h103?6=3`8:m7>5;h11=?6=3f8m57>5;c0:b?6=;3:1be865>o><3:17d7::188k4>a2900qo<6e;297?6=8r.:ni4:1:k:0?6=3`3>6=44o0:e>5<53;294~"6jm0>=6g64;29?l?22900c<6i:188yg4>i3:1>7>50z&2fa2c2?7>5;n3;b?6=3th95o4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd51j0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`1=a<72<0;6=u+1cf95<41<75f9483>>o>>3:17do>:188k4>a2900q~4h519d8yv57:3:1>vP<039>6<`=1=1v??l:181[46k2795h464:p711=838pR>:8;<0:a??23ty9><4?:3y]677<5;3264:4}r0fa?6=:rT9ih5228;9=0=z{;8>6=4={_011>;51k0286s|32594?4|V:9<70<6a;;0?xu59h0;6?uQ20c897?d20>0q~==9;296~X4:016>4m5949~w4652909w0<6e;3;b>;51m0286s|11194?4|5;326<6i;<0:`??23ty:<94?:3y>6v3=9c825<5s482o7?7f:?1=a>o59j0;66g<4883>>o5:80;66g<5g83>>o5:<0;66g<3883>>o59h0;66g<2c83>>i5nk0;66l=9683>6<729q/=oj5509j=1<722c297>5;n3;b?6=3th95;4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd5180;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`1=7<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{e:091<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::a6<2=8391<7>t$0`g>071<75f9483>>i60o0;66sm28794?3=83:p(5;n3;b?6=3ty9jo4?:3y]6cd<5;3<6<6i;|q047<72;qU?=<4=3;4><252z\15f=::0<1595rs26:>5<5sW9?563=978:1>{t:;;1<7933?7p}<5g83>7}Y;:878yv45=3:1>vP=249>6<5=1=1v>=6:181[5412795?463:p64g=838pR??n;<0:0??33ty8>o4?:3y]77d<5;3?64;4}r338g9>6<3=1=1v<>6:18184>93;3j63=948:1>{t99k1<74>a3482?77:;|q24g<72;q6>4=519d897?220<0q~??c;296~;51=0:4k522879e4=z{8:o6=4={<0:3??2348297?7f:~f7?729096=4?{%3a`?g13`386=44o0:e>5<52;294~"6jm0j:6g63;29?j7?n3:17plj2;290?4==rP:n54={8820?{]=10:w<85}%3a`?ea3E;h>7?tH227?xJ6k:05;152>"48l0=h6*<1082e2=i;;?1?6`<6582?k55m3in7)==d;7b?xo013:1(<77:6:8j4?02910e:950;&2==<002d:5:4>;:k5a?6=,8336:64n0;4>7=c286!56=39=:6*<0d85`>"4980:m:5a33796>h4>=0:7pg9e;29 4??2><0b<78:098m21=83.:55486:l2=2<732e:m:4?::aa4<72:0;6=uU5982289;M3`7?3|,:;>6>89;%13a?0c3-9:=7?n7:l060<53g9=87?4}h4f>5<#9021;;5a18595>=n?>0;6)?68;55?k7>?3:07b?n7;29?xu6i>0;6?uQ1`589ab=9h=0q~?k0;296~;cl3:0c4?!7ei3;o=6`>b882?xu013:1>vP89:?f5?0b3-;im797;o3a=?6=0(281v;k50;0xZ3c<5mn1;:5+1cc93==i9k31>6srb3:`>5<5290;w)?md;c5?l?42900c<6i:188yg4?03:1>7>50z&2fa2c2?7>5;n3;b?6=3th94;4?:383>5}#9kn1m;5f9283>>i60o0;66sm29694?4=83:p(be8b2>o>;3:17b?7f;29?xd6k=0;6<4?:1y'5gb=9ko0c<7::188yg4?83:1?7<54z&2fa<5091b>i:50;9j6`7=831d>:j50;9a62`=8391<7>t$0`g>071<75f9483>>i60o0;66sm26g94?4=83:p(;<04a??43ty:62`=1<16>:k519d8yxd5?j0;6>4=:5y'5gb=:>i0e?kk:188m7c62900c?96:188f71e29086=4?{%3a`?7>82c287>5;h;6>5<be8b2>o>;3:17b?7f;29?xu5?00;6?uQ26;8971e282m7p}=ee83>7}Y:ln01?9m:868yv4b93:1>vP=e09>62g=1:1v<>i:181840j33>70<8a;3;b>{zj;=36=4<:387!7el38<46g<3d83>>o4;=0;66a=7483>>d5?>0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`133<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{t:>?1<70;296~;5?>02963=778253;090~"6jm09;95f32a94?=n;:81<75`26394?=e:>91<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::a624=8381<7>t$0`g>d0;5?:0:4k5rs21`>5<5sW98o63=728:0>{t;:81<71083>7}::>91585226095=`1}#9kn1>:>4i21a>5<5<5<52z\12a=::?l1=5h4}r10f?6=:rT8?o5227d9=1=z{:9:6=4={_105>;5>l02?6s|10094?4|5;4>a3twi>;m50;196?2|,8ho6?8l;h10e?6=3`98<7>5;n05=?6=3k8=n7>53;294~"6jm0>=6g64;29?l?22900c<6i:188yg41i3:1>7>50z&2fa2c2?7>5;n3;b?6=3ty9:44?:3y]63?<5;o4=34a><252z\075=::?k15>5rs030>5<5s48=n77:;<05e?7?n2wvn?87:180>7<3s-;ih7<98:k07<<722c8>o4?::m120<722h9::4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd5>?0;6?4?:1y'5gb=i?1b5>4?::m2;;50;0xZ702348=;7?7f:p76?=838pR>=6;<052??43ty8>o4?:3y]77d<5;<<64;4}r320?6=:r79::464:?123<60o1vqo<94;297?4=;=50;194?6|,8ho68?4i8694?=n1<0;66a>8g83>>{e:?81<7<50;2x 4dc2h<0e4=50;9l5=`=831v?8>:181[419279:>4>8g9~w6502909wS=<7:?127<>;2wx??750;0xZ64>348=?77:;|q250<72;q6>;=5959>634=91l0qpl=6183>6<52=q/=oj52728m6512900e><7:188k73c2900n?;i:180>5<7s-;ih7;>;h;7>5<a2900q~<:d;296~X5=m16>8h519d8yv54>3:1>vP<379>60c=1:1v><7:181[5502799k465:p540=838p1?;i:868973b282m7psm24a94?5=:3>p(6=44o0:e>5<m7>52;294~"6jm0j:6g63;29?j7?n3:17p}=5883>7}Y:<301?;m:0:e?xu4;<0;6?uQ3278973f2090q~==7;296~X4:>16>8l5949~w4702909w0<:b;;7?842i3;3j6srb37;>5<42;0?w)?md;06<>o5km0;66g<3183>>i5=<0;66l=5683>6<729q/=oj51828m<2=831b584?::m28850;094?6|,8ho6l84i8194?=h91l1<75rs376>5<5sW8>963=5682;5=>0286s|32294?4|V:9;70<:6;;0?xu6910;6?u22459=0=::<<1=5h4}|`111<72:0969u+1cf96025<5<4290;w)?md;72?l?32900e4;50;9l5=`=831vn?;=:181>5<7s-;ih7o9;h;0>5<52z\153=::<815>5rs3f7>5<5sW8o863=528:1>{t9831<7<2<5;?96<6i;|a606=83>1<7>t$0`g>041<75f9483>>o>>3:17b?7f;29?xd5?j:188m67a2900e><>:188k72e2900n?:k:187>5<7s-;ih7;=;h;7>5<>i60o0;66sm25a94?4=83:p(?j:181[56m2798n463:p74`=838pR>?i;<07`??23ty8><4?:3y]777<5;>o6484}r32e?6=:r798i464:?10f<60o1vqo<;a;290?4==r.:ni4=4`9j74c=831b?9950;9a61?=83>1<7>t$0`g>041<75f9483>>o>>3:17b?7f;29?xd5<10;6?4?:1y'5gb=i?1b5>4?::m29950;0xZ720348?57?7f:p74c=838pR>?j;<07=??33ty8=k4?:3y]74`<5;>364=4}r115?6=:rT8><5225;9=3=z{8;i6=4={<07=??2348?47?7f:~f721290?6>49{%3a`?43>2c8=h4?::k05c<722c8><4?::m107<722h9884?:583>5}#9kn19?5f9583>>o>=3:17d79:188k4>a2900qo<;4;296?6=8r.:ni4n6:k:7?6=3f;3j7>5;|`106<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{t:=81<79;5979~w47d2909w0<;5;;7?843<3;3j6s|10f94?4|5;>>64;4=360>4>a3twi>9?50;696?3|,8ho6?:>;h12a?6=3`9:j7>5;h115?6=3f88i7>5;c074?6=<3:1be866>o><3:17d7::188m<0=831d=5h50;9~f75a29096=4?{%3a`?g13`386=44o0:e>5<52z\17`=::=:1=5h4}r12a?6=:rT8=h522529=1=z{:;m6=4={_12b>;5<90296s|33394?4|V:8:70<5<5<>l50;094?6|,8ho6l84i8194?=h91l1<75rb31b>5<5290;w)?md;c5?l?42900c<6i:188yv4413:1>vP=389>66e=91l0q~=>e;296~X49l16>>l5929~w67a2909wS=>f:?17f<>=2wx???50;0xZ6463488m77<;|q25c<72;q6>>m5959>66d=91l0q~?=0;296~;5;j02:63=3`8254;192~"6jm09?55f30g94?=n;8l1<75f33394?=h::>1<75m22594?2=83:p(5;|`173<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{e::?1<7<50;2x 4dc2h<0e4=50;9l5=`=831v?=;:181[44<279?:4>8g9~w67b2909wS=>e:?172<><2wx?<552z?172<>=279?;4>8g9~w4452909w0<<7;;5?844=3;3j6srb310>5<32=0o49l0;66g<1g83>>o4:80;66a=2d83>>d5;;0;694?:1y'5gb==;1b594?::k:1?6=3`3=6=44o0:e>5<52;294~"6jm0j:6g63;29?j7?n3:17pl=2g83>7<729q/=oj5a79j=6<722e:4k4?::a666=8381<7>t$0`g>d0;5;;0:4k5rs23f>5<5sW9:i63=308:7>{t;8l1<77}Y;;;01?=?:818yv75;3:1>v3=338:0>;5;80:4k5rs007>5<5s488>77:;<01b?7?n2wx=?;50;0x975520<01?=?:0:e?x{e:;21<7=52;6x 4dc2;837d50z&2fa<292c287>5;h;6>5<be8b2>o>;3:17b?7f;29?xu5:<0;6?uQ23789740282m7p}=d483>7}Y:m?01?<9:818yv4c?3:1>vP=d69>671=1<1v<<9:181845?33?70<=6;3;b>{zj;8?6=4<:387!7el38986g=d483>>o5l>0;66a=2083>>d5::0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`167<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{t:;;1<770<=3;;7?xu5l>0;6?uQ2e5897452090q~?=7;296~;5::02963=238253;191~"6jm09>=5f2e794?=n:m=1<75`20a94?=e:8l1<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::a64c=8381<7>t$0`g>d0a2900q~<>c;296~X59j16>vP=d49>64c=1:1v?j8:181[4c?279=i463:p57>=838p1??i:868977b282m7p}>2883>7}::8l1585220f95=`5}#9kn19<5f9583>>o>=3:17b?7f;29?xd5900;6>4=:5y'5gb=:830e><<:188m6452900c??9:188f77?29086=4?{%3a`?7>82c287>5;h;6>5<be8b2>o>;3:17b?7f;29?xu59?0;6?uQ2048977?282m7p}<2283>7}Y;;901??8:818yv55:3:1>vP<239>64>=1<1v<7;3;b>{zj;;>6=4::183!7el3?87do>:188m<2=831b584?::k:2?6=3f;3j7>5;|`2b3<72:0;6=u+1cf914=n1=0;66g65;29?j7?n3:17pl>f583>6<52=q/=oj51g68m7b32900e><=:188k4`62900n5<7s-;ih7?60:k:0?6=3`3>6=44o0:e>5<7>52;294~"6jm0j:6g63;29?j7?n3:17p}>f083>7}Y9o;015<6:3i1=iu+1cf95``5<5<5<5<5<5<6=44i36a>5<5<5<6=4<:183!7el3;2<6g64;29?l?22900c<6i:188yg7b<3:1>7>50z&2fa2c2?7>5;n3;b?6=3th:i;4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd6m>0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`2a=<72:0;6=u+1cf914=n1=0;66g65;29?j7?n3:17pl>e883>6<729q/=oj5509j=1<722c297>5;n3;b?6=3th:il4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd6mk0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`2af<72:0;6=u+1cf914=n1=0;66g65;29?j7?n3:17pl>ee83>6<729q/=oj5509j=1<722c297>5;n3;b?6=3th:ih4?:883>5}#9kn1=4:4i8694?=n1<0;66g66;29?lg62900el<50;9je6<722cj87>5;hc6>5<be865>o><3:17d7::188k4>a2900q~?j2;296~X6m;16=h;519d8yv46>3:1?vP=179>5`3=1=16=h:5929~w74b2909wS<=e:?2a3<><2wx?8l50;0xZ63e34;n:77:;|q171<72;qU>>:4=0g4><257>52z\01<=:9l=1585rs31:>5<5sW88563>e98:0>{t;<21<77p}=3d83>7}Y::o01vP<569>5`?=1<1v?:=:181[43:27:il464:p700=838pR>;9;<3fe??23ty98:4?:3y]611<58oi64:4}r161?6=:rT898521d`9=0=z{;>i6=4={_07f>;6mj0286s|34694?4|V:??70?jc;;6?xu50q~=:3;296~X4=:16=hj5949~w44d2909w0?j4;3;b>;6m:0286s|13f94?4|58o=6<6i;<3fa??33ty:>h4?:3y>5`1=91l01v3>e9825<5s4;n57?7f:?2a`?50;0x94cf282m70?je;c1?xu6;;0;6?u21d`95=`<58on6l=4}r307?6=:r7:in4>8g9>5`c=i=1v<=;:18187bl3;3j63>ed8b1>{t9:?1<74>a34;n?77:;|q273<72;q6=h;5949>5`5=91l0qpl>e083>6<729q/=oj5509j=1<722c297>5;n3;b?6=3th:h54?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd6lh0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`2`f<72:0;6=u+1cf914=n1=0;66g65;29?j7?n3:17pl>dg83>6<729q/=oj5509j=1<722c297>5;n3;b?6=3th8:=4?:483>5}#9kn14n5f6083>>o2j3:17d;l:188m0?=831d=4o50;9~f63c29086=4?{%3a`?363`3?6=44i8794?=h91l1<75rb3dg>5<2290;w)?md;3:6>o><3:17d7::188m<0=831bm<4?::m2hl50;794?6|,8ho65m4i7394?=n=k0;66g:c;29?l3>2900c<7n:188yg4c93:197>50z&2fa5;h7a>5<>i61h0;66sm2e294?3=83:p(5<j7>55;294~"6jm03j6g91;29?l3e2900e<76:188m0?=831d=4o50;9~f664290?6=4?{%3a`?353`3?6=44i8794?=n1?0;66a>8g83>>{e;831<7=52;6x 4dc2:;27d6;29?g5603:1?7>50z&2fa<292c287>5;h;6>5<be8b2>o>;3:17b?7f;29?xu49?0;6?uQ3048967?282m7p}=e383>7}Y:l801>?7:868yv7?m3:1>vP>8d9>741=1:1v<=8:181856033>70=>7;3;b>{zj:;?6=4=:183!7el3k=7d7<:188k4>a2900qo=?0;292?6=8r.:ni4>929j=1<722c297>5;h;5>5<>i60o0;66sm30`94?5=83:p(nk50;194?6|,8ho6<7?;h;7>5<6=4=:183!7el3k=7d7<:188k4>a2900qojn:18b>6j5198~ 4dc2jh0@43?3-9:97=?5:&04`<1l2.8=?4>bb9'747=9h=0b><::39m732=;2.8>i4:a:j5ge=831b;i4?:%3:4;h5a>5<#9021;n5a18595>=n?h0;6)?68;5`?k7>?3807d96:18'5<>=?j1e=4953:9j32<72-;2479l;o3:3?2<3`=h9hn1<7*>9982e`=i90=1=65`1`a94?"6110:mh5a18596>=e1?0;694>:5yY5g>=9r<1qW;7:0y4>x"6jm0in6B>c382M57<2wG=n=56z&050<48<1/?=k56e9'744=9ki0(>?>:0c4?k55=380b>8;:09~m4dd2900e:950;&2==<0>2d:5:4?;:k5a?6=,8336:84n0;4>4=5<32=0o6jj0;66g87;29?l0b2900c82c287>5;h;6>5<be865>o><3:17d7::188k4>a2900qo?n8;296?6=8r.:ni4n6:k:7?6=3f;3j7>5;|`2e<<72:0;6=u+1cf914=n1=0;66g65;29?j7?n3:17p}>a683>7}Y9h=01uQ1ca894gf20>0152z\5a>;6i00296s|12:94?4|58ki64:4=0cb>4>a3ty:?44?:3y>5d>=91l01v3>ac8:1>;6i00:4k5r}r3b3?6=:rT:m:521c595d152z\2ff=:9k=1=om4}r4f>5<5sW54;390~\6j10:w;4rZ4:95~1=u-;ih7ln;M3`6?7|@::?7pB>c285!56=39;96*<0d85`>"49;0:nn5+30395d16?5a37695>{n9ki1<75f7683>!7>03==7c?67;28?l0b290/=465779m5<1=921d=l950;9a5g1=83>1879t$0`g>4d03`;io7>5;h54>5<5<5290;w)?md;c5?l?42900c<6i:188yg7f13:1?7>50z&2fa<292c287>5;h;6>5<53z\2ff=:9hk159521`:9=6=z{>=1<75dg=91l0q~?1vbb9~w3c=838pR;k4=0`4>3c<,8hj6:84n0`:>4=z{>=1<7=0(291vqo7;:187>4<3sS;i47?t6;Y1=<6s>0v(6>>:;%13a?0c3-9:>7?mc:&054<6i>1e??;52:l021<63tc:nn4?::k43?6=,8336:84n0;4>5=99842>h61>0:76a>a683>>d6j>0;694;:6y'5gb=9k=0e5}#9kn1=4>4i8694?=n1<0;66a>8g83>>{e9hk1<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::a5d>=8381<7>t$0`g>d0;2wx;:4?:3y]32=:9hk1585rs7g94?4|V?o01v3>ac8:0>;6ih0:4k5rs01e>5<5s4;j47?7f:?2e<<><2wx=9>50;0x94ge20?01{t>l0;6?uQ6d9>5g1=>l1/=oo5779m5g?=92wx;:4?:3y]32=:9k=1;:5+1cc933=i9k31<6srs0cg>5<5sW;jh6365;3b3>"6jh0:mh5a1c;95>{t9ki1<7:t^0``?8?128hh707::0``?8?328hh7p}8a;296~X0i272:798;%3ae?1d3g;i57<4}r4f>5<5sWi0b{t?>0;6?uQ769>=0<1m2.:nl48c:l2f<<33ty:mk4?:3y]5d`<50>1=l94$0`b>4gb3g;i57>4}r5:>5<5sW=2707;:7g8 4df2>i0bad9m5g?=:2wx;i4?:3y]3a=:1=0<;6*>b`84g>h6j00;7psmd883>a<32mqQ=o652z67>66=uS?36?u;7;0g>x"6jm0hh6B>c382M57<2wG=n=57z&06a<2i2.8=84<049'75c=>m1/?<<51ca8 67628k<7c==5;08j6032=1ve5$0;;>2`9681?>o0j3:1(<77:6d8j4?02:10e:o50;&2==<0n2d:5:4;;:k4=?6=,8336:h4n0;4>0==1<7*>9984b>h61>0=76g9e;29 4??2>l0b<78:698k4d6290/=4651c28j4?02910c=9k:0b<78:098k4gc290/=4651c28j4?02;10c=9k:0b<78:298fd7=83>1=7:tZ0`;>4}12tP>47?t7;'5gb=j11G=n<51zJ041=zD8i86;u+3079753<,::n6;j4$231>4dd3-9:=7?n7:l060<53g9=87?4}h3ag?6=3`=<6=4+18:933=i90=1<65f6d83>!7>03==7c?67;38?j7f?3:17o?m7;290?2=?r.:ni4>b69j5ge=831b;:4?::k5a?6=3f;j;7>5;c3bf?6=;3:1be82=5=n1=0;66g65;29?j7?n3:17pl>a`83>6<729q/=oj5509j=1<722c297>5;n3;b?6=3th:m54?:383>5}#9kn1m;5f9283>>i60o0;66sm1`;94?5=83:p(a`8:1>{t>l0;6?uQ6d9>5d?=1<1v<:>:18187fj33?70?na;3;b>{t9=81<74>a34;j577;;|q206<72;q6=ll5949>5d?=91l0qp}>a683>7}Y9h=012d:n44>;|q43?6=:rT<;63>b6843>"6jh0<:6`>b883?x{e1?0;694>:5yY5g>=9r<1qW;7:0y4>x"6jm0i;6B>c382M57<2wG=n=56z&050<48<1/?=k56e9'744=9ki0(>?>:0c4?k55=380b>8;:09~m4dd2900e:950;&2==<0>2d:5:4?;:k5a?6=,8336:84n0;4>4=5<32=0o6jj0;66g87;29?l0b2900c82c287>5;h;6>5<be865>o><3:17d7::188k4>a2900qo?n8;296?6=8r.:ni4n6:k:7?6=3f;3j7>5;|`2e<<72:0;6=u+1cf914=n1=0;66g65;29?j7?n3:17p}>a683>7}Y9h=01uQ1ca894gf20>0152z\5a>;6i00296s|15694?4|58ki64:4=0cb>4>a3ty:884?:3y>5d>=91l013:1>v3>ac8:1>;6i00:4k5r}r3b3?6=:rT:m:521c595d152z\2ff=:9k=1=om4}r4f>5<5sW54;390~\6j10:w;4rZ4:95~1=u-;ih7l9;M3`6?7|@::?7pB>c285!56=39;96*<0d85`>"49;0:nn5+30395d16?5a37695>{n9ki1<75f7683>!7>03==7c?67;28?l0b290/=465779m5<1=921d=l950;9a5g1=83>1879t$0`g>4d03`;io7>5;h54>5<5<5290;w)?md;c5?l?42900c<6i:188yg7f13:1?7>50z&2fa<292c287>5;h;6>5<53z\2ff=:9hk159521`:9=6=z{>=1<75dg=91l0q~?;8;296~;6i10:4k521`;9=1=z{8>26=4={<3bf??234;j57?7f:~w4g02909wS?n7:?2f2<6i>1vbb9~w3c=838pR;k4=0`4>3c<,8hj6:84n0`:>4=z{>=1<7=0(291vqo7;:187>4<3sS;i47?t6;Y1=<6s>0v(6>>:;%13a?0c3-9:>7?mc:&054<6i>1e??;52:l021<63tc:nn4?::k43?6=,8336:84n0;4>5=99842>h61>0:76a>a683>>d6j>0;694;:6y'5gb=9k=0e5}#9kn1=4>4i8694?=n1<0;66a>8g83>>{e9hk1<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::a5d>=8381<7>t$0`g>d0;2wx;:4?:3y]32=:9hk1585rs7g94?4|V?o01v3>ac8:0>;6ih0:4k5rs06a>5<5s4;j47?7f:?2e<<><2wx=9m50;0x94ge20?01{t>l0;6?uQ6d9>5g1=>l1/=oo5779m5g?=92wx;:4?:3y]32=:9k=1;:5+1cc933=i9k31<6srs9294?4|V1:014:5769'5gg=?o1e=o750:p5ge=83?pR4dd343=64dd343?621<,8hj6:h4n0`:>4=z{8ko6=4={_3b`>;>>3;j;6*>b`82f5=i9k31>6s|1`d94?4|V8km707::0c4?!7ei3;i<6`>b882?xu6j80;6?uQ1c389<2=9h=0(a69'5gg=9k:0b{t?>0;6?uQ769>=3<1m2.:nl48f:l2f<<13ty52z\4e>;><30q~9m:181[1e34k:6:94$0`b>2`5rs6f94?4|V>n01485769'5gg=?o1e=o752:~fa>=83n187jtZ0`;>7}3<39;6pT:8;0x02<5l3w/=oj5cb9O5f4=9rB8<95rL0a0>2}#;;n19l5+3079753<,::n6;j4$231>4dd3-9:=7?n7:l060<53g9=87:4}h3ag?6=3`2;6=4+18:93c=i90=1<65f7d83>!7>03=m7c?67;38?l1c290/=4657g9m5<1=:21b;o4?:%3:5<#9021;k5a18590>=n?00;6)?68;5e?k7>?3?07d98:18'5<>=?o1e=4956:9j2`<72-;2479i;o3:3?1<3f;i=7>5$0;;>4d73g;2;7>4;n3bb?6=,83365$0;;>4d73g;2;7<4;n3bg?6=,83364}O;9>0qA?l3;4x 6722::>7)=?e;4g?!56:3;io6*<1082e2=i;;?1>6`<6582?xo6jj0;66g87;29 4??2><0b<78:198m3c=83.:55486:l2=2<632e:m:4?::`2f2<72=0?6:u+1cf95g1l0;66a>a683>>d6ik0;6>4?:1y'5gb=90:0e4:50;9j=0<722e:4k4?::a5dg=8391<7>t$0`g>071<75f9483>>i60o0;66sm1`:94?4=83:p(29086=4?{%3a`?363`3?6=44i8794?=h91l1<75rs0c4>5<5sW;j;63>ac82;6ih02863>a98:7>{t?>0;6?uQ769>5dg=1<1v;k50;0xZ3c<58k264;4}r37`?6=:r7:mo464:?2ed<60o1v<:j:18187f03;3j63>a88:0>{t9=l1<7<3<58k26<6i;|p5d1=838pR{t?>0;6?uQ769>5g1=?>1/=oo5779m5g?=82wvn4850;695?2|R8h363}#;8?1?=;4$22f>3b<,:;9684=;o150?75;h54>5<#9021;;5a18594>=n>l0;6)?68;55?k7>?3;07b?n7;29?g7e?3:187:57z&2fa<6j>1b=om50;9j32<722c=i7>5;n3b3?6=3k;jn7>53;294~"6jm0:5=5f9583>>o>=3:17b?7f;29?xd6ih0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`2e=<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{e9h31<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::p5d1=838pR52z\43>;6ih0296s|6d83>7}Y>l16=l75949~w4372909w0?nb;;7?87fi3;3j6s|14394?4|58k36<6i;<3b=??33ty:9?4?:3y>5dd=1<16=l7519d8yxu6i>0;6?uQ1`5894d028k<7p}>bb83>7}Y9ki01vP9e:?2f2<1m2.:nl486:l2f<<63ty<;7>52z\43>;6j>0<;6*>b`842>h6j00;7psm9483>1<62=qQ=o651z49y_3?28q<6p*>be8a6>J6k;0:wE=?4:O5f5=>r.8=84<049'75c=>m1/?<<51ca8 67628k<7c==5;08j603281ve5$0;;>205<bb83>>o0?3:17d8j:188k4g02900n5<7s-;ih7?60:k:0?6=3`3>6=44o0:e>5<53;294~"6jm0>=6g64;29?l?22900c<6i:188yg7f03:1>7>50z&2fa2c2?7>5;n3;b?6=3th:m44?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xu6i>0;6?uQ1`5894ge282m7p}>bb83>6}Y9ki01a88:1>{t9<91<7<2<58kj6<6i;|q211<72;q6=l6519d894g>20>0q~?:5;296~;6ik02963>a88252z\2e2=:9k=1=l94}r3ag?6=:rT:nn521c595ge4}|`:0?6=<3;18vT>b98237?tH227?xJ6k:0=w)=>5;131>"48l0=h6*<1382ff=#;8;1=l94n206>7=i;?>1=6sf1ca94?=n?>0;6)?68;55?k7>?3:07d8j:18'5<>=??1e=4951:9l5d1=831i=o950;690?1|,8ho65<7s-;ih7;>;h;7>5<a2900qo?n9;297?6=8r.:ni4:1:k:0?6=3`3>6=44o0:e>5<52z\2e2=:9hh1=5h4}r3ag?6=;rT:nn521`c9=1=:9h215>5rs6594?4|V>=015dd=1=16=lo519d8yv72?3:1>v3>a9825<5s4;jn77:;<3b=?7?n2wva69~w4dd2909wS?mc:?2f2<6jj1v;k50;0xZ3c<58h<6;k4$0`b>20=01<0b72909wS6?;<;7>21<,8hj6:h4n0`:>5=z{8hh6=4:{_3ag>;f93;io6366;3ag>;>=3;io6364;3ag>{t>l0;6?uQ6d9>e4<1m2.:nl48f:l2f<<03ty52z\4a>;>=3=<7)?ma;5e?k7e13;0q~?nd;296~X6im165;4>a69'5gg=9k:0bb19m5g?=92wx=o?50;0xZ4d6343?62<1v:950;0xZ21<50<1:h5+1cc93c=i9k31:6s|7`83>7}Y?h165949e:&2fd<0n2d:n44;;|q4f?6=:rT21<,8hj6:h4n0`:>7=zukn<6=4;:087_7e03;p:7sU598224}O;9>0qA?l3;:xj64b28?37)=>5;131>"48l0=h6*<1382ff=#;8;1=l94n206>7=i;?>1=6*<2e86e>{n9ki1<75f7683>!7>03==7c?67;28?l0b290/=465779m5<1=921d=l950;9a5g1=83>1879t$0`g>4d03`;io7>5;h54>5<5<5290;w)?md;c5?l?42900c<6i:188yg7f13:1?7>50z&2fa<292c287>5;h;6>5<53z\2ff=:9hk159521`:9=6=z{>=1<75dg=91l0q~?:a;296~;6i10:4k521`;9=1=z{8?i6=4={<3bf??234;j57?7f:~w4g02909wS?n7:?2f2<6i>1vbb9~w3c=838pR;k4=0`4>3c<,8hj6:84n0`:>4=z{>=1<7=0(291vqoj9:187>4<3sS;i47?t6;Y1=<6s>0v(|f:8n6<;7;%121?57=2.85<5<53;294~"6jm0>=6g64;29?l?22900c<6i:188yv7f?3:1>vP>a69>5dd=91l0q~?mc;297~X6jj16=lo5959>5d>=1:1v:950;0xZ21<58kj64;4}r4f>5<5sW8g9>5d?=1=1v<;j:18187fj33>70?n9;3;b>{z{8k<6=4={_3b3>;6j>0:m:5rs0``>5<5sW;io63>b682ff=z{?o1<7281v:950;0xZ21<58h<6:94$0`b>205<3280?wW?m8;3x2?{]=10:w:4r$0`g>f>"49;0:nn5+30395d16?5a37695>"4:m0>m6sf1ca94?=n?>0;6)?68;55?k7>?3:07d8j:18'5<>=??1e=4951:9l5d1=831i=o950;690?1|,8ho65<7s-;ih7;>;h;7>5<a2900qo?n9;297?6=8r.:ni4:1:k:0?6=3`3>6=44o0:e>5<52z\2e2=:9hh1=5h4}r3ag?6=;rT:nn521`c9=1=:9h215>5rs6594?4|V>=015dd=1=16=lo519d8yv7183:1>v3>a9825<5s4;jn77:;<3b=?7?n2wva69~w4dd2909wS?mc:?2f2<6jj1v;k50;0xZ3c<58h<6;k4$0`b>20=01<0b3wQ954>{68~ 4dc2j=0@43?3-9:97=?5:&04`<1l2.8=?4>bb9'747=9h=0b><::39m732=92.8>i4:a:j5ge=831b;:4?:%3:4;h4f>5<#9021;;5a18595>=h9h=1<75m1c594?2=<3=p(t$0`g>4?73`3?6=44i8794?=h91l1<75rb0cb>5<4290;w)?md;72?l?32900e4;50;9l5=`=831vn5<7s-;ih7o9;h;0>5<be865>o><3:17d7::188k4>a2900q~?n7;296~X6i>16=ll519d8yv7ek3:1?vP>bb9>5dg=1=16=l65929~w21=838pR:94=0cb><37p}>6383>7}:9hh159521`c95=`52z?2e=<60o16=l75959~w4032909w0?nb;;6?87f13;3j6srs0c4>5<5sW;j;63>b682e2=z{8hh6=4={_3ag>;6j>0:nn5rs7g94?4|V?o01<0b21<,8hj6:84n0`:>5=zukn86=4;:087_7e03;p:7sU598224}O;9>0qA?l3;:xj64b28?37)=>5;131>"48l0=h6*<1382ff=#;8;1=l94n206>7=i;?>1=6*<2e86e>{n9ki1<75f7683>!7>03==7c?67;28?l0b290/=465779m5<1=921d=l950;9a5g1=83>1879t$0`g>4d03`;io7>5;h54>5<5<5290;w)?md;c5?l?42900c<6i:188yg7f13:1?7>50z&2fa<292c287>5;h;6>5<53z\2ff=:9hk159521`:9=6=z{>=1<75dg=91l0q~?96;296~;6i10:4k521`;9=1=z{8<<6=4={<3bf??234;j57?7f:~w4g02909wS?n7:?2f2<6i>1vbb9~w3c=838pR;k4=0`4>3c<,8hj6:84n0`:>4=z{>=1<7=0(291vqoj=:187>4<3sS;i47?t6;Y1=<6s>0v(|f:8n6<;7;%121?57=2.85<5<53;294~"6jm0>=6g64;29?l?22900c<6i:188yv7f?3:1>vP>a69>5dd=91l0q~?mc;297~X6jj16=lo5959>5d>=1:1v:950;0xZ21<58kj64;4}r4f>5<5sW10;6?u21``9=1=:9hk1=5h4}r35=?6=:r7:m54>8g9>5d?=1=1v<8n:18187fj33>70?n9;3;b>{z{8k<6=4={_3b3>;6j>0:m:5rs0``>5<5sW;io63>b682ff=z{?o1<7281v:950;0xZ21<58h<6:94$0`b>205<3280?wW?m8;3x2?{]=10:w:4r$0`g>f27)=?e;4g?!56:3;io6*<1082e2=i;;?1>6`<6582?xo6jj0;66g87;29 4??2><0b<78:198m3c=83.:55486:l2=2<632e:m:4?::`2f2<72=0?6:u+1cf95g1l0;66a>a683>>d6ik0;6>4?:1y'5gb=90:0e4:50;9j=0<722e:4k4?::a5dg=8391<7>t$0`g>071<75f9483>>i60o0;66sm1`:94?4=83:p(29086=4?{%3a`?363`3?6=44i8794?=h91l1<75rs0c4>5<5sW;j;63>ac82;6ih02863>a98:7>{t?>0;6?uQ769>5dg=1<1v;k50;0xZ3c<58k264;4}r35f?6=:r7:mo464:?2ed<60o1v<8l:18187f03;3j63>a88:0>{t9?n1<7<3<58k26<6i;|p5d1=838pR{t?>0;6?uQ769>5g1=?>1/=oo5779m5g?=82wvnil50;3:>=<61rP:n54={287f?{]=109w94;9;'5gb=kl1G=n<51zJ041=zD8i865ua33g950><,:;>6>>:;%13a?0c3-9:>7?mc:&054<6i>1e??;52:l0215$0;;>229682?>o0:3:1(<77:668j4?02;10e:?50;&2==<0<2d:5:4<;:k44?6=,8336::4n0;4>1=99840>h61>0>76g72;29 4??2>>0b<78:798m=7=83.:55484:l2=2<032c3<7>5$0;;>22968:?>o0l3:1(<77:668j4?02h10e:l50;&2==<0<2d:5:4m;:k4e?6=,8336::4n0;4>f=31<7*>99840>h61>0o76g87;29 4??2>>0b<78:d98m3c=83.:55484:l2=24?:%3:54;390~\6j10:w;4rZ4:95~1=u-;ih7m<;M3`6?7|@::?7pB>c285!56=39;96*<0d85`>"49;0:nn5+30395d16?5a37695>{n9ki1<75f7683>!7>03==7c?67;28?l0b290/=465779m5<1=921d=l950;9a5g1=83>1879t$0`g>4d03`;io7>5;h54>5<5<5290;w)?md;c5?l?42900c<6i:188yg7f13:1?7>50z&2fa<292c287>5;h;6>5<53z\2ff=:9hk159521`:9=6=z{>=1<75dg=91l0q~?9f;296~;6i10:4k521`;9=1=z{8=;6=4={<3bf??234;j57?7f:~w4g02909wS?n7:?2f2<6i>1vbb9~w3c=838pR;k4=0`4>3c<,8hj6:84n0`:>4=z{>=1<7=0(291vqoo;:187>4<3sS;i47?t6;Y1=<6s>0v(6>>:;%13a?0c3-9:>7?mc:&054<6i>1e??;52:l021<63tc:nn4?::k43?6=,8336:84n0;4>5=99842>h61>0:76a>a683>>d6j>0;694;:6y'5gb=9k=0e5}#9kn1=4>4i8694?=n1<0;66a>8g83>>{e9hk1<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::a5d>=8381<7>t$0`g>d0;2wx;:4?:3y]32=:9hk1585rs7g94?4|V?o01v3>ac8:0>;6ih0:4k5rs051>5<5s4;j47?7f:?2e<<><2wx=:=50;0x94ge20?01{t>l0;6?uQ6d9>5g1=>l1/=oo5779m5g?=92wx;:4?:3y]32=:9k=1;:5+1cc933=i9k31<6srb`194?2=93>pVx\203;p;7s+1cf9g4=K9j81=vF<059~H4e42?q/?<;53178 66b2?n0(>?=:0``?!5693;j;6`<2481?k51<3;0qd?mc;29?l10290/=465779m5<1=821b:h4?:%3:54;693~"6jm0:n:5f1ca94?=n?>0;66g9e;29?j7f?3:17o?nb;297?6=8r.:ni4>919j=1<722c297>5;n3;b?6=3th:ml4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xd6i10;6?4?:1y'5gb=i?1b5>4?::m28g83>>{t9h=1<77783>7}:9hh158521`;95=`4dd3ty=i7>52z\5a>;6j>0=i6*>b`842>h6j00:7p}87;296~X0?27:n:487:&2fd<0>2d:n44?;|ae7<72=0:69uU1c:95~0=uS?36{I130>{K9j91:v*<148040=#;9o1:i5+30095ge<,:;:65<=1<7*>99842>h61>0;76g9e;29 4??2><0b<78:098k4g02900n1<0s-;ih7?m7:k2ff<722c<;7>5;h4f>5<5<4290;w)?md;3:4>o><3:17d7::188k4>a2900qo?na;297?6=8r.:ni4:1:k:0?6=3`3>6=44o0:e>5<52;294~"6jm0j:6g63;29?j7?n3:17pl>a883>6<729q/=oj5509j=1<722c297>5;n3;b?6=3ty:m:4?:3y]5d1<58ki6<6i;|q2ff<72:qU=om4=0cb><2<58k364=4}r54>5<5sW=<70?na;;6?xu1m3:1>vP9e:?2e<<>=2wx=:950;0x94ge20>0116=o951`58yv7ek3:1>vP>bb9>5g1=9ki0q~8j:181[0b34;i;78j;%3ae?113g;i57?4}r54>5<5sW=<70?m7;54?!7ei3==7c?m9;28yxdf93:187?54zX2f=<6s?0vV8651z59y!7el3hm7A?l2;3xL6633tF:o>49{%121?57=2.84;h4f>5<#9021;;5a18595>=h9h=1<75m1c594?2=<3=p(t$0`g>4?73`3?6=44i8794?=h91l1<75rb0cb>5<4290;w)?md;72?l?32900e4;50;9l5=`=831vn5<7s-;ih7o9;h;0>5<be865>o><3:17d7::188k4>a2900q~?n7;296~X6i>16=ll519d8yv7ek3:1?vP>bb9>5dg=1=16=l65929~w21=838pR:94=0cb><37p}>7`83>7}:9hh159521`c95=`52z?2e=<60o16=l75959~w41d2909w0?nb;;6?87f13;3j6srs0c4>5<5sW;j;63>b682e2=z{8hh6=4={_3ag>;6j>0:nn5rs7g94?4|V?o01<0b21<,8hj6:84n0`:>5=zuk3=6=4;:087_7e03;p:7sU598224}O;9>0qA?l3;4x 6722::>7)=?e;4g?!56:3;io6*<1082e2=i;;?1>6`<6582?xo6jj0;66g87;29 4??2><0b<78:198m3c=83.:55486:l2=2<632e:m:4?::`2f2<72=0?6:u+1cf95g1l0;66a>a683>>d6ik0;6>4?:1y'5gb=90:0e4:50;9j=0<722e:4k4?::a5dg=8391<7>t$0`g>071<75f9483>>i60o0;66sm1`:94?4=83:p(29086=4?{%3a`?363`3?6=44i8794?=h91l1<75rs0c4>5<5sW;j;63>ac82;6ih02863>a98:7>{t?>0;6?uQ769>5dg=1<1v;k50;0xZ3c<58k264;4}r34`?6=:r7:mo464:?2ed<60o1v<9j:18187f03;3j63>a88:0>{t9>l1<7<3<58k26<6i;|p5d1=838pR{t?>0;6?uQ769>5g1=?>1/=oo5779m5g?=82wvn4;50;695?2|R8h363}#;8?1?=;4$22f>3b<,:;9684=;o150?75;h54>5<#9021;;5a18594>=n>l0;6)?68;55?k7>?3;07b?n7;29?g7e?3:187:57z&2fa<6j>1b=om50;9j32<722c=i7>5;n3b3?6=3k;jn7>53;294~"6jm0:5=5f9583>>o>=3:17b?7f;29?xd6ih0;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|`2e=<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{e9h31<7=50;2x 4dc2<;0e4:50;9j=0<722e:4k4?::p5d1=838pR52z\43>;6ih0296s|6d83>7}Y>l16=l75949~w4>72909w0?nb;;7?87fi3;3j6s|19394?4|58k36<6i;<3b=??33ty:4?4?:3y>5dd=1<16=l7519d8yxu6i>0;6?uQ1`5894d028k<7p}>bb83>7}Y9ki01vP9e:?2f2<1m2.:nl486:l2f<<63ty<;7>52z\43>;6j>0<;6*>b`842>h6j00;7psm9583>1<62=qQ=o651z49y_3?28q<6p*>be8ag>J6k;0:wE=?4:O5f5=>r.8=84<049'75c=>m1/?<<51ca8 67628k<7c==5;08j603281ve5$0;;>205<bb83>>o0?3:17d8j:188k4g02900n5<7s-;ih7?60:k:0?6=3`3>6=44o0:e>5<53;294~"6jm0>=6g64;29?l?22900c<6i:188yg7f03:1>7>50z&2fa2c2?7>5;n3;b?6=3th:m44?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xu6i>0;6?uQ1`5894ge282m7p}>bb83>6}Y9ki01a88:1>{t9191<7<2<58kj6<6i;|q2<1<72;q6=l6519d894g>20>0q~?75;296~;6ik02963>a88252z\2e2=:9k=1=l94}r3ag?6=:rT:nn521c595ge4}|q44?6=:rT<<63n2;54?!7ei3=?7c?m9;68yv7ek3:15vP>bb9>e0<6jj16m94>bb9>e6<6jj16m?4>bb9>e4<6jj165;4>bb9>=0<6jj16594>bb9~w24=838pR:<4=84932=#9kk1;95a1c;96>{t090;6?uQ819>=1<1m2.:nl484:l2f<52z\47>;>=3=<7)?ma;57?k7e13;0q~?nf;296~X6io16m>4>a69'5gg=9k?0bb49m5g?=82wx=lm50;0xZ4gd34k>6vP>b59>=0<6i>1/=oo51c78j4d>281v:o50;0xZ2g<5h81:h5+1cc931=i9k31o6s|8083>7}Y0816m8487:&2fd<0<2d:n448;|q4a?6=:rTvP>b29>=3<6i>1/=oo51c78j4d>2;1v5<50;0xZ=4<5h>1;:5+1cc931=i9k31:6s|1`f94?4|V8ko70o;:0c4?!7ei3;i96`>b885?xu093:1>vP81:?b5?103-;im79;;o3a=?552z\2f4=:i;0:m:5+1cc95g3=01l:56d9'5gg=?=1e=o75e:p30<72;qU;85295843>"6jh0<86`>b883?xu013:1>vP89:?b7?0b3-;im79;;o3a=?b7>52z\2f7=:i80:m:5+1cc95g35rs6`94?4|V>h01l?56d9'5gg=?=1e=o75b:p2c<72;qU:k52a2843>"6jh0<86`>b886?xu0l3:1>vP8d:?:2?0b3-;im79;;o3a=?g53;294~"6jm0>;6g63;29?l>>2900c<6i:188yg?a29086=4?{%3a`?303`386=44i9;94?=h91l1<75rb8g94?5=83:p(1}]9k21=v85}[7;>4}02t.:ni4m0:N2g7<6sA9;86sC1b19<~h4:l0n96*<148040=#;9o1:i5+30095ge<,:;:69683?>o1m3:1(<77:648j4?02810c5<50z&2fa<292c287>5;h;6>5<be8b2>o>;3:17b?7f;29?xd6i00;6>4?:1y'5gb==81b594?::k:1?6=3f;3j7>5;|q2e2<72;qU=l94=0ca>4>a3ty:nn4?:2y]5ge<58kj64:4=0c;><57p}9e;296~X1m27:m4465:p5=0=838p18683>7}:9h21=5h4=0c:><252z?2eg<>=27:m44>8g9~yv7f?3:1>vP>a69>5g1=9h=0q~?mc;296~X6jj16=o951ca8yv0b2909wS8j;<3a3?0b3-;im799;o3a=?744=j3;>wW?m8;0x06<3i3wQ954={5490=4}O;9>0qA?l3;`xj64b28?j7)=>5;0f7>"48l0==6*<1182=d=#;9i19k5a31:94>"48m0=<6`<0883?!57i3:0b>>9:19'77b==h1ve8h50;9j25<722c=o7>5$0;;>3d9682?>o113:1(<77:7`8j4?02;10e;650;&2==<1j2d:5:4<;:k53?6=,8336;l4n0;4>1=9985f>h61>0>76g93;29 4??2?h0b<78:798m34=83.:5549b:l2=2<032e:m84?:%3:5}#9kn15?5`9183>>{e;k0;684?:1y'5gb=0j1b:<4?::k6f?6=3`?h6=44i4;94?=h90k1<75rb083>0<729q/=oj58b9j24<722c>n7>5;h7`>5<0<729q/=oj58b9j24<722c>n7>5;h7`>5<o7>5;h7:>5<5<2290;w)?md;:`?l062900e8l50;9j1f<722c>57>5;n3:e?6=3th8m7>55;294~"6jm03o6g91;29?l3e2900e8m50;9j1<<722e:5l4?::a05<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{e<;0;6?4?:1y'5gb=i?1b5>4?::m25}#9kn14n5f6083>>o2j3:17d;l:188m0?=831d=4o50;9~w0`=838pR8h4=529=6=z{?:1<7b`85f>h6j00=7p}92;296~X1:27:6;?4$0`b>3d5<5sW;2o63=:0;b?!7ei3;j86`>b885?xu61l0;6?uQ18g890<61h1/=oo51`68j4d>2<1v<7m:181[7>j27:6<7n;%3ae?7f<2d:n448;|q2e6<72;qU=l=4=2`95291v;650;0xZ3><5;?1:<5+1cc92g=i9k31?6s|1`294?4|V8k;70?=:0;b?!7ei3;j86`>b887?xu6i;0;6?uQ1`0896g=90k0(3dk1e=o754:p5d3=838pR4?f3-;im7?n4:l2f<<73ty:m<4?:3y]5d7<5;?1=4o4$0`b>4g33g;i57=4}r4:>5<5sW<270=n:738 4df2?h0b"6jh0=n6`>b886?xu60m0;64u21b39=5=:;k0>o63>:4a897<2k27>68m4=0091f=::<0>o630?<5<0>563>2;7:?8422<301>o5589>05<60o16?h4:9:p04<720q6?o4:b:?2>0d<5;0>n63::4`8944==k16>84:b:?0e?3e34>96<6i;<1f>0dg}]9k21>v?<:0;9y_3?2;q:97?8:|&2fa{I130>{K9j91hv`<2d8210=#;8?1>h=4$22f>37<,:;;6<7n;%13g?3a3g9;47>4$22g>3650;9j11<722c=97>5$0;;>329682?>o1:3:1(<77:768j4?02;10c<7j:18'5<>=90n0b<78:198k4?d290/=46518f8j4?02810c<7m:18'5<>=90n0b<78:398f0<72<0;6=u+1cf980;66g:b;29?l3d2900e8750;9l55}#9kn14n5f6083>>o2j3:17d;l:188m0?=831d=4o50;9~f4<72<0;6=u+1cf980;66g:b;29?l3d2900e8750;9l55}#9kn1m;5f9283>>i60o0;66sm1083>7<729q/=oj5a79j=6<722e:4k4?::p1c<72;qU9k52f;;0?xu183:1>vP90:?25??43ty>87>54z\60>;220e81/=oo5659m5g?=92wx:?4?:3y]27=:93<:7)?ma;47?k7e1380q~?6c;296~X61j16>7?6a:&2fd<61m1e=o751:p552z\2=g=:93;2m6*>b`82=a=i9k31>6s|6483>7}Y><16978>;%3ae?033g;i57>4}r33>5<3s4?19o522;7a?87==k16=<4>8g9~w`<72=q697;6;<091<=:93?270h519d8yxd4:?0;6o49:eyY5g>=:r8=6?h5}[7;>7}5038h6p*>be8bf>J6k;0:wE=?4:O5f5=lrd8>h4>559'743=:l90(>>j:738 677283j7)=?c;7e?k5703:0(>>k:728j66>291/?=o5559m750=92.85<>o1?3:1(<77:748j4?02910e;;50;&2==<1>2d:5:4>;:k57?6=,8336;84n0;4>7=99852>h61>0876a>a183>!7>03;2j6`>9683?>i61l0;6)?68;3:b>h61>0:76a>9b83>!7>03;2j6`>9681?>i61k0;6)?68;3:b>h61>0876l>2;291?6=8r.:ni47c:k55?6=3`?i6=44i4a94?=n=00;66a>9`83>>{e=3:197>50z&2fa5;h7a>5<>i61h0;66sm1;291?6=8r.:ni47c:k55?6=3`?i6=44i4a94?=n=00;66a>9`83>>{e:3:197>50z&2fa5;h7a>5<>i61h0;66sm1d83>7<729q/=oj5a79j=6<722e:4k4?::a65<72;0;6=u+1cf9e3=n1:0;66a>8g83>>{t=o0;6?uQ5g9>5`<>;2wx:=4?:3y]25=::902?6s|5583>0}Y==16=?4:c:?6>0e<580>o63=:4a8yv042909wS8<;<0924=#9kk1:;5a1c;96>{t>;0;6?uQ639>5?063-;im789;o3a=?552z\2=f=::3;2m6*>b`82=c=i9k31>6s|18g94?4|V83n70;518c8 4df283m7c?m9;38yv7>j3:1>vP>9c9>5?7>i2.:nl4>9g9m5g?=;2wx:84?:3y]20=:=3<:7)?ma;45?k7e13;0q~88:181[0034;96;?4$0`b>305<5sW;j<63>2;3:e>"6jh0:5k5a1c;94>{t9o0;68u21386f>;220d<5;:1=5h4}r3g>5<2s4;96874=486=>;62<301?4:9:?2a?7?n2wvn>34343-9:979`9'75e==o1e?=650:&04a<182d8<44?;%13e?6>o183:17d88:18'5<>=>?1e=4950:9j20<72-;24789;o3:3?7<3`<86=4+18:923=i90=1>65f6383>!7>03<=7c?67;18?j7f83:1(<77:0;e?k7>?3:07b?6e;29 4??283m7c?67;38?j7>k3:1(<77:0;e?k7>?3807b?6b;29 4??283m7c?67;18?g75290>6=4?{%3a`?>a3`<:6=44i4`94?=n9031<75f5883>>i61h0;66sm5;291?6=8r.:ni47f:k55?6=3`?i6=44i0;:>5<5;h7:>5<57>5;n3:e?6=3th9>7>52;294~"6jm0j:6g63;29?j7?n3:17pl=4;296?6=8r.:ni4n6:k:7?6=3f;3j7>5;|q6b?6=:rT>j63=2;;0?xu2>3:19vP:6:?26?7>127>6<76;<395"6jh0=:6`>b881?xu1:3:1>vP92:?2>37<,8hj6;84n0`:>6=z{83h6=4={_3:g>;5283j7)?ma;3:b>h6j0097p}>9d83>7}Y90o0184>9`9'5gg=90l0b4?f3-;im7?6f:l2f<<43ty=97>52z\51>;22?;0(281v;950;0xZ31<5881:<5+1cc923=i9k31<6s|1`294?4|V8k;70?=:0;b?!7ei3;2j6`>b883?xu5;3:19v3>2;7a?83==k16=7;m;<091g=::=0:4k5rs3394?3|588194525;7:?87==016>7;6;<01>4>a3twi>hk50;794?6|,8ho65m4i7394?=n=k0;66g:c;29?l3>2900c<7n:188yg4bl3:197>50z&2fa5;h7a>5<>i61h0;66sm33194?2=:3?p(7c43-9;i78>;%124?7>i2.84n22:>4=#;;n19l5ri4d94?=n>80;66g>bc83>>i61h0;66l>cb83>6<52=q/=oj51ba8m4de2900e;?50;9l5f?=831i=nl50;194?6|,8ho68?4i8694?=n1<0;66a>8g83>>{e9jk1<7<50;2x 4dc2h<0e4=50;9l5=`=831v8g9~w4de2909wS?mb:?2gd<>;2wx:<4?:3y]24=:9jh1585rs0::>5<5s4;hn77;;<3`e?7?n2wvn<4?:283>5}#9kn14l5f6083>>o213:17b?6a;29?xu2n3:1>vP:f:?2>0?9`83>7}Y90k01<4>9`9~w4de2909wS?mb:?2gf<6jk1v:738yxd4:;0;694?:1y'5gb=0k1b:<4?::k6g?6=3`?26=44o0;b>5<5a;69e~\6j109w?852g8~^0>=:r836?m5}%3a`?gb3E;h>7?tH227?xJ6k:02wc==e;;`?!56=38n?6*<0d855>"4990:5l5+31a91c=i;921=6*<0e83?k5713;0(>6=4+18:923=i90=1=65f6283>!7>03<=7c?67;08?l05290/=465679m5<1=;21d=l>50;&2==<61o1e=4950:9l59g9m5<1=921d=4m50;&2==<61o1e=4952:9l59g9m5<1=;21i=?4?:583>5}#9kn14o5f6083>>o2k3:17d;6:188k4?f2900qo;50;694?6|,8ho65l4i7394?=n=j0;66g:9;29?j7>i3:17pl>:187>5<7s-;ih76m;h42>5<>i61h0;66sm2;290?6=8r.:ni47b:k55?6=3`?h6=44i4;94?=h90k1<75rs4d94?3|V1?3>34;194522;7:?xu2<3:19vP:4:?26?3d34?19n521;7`?84==j1v;=50;0xZ35<5;0==6*>b`852>h6j0097p}92;296~X1:27:6;?4$0`b>305rs0;`>5<5sW;2o63=:0;b?!7ei3;2j6`>b881?xu61l0;6?uQ18g890<61h1/=oo518d8j4d>281v<7m:181[7>j27:6<7n;%3ae?7>n2d:n44<;|q51?6=:rT=963::738 4df2?<0b{t9h:1<7h6j00;7psm34394?3=83:p(5<<7>55;294~"6jm03o6g91;29?l3e2900e8m50;9j1<<722e:5l4?::a71`=83?1<7>t$0`g>=e>o2k3:17d;6:188k4?f2900qo=;e;291?6=8r.:ni47c:k55?6=3`?i6=44i4a94?=n=00;66a>9`83>>{e;=n1<7;50;2x 4dc21i0e;?50;9j1g<722c>o7>5;h7:>5<be8;g>o193:17d;m:188m0e=831b944?::m2=d<722wi?9l50;794?6|,8ho65m4i7394?=n=k0;66g:c;29?l3>2900c<7n:188yg53i3:197>50z&2fa5;h7a>5<>i61h0;66sm2gg94?2=83:p(1?78t$0`g>d`h4810:7)=?d;28j66>281/??j55`9~m0`=831b:<4?::k61?6=3f;2m7>5;c3`b?6=;3:1be865>o><3:17d7::188k4>a2900qo?lc;297?4=cb9j10<722c==7>5;n3`=?6=3k;hn7>53;294~"6jm0>=6g64;29?l?22900c<6i:188yg7di3:1>7>50z&2fa2c2?7>5;n3;b?6=3ty:o44?:3y]5f?<58ii6<6i;|q61?6=:rT>963>cc8:0>{t>80;6?uQ609>5fg=1:1v<6n:18187dj33>70?la;3;b>{zj80;684?:1y'5gb=0m1b:<4?::k6g?6=3`;257>5;h7:>5<5<5sW?m70?5589~w37=83>pR;?4=0ae><3<58ih6;?4=0855>{t90k1<75fe=9j301<4:c:p5fc=838p10<729q/=oj58b9j24<722c>n7>5;h7`>5<x\2038p>44=d;'5gb=i11G=n<51zJ041=zD8i865ua33g9e5=#;8?1>nm4$22e>=5<,:<86?5+30395d1<,:<96<5+3369737<,:8o68o4}h:;>5<#90214:5a18594>=n0?0;6)?68;:4?k7>?3;07d6::18'5<>=0>1e=4952:9j<1<72-;24768;o3:3?5<3f;i=7>5$0;;>4d73g;2;7>4;n3bb?6=,83365$0;;>4d73g;2;7<4;n3bg?6=,833652;294~"6jm0j:6g63;29?j7?n3:17pl>cg83>6<729q/=oj5509j=1<722c297>5;n3;b?6=3th:oi4?:283>5}#9kn19<5f9583>>o>=3:17b?7f;29?xdb83:1?7>50zX6?>:0c4?k55=380b>8;:09~m3c=83.:55486:l2=2<632c<;7>5$0;;>205<be8023=K9j919v*<148023=#;9o1:i5+30395d16?5a37695>{n>l0;6)?68;55?k7>?3;07d98:18'5<>=??1e=4950:9l5d1=831vnik50;194?6|R<21=v95}%3a`?51>2F:o>4:{%121?51>2.81e??;52:l021<63tc=i7>5$0;;>209683?>i6i>0;66s|8783>6}Y0?16=nh5959>`c<0?2.:nl477:l2f<<63ty:h94?:3y>5f`=91l01h>56d9'5gg=9m?0bb19m5g?=:2wx=i<50;1x94ea20?01334;o:77<;<3``??234nn6;k4$0`b>=15rs0`2>5<5sW;i=63j0;3b3>"6jh0:n=5a1c;94>{t010;6?uQ899>a5<0?2.:nl477:l2f<<73ty397>53z\;1>;6km02863ke;54?!7ei32<7c?m9;08yv7fn3:1>vP>ag9>`c<6i>1/=oo51c28j4d>281v8g9'5gg=9k:0b7>50z&2fa2c2?7>5;n3;b?6=3th9j?4?:383>5}#9kn1m;5f9283>>i60o0;66sm9983>6<729q/=oj5569j=6<722c357>5;n3;b?6=3th2o7>53;294~"6jm0>;6g63;29?l>>2900c<6i:188yg?>29086=4?{%3a`?303`386=44i9;94?=h91l1<75rb8594?5=83:p(5}#9kn19:5f9283>>o?13:17b?7f;29?xd>l3:1?7>50z&2fa<2?2c2?7>5;h::>5<5<4290;w)?md;74?l?42900e5750;9l5=`=831v?j7:185[4c027om78j;2d<5m81:h52dc85a>;>?3;3j6*>b`81`c=i9k31;6s|2e;94?0|V;n270jn:6589a>=?m16h>49e:?gf?1034336<6i;%3ae?4cn2d:n449;|q1`d<72?qU>io4=ec93<=:l1030147519d8 4df2;nm7c?m9;78yv4cj3:19vP=dc9>`=2g<50k1=5h4$0`b>7ba3g;i57:4}r0gg?6==rT9hn52d884f>;c>3ik4=e;93`=:l>0=i63kb;5f?8?c282m7)?ma;0gb>h6j00:7p}=e183>1}Y:l:01i75819>`g6=6=:m>01?;;:3f7?87a<38o863<158:7>{t:m81<7=}Y:l;01?6?:3g2?840k38n=63<028:2>;5m?0=<63<27854>;4:j0=<63=f38:7>{t:l>1<7=0q~"6jh09=?5a1c;93>{t:9n1<77753g;i57;4}r3;a?6=:rT:4h5230;95=c52z\1af=:lj0=i6s|21g94?4|5mh1=o?4=271>31<,8hj6??=;o3a=?252z\07c=:i90:4k5rs263>5<5sW9?<63<0082=d=z{;ln6=4={<5<5;ln6<7n;|q2bc<72;q6h54>ag9>77e=><1/=oo52128j4d>281v?km:18784el38nn63=878:7>;5mk0:5l52d5843>{t;<=1<7=t=0ge>63034ni6:?4=271>4g63-;im7=:a:l2f<<43ty8>?4?:4y>64?=;;80160>=:jn01>?m:86897eb282m7p}<5b83>7}:;<253z?1fa<5mh16hl48d:?1a3<61l1/=oo52d;8j4d>291v><<:18;8461399?63>e08:0>;6l102863>d`8:0>;6lj02863>dg8:0>;5kl02963<2282=d=z{;n:6=4:{<0`0?4c927n>796;<0g5?7>i27o?798;2152z?g=?7fn278>;495:&2fd<58<1e=o751:p745=83;=w0=90;7`?84bj3?h704;3;b>;5m?0>863<27860>;4:j0>:63=ed86g>;5mm0>o63<2386g>;49j0>863<5086g>;4=90>o63<4g86g>;4o63<4e86g>;4o63<4c86g>;4o63=fd82=<=:;9;1985rs0dg>5<5s4n366fc=1=16??=5609>70c=90k0q~;5l90:5l52d3843>{t:oi1<74>a349?m78>;|q025<72=q6>o85372897>?20901>8?:0;b?8b22>=0q~=<5;297~X4;<16>o>53278973d2:9>7)?ma;10<>h6j0087p}<3183>3}Y;::01?mm:213?841k398<63=598075=:l10=i63<2b82=g=#9kk1?>=4n0`:>6=z{;226=4={4g034n:6;k4$0`b>7>f3g;i57?4}r10f?6=:rT8?o52262976d<,8hj6>=k;o3a=?452z?gf?7e;2789?499:&2fd<59;1e=o752:p6a3=83?pR?j:;<0194=d49>676=:m?01??m:868 4df2;n=7c?m9;38yv5413:1?vP<389>6<>=;:301?87:21:?!7ei39846`>b883?xu4:>0;69u22c29771<5;?h6><8;3c<5:8=6<7m;%3ae?55i2d:n44<;|q071<72:4=3`5>653348<47=<4:?g=83>p1?o7:20;?8418399463k9;54?855>3;2o6*>b`806d=i9k31>6s|32g94?4|V:9n70<88;10a>"6jh08?i5a1c;94>{t:9l1<77753g;i57=4}r0;`?6=?r794h4>8g9>`2<6jj16h;4>bb9>`0<6jj16h94>bb9>`6<6jj16h?4>bb9~w665290:=v3=cc8047=::j>1?=<4=3`g>665348i:7=?2:?1f5<48;16>l65310897g72::970<68;136>;48:0:4k529d8;=>;>0322707l:9;89>343o6574=8`9<<=z{;oo6=4;{<0a4?4bl279;n4=ee9>`3<0?279ii4>9`9~w7cb290?w0;4=m02963k7;54?84bm3;2m6s|1g394?4|58l?6;<16a?3d3ty:4o4?:2y>5f7=1916?8h5609>=c<>;2wx=k;50;0x94`1282m70=:e;7a?xu4=?0;6>u21dd9700<5mh1;=5234095d6<,8hj6>;n;o3a=?252z?045<60o165k479:p74g=83op1>?m:0:e?855;3?m70==2;7:?856k3?m70=:1;7:?85283?270=;f;7:?853m3?270=;d;7:?853k3?270=;b;7:?853i3?270e183><}:9l;1=5h4=272>0d<5:?;68l4=26e>0d<5:>n68l4=26g>0d<5:>h68l4=26a>0d<5:>j68l4}r3f6?6=:r7:ik4>e39>6cc=>81v5<5s4ni62:l2f<<63ty:j54?:3y>6a7=>816h>4>a69~w671290;5m?0>j63<2786b>;4:j0>j63=f18:7>{t:191<74>a349>>78?;|q2b<<72;q6>hl5609>`1<6i>1v?j8:186[4c?279>54=d69>672=:m=01?7)?ma;0g2>h6j00;7p}<3783>6}Y;:<01?o7:215?8418398:6*>b`807==i9k31>6s|1gc94?4|5:<;6;?4=e795d152z?g2?7f?279ii491:p65g=838p1io51`d897c12??0({t;:;1<7;t^212?84d<398=63=718074=:l10<;63<2b82=f=#9kk1?>=4n0`:>7=z{;;j6=4m{<0`f?46i279o94=1`9>6gb=:8k01?l9:33b?84e838:m63=a9815d=::h:1>77f348:n7?7f:?g=?7ek27o47?mc:p642=838p1??::0:e?855:3<:7p}=1783>6}::<>1><84=33:>77134;nj7<>6:p64e=83ip1?mm:33`?84d<38:o63=be815f=::k<1>77d348j47<>c:?1e5<59j16>46520a897>520901?33?70=:f;7a?xu4;h0;6?uQ32c8970d2:9j7)?ma;10`>h6j0087p}=3883>7}:::n1>>74=0ge>75>3ty9><4?:`y>6fd=:;;01?m;:302?84el389=63=b78164=::k:1>??4=3c;>746348j<7<=1:?1==<5:816>5k5929>672=:;;0q~=;2;297~;5k=088?5235a924=:;=h1=4o4$0`b>62?3g;i5784}r00a?6=:r798<4=3d9>5``=::o0q~<=5;29=~;5kk09>8522b69673<5;ho6?<:;<0a4?45=279m54=249>6d6=:;?01?77:306?84>833870<=8;011>{t;:81<7;t^211?84el398>63=758077=:l10<563<2b82=`=#9kk1?>=4n0`:>4=z{;>96=4={<072?43:27:ik4=439~w6322908w0?jf;161>;cj3"6jh089l5a1c;91>{t;;31<7:t=3c3>64>348=87==9:?g=?1>3499:7?6e:&2fd<4:h1e=o751:p611=838p1?:n:364?87bn38?;6s|23g94?4|5;986?5h50;0x97?7282m70jn:0``?xu5>65226894ca2;9?7p}=8483>7}::1<1=5h4=ea95ge53z?1gg<4<816?9l5609>71g=90k0(=09:<523129e4=z{;>m6=4={<064?7?n27:ik4=4g9~w7`02909w0;>j3387)?ma;0ee>h6j0087p}=0783>7}:l00:n<52334922=#9kk1>=;4n0`:>5=z{;<>6=4={<057}:lh0:mn522d4927=#9kk1>=74n0`:>7=z{:?36=4<{<3fb?52027on79=;<166?7f:2.:nl4<5`9m5g?=:2wx>;750;0x970d2;<27064=4}r11f?6=63>=;;h01i757`9>770=9h:0("6jh09jl5a1c;90>{t:?n1<770c348mh77:;|q11<<72;q6>8m524;8966720?0q~"6jh09jl5a1c;96>{t:oh1<77`e343n64=4$0`b>7`f3g;i57>4}r045?6=:r79;94=709>6cb=1?1v?;k:181841838>h63<018:2>{t9oo1<77673g;i57<4}r177?6=;r79ni4<429>71b=>816?9m518c8 4df2:>37c?m9;78yv7cj3:1>v3>db825<5s48<47<85:?1ba67a348847=>f:?176<49o16><;5979>74e=90o01i>5879'5gg=;;:0bw0k=:7g8977220>01:878967d283i70j?:968 4df2:8;7c?m9;18yv52j3:1?v3>eg801g=:lk0<963<5382e0=#9kk1?8o4n0`:>5=z{;=26=4={<04g?40127:j;465:p6`>=839p1?m;:3g;?8bf2>h01?k9:0;`?!7ei38n56`>b882?xu5n00;6?u22`296c?<50n15>5+1cc96cg5<5s4;om7?7f:?05f<1;2.:nl4>de9m5g?=:2wx>:j50;0x97>320901?6?:35g?xu5880;6?u2d982f4=:;;i1::5+1cc96565<5s4nj6i4?:3y>5a`=1<16h=4>b09'5gg=:;i0b16>;:53258 4df2:937c?m9;38yv5593:1nv3=518:2>;5<5225c9777<5;>=6><>;<075?559279?i4<209>66>=;;;01?=<:202?846=3k:70=>c;3b4>;c83237)?ma;114>h6j00;7p}<1d83>g}::<:1595225g974c<5;>j6>?j;<072?56m2798<4<1d9>66b=;8o01?=7:23f?844;39:i63=148:1>;49j0:5n52d18;1>"6jh08>=5a1c;96>{t9m=1<74>a349:o78=;%3ae?7cl2d:n44<;|q01<<72:q6=hh534;89ad=?:16?8<51`18 4df2:?j7c?m9;38yv52<3:1?v3>eg8011=:lk03>63<5382=f=#9kk1?8o4n0`:>3=z{;o<6=4<{<0`f?4b?27om79n;<0f2?7>j2.:nl4=e89m5g?=:2wx?9850;1x97g?2:>=70=:0;42?853n3;2m6*>b`800==i9k31>6s|23`94?4|58nh64;4=e295d`<,8hj6?52z?1fa<5n<1654463:&2fd<5nh1e=o755:p6=d=838p1?6l:0:e?8b628hh7p}>dd83>7}:9ml1=5h4=23`>31<,8hj652z?1==<4<016?8?518c8 4df2:>37c?m9;28yv4dn3:1>v3<1c8:1>;ck3;j;6s|34194?5|58om6>;<;=7<5:?96<7m;%3ae?52i2d:n448;|q000<72:q6>o>53578962a2?;01>:j:0;b?!7ei39?46`>b880?xu5:h0;6?u21ec9=0=:l90:mi5+1cc967e5<5s4n26=l;%3ae?54l2d:n44>;|q001<72:q6>o853568962b2?;01>:k:0;b?!7ei39?46`>b887?xu4<>0;6>u22`29711<5:?:6;?4=273>4?f3-;im7=;8:l2f<<63ty9j94?:3y>6f2=:o>01465929'5gg=:ok0bh6j00;7p}>8b83>7}:9j>1=4;4=27f>3752z?2`=<>=27o<7?nc:&2fd<5:j1e=o753:p6c5=838p1?mm:3d0?8?02090("6jh09<85a1c;96>{t:1=1<74>a34k;6574}r0;5?6=:r794?4>8g9>`g<6jj1v>;i:18084>039>j63<5e8:0>;4=o0:5l5rs3d2>5<0s49=<7;m;<0ff?3e348o=7;m;<0g4?3e348ni7;m;<0f`?3e348m>7?7f:p6``=833p1>8?:4;897ce2<301?j>:4;897b72<301>;i:4;897cb2<301?kk:4;8963b2<301?h?:0:e?x{zutJKOvlm8;geb522<:wKLOu?}ABSxFG \ No newline at end of file diff --git a/spi6502b.pnx b/spi6502b.pnx new file mode 100644 index 0000000..7d3f14a --- /dev/null +++ b/spi6502b.pnx @@ -0,0 +1,18 @@ + + + + + + +]> + diff --git a/spi6502b.prj b/spi6502b.prj new file mode 100644 index 0000000..dcf3158 --- /dev/null +++ b/spi6502b.prj @@ -0,0 +1 @@ +vhdl work SPI6502B1.1.vhd diff --git a/spi6502b.rpt b/spi6502b.rpt new file mode 100644 index 0000000..15dedb5 --- /dev/null +++ b/spi6502b.rpt @@ -0,0 +1,873 @@ + +cpldfit: version G.38 Xilinx Inc. + Fitter Report +Design Name: spi6502b Date: 5- 6-2017, 5:27PM +Device Used: XC9572XL-10-PC44 +Fitting Status: Successful + +**************************** Resource Summary **************************** + +Macrocells Product Terms Registers Pins Function Block +Used Used Used Used Inputs Used +56 /72 ( 78%) 247 /360 ( 69%) 43 /72 ( 60%) 32 /34 ( 94%) 127/216 ( 59%) + +PIN RESOURCES: + +Signal Type Required Mapped | Pin Type Used Remaining +------------------------------------|--------------------------------------- +Input : 16 16 | I/O : 26 2 +Output : 8 8 | GCK/IO : 3 0 +Bidirectional : 8 8 | GTS/IO : 2 0 +GCK : 0 0 | GSR/IO : 1 0 +GTS : 0 0 | +GSR : 0 0 | + ---- ---- + Total 32 32 + +MACROCELL RESOURCES: + +Total Macrocells Available 72 +Registered Macrocells 43 +Non-registered Macrocell driving I/O 10 + +GLOBAL RESOURCES: + +Global clock net(s) unused. +Global output enable net(s) unused. +Global set/reset net(s) unused. + +POWER DATA: + +There are 56 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +There are a total of 56 macrocells used (MC). + +End of Resource Summary + *************** Summary of Required Resources ****************** + +** LOGIC ** +Signal Total Signals Loc Pwr Slew Pin Pin Pin Reg Init +Name Pt Used Mode Rate # Type Use State +$OpTx$INV$22__$INT 3 5 FB3_4 STD (b) (b) +cpha 5 8 FB1_18 STD (b) (b) RESET +cpol 5 8 FB1_16 STD (b) (b) RESET +cpu_Nirq 1 1 FB3_9 STD FAST 14 I/O O +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST 5 10 FB3_18 STD (b) (b) +cpu_d<0> 5 10 FB1_5 STD FAST 2 I/O I/O +cpu_d<1> 5 10 FB1_6 STD FAST 3 I/O I/O +cpu_d<2> 5 10 FB1_8 STD FAST 4 I/O I/O +cpu_d<3> 4 9 FB1_15 STD FAST 8 I/O I/O +cpu_d<4> 5 10 FB1_17 STD FAST 9 I/O I/O +cpu_d<5> 6 11 FB3_2 STD FAST 11 I/O I/O +cpu_d<6> 5 10 FB3_5 STD FAST 12 I/O I/O +cpu_d<7> 5 10 FB3_8 STD FAST 13 I/O I/O +diag 1 3 FB4_14 STD FAST 29 I/O O +divisor<0> 5 8 FB1_14 STD 7 GCK/I/O I RESET +divisor<1> 5 8 FB1_13 STD (b) (b) RESET +divisor<2> 5 8 FB1_12 STD (b) (b) RESET +ece 5 8 FB1_11 STD 6 GCK/I/O I RESET +frx 5 8 FB1_10 STD (b) (b) RESET +ier 5 8 FB4_16 STD (b) (b) RESET +shiftcnt<0> 3 4 FB4_3 STD (b) (b) RESET +shiftcnt<1> 4 5 FB4_10 STD (b) (b) RESET +shiftcnt<2> 4 6 FB3_17 STD 22 I/O I RESET +shiftcnt<3> 4 7 FB3_16 STD 24 I/O I RESET +shiftdone 3 6 FB3_3 STD (b) (b) RESET +shifting2 2 3 FB3_1 STD (b) (b) RESET +slaveinten<0> 5 8 FB1_9 STD 5 GCK/I/O I RESET +slaveinten<1> 5 8 FB4_15 STD 33 I/O (b) RESET +slaveinten<2> 5 8 FB4_13 STD (b) (b) RESET +slaveinten<3> 5 8 FB4_12 STD (b) (b) RESET +spi_Nsel<0> 5 8 FB4_11 STD FAST 28 I/O O RESET +spi_Nsel<1> 5 8 FB4_8 STD FAST 27 I/O O RESET +spi_Nsel<2> 5 8 FB4_5 STD FAST 26 I/O O RESET +spi_Nsel<3> 5 8 FB4_2 STD FAST 25 I/O O RESET +spi_mosi 11 16 FB2_2 STD FAST 35 I/O O RESET +spi_sclk 6 7 FB4_17 STD FAST 34 I/O O RESET +spidatain<0> 7 12 FB4_18 STD (b) (b) RESET +spidatain<1> 4 5 FB3_15 STD 20 I/O I RESET +spidatain<2> 4 5 FB3_14 STD 19 I/O I RESET +spidatain<3> 4 5 FB3_13 STD (b) (b) RESET +spidatain<4> 4 5 FB3_12 STD (b) (b) RESET +spidatain<5> 4 5 FB3_11 STD 18 I/O I RESET +spidatain<6> 4 5 FB3_10 STD (b) (b) RESET +spidatain<7> 4 5 FB3_7 STD (b) (b) RESET +spidataout<0> 4 8 FB1_4 STD (b) (b) RESET +spidataout<1> 4 8 FB1_3 STD (b) (b) RESET +spidataout<2> 4 8 FB1_2 STD 1 I/O I RESET +spidataout<3> 4 8 FB1_1 STD (b) (b) RESET +spidataout<4> 4 8 FB4_9 STD (b) (b) RESET +spidataout<5> 4 8 FB4_7 STD (b) (b) RESET +spidataout<6> 4 8 FB4_6 STD (b) (b) RESET +spidataout<7> 4 8 FB4_4 STD (b) (b) RESET +start_shifting 4 8 FB3_6 STD (b) (b) RESET +start_shifting/start_shifting_RSTF__$INT 1 2 FB2_1 STD (b) (b) +tc 3 5 FB4_1 STD (b) (b) RESET +tmo 5 8 FB1_7 STD (b) (b) RESET + +** INPUTS ** +Signal Loc Pin Pin Pin +Name # Type Use +Ncs2 FB3_11 18 I/O I +cpu_Nphi2 FB1_9 5 GCK/I/O I +cpu_Nres FB3_14 19 I/O I +cpu_a<0> FB3_17 22 I/O I +cpu_a<1> FB3_16 24 I/O I +cpu_rnw FB1_14 7 GCK/I/O I +cs1 FB3_15 20 I/O I +extclk FB1_11 6 GCK/I/O I +spi_int<0> FB2_14 42 GTS/I/O I +spi_int<1> FB2_11 40 GTS/I/O I +spi_int<2> FB2_9 39 GSR/I/O I +spi_int<3> FB1_2 1 I/O I +spi_miso<0> FB2_17 44 I/O I +spi_miso<1> FB2_15 43 I/O I +spi_miso<2> FB2_8 38 I/O I +spi_miso<3> FB2_6 37 I/O I + +End of Resources + + *********************Function Block Resource Summary*********************** +Function # of FB Inputs Signals Total O/IO IO +Block Macrocells Used Used Pt Used Req Avail +FB1 18 35 35 85 0/5 9 +FB2 2 16 16 12 1/0 9 +FB3 18 38 38 70 1/3 9 +FB4 18 38 38 80 6/0 7 + ---- ----- ----- ----- + 56 247 8/8 34 + *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin +Name Pt Pt Pt Pt Mode # Type Use +spidataout<3> 4 0 0 1 FB1_1 STD (b) (b) +spidataout<2> 4 0 0 1 FB1_2 STD 1 I/O I +spidataout<1> 4 0 0 1 FB1_3 STD (b) (b) +spidataout<0> 4 0 0 1 FB1_4 STD (b) (b) +cpu_d<0> 5 0 0 0 FB1_5 STD 2 I/O I/O +cpu_d<1> 5 0 0 0 FB1_6 STD 3 I/O I/O +tmo 5 0 0 0 FB1_7 STD (b) (b) +cpu_d<2> 5 0 0 0 FB1_8 STD 4 I/O I/O +slaveinten<0> 5 0 0 0 FB1_9 STD 5 GCK/I/O I +frx 5 0 0 0 FB1_10 STD (b) (b) +ece 5 0 0 0 FB1_11 STD 6 GCK/I/O I +divisor<2> 5 0 0 0 FB1_12 STD (b) (b) +divisor<1> 5 0 0 0 FB1_13 STD (b) (b) +divisor<0> 5 0 0 0 FB1_14 STD 7 GCK/I/O I +cpu_d<3> 4 0 0 1 FB1_15 STD 8 I/O I/O +cpol 5 0 0 0 FB1_16 STD (b) (b) +cpu_d<4> 5 0 0 0 FB1_17 STD 9 I/O I/O +cpha 5 0 0 0 FB1_18 STD (b) (b) + +Signals Used by Logic in Function Block + 1: cpu_d<0>.PIN 13: cpu_rnw 25: spi_int<0> + 2: cpu_d<1>.PIN 14: cs1 26: spidatain<0> + 3: cpu_d<2>.PIN 15: divisor<0> 27: spidatain<1> + 4: cpu_d<3>.PIN 16: divisor<1> 28: spidatain<2> + 5: cpu_d<4>.PIN 17: divisor<2> 29: spidatain<3> + 6: Ncs2 18: ece 30: spidatain<4> + 7: cpha 19: frx 31: spidataout<0> + 8: cpol 20: slaveinten<0> 32: spidataout<1> + 9: cpu_Nphi2 21: spi_Nsel<0> 33: spidataout<2> + 10: cpu_Nres 22: spi_Nsel<1> 34: spidataout<3> + 11: cpu_a<0> 23: spi_Nsel<2> 35: tmo + 12: cpu_a<1> 24: spi_Nsel<3> + +Signal 1 2 3 4 Signals FB +Name 0----+----0----+----0----+----0----+----0 Used Inputs +spidataout<3> ...X.X...XXXXX...................X...... 8 8 +spidataout<2> ..X..X...XXXXX..................X....... 8 8 +spidataout<1> .X...X...XXXXX.................X........ 8 8 +spidataout<0> X....X...XXXXX................X......... 8 8 +cpu_d<0> .....XX.X.XXXXX.....X....X.............. 10 10 +cpu_d<1> .....X.XX.XXXX.X.....X....X............. 10 10 +tmo ...X.X...XXXXX....................X..... 8 8 +cpu_d<2> .....X..X.XXXX..XX....X....X............ 10 10 +slaveinten<0> ....XX...XXXXX.....X.................... 8 8 +frx ....XX...XXXXX....X..................... 8 8 +ece ..X..X...XXXXX...X...................... 8 8 +divisor<2> ..X..X...XXXXX..X....................... 8 8 +divisor<1> .X...X...XXXXX.X........................ 8 8 +divisor<0> X....X...XXXXXX......................... 8 8 +cpu_d<3> .....X..X.XXXX.........X....X.....X..... 9 9 +cpol .X...X.X.XXXXX.......................... 8 8 +cpu_d<4> .....X..X.XXXX....XX....X....X.......... 10 10 +cpha X....XX..XXXXX.......................... 8 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pwr Mode - Macrocell power mode +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X(@) - Signal used as input (wire-AND input) to the macrocell logic. + The number of Signals Used may exceed the number of FB Inputs Used due + to wire-ANDing in the switch matrix. + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 16/38 +Number of signals used by logic mapping into function block: 16 +Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin +Name Pt Pt Pt Pt Mode # Type Use +start_shifting/start_shifting_RSTF__$INT + 1 0 \/2 2 FB2_1 STD (b) (b) +spi_mosi 11 6<- 0 0 FB2_2 STD 35 I/O O +(unused) 0 0 /\4 1 FB2_3 (b) (b) +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 36 I/O +(unused) 0 0 0 5 FB2_6 37 I/O I +(unused) 0 0 0 5 FB2_7 (b) +(unused) 0 0 0 5 FB2_8 38 I/O I +(unused) 0 0 0 5 FB2_9 39 GSR/I/O I +(unused) 0 0 0 5 FB2_10 (b) +(unused) 0 0 0 5 FB2_11 40 GTS/I/O I +(unused) 0 0 0 5 FB2_12 (b) +(unused) 0 0 0 5 FB2_13 (b) +(unused) 0 0 0 5 FB2_14 42 GTS/I/O I +(unused) 0 0 0 5 FB2_15 43 I/O I +(unused) 0 0 0 5 FB2_16 (b) +(unused) 0 0 0 5 FB2_17 44 I/O I +(unused) 0 0 0 5 FB2_18 (b) + +Signals Used by Logic in Function Block + 1: $OpTx$INV$22__$INT + 7: shifting2 12: spidataout<4> + 2: cpu_Nres 8: spidataout<0> 13: spidataout<5> + 3: shiftcnt<1> 9: spidataout<1> 14: spidataout<6> + 4: shiftcnt<2> 10: spidataout<2> 15: spidataout<7> + 5: shiftcnt<3> 11: spidataout<3> 16: tmo + 6: shiftdone + +Signal 1 2 3 4 Signals FB +Name 0----+----0----+----0----+----0----+----0 Used Inputs +start_shifting/start_shifting_RSTF__$INT + .X...X.................................. 2 2 +spi_mosi XXXXXXXXXXXXXXXX........................ 16 16 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pwr Mode - Macrocell power mode +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X(@) - Signal used as input (wire-AND input) to the macrocell logic. + The number of Signals Used may exceed the number of FB Inputs Used due + to wire-ANDing in the switch matrix. + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin +Name Pt Pt Pt Pt Mode # Type Use +shifting2 2 0 \/1 2 FB3_1 STD (b) (b) +cpu_d<5> 6 1<- 0 0 FB3_2 STD 11 I/O I/O +shiftdone 3 0 0 2 FB3_3 STD (b) (b) +$OpTx$INV$22__$INT 3 0 0 2 FB3_4 STD (b) (b) +cpu_d<6> 5 0 0 0 FB3_5 STD 12 I/O I/O +start_shifting 4 0 0 1 FB3_6 STD (b) (b) +spidatain<7> 4 0 0 1 FB3_7 STD (b) (b) +cpu_d<7> 5 0 0 0 FB3_8 STD 13 I/O I/O +cpu_Nirq 1 0 0 4 FB3_9 STD 14 I/O O +spidatain<6> 4 0 0 1 FB3_10 STD (b) (b) +spidatain<5> 4 0 0 1 FB3_11 STD 18 I/O I +spidatain<4> 4 0 0 1 FB3_12 STD (b) (b) +spidatain<3> 4 0 0 1 FB3_13 STD (b) (b) +spidatain<2> 4 0 0 1 FB3_14 STD 19 I/O I +spidatain<1> 4 0 0 1 FB3_15 STD 20 I/O I +shiftcnt<3> 4 0 0 1 FB3_16 STD 24 I/O I +shiftcnt<2> 4 0 0 1 FB3_17 STD 22 I/O I +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + 5 0 0 0 FB3_18 STD (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$INV$22__$INT + 14: shiftcnt<0> 27: spi_int<3> + 2: Ncs2 15: shiftcnt<1> 28: spidatain<0> + 3: cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + 16: shiftcnt<2> 29: spidatain<1> + 4: cpu_Nphi2 17: shiftcnt<3> 30: spidatain<2> + 5: cpu_Nres 18: shiftdone 31: spidatain<3> + 6: cpu_a<0> 19: shifting2 32: spidatain<4> + 7: cpu_a<1> 20: slaveinten<0> 33: spidatain<5> + 8: cpu_rnw 21: slaveinten<1> 34: spidatain<6> + 9: cs1 22: slaveinten<2> 35: spidatain<7> + 10: ece 23: slaveinten<3> 36: start_shifting + 11: extclk 24: spi_int<0> 37: start_shifting/start_shifting_RSTF__$INT + 12: frx 25: spi_int<1> 38: tc + 13: ier 26: spi_int<2> + +Signal 1 2 3 4 Signals FB +Name 0----+----0----+----0----+----0----+----0 Used Inputs +shifting2 X................X.................X.... 3 3 +cpu_d<5> .X.X.XXXX.........X.X...X.......X..X.... 11 11 +shiftdone X...X........XXXX....................... 6 6 +$OpTx$INV$22__$INT ...X.....XX.......X................X.... 5 5 +cpu_d<6> .X.X.XXXX...X........X...X.......X...... 10 10 +start_shifting .X...XXXX..X.......................XX... 8 8 +spidatain<7> X...X........X....X..............X...... 5 5 +cpu_d<7> .X.X.XXXX.............X...X.......X..X.. 10 10 +cpu_Nirq ..X..................................... 1 1 +spidatain<6> X...X........X....X.............X....... 5 5 +spidatain<5> X...X........X....X............X........ 5 5 +spidatain<4> X...X........X....X...........X......... 5 5 +spidatain<3> X...X........X....X..........X.......... 5 5 +spidatain<2> X...X........X....X.........X........... 5 5 +spidatain<1> X...X........X....X........X............ 5 5 +shiftcnt<3> X...X........XXXX.X..................... 7 7 +shiftcnt<2> X...X........XXX..X..................... 6 6 +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST + ............X......XXXXXXXX..........X.. 10 10 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pwr Mode - Macrocell power mode +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X(@) - Signal used as input (wire-AND input) to the macrocell logic. + The number of Signals Used may exceed the number of FB Inputs Used due + to wire-ANDing in the switch matrix. + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pwr Pin Pin Pin +Name Pt Pt Pt Pt Mode # Type Use +tc 3 0 /\2 0 FB4_1 STD (b) (b) +spi_Nsel<3> 5 0 0 0 FB4_2 STD 25 I/O O +shiftcnt<0> 3 0 0 2 FB4_3 STD (b) (b) +spidataout<7> 4 0 0 1 FB4_4 STD (b) (b) +spi_Nsel<2> 5 0 0 0 FB4_5 STD 26 I/O O +spidataout<6> 4 0 0 1 FB4_6 STD (b) (b) +spidataout<5> 4 0 0 1 FB4_7 STD (b) (b) +spi_Nsel<1> 5 0 0 0 FB4_8 STD 27 I/O O +spidataout<4> 4 0 0 1 FB4_9 STD (b) (b) +shiftcnt<1> 4 0 0 1 FB4_10 STD (b) (b) +spi_Nsel<0> 5 0 0 0 FB4_11 STD 28 I/O O +slaveinten<3> 5 0 0 0 FB4_12 STD (b) (b) +slaveinten<2> 5 0 0 0 FB4_13 STD (b) (b) +diag 1 0 \/1 3 FB4_14 STD 29 I/O O +slaveinten<1> 5 1<- \/1 0 FB4_15 STD 33 I/O (b) +ier 5 1<- \/1 0 FB4_16 STD (b) (b) +spi_sclk 6 1<- 0 0 FB4_17 STD 34 I/O O +spidatain<0> 7 2<- 0 0 FB4_18 STD (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$INV$22__$INT + 14: cpu_a<0> 27: spi_Nsel<1> + 2: cpu_d<0>.PIN 15: cpu_a<1> 28: spi_Nsel<2> + 3: cpu_d<1>.PIN 16: cpu_rnw 29: spi_Nsel<3> + 4: cpu_d<2>.PIN 17: cs1 30: spi_miso<0> + 5: cpu_d<3>.PIN 18: ier 31: spi_miso<1> + 6: cpu_d<4>.PIN 19: shiftcnt<0> 32: spi_miso<2> + 7: cpu_d<5>.PIN 20: shiftcnt<1> 33: spi_miso<3> + 8: cpu_d<6>.PIN 21: shiftdone 34: spidataout<4> + 9: cpu_d<7>.PIN 22: shifting2 35: spidataout<5> + 10: Ncs2 23: slaveinten<1> 36: spidataout<6> + 11: cpha 24: slaveinten<2> 37: spidataout<7> + 12: cpol 25: slaveinten<3> 38: start_shifting + 13: cpu_Nres 26: spi_Nsel<0> + +Signal 1 2 3 4 Signals FB +Name 0----+----0----+----0----+----0----+----0 Used Inputs +tc .........X...XX.X...X................... 5 5 +spi_Nsel<3> ....X....X..XXXXX...........X........... 8 8 +shiftcnt<0> X...........X.....X..X.................. 4 4 +spidataout<7> ........XX..XXXXX...................X... 8 8 +spi_Nsel<2> ...X.....X..XXXXX..........X............ 8 8 +spidataout<6> .......X.X..XXXXX..................X.... 8 8 +spidataout<5> ......X..X..XXXXX.................X..... 8 8 +spi_Nsel<1> ..X......X..XXXXX.........X............. 8 8 +spidataout<4> .....X...X..XXXXX................X...... 8 8 +shiftcnt<1> X...........X.....XX.X.................. 5 5 +spi_Nsel<0> .X.......X..XXXXX........X.............. 8 8 +slaveinten<3> ........XX..XXXXX.......X............... 8 8 +slaveinten<2> .......X.X..XXXXX......X................ 8 8 +diag .....................X...X...........X.. 3 3 +slaveinten<1> ......X..X..XXXXX.....X................. 8 8 +ier .......X.X..XXXXXX...................... 8 8 +spi_sclk X.........XXX.....X.XX.................. 7 7 +spidatain<0> X...........X.....X..X...XXXXXXXX....... 12 12 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pwr Mode - Macrocell power mode +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X(@) - Signal used as input (wire-AND input) to the macrocell logic. + The number of Signals Used may exceed the number of FB Inputs Used due + to wire-ANDing in the switch matrix. + ;;-----------------------------------------------------------------;; +; Implemented Equations. + + +$OpTx$INV$22__$INT <= ((ece AND NOT extclk) + OR (NOT ece AND NOT cpu_Nphi2) + OR (NOT start_shifting AND NOT shifting2)); + + + +FTCPE_cpha: FTCPE port map (cpha,cpha_T,cpha_C,NOT cpu_Nres,'0',NOT cpu_rnw); +cpha_T <= ((cpha AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(0).PIN) + OR (NOT cpha AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(0).PIN)); +cpha_C <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_cpol: FTCPE port map (cpol,cpol_T,cpol_C,NOT cpu_Nres,'0',NOT cpu_rnw); +cpol_T <= ((cpol AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(1).PIN) + OR (NOT cpol AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(1).PIN)); +cpol_C <= NOT ((cs1 AND NOT Ncs2)); + + +cpu_Nirq_I <= '0'; +cpu_Nirq <= cpu_Nirq_I when cpu_Nirq_OE = '1' else 'Z'; +cpu_Nirq_OE <= cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST; + + +cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST <= ((ier AND tc) + OR (slaveinten(0) AND NOT spi_int(0)) + OR (slaveinten(1) AND NOT spi_int(1)) + OR (slaveinten(2) AND NOT spi_int(2)) + OR (slaveinten(3) AND NOT spi_int(3))); + + +diag <= (spi_Nsel(0) AND NOT start_shifting AND NOT shifting2); + +FTCPE_divisor0: FTCPE port map (divisor(0),divisor_T(0),divisor_C(0),NOT cpu_Nres,'0',NOT cpu_rnw); +divisor_T(0) <= ((divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(0).PIN) + OR (NOT divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(0).PIN)); +divisor_C(0) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_divisor1: FTCPE port map (divisor(1),divisor_T(1),divisor_C(1),NOT cpu_Nres,'0',NOT cpu_rnw); +divisor_T(1) <= ((divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(1).PIN) + OR (NOT divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(1).PIN)); +divisor_C(1) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_divisor2: FTCPE port map (divisor(2),divisor_T(2),divisor_C(2),NOT cpu_Nres,'0',NOT cpu_rnw); +divisor_T(2) <= ((divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND NOT cpu_d(2).PIN) + OR (NOT divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND cpu_d(2).PIN)); +divisor_C(2) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_ece: FTCPE port map (ece,ece_T,ece_C,NOT cpu_Nres,'0',NOT cpu_rnw); +ece_T <= ((ece AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(2).PIN) + OR (NOT ece AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(2).PIN)); +ece_C <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_frx: FTCPE port map (frx,frx_T,frx_C,NOT cpu_Nres,'0',NOT cpu_rnw); +frx_T <= ((frx AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(4).PIN) + OR (NOT frx AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(4).PIN)); +frx_C <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_ier: FTCPE port map (ier,ier_T,ier_C,NOT cpu_Nres,'0',NOT cpu_rnw); +ier_T <= ((slaveinten(1).EXP) + OR (NOT ier AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(6).PIN)); +ier_C <= NOT ((cs1 AND NOT Ncs2)); + + +cpu_d_I(0) <= ((cpu_rnw AND spi_Nsel(0) AND cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND cpha AND NOT cpu_a(1) AND cpu_a(0) AND cs1 AND + NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND divisor(0) AND cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND spidatain(0) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2)); +cpu_d(0) <= cpu_d_I(0) when cpu_d_OE(0) = '1' else 'Z'; +cpu_d_OE(0) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); + + +cpu_d_I(1) <= ((cpu_rnw AND spi_Nsel(1) AND cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND cpol AND NOT cpu_a(1) AND cpu_a(0) AND cs1 AND + NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND divisor(1) AND cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND spidatain(1) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2)); +cpu_d(1) <= cpu_d_I(1) when cpu_d_OE(1) = '1' else 'Z'; +cpu_d_OE(1) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); + + +cpu_d_I(2) <= ((cpu_rnw AND spi_Nsel(2) AND cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND ece AND NOT cpu_a(1) AND cpu_a(0) AND cs1 AND + NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND divisor(2) AND cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND spidatain(2) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2)); +cpu_d(2) <= cpu_d_I(2) when cpu_d_OE(2) = '1' else 'Z'; +cpu_d_OE(2) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); + + +cpu_d_I(3) <= ((cpu_rnw AND spi_Nsel(3) AND cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND tmo AND NOT cpu_a(1) AND cpu_a(0) AND cs1 AND + NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND spidatain(3) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2)); +cpu_d(3) <= cpu_d_I(3) when cpu_d_OE(3) = '1' else 'Z'; +cpu_d_OE(3) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); + + +cpu_d_I(4) <= ((cpu_rnw AND frx AND NOT cpu_a(1) AND cpu_a(0) AND cs1 AND + NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND slaveinten(0) AND cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND spidatain(4) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND cpu_a(1) AND NOT cpu_a(0) AND cs1 AND NOT Ncs2 AND + NOT spi_int(0) AND cpu_Nphi2)); +cpu_d(4) <= cpu_d_I(4) when cpu_d_OE(4) = '1' else 'Z'; +cpu_d_OE(4) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); + + +cpu_d_I(5) <= ((shifting2.EXP) + OR (cpu_rnw AND slaveinten(1) AND cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND spidatain(5) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND start_shifting AND NOT cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND NOT cpu_a(1) AND cpu_a(0) AND cs1 AND NOT Ncs2 AND + shifting2 AND cpu_Nphi2)); +cpu_d(5) <= cpu_d_I(5) when cpu_d_OE(5) = '1' else 'Z'; +cpu_d_OE(5) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); + + +cpu_d_I(6) <= ((cpu_rnw AND ier AND NOT cpu_a(1) AND cpu_a(0) AND cs1 AND + NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND slaveinten(2) AND cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND spidatain(6) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND cpu_a(1) AND NOT cpu_a(0) AND cs1 AND NOT Ncs2 AND + NOT spi_int(2) AND cpu_Nphi2)); +cpu_d(6) <= cpu_d_I(6) when cpu_d_OE(6) = '1' else 'Z'; +cpu_d_OE(6) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); + + +cpu_d_I(7) <= ((cpu_rnw AND slaveinten(3) AND cpu_a(1) AND cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND spidatain(7) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cs1 AND NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND tc AND NOT cpu_a(1) AND cpu_a(0) AND cs1 AND + NOT Ncs2 AND cpu_Nphi2) + OR (cpu_rnw AND cpu_a(1) AND NOT cpu_a(0) AND cs1 AND NOT Ncs2 AND + NOT spi_int(3) AND cpu_Nphi2)); +cpu_d(7) <= cpu_d_I(7) when cpu_d_OE(7) = '1' else 'Z'; +cpu_d_OE(7) <= (cpu_rnw AND cs1 AND NOT Ncs2 AND cpu_Nphi2); + +FDCPE_spi_mosi: FDCPE port map (spi_mosi_I,spi_mosi,NOT $OpTx$INV$22__$INT,'0',NOT cpu_Nres); +spi_mosi <= ((start_shifting/start_shifting_RSTF__$INT.EXP) + OR (EXP6_.EXP) + OR (shiftcnt(3) AND shiftcnt(2) AND NOT shiftcnt(1) AND + NOT shiftdone AND NOT spidataout(1) AND shifting2) + OR (NOT shiftcnt(3) AND shiftcnt(2) AND NOT shiftcnt(1) AND + NOT shiftdone AND NOT spidataout(5) AND shifting2)); +spi_mosi <= spi_mosi_I when spi_mosi_OE = '1' else 'Z'; +spi_mosi_OE <= NOT tmo; + +FDCPE_spi_sclk: FDCPE port map (spi_sclk,spi_sclk_D,NOT $OpTx$INV$22__$INT,spi_sclk_CLR,spi_sclk_PRE); +spi_sclk_D <= cpol + XOR +spi_sclk_D <= ((ier.EXP) + OR (cpu_Nres AND NOT cpha AND shiftcnt(0) AND NOT shiftdone AND + shifting2)); +spi_sclk_CLR <= (NOT cpu_Nres AND NOT cpol); +spi_sclk_PRE <= (NOT cpu_Nres AND cpol); + +FDCPE_shiftcnt0: FDCPE port map (shiftcnt(0),shiftcnt_D(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +shiftcnt_D(0) <= (NOT shiftcnt(0) AND shifting2); + +FDCPE_shiftcnt1: FDCPE port map (shiftcnt(1),shiftcnt_D(1),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +shiftcnt_D(1) <= ((shiftcnt(0) AND NOT shiftcnt(1) AND shifting2) + OR (NOT shiftcnt(0) AND shiftcnt(1) AND shifting2)); + +FTCPE_shiftcnt2: FTCPE port map (shiftcnt(2),shiftcnt_T(2),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +shiftcnt_T(2) <= ((shiftcnt(2) AND NOT shifting2) + OR (shiftcnt(0) AND shiftcnt(1) AND shifting2)); + +FTCPE_shiftcnt3: FTCPE port map (shiftcnt(3),shiftcnt_T(3),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +shiftcnt_T(3) <= ((shiftcnt(3) AND NOT shifting2) + OR (shiftcnt(2) AND shiftcnt(0) AND shiftcnt(1) AND + shifting2)); + +FDCPE_shiftdone: FDCPE port map (shiftdone,shiftdone_D,NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0'); +shiftdone_D <= (shiftcnt(3) AND shiftcnt(2) AND shiftcnt(0) AND + shiftcnt(1)); + +FDCPE_shifting2: FDCPE port map (shifting2,shifting2_D,NOT $OpTx$INV$22__$INT,'0','0'); +shifting2_D <= (NOT shiftdone AND start_shifting); + +FTCPE_slaveinten0: FTCPE port map (slaveinten(0),slaveinten_T(0),slaveinten_C(0),NOT cpu_Nres,'0',NOT cpu_rnw); +slaveinten_T(0) <= ((slaveinten(0) AND cpu_a(1) AND cpu_a(0) AND + NOT cpu_d(4).PIN) + OR (NOT slaveinten(0) AND cpu_a(1) AND cpu_a(0) AND + cpu_d(4).PIN)); +slaveinten_C(0) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_slaveinten1: FTCPE port map (slaveinten(1),slaveinten_T(1),slaveinten_C(1),NOT cpu_Nres,'0',NOT cpu_rnw); +slaveinten_T(1) <= ((diag_OBUF.EXP) + OR (NOT slaveinten(1) AND cpu_a(1) AND cpu_a(0) AND + cpu_d(5).PIN)); +slaveinten_C(1) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_slaveinten2: FTCPE port map (slaveinten(2),slaveinten_T(2),slaveinten_C(2),NOT cpu_Nres,'0',NOT cpu_rnw); +slaveinten_T(2) <= ((slaveinten(2) AND cpu_a(1) AND cpu_a(0) AND + NOT cpu_d(6).PIN) + OR (NOT slaveinten(2) AND cpu_a(1) AND cpu_a(0) AND + cpu_d(6).PIN)); +slaveinten_C(2) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_slaveinten3: FTCPE port map (slaveinten(3),slaveinten_T(3),slaveinten_C(3),NOT cpu_Nres,'0',NOT cpu_rnw); +slaveinten_T(3) <= ((slaveinten(3) AND cpu_a(1) AND cpu_a(0) AND + NOT cpu_d(7).PIN) + OR (NOT slaveinten(3) AND cpu_a(1) AND cpu_a(0) AND + cpu_d(7).PIN)); +slaveinten_C(3) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_spi_Nsel0: FTCPE port map (spi_Nsel(0),spi_Nsel_T(0),spi_Nsel_C(0),'0',NOT cpu_Nres,NOT cpu_rnw); +spi_Nsel_T(0) <= ((spi_Nsel(0) AND cpu_a(1) AND cpu_a(0) AND + NOT cpu_d(0).PIN) + OR (NOT spi_Nsel(0) AND cpu_a(1) AND cpu_a(0) AND + cpu_d(0).PIN)); +spi_Nsel_C(0) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_spi_Nsel1: FTCPE port map (spi_Nsel(1),spi_Nsel_T(1),spi_Nsel_C(1),'0',NOT cpu_Nres,NOT cpu_rnw); +spi_Nsel_T(1) <= ((spi_Nsel(1) AND cpu_a(1) AND cpu_a(0) AND + NOT cpu_d(1).PIN) + OR (NOT spi_Nsel(1) AND cpu_a(1) AND cpu_a(0) AND + cpu_d(1).PIN)); +spi_Nsel_C(1) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_spi_Nsel2: FTCPE port map (spi_Nsel(2),spi_Nsel_T(2),spi_Nsel_C(2),'0',NOT cpu_Nres,NOT cpu_rnw); +spi_Nsel_T(2) <= ((spi_Nsel(2) AND cpu_a(1) AND cpu_a(0) AND + NOT cpu_d(2).PIN) + OR (NOT spi_Nsel(2) AND cpu_a(1) AND cpu_a(0) AND + cpu_d(2).PIN)); +spi_Nsel_C(2) <= NOT ((cs1 AND NOT Ncs2)); + +FTCPE_spi_Nsel3: FTCPE port map (spi_Nsel(3),spi_Nsel_T(3),spi_Nsel_C(3),'0',NOT cpu_Nres,NOT cpu_rnw); +spi_Nsel_T(3) <= ((spi_Nsel(3) AND cpu_a(1) AND cpu_a(0) AND + NOT cpu_d(3).PIN) + OR (NOT spi_Nsel(3) AND cpu_a(1) AND cpu_a(0) AND + cpu_d(3).PIN)); +spi_Nsel_C(3) <= NOT ((cs1 AND NOT Ncs2)); + +FDCPE_spidatain0: FDCPE port map (spidatain(0),spidatain_D(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(0)); +spidatain_D(0) <= ((tc.EXP) + OR (NOT spi_Nsel(2) AND spi_miso(2)) + OR (NOT spi_Nsel(3) AND spi_miso(3))); +spidatain_CE(0) <= (shiftcnt(0) AND shifting2); + +FDCPE_spidatain1: FDCPE port map (spidatain(1),spidatain(0),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(1)); +spidatain_CE(1) <= (shiftcnt(0) AND shifting2); + +FDCPE_spidatain2: FDCPE port map (spidatain(2),spidatain(1),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(2)); +spidatain_CE(2) <= (shiftcnt(0) AND shifting2); + +FDCPE_spidatain3: FDCPE port map (spidatain(3),spidatain(2),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(3)); +spidatain_CE(3) <= (shiftcnt(0) AND shifting2); + +FDCPE_spidatain4: FDCPE port map (spidatain(4),spidatain(3),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(4)); +spidatain_CE(4) <= (shiftcnt(0) AND shifting2); + +FDCPE_spidatain5: FDCPE port map (spidatain(5),spidatain(4),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(5)); +spidatain_CE(5) <= (shiftcnt(0) AND shifting2); + +FDCPE_spidatain6: FDCPE port map (spidatain(6),spidatain(5),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(6)); +spidatain_CE(6) <= (shiftcnt(0) AND shifting2); + +FDCPE_spidatain7: FDCPE port map (spidatain(7),spidatain(6),NOT $OpTx$INV$22__$INT,NOT cpu_Nres,'0',spidatain_CE(7)); +spidatain_CE(7) <= (shiftcnt(0) AND shifting2); + +FTCPE_spidataout0: FTCPE port map (spidataout(0),spidataout_T(0),spidataout_C(0),'0','0',spidataout_CE(0)); +spidataout_T(0) <= ((spidataout(0) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + NOT cpu_d(0).PIN) + OR (NOT spidataout(0) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cpu_d(0).PIN)); +spidataout_C(0) <= NOT ((cs1 AND NOT Ncs2)); +spidataout_CE(0) <= (cpu_Nres AND NOT cpu_rnw); + +FTCPE_spidataout1: FTCPE port map (spidataout(1),spidataout_T(1),spidataout_C(1),'0','0',spidataout_CE(1)); +spidataout_T(1) <= ((spidataout(1) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + NOT cpu_d(1).PIN) + OR (NOT spidataout(1) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cpu_d(1).PIN)); +spidataout_C(1) <= NOT ((cs1 AND NOT Ncs2)); +spidataout_CE(1) <= (cpu_Nres AND NOT cpu_rnw); + +FTCPE_spidataout2: FTCPE port map (spidataout(2),spidataout_T(2),spidataout_C(2),'0','0',spidataout_CE(2)); +spidataout_T(2) <= ((spidataout(2) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + NOT cpu_d(2).PIN) + OR (NOT spidataout(2) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cpu_d(2).PIN)); +spidataout_C(2) <= NOT ((cs1 AND NOT Ncs2)); +spidataout_CE(2) <= (cpu_Nres AND NOT cpu_rnw); + +FTCPE_spidataout3: FTCPE port map (spidataout(3),spidataout_T(3),spidataout_C(3),'0','0',spidataout_CE(3)); +spidataout_T(3) <= ((spidataout(3) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + NOT cpu_d(3).PIN) + OR (NOT spidataout(3) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cpu_d(3).PIN)); +spidataout_C(3) <= NOT ((cs1 AND NOT Ncs2)); +spidataout_CE(3) <= (cpu_Nres AND NOT cpu_rnw); + +FTCPE_spidataout4: FTCPE port map (spidataout(4),spidataout_T(4),spidataout_C(4),'0','0',spidataout_CE(4)); +spidataout_T(4) <= ((spidataout(4) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + NOT cpu_d(4).PIN) + OR (NOT spidataout(4) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cpu_d(4).PIN)); +spidataout_C(4) <= NOT ((cs1 AND NOT Ncs2)); +spidataout_CE(4) <= (cpu_Nres AND NOT cpu_rnw); + +FTCPE_spidataout5: FTCPE port map (spidataout(5),spidataout_T(5),spidataout_C(5),'0','0',spidataout_CE(5)); +spidataout_T(5) <= ((spidataout(5) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + NOT cpu_d(5).PIN) + OR (NOT spidataout(5) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cpu_d(5).PIN)); +spidataout_C(5) <= NOT ((cs1 AND NOT Ncs2)); +spidataout_CE(5) <= (cpu_Nres AND NOT cpu_rnw); + +FTCPE_spidataout6: FTCPE port map (spidataout(6),spidataout_T(6),spidataout_C(6),'0','0',spidataout_CE(6)); +spidataout_T(6) <= ((spidataout(6) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + NOT cpu_d(6).PIN) + OR (NOT spidataout(6) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cpu_d(6).PIN)); +spidataout_C(6) <= NOT ((cs1 AND NOT Ncs2)); +spidataout_CE(6) <= (cpu_Nres AND NOT cpu_rnw); + +FTCPE_spidataout7: FTCPE port map (spidataout(7),spidataout_T(7),spidataout_C(7),'0','0',spidataout_CE(7)); +spidataout_T(7) <= ((spidataout(7) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + NOT cpu_d(7).PIN) + OR (NOT spidataout(7) AND NOT cpu_a(1) AND NOT cpu_a(0) AND + cpu_d(7).PIN)); +spidataout_C(7) <= NOT ((cs1 AND NOT Ncs2)); +spidataout_CE(7) <= (cpu_Nres AND NOT cpu_rnw); + +FTCPE_start_shifting: FTCPE port map (start_shifting,start_shifting_T,start_shifting_C,NOT start_shifting/start_shifting_RSTF__$INT,'0'); +start_shifting_T <= ((NOT cpu_rnw AND NOT start_shifting AND NOT cpu_a(1) AND NOT cpu_a(0)) + OR (frx AND NOT start_shifting AND NOT cpu_a(1) AND NOT cpu_a(0))); +start_shifting_C <= NOT ((cs1 AND NOT Ncs2)); + + +start_shifting/start_shifting_RSTF__$INT <= (cpu_Nres AND NOT shiftdone); + +FDCPE_tc: FDCPE port map (tc,'0',tc_C,'0',shiftdone,tc_CE); +tc_C <= NOT ((cs1 AND NOT Ncs2)); +tc_CE <= (NOT cpu_a(1) AND NOT cpu_a(0)); + +FTCPE_tmo: FTCPE port map (tmo,tmo_T,tmo_C,NOT cpu_Nres,'0',NOT cpu_rnw); +tmo_T <= ((tmo AND NOT cpu_a(1) AND cpu_a(0) AND NOT cpu_d(3).PIN) + OR (NOT tmo AND NOT cpu_a(1) AND cpu_a(0) AND cpu_d(3).PIN)); +tmo_C <= NOT ((cs1 AND NOT Ncs2)); + +Register Legend: + FDCPE (Q,D,C,CLR,PRE,CE); + FTCPE (Q,D,C,CLR,PRE,CE); + LDCP (Q,D,G,CLR,PRE); + + **************************** Device Pin Out **************************** + +Device : XC9572XL-10-PC44 + + + -------------------------------- + /6 5 4 3 2 1 44 43 42 41 40 \ + | 7 39 | + | 8 38 | + | 9 37 | + | 10 36 | + | 11 XC9572XL-10-PC44 35 | + | 12 34 | + | 13 33 | + | 14 32 | + | 15 31 | + | 16 30 | + | 17 29 | + \ 18 19 20 21 22 23 24 25 26 27 28 / + -------------------------------- + + +Pin Signal Pin Signal +No. Name No. Name + 1 spi_int<3> 23 GND + 2 cpu_d<0> 24 cpu_a<1> + 3 cpu_d<1> 25 spi_Nsel<3> + 4 cpu_d<2> 26 spi_Nsel<2> + 5 cpu_Nphi2 27 spi_Nsel<1> + 6 extclk 28 spi_Nsel<0> + 7 cpu_rnw 29 diag + 8 cpu_d<3> 30 TDO + 9 cpu_d<4> 31 GND + 10 GND 32 VCC + 11 cpu_d<5> 33 TIE + 12 cpu_d<6> 34 spi_sclk + 13 cpu_d<7> 35 spi_mosi + 14 cpu_Nirq 36 TIE + 15 TDI 37 spi_miso<3> + 16 TMS 38 spi_miso<2> + 17 TCK 39 spi_int<2> + 18 Ncs2 40 spi_int<1> + 19 cpu_Nres 41 VCC + 20 cs1 42 spi_int<0> + 21 VCC 43 spi_miso<1> + 22 cpu_a<0> 44 spi_miso<0> + + +Legend : NC = Not Connected, unbonded pin + PGND = Unused I/O configured as additional Ground pin + TIE = Unused I/O floating -- must tie to VCC, GND or other signal + VCC = Dedicated Power Pin + GND = Dedicated Ground Pin + TDI = Test Data In, JTAG pin + TDO = Test Data Out, JTAG pin + TCK = Test Clock, JTAG pin + TMS = Test Mode Select, JTAG pin + PE = Port Enable pin + PROHIBITED = User reserved pin + **************************** Compiler Options **************************** + +Following is a list of all global compiler options used by the fitter run. + +Device(s) Specified : xc9572xl-10-PC44 +Optimization Method : SPEED +Multi-Level Logic Optimization : ON +Ignore Timing Specifications : OFF +Default Register Power Up Value : LOW +Keep User Location Constraints : ON +What-You-See-Is-What-You-Get : OFF +Exhaustive Fitting : OFF +Keep Unused Inputs : OFF +Slew Rate : FAST +Power Mode : STD +Set Unused I/O Pin Termination : FLOAT +Set I/O Pin Termination : KEEPER +Global Clock Optimization : ON +Global Set/Reset Optimization : ON +Global Ouput Enable Optimization : ON +Input Limit : 54 +Pterm Limit : 25 diff --git a/spi6502b.syr b/spi6502b.syr new file mode 100644 index 0000000..10de977 --- /dev/null +++ b/spi6502b.syr @@ -0,0 +1,215 @@ +Release 6.3.03i - xst G.38 +Copyright (c) 1995-2004 Xilinx, Inc. All rights reserved. +--> Parameter TMPDIR set to __projnav +CPU : 0.00 / 0.28 s | Elapsed : 0.00 / 0.00 s + +--> Parameter xsthdpdir set to ./xst +CPU : 0.00 / 0.28 s | Elapsed : 0.00 / 0.00 s + +--> Reading design: spi6502b.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Compilation + 3) HDL Analysis + 4) HDL Synthesis + 5) Advanced HDL Synthesis + 5.1) HDL Synthesis Report + 6) Low Level Synthesis + 7) Final Report + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : spi6502b.prj +Input Format : mixed +Ignore Synthesis Constraint File : NO +Verilog Include Directory : + +---- Target Parameters +Output File Name : spi6502b +Output Format : NGC +Target Device : xc9500xl + +---- Source Options +Top Module Name : spi6502b +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Mux Extraction : YES +Resource Sharing : YES + +---- Target Options +Add IO Buffers : YES +Equivalent register Removal : YES +MACRO Preserve : YES +XOR Preserve : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Keep Hierarchy : YES +RTL Output : Yes +Hierarchy Separator : _ +Bus Delimiter : <> +Case Specifier : maintain + +---- Other Options +lso : spi6502b.lso +verilog2001 : YES +Clock Enable : YES +wysiwyg : NO + +========================================================================= + + +========================================================================= +* HDL Compilation * +========================================================================= +Compiling vhdl file C:/sources/AppleIISd/SPI6502B1.1.vhd in Library work. +Architecture behavioral of Entity spi6502b is up to date. + +========================================================================= +* HDL Analysis * +========================================================================= +Analyzing Entity (Architecture ). +INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 203: Mux is complete : default of case is discarded +INFO:Xst:1561 - C:/sources/AppleIISd/SPI6502B1.1.vhd line 320: Mux is complete : default of case is discarded +Entity analyzed. Unit generated. + + +========================================================================= +* HDL Synthesis * +========================================================================= + +Synthesizing Unit . + Related source file is C:/sources/AppleIISd/SPI6502B1.1.vhd. + Found 8-bit tristate buffer for signal . + Found 1-bit tristate buffer for signal . + Found 1-bit tristate buffer for signal . + Found 1-bit xor3 for signal <$n0040> created at line 206. + Found 4-bit adder for signal <$n0047> created at line 160. + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 3-bit down counter for signal . + Found 3-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 4-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 4-bit register for signal . + Found 4-bit register for signal . + Found 8-bit register for signal . + Found 8-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 30 1-bit 2-to-1 multiplexers. + Summary: + inferred 1 Counter(s). + inferred 18 D-type flip-flop(s). + inferred 1 Adder/Subtracter(s). + inferred 10 Tristate(s). +Unit synthesized. + + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + +Advanced RAM inference ... +Advanced multiplier inference ... +Advanced Registered AddSub inference ... +Dynamic shift register inference ... + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# Adders/Subtractors : 1 + 4-bit adder : 1 +# Registers : 25 + 1-bit register : 20 + 8-bit register : 1 + 3-bit register : 1 + 4-bit register : 3 +# Multiplexers : 12 + 2-to-1 multiplexer : 12 +# Tristates : 3 + 1-bit tristate buffer : 2 + 8-bit tristate buffer : 1 +# Xors : 1 + 1-bit xor3 : 1 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= + +Optimizing unit ... + +========================================================================= +* Final Report * +========================================================================= +Final Results +RTL Top Level Output File Name : spi6502b.ngr +Top Level Output File Name : spi6502b +Output Format : NGC +Optimization Goal : Speed +Keep Hierarchy : YES +Target Technology : xc9500xl +Macro Preserve : YES +XOR Preserve : YES +Clock Enable : YES +wysiwyg : NO + +Design Statistics +# IOs : 32 + +Macro Statistics : +# Registers : 74 +# 1-bit register : 74 +# Tristates : 3 +# 1-bit tristate buffer : 2 +# 8-bit tristate buffer : 1 +# Xors : 5 +# 1-bit xor2 : 5 + +Cell Usage : +# BELS : 320 +# AND2 : 156 +# AND3 : 2 +# AND4 : 1 +# GND : 1 +# INV : 95 +# OR2 : 56 +# OR3 : 1 +# OR4 : 1 +# OR5 : 1 +# VCC : 1 +# XOR2 : 5 +# FlipFlops/Latches : 43 +# FD : 1 +# FDC : 5 +# FDCE : 30 +# FDCP : 1 +# FDP : 1 +# FDPE : 5 +# IO Buffers : 32 +# IBUF : 16 +# IOBUFE : 8 +# OBUF : 6 +# OBUFE : 2 +========================================================================= +CPU : 0.67 / 1.11 s | Elapsed : 1.00 / 1.00 s + +--> + +Total memory usage is 68952 kilobytes + + diff --git a/spi6502b.vm6 b/spi6502b.vm6 new file mode 100644 index 0000000..dcde2e5 --- /dev/null +++ b/spi6502b.vm6 @@ -0,0 +1,4095 @@ +NDS Database: version G.38 + +NDS_INFO | xc9500xl | 9572XL44PC | XC9572XL-10-PC44 + +DEVICE | 9572XL | 9572XL44PC | + +NETWORK | spi6502b | 0 | 0 | 16391 + +INPUT_INSTANCE | 0 | 0 | NULL | cpu_Nres_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_Nres | 5046 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped | int_mosi | spi6502b_COPY_0_COPY_0 | 2155889920 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<5> | 4982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<1> | 4978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting/start_shifting_RSTF__$INT.EXP | 5420 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.EXP | start_shifting/start_shifting_RSTF__$INT | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP6_.EXP | 5421 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tmo | 4957 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_mosi | 4937 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_mosi$OE | 4938 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_mosi.SI | int_mosi | 0 | 12 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<5> | 4982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<1> | 4978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting/start_shifting_RSTF__$INT.EXP | 5420 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.EXP | start_shifting/start_shifting_RSTF__$INT | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP6_.EXP | 5421 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tmo | 4957 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_mosi.D1 | 5063 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_mosi.D2 | 5064 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | start_shifting/start_shifting_RSTF__$INT.EXP +SPPTERM | 1 | IV_TRUE | EXP6_.EXP +SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<1> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<5> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | int_mosi.CLKF | 5065 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_mosi.SETF | 5066 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_mosi.TRST | 5068 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | tmo + +SRFF_INSTANCE | int_mosi.REG | int_mosi | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_mosi.D | 5062 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | int_mosi.CLKF | 5065 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | int_mosi.SETF | 5066 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_mosi.Q | 5069 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_mosi.BUFOE | int_mosi | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_mosi.TRST | 5068 | ? | 0 | 4096 | int_mosi | NULL | NULL | int_mosi.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_FALSE | tmo +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_mosi.BUFOE.OUT | 5067 | ? | 0 | 0 | int_mosi | NULL | NULL | int_mosi.BUFOE | 0 | 10 | BUF_OUT + +INPUT_INSTANCE | 0 | 0 | NULL | cpu_rnw_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_rnw | 5047 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | Ncs2_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | Ncs2 | 5051 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | cs1_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cs1 | 5050 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | N3455 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_d<0> | 5033 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | cpu_a_1_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_a<1> | 5048 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | cpu_a_0_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_a<0> | 5049 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slavesel<0> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | slavesel<0>$Q | 4940 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | slavesel<0>.SI | slavesel<0> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slavesel<0>.D1 | 5071 | ? | 0 | 4096 | slavesel<0> | NULL | NULL | slavesel<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | slavesel<0>.D2 | 5072 | ? | 0 | 4096 | slavesel<0> | NULL | NULL | slavesel<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slavesel<0> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3455 +SPPTERM | 4 | IV_FALSE | slavesel<0> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3455 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | slavesel<0>.CLKF | 5073 | ? | 0 | 4096 | slavesel<0> | NULL | NULL | slavesel<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | slavesel<0>.SETF | 5074 | ? | 0 | 4096 | slavesel<0> | NULL | NULL | slavesel<0>.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slavesel<0>.CE | 5075 | ? | 0 | 4096 | slavesel<0> | NULL | NULL | slavesel<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | slavesel<0>.REG | slavesel<0> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | slavesel<0>.D | 5070 | ? | 0 | 0 | slavesel<0> | NULL | NULL | slavesel<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | slavesel<0>.CLKF | 5073 | ? | 0 | 4096 | slavesel<0> | NULL | NULL | slavesel<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | slavesel<0>.SETF | 5074 | ? | 0 | 4096 | slavesel<0> | NULL | NULL | slavesel<0>.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | slavesel<0>.CE | 5075 | ? | 0 | 4096 | slavesel<0> | NULL | NULL | slavesel<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | slavesel<0>.Q | 5076 | ? | 0 | 0 | slavesel<0> | NULL | NULL | slavesel<0>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N3457 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_d<1> | 5034 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slavesel<1> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<1> | 4943 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | slavesel<1>$Q | 4942 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | slavesel<1> | 4943 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | slavesel<1>.SI | slavesel<1> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<1> | 4943 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slavesel<1>.D1 | 5078 | ? | 0 | 4096 | slavesel<1> | NULL | NULL | slavesel<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | slavesel<1>.D2 | 5079 | ? | 0 | 4096 | slavesel<1> | NULL | NULL | slavesel<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slavesel<1> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3457 +SPPTERM | 4 | IV_FALSE | slavesel<1> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3457 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | slavesel<1>.CLKF | 5080 | ? | 0 | 4096 | slavesel<1> | NULL | NULL | slavesel<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | slavesel<1>.SETF | 5081 | ? | 0 | 4096 | slavesel<1> | NULL | NULL | slavesel<1>.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slavesel<1>.CE | 5082 | ? | 0 | 4096 | slavesel<1> | NULL | NULL | slavesel<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | slavesel<1>.REG | slavesel<1> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | slavesel<1>.D | 5077 | ? | 0 | 0 | slavesel<1> | NULL | NULL | slavesel<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | slavesel<1>.CLKF | 5080 | ? | 0 | 4096 | slavesel<1> | NULL | NULL | slavesel<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | slavesel<1>.SETF | 5081 | ? | 0 | 4096 | slavesel<1> | NULL | NULL | slavesel<1>.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | slavesel<1>.CE | 5082 | ? | 0 | 4096 | slavesel<1> | NULL | NULL | slavesel<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | slavesel<1>.Q | 5083 | ? | 0 | 0 | slavesel<1> | NULL | NULL | slavesel<1>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N3459 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_d<2> | 5035 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slavesel<2> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<2> | 4945 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | slavesel<2>$Q | 4944 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | slavesel<2> | 4945 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | slavesel<2>.SI | slavesel<2> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<2> | 4945 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slavesel<2>.D1 | 5085 | ? | 0 | 4096 | slavesel<2> | NULL | NULL | slavesel<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | slavesel<2>.D2 | 5086 | ? | 0 | 4096 | slavesel<2> | NULL | NULL | slavesel<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slavesel<2> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3459 +SPPTERM | 4 | IV_FALSE | slavesel<2> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3459 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | slavesel<2>.CLKF | 5087 | ? | 0 | 4096 | slavesel<2> | NULL | NULL | slavesel<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | slavesel<2>.SETF | 5088 | ? | 0 | 4096 | slavesel<2> | NULL | NULL | slavesel<2>.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slavesel<2>.CE | 5089 | ? | 0 | 4096 | slavesel<2> | NULL | NULL | slavesel<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | slavesel<2>.REG | slavesel<2> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | slavesel<2>.D | 5084 | ? | 0 | 0 | slavesel<2> | NULL | NULL | slavesel<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | slavesel<2>.CLKF | 5087 | ? | 0 | 4096 | slavesel<2> | NULL | NULL | slavesel<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | slavesel<2>.SETF | 5088 | ? | 0 | 4096 | slavesel<2> | NULL | NULL | slavesel<2>.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | slavesel<2>.CE | 5089 | ? | 0 | 4096 | slavesel<2> | NULL | NULL | slavesel<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | slavesel<2>.Q | 5090 | ? | 0 | 0 | slavesel<2> | NULL | NULL | slavesel<2>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N3461 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_d<3> | 5036 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N3461 | 5009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3461 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slavesel<3> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<3> | 4947 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3461 | 5009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3461 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | slavesel<3>$Q | 4946 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | slavesel<3> | 4947 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | slavesel<3>.SI | slavesel<3> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<3> | 4947 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3461 | 5009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3461 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slavesel<3>.D1 | 5092 | ? | 0 | 4096 | slavesel<3> | NULL | NULL | slavesel<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | slavesel<3>.D2 | 5093 | ? | 0 | 4096 | slavesel<3> | NULL | NULL | slavesel<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slavesel<3> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3461 +SPPTERM | 4 | IV_FALSE | slavesel<3> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3461 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | slavesel<3>.CLKF | 5094 | ? | 0 | 4096 | slavesel<3> | NULL | NULL | slavesel<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | slavesel<3>.SETF | 5095 | ? | 0 | 4096 | slavesel<3> | NULL | NULL | slavesel<3>.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slavesel<3>.CE | 5096 | ? | 0 | 4096 | slavesel<3> | NULL | NULL | slavesel<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | slavesel<3>.REG | slavesel<3> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | slavesel<3>.D | 5091 | ? | 0 | 0 | slavesel<3> | NULL | NULL | slavesel<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | slavesel<3>.CLKF | 5094 | ? | 0 | 4096 | slavesel<3> | NULL | NULL | slavesel<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | slavesel<3>.SETF | 5095 | ? | 0 | 4096 | slavesel<3> | NULL | NULL | slavesel<3>.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | slavesel<3>.CE | 5096 | ? | 0 | 4096 | slavesel<3> | NULL | NULL | slavesel<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | slavesel<3>.Q | 5097 | ? | 0 | 0 | slavesel<3> | NULL | NULL | slavesel<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cpol | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpol | 4948 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cpol | 4948 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cpol.SI | cpol | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpol | 4948 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpol.D1 | 5099 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cpol.D2 | 5100 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3457 +SPPTERM | 4 | IV_FALSE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3457 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cpol.CLKF | 5101 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpol.RSTF | 5102 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpol.CE | 5103 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | cpol.REG | cpol | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cpol.D | 5098 | ? | 0 | 0 | cpol | NULL | NULL | cpol.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | cpol.CLKF | 5101 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | cpol.RSTF | 5102 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cpol.CE | 5103 | ? | 0 | 4096 | cpol | NULL | NULL | cpol.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cpol.Q | 5104 | ? | 0 | 0 | cpol | NULL | NULL | cpol.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | ece | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ece | 4949 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ece | 4949 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ece.SI | ece | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ece | 4949 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ece.D1 | 5106 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ece.D2 | 5107 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3459 +SPPTERM | 4 | IV_FALSE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3459 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | ece.CLKF | 5108 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ece.RSTF | 5109 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ece.CE | 5110 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | ece.REG | ece | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ece.D | 5105 | ? | 0 | 0 | ece | NULL | NULL | ece.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | ece.CLKF | 5108 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | ece.RSTF | 5109 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | ece.CE | 5110 | ? | 0 | 4096 | ece | NULL | NULL | ece.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ece.Q | 5111 | ? | 0 | 0 | ece | NULL | NULL | ece.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | cpha | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cpha.SI | cpha | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpha.D1 | 5113 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cpha.D2 | 5114 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3455 +SPPTERM | 4 | IV_FALSE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3455 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | cpha.CLKF | 5115 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | cpha.RSTF | 5116 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cpha.CE | 5117 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | cpha.REG | cpha | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cpha.D | 5112 | ? | 0 | 0 | cpha | NULL | NULL | cpha.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | cpha.CLKF | 5115 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | cpha.RSTF | 5116 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cpha.CE | 5117 | ? | 0 | 4096 | cpha | NULL | NULL | cpha.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cpha.Q | 5118 | ? | 0 | 0 | cpha | NULL | NULL | cpha.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N3463 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_d<4> | 5037 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N3463 | 5010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3463 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | frx | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | frx | 4951 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3463 | 5010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3463 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | frx | 4951 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | frx.SI | frx | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | frx | 4951 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3463 | 5010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3463 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | frx.D1 | 5120 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | frx.D2 | 5121 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3463 +SPPTERM | 4 | IV_FALSE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3463 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | frx.CLKF | 5122 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | frx.RSTF | 5123 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | frx.CE | 5124 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | frx.REG | frx | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | frx.D | 5119 | ? | 0 | 0 | frx | NULL | NULL | frx.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | frx.CLKF | 5122 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | frx.RSTF | 5123 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | frx.CE | 5124 | ? | 0 | 4096 | frx | NULL | NULL | frx.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | frx.Q | 5125 | ? | 0 | 0 | frx | NULL | NULL | frx.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N3467 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_d<6> | 5039 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | ier | spi6502b_COPY_0_COPY_0 | 2424312832 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1>.EXP | 5430 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.EXP | slaveinten<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ier.EXP | 5431 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.EXP | ier | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ier.SI | ier | 0 | 13 | 6 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1>.EXP | 5430 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.EXP | slaveinten<1> | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ier.D1 | 5127 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ier.D2 | 5128 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | slaveinten<1>.EXP +SPPTERM | 4 | IV_FALSE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3467 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | ier.CLKF | 5129 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | ier.RSTF | 5130 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ier.EXP | 5427 | ? | 0 | 0 | ier | NULL | NULL | ier.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | cpu_Nres_IBUF | IV_TRUE | cpha | IV_FALSE | shiftcnt<0> | IV_FALSE | shiftdone | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | ier.CE | 5131 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | ier.REG | ier | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ier.D | 5126 | ? | 0 | 0 | ier | NULL | NULL | ier.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | ier.CLKF | 5129 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | ier.RSTF | 5130 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | ier.CE | 5131 | ? | 0 | 4096 | ier | NULL | NULL | ier.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ier.Q | 5132 | ? | 0 | 0 | ier | NULL | NULL | ier.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slaveinten<0> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<0> | 4953 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<0>.Q | slaveinten<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3463 | 5010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3463 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | slaveinten<0> | 4953 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<0>.Q | slaveinten<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | slaveinten<0>.SI | slaveinten<0> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<0> | 4953 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<0>.Q | slaveinten<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3463 | 5010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3463 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slaveinten<0>.D1 | 5134 | ? | 0 | 4096 | slaveinten<0> | NULL | NULL | slaveinten<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | slaveinten<0>.D2 | 5135 | ? | 0 | 4096 | slaveinten<0> | NULL | NULL | slaveinten<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slaveinten<0> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3463 +SPPTERM | 4 | IV_FALSE | slaveinten<0> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3463 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | slaveinten<0>.CLKF | 5136 | ? | 0 | 4096 | slaveinten<0> | NULL | NULL | slaveinten<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | slaveinten<0>.RSTF | 5137 | ? | 0 | 4096 | slaveinten<0> | NULL | NULL | slaveinten<0>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slaveinten<0>.CE | 5138 | ? | 0 | 4096 | slaveinten<0> | NULL | NULL | slaveinten<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | slaveinten<0>.REG | slaveinten<0> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | slaveinten<0>.D | 5133 | ? | 0 | 0 | slaveinten<0> | NULL | NULL | slaveinten<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | slaveinten<0>.CLKF | 5136 | ? | 0 | 4096 | slaveinten<0> | NULL | NULL | slaveinten<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | slaveinten<0>.RSTF | 5137 | ? | 0 | 4096 | slaveinten<0> | NULL | NULL | slaveinten<0>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | slaveinten<0>.CE | 5138 | ? | 0 | 4096 | slaveinten<0> | NULL | NULL | slaveinten<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | slaveinten<0>.Q | 5139 | ? | 0 | 0 | slaveinten<0> | NULL | NULL | slaveinten<0>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N3465 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_d<5> | 5038 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N3465 | 5013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3465 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slaveinten<1> | spi6502b_COPY_0_COPY_0 | 2424312832 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3465 | 5013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3465 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | diag_OBUF.EXP | 5429 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | diag_OBUF.EXP | diag_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | slaveinten<1>.EXP | 5430 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.EXP | slaveinten<1> | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | slaveinten<1>.SI | slaveinten<1> | 0 | 11 | 6 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3465 | 5013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3465 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | diag_OBUF.EXP | 5429 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | diag_OBUF.EXP | diag_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slaveinten<1>.D1 | 5141 | ? | 0 | 4096 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | slaveinten<1>.D2 | 5142 | ? | 0 | 4096 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | diag_OBUF.EXP +SPPTERM | 4 | IV_FALSE | slaveinten<1> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3465 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | slaveinten<1>.CLKF | 5143 | ? | 0 | 4096 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | slaveinten<1>.RSTF | 5144 | ? | 0 | 4096 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | slaveinten<1>.EXP | 5426 | ? | 0 | 0 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3467 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slaveinten<1>.CE | 5145 | ? | 0 | 4096 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | slaveinten<1>.REG | slaveinten<1> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | slaveinten<1>.D | 5140 | ? | 0 | 0 | slaveinten<1> | NULL | NULL | slaveinten<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | slaveinten<1>.CLKF | 5143 | ? | 0 | 4096 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | slaveinten<1>.RSTF | 5144 | ? | 0 | 4096 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | slaveinten<1>.CE | 5145 | ? | 0 | 4096 | slaveinten<1> | NULL | NULL | slaveinten<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | slaveinten<1>.Q | 5146 | ? | 0 | 0 | slaveinten<1> | NULL | NULL | slaveinten<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slaveinten<2> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<2> | 4955 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<2>.Q | slaveinten<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | slaveinten<2> | 4955 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<2>.Q | slaveinten<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | slaveinten<2>.SI | slaveinten<2> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<2> | 4955 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<2>.Q | slaveinten<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slaveinten<2>.D1 | 5148 | ? | 0 | 4096 | slaveinten<2> | NULL | NULL | slaveinten<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | slaveinten<2>.D2 | 5149 | ? | 0 | 4096 | slaveinten<2> | NULL | NULL | slaveinten<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slaveinten<2> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3467 +SPPTERM | 4 | IV_FALSE | slaveinten<2> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3467 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | slaveinten<2>.CLKF | 5150 | ? | 0 | 4096 | slaveinten<2> | NULL | NULL | slaveinten<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | slaveinten<2>.RSTF | 5151 | ? | 0 | 4096 | slaveinten<2> | NULL | NULL | slaveinten<2>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slaveinten<2>.CE | 5152 | ? | 0 | 4096 | slaveinten<2> | NULL | NULL | slaveinten<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | slaveinten<2>.REG | slaveinten<2> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | slaveinten<2>.D | 5147 | ? | 0 | 0 | slaveinten<2> | NULL | NULL | slaveinten<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | slaveinten<2>.CLKF | 5150 | ? | 0 | 4096 | slaveinten<2> | NULL | NULL | slaveinten<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | slaveinten<2>.RSTF | 5151 | ? | 0 | 4096 | slaveinten<2> | NULL | NULL | slaveinten<2>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | slaveinten<2>.CE | 5152 | ? | 0 | 4096 | slaveinten<2> | NULL | NULL | slaveinten<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | slaveinten<2>.Q | 5153 | ? | 0 | 0 | slaveinten<2> | NULL | NULL | slaveinten<2>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | N3469 | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_d<7> | 5040 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | N3469 | 5012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3469 | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | slaveinten<3> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<3> | 4956 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<3>.Q | slaveinten<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3469 | 5012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3469 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | slaveinten<3> | 4956 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<3>.Q | slaveinten<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | slaveinten<3>.SI | slaveinten<3> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<3> | 4956 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<3>.Q | slaveinten<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3469 | 5012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3469 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | slaveinten<3>.D1 | 5155 | ? | 0 | 4096 | slaveinten<3> | NULL | NULL | slaveinten<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | slaveinten<3>.D2 | 5156 | ? | 0 | 4096 | slaveinten<3> | NULL | NULL | slaveinten<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | slaveinten<3> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3469 +SPPTERM | 4 | IV_FALSE | slaveinten<3> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3469 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | slaveinten<3>.CLKF | 5157 | ? | 0 | 4096 | slaveinten<3> | NULL | NULL | slaveinten<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | slaveinten<3>.RSTF | 5158 | ? | 0 | 4096 | slaveinten<3> | NULL | NULL | slaveinten<3>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | slaveinten<3>.CE | 5159 | ? | 0 | 4096 | slaveinten<3> | NULL | NULL | slaveinten<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | slaveinten<3>.REG | slaveinten<3> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | slaveinten<3>.D | 5154 | ? | 0 | 0 | slaveinten<3> | NULL | NULL | slaveinten<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | slaveinten<3>.CLKF | 5157 | ? | 0 | 4096 | slaveinten<3> | NULL | NULL | slaveinten<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | slaveinten<3>.RSTF | 5158 | ? | 0 | 4096 | slaveinten<3> | NULL | NULL | slaveinten<3>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | slaveinten<3>.CE | 5159 | ? | 0 | 4096 | slaveinten<3> | NULL | NULL | slaveinten<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | slaveinten<3>.Q | 5160 | ? | 0 | 0 | slaveinten<3> | NULL | NULL | slaveinten<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | tmo | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tmo | 4957 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3461 | 5009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3461 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | tmo | 4957 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | tmo.SI | tmo | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tmo | 4957 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3461 | 5009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3461 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | tmo.D1 | 5162 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | tmo.D2 | 5163 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3461 +SPPTERM | 4 | IV_FALSE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | N3461 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | tmo.CLKF | 5164 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | tmo.RSTF | 5165 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tmo.CE | 5166 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | tmo.REG | tmo | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | tmo.D | 5161 | ? | 0 | 0 | tmo | NULL | NULL | tmo.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | tmo.CLKF | 5164 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | tmo.RSTF | 5165 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | tmo.CE | 5166 | ? | 0 | 4096 | tmo | NULL | NULL | tmo.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | tmo.Q | 5167 | ? | 0 | 0 | tmo | NULL | NULL | tmo.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<0> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<0> | 4958 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | divisor<0> | 4958 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | divisor<0>.SI | divisor<0> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<0> | 4958 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<0>.D1 | 5169 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | divisor<0>.D2 | 5170 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3455 +SPPTERM | 4 | IV_FALSE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3455 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | divisor<0>.CLKF | 5171 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<0>.RSTF | 5172 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<0>.CE | 5173 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | divisor<0>.REG | divisor<0> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | divisor<0>.D | 5168 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | divisor<0>.CLKF | 5171 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | divisor<0>.RSTF | 5172 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | divisor<0>.CE | 5173 | ? | 0 | 4096 | divisor<0> | NULL | NULL | divisor<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | divisor<0>.Q | 5174 | ? | 0 | 0 | divisor<0> | NULL | NULL | divisor<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<1> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<1> | 4959 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | divisor<1> | 4959 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | divisor<1>.SI | divisor<1> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<1> | 4959 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<1>.D1 | 5176 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | divisor<1>.D2 | 5177 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3457 +SPPTERM | 4 | IV_FALSE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3457 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | divisor<1>.CLKF | 5178 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<1>.RSTF | 5179 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<1>.CE | 5180 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | divisor<1>.REG | divisor<1> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | divisor<1>.D | 5175 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | divisor<1>.CLKF | 5178 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | divisor<1>.RSTF | 5179 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | divisor<1>.CE | 5180 | ? | 0 | 4096 | divisor<1> | NULL | NULL | divisor<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | divisor<1>.Q | 5181 | ? | 0 | 0 | divisor<1> | NULL | NULL | divisor<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | divisor<2> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<2> | 4960 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | divisor<2> | 4960 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | divisor<2>.SI | divisor<2> | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<2> | 4960 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | divisor<2>.D1 | 5183 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | divisor<2>.D2 | 5184 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3459 +SPPTERM | 4 | IV_FALSE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3459 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | divisor<2>.CLKF | 5185 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | divisor<2>.RSTF | 5186 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | divisor<2>.CE | 5187 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | divisor<2>.REG | divisor<2> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | divisor<2>.D | 5182 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | divisor<2>.CLKF | 5185 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | divisor<2>.RSTF | 5186 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | divisor<2>.CE | 5187 | ? | 0 | 4096 | divisor<2> | NULL | NULL | divisor<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | divisor<2>.Q | 5188 | ? | 0 | 0 | divisor<2> | NULL | NULL | divisor<2>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | spi_miso_3_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | spi_miso<3> | 5058 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | spi_miso_3_IBUF | 5020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_3_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | spi_miso_2_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | spi_miso<2> | 5057 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | spi_miso_2_IBUF | 5019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | spi_miso_1_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | spi_miso<1> | 5056 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | spi_miso_1_IBUF | 5018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | spi_miso_0_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | spi_miso<0> | 5059 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | spi_miso_0_IBUF | 5021 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_0_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<0> | spi6502b_COPY_0_COPY_0 | 2155873280 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<3> | 4947 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_miso_3_IBUF | 5020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<2> | 4945 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_miso_2_IBUF | 5019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tc.EXP | 5428 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.EXP | tc | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidatain<0> | 4961 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidatain<0>.SI | spidatain<0> | 0 | 9 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<3> | 4947 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_miso_3_IBUF | 5020 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<2> | 4945 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_miso_2_IBUF | 5019 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tc.EXP | 5428 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.EXP | tc | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<0>.D1 | 5190 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<0>.D2 | 5191 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | tc.EXP +SPPTERM | 2 | IV_FALSE | slavesel<2> | IV_TRUE | spi_miso_2_IBUF +SPPTERM | 2 | IV_FALSE | slavesel<3> | IV_TRUE | spi_miso_3_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidatain<0>.CLKF | 5192 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<0>.RSTF | 5193 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<0>.CE | 5194 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 + +SRFF_INSTANCE | spidatain<0>.REG | spidatain<0> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidatain<0>.D | 5189 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidatain<0>.CLKF | 5192 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | spidatain<0>.RSTF | 5193 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidatain<0>.CE | 5194 | ? | 0 | 4096 | spidatain<0> | NULL | NULL | spidatain<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidatain<0>.Q | 5195 | ? | 0 | 0 | spidatain<0> | NULL | NULL | spidatain<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<1> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<0> | 4961 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidatain<1> | 4962 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidatain<1>.SI | spidatain<1> | 0 | 5 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<0> | 4961 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<1>.D1 | 5197 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<1>.D2 | 5198 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | spidatain<0> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidatain<1>.CLKF | 5199 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<1>.RSTF | 5200 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<1>.CE | 5201 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 + +SRFF_INSTANCE | spidatain<1>.REG | spidatain<1> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidatain<1>.D | 5196 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidatain<1>.CLKF | 5199 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | spidatain<1>.RSTF | 5200 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidatain<1>.CE | 5201 | ? | 0 | 4096 | spidatain<1> | NULL | NULL | spidatain<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidatain<1>.Q | 5202 | ? | 0 | 0 | spidatain<1> | NULL | NULL | spidatain<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<2> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<1> | 4962 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidatain<2> | 4963 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidatain<2>.SI | spidatain<2> | 0 | 5 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<1> | 4962 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<2>.D1 | 5204 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<2>.D2 | 5205 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | spidatain<1> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidatain<2>.CLKF | 5206 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<2>.RSTF | 5207 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<2>.CE | 5208 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 + +SRFF_INSTANCE | spidatain<2>.REG | spidatain<2> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidatain<2>.D | 5203 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidatain<2>.CLKF | 5206 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | spidatain<2>.RSTF | 5207 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidatain<2>.CE | 5208 | ? | 0 | 4096 | spidatain<2> | NULL | NULL | spidatain<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidatain<2>.Q | 5209 | ? | 0 | 0 | spidatain<2> | NULL | NULL | spidatain<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<3> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<2> | 4963 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidatain<3> | 4964 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidatain<3>.SI | spidatain<3> | 0 | 5 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<2> | 4963 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<3>.D1 | 5211 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<3>.D2 | 5212 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | spidatain<2> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidatain<3>.CLKF | 5213 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<3>.RSTF | 5214 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<3>.CE | 5215 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 + +SRFF_INSTANCE | spidatain<3>.REG | spidatain<3> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidatain<3>.D | 5210 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidatain<3>.CLKF | 5213 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | spidatain<3>.RSTF | 5214 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidatain<3>.CE | 5215 | ? | 0 | 4096 | spidatain<3> | NULL | NULL | spidatain<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidatain<3>.Q | 5216 | ? | 0 | 0 | spidatain<3> | NULL | NULL | spidatain<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<4> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<3> | 4964 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidatain<4> | 4965 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidatain<4>.SI | spidatain<4> | 0 | 5 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<3> | 4964 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<4>.D1 | 5218 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<4>.D2 | 5219 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | spidatain<3> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidatain<4>.CLKF | 5220 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<4>.RSTF | 5221 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<4>.CE | 5222 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 + +SRFF_INSTANCE | spidatain<4>.REG | spidatain<4> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidatain<4>.D | 5217 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidatain<4>.CLKF | 5220 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | spidatain<4>.RSTF | 5221 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidatain<4>.CE | 5222 | ? | 0 | 4096 | spidatain<4> | NULL | NULL | spidatain<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidatain<4>.Q | 5223 | ? | 0 | 0 | spidatain<4> | NULL | NULL | spidatain<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<5> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<4> | 4965 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidatain<5> | 4966 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidatain<5>.SI | spidatain<5> | 0 | 5 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<4> | 4965 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<5>.D1 | 5225 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<5>.D2 | 5226 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | spidatain<4> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidatain<5>.CLKF | 5227 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<5>.RSTF | 5228 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<5>.CE | 5229 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 + +SRFF_INSTANCE | spidatain<5>.REG | spidatain<5> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidatain<5>.D | 5224 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidatain<5>.CLKF | 5227 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | spidatain<5>.RSTF | 5228 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidatain<5>.CE | 5229 | ? | 0 | 4096 | spidatain<5> | NULL | NULL | spidatain<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidatain<5>.Q | 5230 | ? | 0 | 0 | spidatain<5> | NULL | NULL | spidatain<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<6> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<5> | 4966 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidatain<6> | 4967 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidatain<6>.SI | spidatain<6> | 0 | 5 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<5> | 4966 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<6>.D1 | 5232 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<6>.D2 | 5233 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | spidatain<5> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidatain<6>.CLKF | 5234 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<6>.RSTF | 5235 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<6>.CE | 5236 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 + +SRFF_INSTANCE | spidatain<6>.REG | spidatain<6> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidatain<6>.D | 5231 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidatain<6>.CLKF | 5234 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | spidatain<6>.RSTF | 5235 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidatain<6>.CE | 5236 | ? | 0 | 4096 | spidatain<6> | NULL | NULL | spidatain<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidatain<6>.Q | 5237 | ? | 0 | 0 | spidatain<6> | NULL | NULL | spidatain<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | spidatain<7> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<6> | 4967 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidatain<7> | 4968 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidatain<7>.SI | spidatain<7> | 0 | 5 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<6> | 4967 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidatain<7>.D1 | 5239 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidatain<7>.D2 | 5240 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | spidatain<6> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidatain<7>.CLKF | 5241 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | spidatain<7>.RSTF | 5242 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidatain<7>.CE | 5243 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 + +SRFF_INSTANCE | spidatain<7>.REG | spidatain<7> | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidatain<7>.D | 5238 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidatain<7>.CLKF | 5241 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | spidatain<7>.RSTF | 5242 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidatain<7>.CE | 5243 | ? | 0 | 4096 | spidatain<7> | NULL | NULL | spidatain<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidatain<7>.Q | 5244 | ? | 0 | 0 | spidatain<7> | NULL | NULL | spidatain<7>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | int_sclk | spi6502b_COPY_0_COPY_0 | 2155873280 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpol | 4948 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier.EXP | 5431 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.EXP | ier | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_sclk | 4969 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | int_sclk.SI | int_sclk | 0 | 8 | 5 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpol | 4948 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier.EXP | 5431 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.EXP | ier | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_sclk.D1 | 5246 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 1 | IV_TRUE | cpol +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_sclk.D2 | 5247 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ier.EXP +SPPTERM | 5 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpha | IV_TRUE | shiftcnt<0> | IV_FALSE | shiftdone | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | int_sclk.CLKF | 5248 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | int_sclk.SETF | 5249 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_TRUE | cpol +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | int_sclk.RSTF | 5250 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_FALSE | cpol + +SRFF_INSTANCE | int_sclk.REG | int_sclk | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_sclk.D | 5245 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | int_sclk.CLKF | 5248 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | int_sclk.SETF | 5249 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_TRUE | cpol +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | int_sclk.RSTF | 5250 | ? | 0 | 4096 | int_sclk | NULL | NULL | int_sclk.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 2 | IV_FALSE | cpu_Nres_IBUF | IV_FALSE | cpol +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_sclk.Q | 5251 | ? | 0 | 0 | int_sclk | NULL | NULL | int_sclk.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | shiftcnt<3> | spi6502b_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | shiftcnt<3>.SI | shiftcnt<3> | 0 | 7 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<3>.D1 | 5253 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<3>.D2 | 5254 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | shiftcnt<3> | IV_FALSE | shifting2 +SPPTERM | 4 | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | shiftcnt<3>.CLKF | 5255 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<3>.RSTF | 5256 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF + +SRFF_INSTANCE | shiftcnt<3>.REG | shiftcnt<3> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | shiftcnt<3>.D | 5252 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | shiftcnt<3>.CLKF | 5255 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | shiftcnt<3>.RSTF | 5256 | ? | 0 | 4096 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | shiftcnt<3>.Q | 5257 | ? | 0 | 0 | shiftcnt<3> | NULL | NULL | shiftcnt<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | shiftcnt<2> | spi6502b_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | shiftcnt<2>.SI | shiftcnt<2> | 0 | 6 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<2>.D1 | 5259 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<2>.D2 | 5260 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | shiftcnt<2> | IV_FALSE | shifting2 +SPPTERM | 3 | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | shiftcnt<2>.CLKF | 5261 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<2>.RSTF | 5262 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF + +SRFF_INSTANCE | shiftcnt<2>.REG | shiftcnt<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | shiftcnt<2>.D | 5258 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | shiftcnt<2>.CLKF | 5261 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | shiftcnt<2>.RSTF | 5262 | ? | 0 | 4096 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | shiftcnt<2>.Q | 5263 | ? | 0 | 0 | shiftcnt<2> | NULL | NULL | shiftcnt<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftcnt<0> | spi6502b_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | shiftcnt<0>.SI | shiftcnt<0> | 0 | 4 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<0>.D1 | 5265 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<0>.D2 | 5266 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | shiftcnt<0> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | shiftcnt<0>.CLKF | 5267 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<0>.RSTF | 5268 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF + +SRFF_INSTANCE | shiftcnt<0>.REG | shiftcnt<0> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | shiftcnt<0>.D | 5264 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | shiftcnt<0>.CLKF | 5267 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | shiftcnt<0>.RSTF | 5268 | ? | 0 | 4096 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | shiftcnt<0>.Q | 5269 | ? | 0 | 0 | shiftcnt<0> | NULL | NULL | shiftcnt<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftcnt<1> | spi6502b_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | shiftcnt<1>.SI | shiftcnt<1> | 0 | 5 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftcnt<1>.D1 | 5271 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftcnt<1>.D2 | 5272 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | shiftcnt<0> | IV_FALSE | shiftcnt<1> | IV_TRUE | shifting2 +SPPTERM | 3 | IV_FALSE | shiftcnt<0> | IV_TRUE | shiftcnt<1> | IV_TRUE | shifting2 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | shiftcnt<1>.CLKF | 5273 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftcnt<1>.RSTF | 5274 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF + +SRFF_INSTANCE | shiftcnt<1>.REG | shiftcnt<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | shiftcnt<1>.D | 5270 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | shiftcnt<1>.CLKF | 5273 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | shiftcnt<1>.RSTF | 5274 | ? | 0 | 4096 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | shiftcnt<1>.Q | 5275 | ? | 0 | 0 | shiftcnt<1> | NULL | NULL | shiftcnt<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | shiftdone | spi6502b_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | shiftdone.SI | shiftdone | 0 | 6 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<0> | 4972 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<0>.Q | shiftcnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shiftdone.D1 | 5277 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shiftdone.D2 | 5278 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<0> | IV_TRUE | shiftcnt<1> +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | shiftdone.CLKF | 5279 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | shiftdone.RSTF | 5280 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF + +SRFF_INSTANCE | shiftdone.REG | shiftdone | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | shiftdone.D | 5276 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | shiftdone.CLKF | 5279 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | shiftdone.RSTF | 5280 | ? | 0 | 4096 | shiftdone | NULL | NULL | shiftdone.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | cpu_Nres_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | shiftdone.Q | 5281 | ? | 0 | 0 | shiftdone | NULL | NULL | shiftdone.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | start_shifting | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | frx | 4951 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 5044 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | start_shifting.SI | start_shifting | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | frx | 4951 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 5044 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | start_shifting.D1 | 5283 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | start_shifting.D2 | 5284 | ? | 0 | 6144 | start_shifting | NULL | NULL | start_shifting.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_FALSE | cpu_rnw_IBUF | IV_FALSE | start_shifting | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF +SPPTERM | 4 | IV_TRUE | frx | IV_FALSE | start_shifting | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | start_shifting.CLKF | 5285 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | start_shifting.RSTF | 5286 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | start_shifting/start_shifting_RSTF__$INT.UIM + +SRFF_INSTANCE | start_shifting.REG | start_shifting | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | start_shifting.D | 5282 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | start_shifting.CLKF | 5285 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +SIGNAL | NODE | start_shifting.RSTF | 5286 | ? | 0 | 4096 | start_shifting | NULL | NULL | start_shifting.SI | 6 | 9 | MC_SI_RSTF +SPPTERM | 1 | IV_FALSE | start_shifting/start_shifting_RSTF__$INT.UIM +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | start_shifting.Q | 5287 | ? | 0 | 0 | start_shifting | NULL | NULL | start_shifting.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | tc | spi6502b_COPY_0_COPY_0 | 2424308736 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_miso_0_IBUF | 5021 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<1> | 4943 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_miso_1_IBUF | 5018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | tc | 4976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | tc.EXP | 5428 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.EXP | tc | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | tc.SI | tc | 0 | 9 | 6 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_miso_0_IBUF | 5021 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<1> | 4943 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_miso_1_IBUF | 5018 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_miso_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | tc.D1 | 5289 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | tc.D2 | 5290 | ? | 0 | 6144 | tc | NULL | NULL | tc.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | tc.CLKF | 5291 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | tc.SETF | 5292 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_TRUE | shiftdone +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | tc.EXP | 5424 | ? | 0 | 0 | tc | NULL | NULL | tc.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | slavesel<0> | IV_TRUE | spi_miso_0_IBUF +SPPTERM | 2 | IV_FALSE | slavesel<1> | IV_TRUE | spi_miso_1_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | tc.CE | 5293 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF + +SRFF_INSTANCE | tc.REG | tc | 0 | 4 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | tc.D | 5288 | ? | 0 | 0 | tc | NULL | NULL | tc.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | tc.CLKF | 5291 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 2 | 8 | SRFF_S +SIGNAL | NODE | tc.SETF | 5292 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 5 | 9 | MC_SI_SETF +SPPTERM | 1 | IV_TRUE | shiftdone +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | tc.CE | 5293 | ? | 0 | 4096 | tc | NULL | NULL | tc.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | tc.Q | 5294 | ? | 0 | 0 | tc | NULL | NULL | tc.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<0> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<0> | 4977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidataout<0> | 4977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidataout<0>.SI | spidataout<0> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<0> | 4977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3455 | 5006 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3455 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<0>.D1 | 5296 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidataout<0>.D2 | 5297 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | spidataout<0> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3455 +SPPTERM | 4 | IV_FALSE | spidataout<0> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3455 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidataout<0>.CLKF | 5298 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<0>.CE | 5299 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | spidataout<0>.REG | spidataout<0> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidataout<0>.D | 5295 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidataout<0>.CLKF | 5298 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidataout<0>.CE | 5299 | ? | 0 | 4096 | spidataout<0> | NULL | NULL | spidataout<0>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidataout<0>.Q | 5300 | ? | 0 | 0 | spidataout<0> | NULL | NULL | spidataout<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<1> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<1> | 4978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidataout<1> | 4978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidataout<1>.SI | spidataout<1> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<1> | 4978 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<1>.Q | spidataout<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3457 | 5007 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3457 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<1>.D1 | 5302 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidataout<1>.D2 | 5303 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | spidataout<1> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3457 +SPPTERM | 4 | IV_FALSE | spidataout<1> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3457 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidataout<1>.CLKF | 5304 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<1>.CE | 5305 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | spidataout<1>.REG | spidataout<1> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidataout<1>.D | 5301 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidataout<1>.CLKF | 5304 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidataout<1>.CE | 5305 | ? | 0 | 4096 | spidataout<1> | NULL | NULL | spidataout<1>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidataout<1>.Q | 5306 | ? | 0 | 0 | spidataout<1> | NULL | NULL | spidataout<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<2> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<2> | 4979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidataout<2> | 4979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidataout<2>.SI | spidataout<2> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<2> | 4979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3459 | 5008 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3459 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<2>.D1 | 5308 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidataout<2>.D2 | 5309 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | spidataout<2> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3459 +SPPTERM | 4 | IV_FALSE | spidataout<2> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3459 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidataout<2>.CLKF | 5310 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<2>.CE | 5311 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | spidataout<2>.REG | spidataout<2> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidataout<2>.D | 5307 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidataout<2>.CLKF | 5310 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidataout<2>.CE | 5311 | ? | 0 | 4096 | spidataout<2> | NULL | NULL | spidataout<2>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidataout<2>.Q | 5312 | ? | 0 | 0 | spidataout<2> | NULL | NULL | spidataout<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<3> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<3> | 4980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3461 | 5009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3461 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidataout<3> | 4980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidataout<3>.SI | spidataout<3> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<3> | 4980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3461 | 5009 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3461 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<3>.D1 | 5314 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidataout<3>.D2 | 5315 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | spidataout<3> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3461 +SPPTERM | 4 | IV_FALSE | spidataout<3> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3461 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidataout<3>.CLKF | 5316 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<3>.CE | 5317 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | spidataout<3>.REG | spidataout<3> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidataout<3>.D | 5313 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidataout<3>.CLKF | 5316 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidataout<3>.CE | 5317 | ? | 0 | 4096 | spidataout<3> | NULL | NULL | spidataout<3>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidataout<3>.Q | 5318 | ? | 0 | 0 | spidataout<3> | NULL | NULL | spidataout<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<4> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<4> | 4981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3463 | 5010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3463 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidataout<4> | 4981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidataout<4>.SI | spidataout<4> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<4> | 4981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3463 | 5010 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3463 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<4>.D1 | 5320 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidataout<4>.D2 | 5321 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | spidataout<4> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3463 +SPPTERM | 4 | IV_FALSE | spidataout<4> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3463 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidataout<4>.CLKF | 5322 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<4>.CE | 5323 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | spidataout<4>.REG | spidataout<4> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidataout<4>.D | 5319 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidataout<4>.CLKF | 5322 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidataout<4>.CE | 5323 | ? | 0 | 4096 | spidataout<4> | NULL | NULL | spidataout<4>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidataout<4>.Q | 5324 | ? | 0 | 0 | spidataout<4> | NULL | NULL | spidataout<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<5> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<5> | 4982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3465 | 5013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3465 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidataout<5> | 4982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidataout<5>.SI | spidataout<5> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<5> | 4982 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<5>.Q | spidataout<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3465 | 5013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3465 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<5>.D1 | 5326 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidataout<5>.D2 | 5327 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | spidataout<5> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3465 +SPPTERM | 4 | IV_FALSE | spidataout<5> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3465 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidataout<5>.CLKF | 5328 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<5>.CE | 5329 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | spidataout<5>.REG | spidataout<5> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidataout<5>.D | 5325 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidataout<5>.CLKF | 5328 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidataout<5>.CE | 5329 | ? | 0 | 4096 | spidataout<5> | NULL | NULL | spidataout<5>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidataout<5>.Q | 5330 | ? | 0 | 0 | spidataout<5> | NULL | NULL | spidataout<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<6> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<6> | 4983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidataout<6> | 4983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidataout<6>.SI | spidataout<6> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<6> | 4983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3467 | 5011 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3467 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<6>.D1 | 5332 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidataout<6>.D2 | 5333 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | spidataout<6> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3467 +SPPTERM | 4 | IV_FALSE | spidataout<6> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3467 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidataout<6>.CLKF | 5334 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<6>.CE | 5335 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | spidataout<6>.REG | spidataout<6> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidataout<6>.D | 5331 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidataout<6>.CLKF | 5334 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidataout<6>.CE | 5335 | ? | 0 | 4096 | spidataout<6> | NULL | NULL | spidataout<6>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidataout<6>.Q | 5336 | ? | 0 | 0 | spidataout<6> | NULL | NULL | spidataout<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | spidataout<7> | spi6502b_COPY_0_COPY_0 | 2424312832 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<7> | 4984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3469 | 5012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3469 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | spidataout<7> | 4984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | spidataout<7>.SI | spidataout<7> | 0 | 8 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<7> | 4984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3469 | 5012 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3469 | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | spidataout<7>.D1 | 5338 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | spidataout<7>.D2 | 5339 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | spidataout<7> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_FALSE | N3469 +SPPTERM | 4 | IV_FALSE | spidataout<7> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | N3469 +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | spidataout<7>.CLKF | 5340 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | spidataout<7>.CE | 5341 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF + +SRFF_INSTANCE | spidataout<7>.REG | spidataout<7> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | spidataout<7>.D | 5337 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | spidataout<7>.CLKF | 5340 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 2 | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | spidataout<7>.CE | 5341 | ? | 0 | 4096 | spidataout<7> | NULL | NULL | spidataout<7>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | cpu_rnw_IBUF +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | spidataout<7>.Q | 5342 | ? | 0 | 0 | spidataout<7> | NULL | NULL | spidataout<7>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | cpu_Nphi2_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | cpu_Nphi2 | 5060 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<0> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<0> | 4961 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<0> | 4958 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<0> | 4987 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<0>$OE | 4988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<0>.SI | int_dout<0> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<0> | 4961 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<0>.Q | spidatain<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<0> | 4958 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<0>.Q | divisor<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpha | 4950 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpha.Q | cpha | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<0>.D1 | 5344 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<0>.D2 | 5345 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slavesel<0> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpha | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<0> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<0> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_dout<0>.TRST | 5347 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | int_dout<0>.REG | int_dout<0> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_dout<0>.D | 5343 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_dout<0>.Q | 5348 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_dout<0>.BUFOE | int_dout<0> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_dout<0>.TRST | 5347 | ? | 0 | 4096 | int_dout<0> | NULL | NULL | int_dout<0>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_dout<0>.BUFOE.OUT | 5346 | ? | 0 | 0 | int_dout<0> | NULL | NULL | int_dout<0>.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<1> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<1> | 4962 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<1> | 4959 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpol | 4948 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<1> | 4943 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<1> | 4989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<1>$OE | 4990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<1>.SI | int_dout<1> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<1> | 4962 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<1>.Q | spidatain<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<1> | 4959 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<1>.Q | divisor<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpol | 4948 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpol.Q | cpol | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<1> | 4943 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<1>.D1 | 5350 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<1>.D2 | 5351 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slavesel<1> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpol | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<1> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<1> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_dout<1>.TRST | 5353 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | int_dout<1>.REG | int_dout<1> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_dout<1>.D | 5349 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_dout<1>.Q | 5354 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_dout<1>.BUFOE | int_dout<1> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_dout<1>.TRST | 5353 | ? | 0 | 4096 | int_dout<1> | NULL | NULL | int_dout<1>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_dout<1>.BUFOE.OUT | 5352 | ? | 0 | 0 | int_dout<1> | NULL | NULL | int_dout<1>.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<2> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<2> | 4963 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<2> | 4960 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ece | 4949 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<2> | 4945 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<2> | 4991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<2>$OE | 4992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<2>.SI | int_dout<2> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<2> | 4963 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<2>.Q | spidatain<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | divisor<2> | 4960 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | divisor<2>.Q | divisor<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ece | 4949 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<2> | 4945 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<2>.D1 | 5356 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<2>.D2 | 5357 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slavesel<2> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ece | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | divisor<2> | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<2> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_dout<2>.TRST | 5359 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | int_dout<2>.REG | int_dout<2> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_dout<2>.D | 5355 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_dout<2>.Q | 5360 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_dout<2>.BUFOE | int_dout<2> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_dout<2>.TRST | 5359 | ? | 0 | 4096 | int_dout<2> | NULL | NULL | int_dout<2>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_dout<2>.BUFOE.OUT | 5358 | ? | 0 | 0 | int_dout<2> | NULL | NULL | int_dout<2>.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<3> | spi6502b_COPY_0_COPY_0 | 2155888640 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<3> | 4964 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tmo | 4957 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<3> | 4947 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<3> | 4993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<3>$OE | 4994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<3>.SI | int_dout<3> | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<3> | 4964 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<3>.Q | spidatain<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tmo | 4957 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tmo.Q | tmo | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<3> | 4947 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<3>.D1 | 5362 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<3>.D2 | 5363 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slavesel<3> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | tmo | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<3> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_dout<3>.TRST | 5365 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | int_dout<3>.REG | int_dout<3> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_dout<3>.D | 5361 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_dout<3>.Q | 5366 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_dout<3>.BUFOE | int_dout<3> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_dout<3>.TRST | 5365 | ? | 0 | 4096 | int_dout<3> | NULL | NULL | int_dout<3>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_dout<3>.BUFOE.OUT | 5364 | ? | 0 | 0 | int_dout<3> | NULL | NULL | int_dout<3>.BUFOE | 0 | 10 | BUF_OUT + +INPUT_INSTANCE | 0 | 0 | NULL | spi_int_0_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | spi_int<0> | 5055 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | spi_int_0_IBUF | 5017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_0_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<4> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<4> | 4965 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_0_IBUF | 5017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | frx | 4951 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<0> | 4953 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<0>.Q | slaveinten<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<4> | 4995 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<4>$OE | 4996 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<4>.SI | int_dout<4> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<4> | 4965 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<4>.Q | spidatain<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_0_IBUF | 5017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | frx | 4951 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | frx.Q | frx | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<0> | 4953 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<0>.Q | slaveinten<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<4>.D1 | 5368 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<4>.D2 | 5369 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | frx | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slaveinten<0> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<4> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | spi_int_0_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_dout<4>.TRST | 5371 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | int_dout<4>.REG | int_dout<4> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_dout<4>.D | 5367 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_dout<4>.Q | 5372 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_dout<4>.BUFOE | int_dout<4> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_dout<4>.TRST | 5371 | ? | 0 | 4096 | int_dout<4> | NULL | NULL | int_dout<4>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_dout<4>.BUFOE.OUT | 5370 | ? | 0 | 0 | int_dout<4> | NULL | NULL | int_dout<4>.BUFOE | 0 | 10 | BUF_OUT + +INPUT_INSTANCE | 0 | 0 | NULL | spi_int_1_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | spi_int<1> | 5054 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | spi_int_1_IBUF | 5016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_1_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<5> | spi6502b_COPY_0_COPY_0 | 2155888640 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<5> | 4966 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2.EXP | 5423 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<5> | 4997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<5>$OE | 4998 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<5>.SI | int_dout<5> | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<5> | 4966 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<5>.Q | spidatain<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2.EXP | 5423 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<5>.D1 | 5374 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<5>.D2 | 5375 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | shifting2.EXP +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slaveinten<1> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<5> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | start_shifting | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | shifting2 | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_dout<5>.TRST | 5377 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | int_dout<5>.REG | int_dout<5> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_dout<5>.D | 5373 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_dout<5>.Q | 5378 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_dout<5>.BUFOE | int_dout<5> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_dout<5>.TRST | 5377 | ? | 0 | 4096 | int_dout<5> | NULL | NULL | int_dout<5>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_dout<5>.BUFOE.OUT | 5376 | ? | 0 | 0 | int_dout<5> | NULL | NULL | int_dout<5>.BUFOE | 0 | 10 | BUF_OUT + +INPUT_INSTANCE | 0 | 0 | NULL | spi_int_2_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | spi_int<2> | 5053 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | spi_int_2_IBUF | 5015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_2_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<6> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<6> | 4967 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_2_IBUF | 5015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<2> | 4955 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<2>.Q | slaveinten<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<6> | 4999 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<6>$OE | 5000 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<6>.SI | int_dout<6> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<6> | 4967 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<6>.Q | spidatain<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_2_IBUF | 5015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<2> | 4955 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<2>.Q | slaveinten<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<6>.D1 | 5380 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<6>.D2 | 5381 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | ier | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slaveinten<2> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<6> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | spi_int_2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_dout<6>.TRST | 5383 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | int_dout<6>.REG | int_dout<6> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_dout<6>.D | 5379 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_dout<6>.Q | 5384 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_dout<6>.BUFOE | int_dout<6> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_dout<6>.TRST | 5383 | ? | 0 | 4096 | int_dout<6> | NULL | NULL | int_dout<6>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_dout<6>.BUFOE.OUT | 5382 | ? | 0 | 0 | int_dout<6> | NULL | NULL | int_dout<6>.BUFOE | 0 | 10 | BUF_OUT + +INPUT_INSTANCE | 0 | 0 | NULL | spi_int_3_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | spi_int<3> | 5052 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | spi_int_3_IBUF | 5014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_3_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PinTrst+OptxMapped | int_dout<7> | spi6502b_COPY_0_COPY_0 | 2155888640 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<7> | 4968 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_3_IBUF | 5014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tc | 4976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<3> | 4956 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<3>.Q | slaveinten<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | int_dout<7> | 5001 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | int_dout<7>$OE | 5002 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | int_dout<7>.SI | int_dout<7> | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidatain<7> | 4968 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidatain<7>.Q | spidatain<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_3_IBUF | 5014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tc | 4976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<3> | 4956 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<3>.Q | slaveinten<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | int_dout<7>.D1 | 5386 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | int_dout<7>.D2 | 5387 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | slaveinten<3> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | spidatain<7> | IV_FALSE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | tc | IV_FALSE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | spi_int_3_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | int_dout<7>.TRST | 5389 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | int_dout<7>.REG | int_dout<7> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | int_dout<7>.D | 5385 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | int_dout<7>.Q | 5390 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | int_dout<7>.BUFOE | int_dout<7> | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | int_dout<7>.TRST | 5389 | ? | 0 | 4096 | int_dout<7> | NULL | NULL | int_dout<7>.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 4 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_TRUE | cpu_Nphi2_IBUF +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | int_dout<7>.BUFOE.OUT | 5388 | ? | 0 | 0 | int_dout<7> | NULL | NULL | int_dout<7>.BUFOE | 0 | 10 | BUF_OUT + +MACROCELL_INSTANCE | PrldLow+OptxMapped | shifting2 | spi6502b_COPY_0_COPY_0 | 2155873280 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_1_IBUF | 5016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | shifting2.EXP | 5423 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.EXP | shifting2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | shifting2.SI | shifting2 | 0 | 10 | 4 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_rnw_IBUF | 4939 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_rnw_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs1_IBUF | 5003 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cs1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | Ncs2_IBUF | 5004 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | Ncs2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_1_IBUF | 5016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | shifting2.D1 | 5392 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | shifting2.D2 | 5393 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | shiftdone | IV_TRUE | start_shifting +OUTPUT_NODE_TYPE | 3 | 9 | MC_SI_CLKF +SIGNAL | NODE | shifting2.CLKF | 5394 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | shifting2.EXP | 5422 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | cpu_rnw_IBUF | IV_TRUE | cpu_a_1_IBUF | IV_FALSE | cpu_a_0_IBUF | IV_TRUE | cs1_IBUF | IV_FALSE | Ncs2_IBUF | IV_FALSE | spi_int_1_IBUF | IV_TRUE | cpu_Nphi2_IBUF + +SRFF_INSTANCE | shifting2.REG | shifting2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | shifting2.D | 5391 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +SIGNAL | NODE | shifting2.CLKF | 5394 | ? | 0 | 4096 | shifting2 | NULL | NULL | shifting2.SI | 3 | 9 | MC_SI_CLKF +SPPTERM | 1 | IV_FALSE | $OpTx$INV$22__$INT.UIM +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | shifting2.Q | 5395 | ? | 0 | 0 | shifting2 | NULL | NULL | shifting2.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | extclk_IBUF | spi6502b_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | extclk | 5061 | PI | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | extclk_IBUF | 5023 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | OptxMapped | diag_OBUF | spi6502b_COPY_0_COPY_0 | 2155872256 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3465 | 5013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3465 | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | diag_OBUF | 5024 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | diag_OBUF.Q | diag_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | diag_OBUF.EXP | 5429 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | diag_OBUF.EXP | diag_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | diag_OBUF.SI | diag_OBUF | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slavesel<0> | 4941 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_1_IBUF | 4985 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_a_0_IBUF | 4986 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_a_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | N3465 | 5013 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | N3465 | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | diag_OBUF.D1 | 5397 | ? | 0 | 4096 | diag_OBUF | NULL | NULL | diag_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | diag_OBUF.D2 | 5398 | ? | 0 | 4096 | diag_OBUF | NULL | NULL | diag_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | slavesel<0> | IV_FALSE | start_shifting | IV_FALSE | shifting2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | diag_OBUF.EXP | 5425 | ? | 0 | 0 | diag_OBUF | NULL | NULL | diag_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | slaveinten<1> | IV_TRUE | cpu_a_1_IBUF | IV_TRUE | cpu_a_0_IBUF | IV_FALSE | N3465 + +SRFF_INSTANCE | diag_OBUF.REG | diag_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | diag_OBUF.D | 5396 | ? | 0 | 0 | diag_OBUF | NULL | NULL | diag_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | diag_OBUF.Q | 5399 | ? | 0 | 0 | diag_OBUF | NULL | NULL | diag_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | cpu_Nirq_OBUFE | spi6502b_COPY_0_COPY_0 | 2155923456 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 5045 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | cpu_Nirq_OBUFE$Q | 5025 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 2 | 0 | MC_OE +NODE | cpu_Nirq_OBUFE$OE | 5026 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE + +SIGNAL_INSTANCE | cpu_Nirq_OBUFE.SI | cpu_Nirq_OBUFE | 0 | 1 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 5045 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpu_Nirq_OBUFE.D1 | 5401 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cpu_Nirq_OBUFE.D2 | 5402 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 5404 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM + +SRFF_INSTANCE | cpu_Nirq_OBUFE.REG | cpu_Nirq_OBUFE | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cpu_Nirq_OBUFE.D | 5400 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cpu_Nirq_OBUFE.Q | 5405 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.REG | 0 | 8 | SRFF_Q + +BUF_INSTANCE | cpu_Nirq_OBUFE.BUFOE | cpu_Nirq_OBUFE | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN +SIGNAL | NODE | cpu_Nirq_OBUFE.TRST | 5404 | ? | 0 | 4096 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.SI | 4 | 9 | MC_SI_TRST +SPPTERM | 1 | IV_TRUE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM +OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT +NODE | cpu_Nirq_OBUFE.BUFOE.OUT | 5403 | ? | 0 | 0 | cpu_Nirq_OBUFE | NULL | NULL | cpu_Nirq_OBUFE.BUFOE | 0 | 10 | BUF_OUT + +OUTPUT_INSTANCE | 0 | spi_mosi | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_mosi | 4937 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.Q | int_mosi | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_mosi$OE | 4938 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_mosi.BUFOE.OUT | int_mosi | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | spi_mosi | 5027 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_mosi | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | spi_Nsel<0> | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | slavesel<0>$Q | 4940 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<0>.Q | slavesel<0> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | spi_Nsel<0> | 5028 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_Nsel<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | spi_Nsel<1> | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | slavesel<1>$Q | 4942 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<1>.Q | slavesel<1> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | spi_Nsel<1> | 5029 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_Nsel<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | spi_Nsel<2> | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | slavesel<2>$Q | 4944 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<2>.Q | slavesel<2> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | spi_Nsel<2> | 5030 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_Nsel<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | spi_Nsel<3> | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | slavesel<3>$Q | 4946 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slavesel<3>.Q | slavesel<3> | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | spi_Nsel<3> | 5031 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_Nsel<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | spi_sclk | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_sclk | 4969 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_sclk.Q | int_sclk | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | spi_sclk | 5032 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_sclk | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_d<0> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_dout<0> | 4987 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.Q | int_dout<0> | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_dout<0>$OE | 4988 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<0>.BUFOE.OUT | int_dout<0> | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_d<0> | 5033 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_d<1> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_dout<1> | 4989 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.Q | int_dout<1> | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_dout<1>$OE | 4990 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<1>.BUFOE.OUT | int_dout<1> | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_d<1> | 5034 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_d<2> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_dout<2> | 4991 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.Q | int_dout<2> | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_dout<2>$OE | 4992 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<2>.BUFOE.OUT | int_dout<2> | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_d<2> | 5035 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_d<3> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_dout<3> | 4993 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.Q | int_dout<3> | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_dout<3>$OE | 4994 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<3>.BUFOE.OUT | int_dout<3> | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_d<3> | 5036 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_d<4> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_dout<4> | 4995 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.Q | int_dout<4> | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_dout<4>$OE | 4996 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<4>.BUFOE.OUT | int_dout<4> | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_d<4> | 5037 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_d<5> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_dout<5> | 4997 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.Q | int_dout<5> | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_dout<5>$OE | 4998 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<5>.BUFOE.OUT | int_dout<5> | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_d<5> | 5038 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_d<6> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_dout<6> | 4999 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.Q | int_dout<6> | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_dout<6>$OE | 5000 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<6>.BUFOE.OUT | int_dout<6> | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_d<6> | 5039 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_d<7> | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | int_dout<7> | 5001 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.Q | int_dout<7> | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | int_dout<7>$OE | 5002 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | int_dout<7>.BUFOE.OUT | int_dout<7> | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_d<7> | 5040 | PIPO | 0 | 64 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_d<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | diag | spi6502b_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | diag_OBUF | 5024 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | diag_OBUF.Q | diag_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | diag | 5041 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | diag | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | cpu_Nirq | spi6502b_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | cpu_Nirq_OBUFE$Q | 5025 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.Q | cpu_Nirq_OBUFE | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | cpu_Nirq_OBUFE$OE | 5026 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE.BUFOE.OUT | cpu_Nirq_OBUFE | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | cpu_Nirq | 5042 | PO | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nirq | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$INV$22__$INT | spi6502b_COPY_0_COPY_0 | 2181038080 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ece | 4949 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | extclk_IBUF | 5023 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$INV$22__$INT.UIM | 5043 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | $OpTx$INV$22__$INT.Q | $OpTx$INV$22__$INT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$INV$22__$INT.SI | $OpTx$INV$22__$INT | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ece | 4949 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ece.Q | ece | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nphi2_IBUF | 5022 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nphi2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | extclk_IBUF | 5023 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | extclk_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | start_shifting | 4975 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting.Q | start_shifting | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$INV$22__$INT.D1 | 5407 | ? | 0 | 4096 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$INV$22__$INT.D2 | 5408 | ? | 0 | 4096 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ece | IV_FALSE | extclk_IBUF +SPPTERM | 2 | IV_FALSE | ece | IV_FALSE | cpu_Nphi2_IBUF +SPPTERM | 2 | IV_FALSE | start_shifting | IV_FALSE | shifting2 + +SRFF_INSTANCE | $OpTx$INV$22__$INT.REG | $OpTx$INV$22__$INT | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$INV$22__$INT.D | 5406 | ? | 0 | 0 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$INV$22__$INT.Q | 5409 | ? | 0 | 0 | $OpTx$INV$22__$INT | NULL | NULL | $OpTx$INV$22__$INT.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | start_shifting/start_shifting_RSTF__$INT | spi6502b_COPY_0_COPY_0 | 2181038080 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<3> | 4980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<7> | 4984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | start_shifting/start_shifting_RSTF__$INT.UIM | 5044 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.Q | start_shifting/start_shifting_RSTF__$INT | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | start_shifting/start_shifting_RSTF__$INT.EXP | 5420 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | start_shifting/start_shifting_RSTF__$INT.EXP | start_shifting/start_shifting_RSTF__$INT | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | start_shifting/start_shifting_RSTF__$INT.SI | start_shifting/start_shifting_RSTF__$INT | 0 | 8 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cpu_Nres_IBUF | 4936 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | cpu_Nres_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<3> | 4980 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<3>.Q | spidataout<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<7> | 4984 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<7>.Q | spidataout<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D1 | 5411 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.D2 | 5412 | ? | 0 | 4096 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cpu_Nres_IBUF | IV_FALSE | shiftdone +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | start_shifting/start_shifting_RSTF__$INT.EXP | 5418 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<3> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_FALSE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<7> | IV_TRUE | shifting2 + +SRFF_INSTANCE | start_shifting/start_shifting_RSTF__$INT.REG | start_shifting/start_shifting_RSTF__$INT | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | start_shifting/start_shifting_RSTF__$INT.D | 5410 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | start_shifting/start_shifting_RSTF__$INT.Q | 5413 | ? | 0 | 0 | start_shifting/start_shifting_RSTF__$INT | NULL | NULL | start_shifting/start_shifting_RSTF__$INT.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | SoftPfbk | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | spi6502b_COPY_0_COPY_0 | 2181038080 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tc | 4976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<3> | 4956 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<3>.Q | slaveinten<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_3_IBUF | 5014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<2> | 4955 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<2>.Q | slaveinten<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_2_IBUF | 5015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<0> | 4953 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<0>.Q | slaveinten<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_0_IBUF | 5017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_1_IBUF | 5016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | 5045 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ier | 4952 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | ier.Q | ier | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | tc | 4976 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | tc.Q | tc | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<3> | 4956 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<3>.Q | slaveinten<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_3_IBUF | 5014 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_3_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<2> | 4955 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<2>.Q | slaveinten<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_2_IBUF | 5015 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_2_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<0> | 4953 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<0>.Q | slaveinten<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_0_IBUF | 5017 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_0_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | slaveinten<1> | 4954 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | slaveinten<1>.Q | slaveinten<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spi_int_1_IBUF | 5016 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | NULL | spi_int_1_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D1 | 5415 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D2 | 5416 | ? | 0 | 4096 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ier | IV_TRUE | tc +SPPTERM | 2 | IV_TRUE | slaveinten<0> | IV_FALSE | spi_int_0_IBUF +SPPTERM | 2 | IV_TRUE | slaveinten<1> | IV_FALSE | spi_int_1_IBUF +SPPTERM | 2 | IV_TRUE | slaveinten<2> | IV_FALSE | spi_int_2_IBUF +SPPTERM | 2 | IV_TRUE | slaveinten<3> | IV_FALSE | spi_int_3_IBUF + +SRFF_INSTANCE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.REG | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.D | 5414 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.Q | 5417 | ? | 0 | 0 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | NULL | NULL | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP6_ | spi6502b_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<0> | 4977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<2> | 4979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<4> | 4981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<6> | 4983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP6_.EXP | 5421 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | EXP6_.EXP | EXP6_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP6_.SI | EXP6_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<3> | 4970 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<3>.Q | shiftcnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<2> | 4971 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<2>.Q | shiftcnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftcnt<1> | 4973 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftcnt<1>.Q | shiftcnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shiftdone | 4974 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shiftdone.Q | shiftdone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<0> | 4977 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<0>.Q | spidataout<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | shifting2 | 5005 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | shifting2.Q | shifting2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<2> | 4979 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<2>.Q | spidataout<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<4> | 4981 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<4>.Q | spidataout<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | spidataout<6> | 4983 | ? | 0 | 0 | spi6502b_COPY_0_COPY_0 | NULL | spidataout<6>.Q | spidataout<6> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP6_.EXP | 5419 | ? | 0 | 0 | EXP6_ | NULL | NULL | EXP6_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<0> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_TRUE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<2> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_TRUE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<4> | IV_TRUE | shifting2 +SPPTERM | 6 | IV_FALSE | shiftcnt<3> | IV_FALSE | shiftcnt<2> | IV_TRUE | shiftcnt<1> | IV_FALSE | shiftdone | IV_FALSE | spidataout<6> | IV_TRUE | shifting2 + +FB_INSTANCE | FOOBAR1_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | spidataout<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | spidataout<2> | 1 | spi_int_3_IBUF | 0 | NULL | 0 | 1 | 49152 +FBPIN | 3 | spidataout<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | spidataout<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | int_dout<0> | 1 | N3455 | 1 | cpu_d<0> | 1 | 2 | 49152 +FBPIN | 6 | int_dout<1> | 1 | N3457 | 1 | cpu_d<1> | 1 | 3 | 49152 +FBPIN | 7 | tmo | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | int_dout<2> | 1 | N3459 | 1 | cpu_d<2> | 1 | 4 | 49152 +FBPIN | 9 | slaveinten<0> | 1 | cpu_Nphi2_IBUF | 0 | NULL | 0 | 5 | 57344 +FBPIN | 10 | frx | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | ece | 1 | extclk_IBUF | 0 | NULL | 0 | 6 | 57344 +FBPIN | 12 | divisor<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | divisor<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | divisor<0> | 1 | cpu_rnw_IBUF | 0 | NULL | 0 | 7 | 57344 +FBPIN | 15 | int_dout<3> | 1 | N3461 | 1 | cpu_d<3> | 1 | 8 | 49152 +FBPIN | 16 | cpol | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | int_dout<4> | 1 | N3463 | 1 | cpu_d<4> | 1 | 9 | 49152 +FBPIN | 18 | cpha | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR2_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | start_shifting/start_shifting_RSTF__$INT | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | int_mosi | 1 | NULL | 0 | spi_mosi | 1 | 35 | 49152 +FBPIN | 3 | EXP6_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 6 | NULL | 0 | spi_miso_3_IBUF | 0 | NULL | 0 | 37 | 49152 +FBPIN | 8 | NULL | 0 | spi_miso_2_IBUF | 0 | NULL | 0 | 38 | 49152 +FBPIN | 9 | NULL | 0 | spi_int_2_IBUF | 0 | NULL | 0 | 39 | 51200 +FBPIN | 11 | NULL | 0 | spi_int_1_IBUF | 0 | NULL | 0 | 40 | 53248 +FBPIN | 14 | NULL | 0 | spi_int_0_IBUF | 0 | NULL | 0 | 42 | 53248 +FBPIN | 15 | NULL | 0 | spi_miso_1_IBUF | 0 | NULL | 0 | 43 | 49152 +FBPIN | 17 | NULL | 0 | spi_miso_0_IBUF | 0 | NULL | 0 | 44 | 49152 + +FB_INSTANCE | FOOBAR3_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | shifting2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | int_dout<5> | 1 | N3465 | 1 | cpu_d<5> | 1 | 11 | 49152 +FBPIN | 3 | shiftdone | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | $OpTx$INV$22__$INT | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | int_dout<6> | 1 | N3467 | 1 | cpu_d<6> | 1 | 12 | 49152 +FBPIN | 6 | start_shifting | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | spidatain<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | int_dout<7> | 1 | N3469 | 1 | cpu_d<7> | 1 | 13 | 49152 +FBPIN | 9 | cpu_Nirq_OBUFE | 1 | NULL | 0 | cpu_Nirq | 1 | 14 | 49152 +FBPIN | 10 | spidatain<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | spidatain<5> | 1 | Ncs2_IBUF | 0 | NULL | 0 | 18 | 49152 +FBPIN | 12 | spidatain<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | spidatain<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | spidatain<2> | 1 | cpu_Nres_IBUF | 0 | NULL | 0 | 19 | 49152 +FBPIN | 15 | spidatain<1> | 1 | cs1_IBUF | 0 | NULL | 0 | 20 | 49152 +FBPIN | 16 | shiftcnt<3> | 1 | cpu_a_1_IBUF | 0 | NULL | 0 | 24 | 49152 +FBPIN | 17 | shiftcnt<2> | 1 | cpu_a_0_IBUF | 0 | NULL | 0 | 22 | 49152 +FBPIN | 18 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | tc | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | slavesel<3> | 1 | NULL | 0 | spi_Nsel<3> | 1 | 25 | 49152 +FBPIN | 3 | shiftcnt<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | spidataout<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | slavesel<2> | 1 | NULL | 0 | spi_Nsel<2> | 1 | 26 | 49152 +FBPIN | 6 | spidataout<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | spidataout<5> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | slavesel<1> | 1 | NULL | 0 | spi_Nsel<1> | 1 | 27 | 49152 +FBPIN | 9 | spidataout<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | shiftcnt<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | slavesel<0> | 1 | NULL | 0 | spi_Nsel<0> | 1 | 28 | 49152 +FBPIN | 12 | slaveinten<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | slaveinten<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | diag_OBUF | 1 | NULL | 0 | diag | 1 | 29 | 49152 +FBPIN | 15 | slaveinten<1> | 1 | NULL | 0 | NULL | 0 | 33 | 49152 +FBPIN | 16 | ier | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | int_sclk | 1 | NULL | 0 | spi_sclk | 1 | 34 | 49152 +FBPIN | 18 | spidatain<0> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | INPUTPINS_FOOBAR5_ | spi6502b_COPY_0_COPY_0 | 0 | 0 | 0 + +BUSINFO | CPU_A<1:0> | 2 | 0 | 0 | cpu_a<0> | 1 | cpu_a<1> | 0 +BUSINFO | CPU_D<7:0> | 8 | 0 | 2 | cpu_d<0> | 7 | cpu_d<1> | 6 | cpu_d<2> | 5 | cpu_d<3> | 4 | cpu_d<4> | 3 | cpu_d<5> | 2 | cpu_d<6> | 1 | cpu_d<7> | 0 +BUSINFO | SPI_INT<3:0> | 4 | 0 | 0 | spi_int<0> | 3 | spi_int<1> | 2 | spi_int<2> | 1 | spi_int<3> | 0 +BUSINFO | SPI_MISO<3:0> | 4 | 0 | 0 | spi_miso<0> | 3 | spi_miso<1> | 2 | spi_miso<2> | 1 | spi_miso<3> | 0 +BUSINFO | SPI_NSEL<3:0> | 4 | 0 | 1 | spi_Nsel<0> | 3 | spi_Nsel<1> | 2 | spi_Nsel<2> | 1 | spi_Nsel<3> | 0 + +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | spidataout<3> | NULL | 1 | spidataout<2> | NULL | 2 | spidataout<1> | NULL | 3 | spidataout<0> | NULL | 4 | cpu_d<3> | 8 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 5 | Ncs2 | 18 | 6 | tmo | NULL | 8 | spi_int<0> | 42 | 10 | slavesel<0> | NULL | 11 | divisor<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | divisor<1> | NULL | 13 | divisor<0> | NULL | 15 | cs1 | 20 | 17 | spidatain<0> | NULL | 18 | slavesel<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 22 | slavesel<2> | NULL | 26 | spidatain<4> | NULL | 29 | cpu_d<0> | 2 | 31 | cpu_rnw | 7 | 32 | slavesel<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 33 | cpu_d<1> | 3 | 36 | cpu_a<0> | 22 | 39 | cpu_a<1> | 24 | 40 | cpu_d<2> | 4 | 41 | slaveinten<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 42 | spidatain<3> | NULL | 43 | cpha | NULL | 44 | cpu_d<4> | 9 | 45 | frx | NULL | 46 | cpu_Nres | 19 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 48 | spidatain<2> | NULL | 50 | ece | NULL | 51 | spidatain<1> | NULL | 52 | cpol | NULL | 53 | cpu_Nphi2 | 5 + +FB_IMUX_INDEX | FOOBAR1_ | 0 | 1 | 2 | 3 | 130 | 131 | 6 | -1 | 98 | -1 | 64 | 11 | 12 | 13 | -1 | 123 | -1 | 71 | 55 | -1 | -1 | -1 | 58 | -1 | -1 | -1 | 47 | -1 | -1 | 108 | -1 | 126 | 61 | 110 | -1 | -1 | 121 | -1 | -1 | 111 | 114 | 8 | 48 | 17 | 132 | 9 | 125 | -1 | 49 | -1 | 10 | 50 | 15 | 120 + + +FB_ORDER_OF_INPUTS | FOOBAR2_ | 3 | $OpTx$INV$22__$INT.UIM | NULL | 8 | spidataout<4> | NULL | 16 | shiftcnt<2> | NULL | 17 | cpu_Nres | 19 | 30 | spidataout<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 33 | spidataout<3> | NULL | 35 | shifting2 | NULL | 36 | shiftcnt<3> | NULL | 37 | spidataout<1> | NULL | 39 | tmo | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 44 | spidataout<2> | NULL | 45 | shiftdone | NULL | 46 | spidataout<0> | NULL | 48 | spidataout<7> | NULL | 49 | spidataout<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 50 | shiftcnt<1> | NULL + +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | 39 | -1 | -1 | -1 | -1 | 62 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 52 | 125 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 59 | -1 | -1 | 0 | -1 | 36 | 51 | 2 | -1 | 6 | -1 | -1 | -1 | -1 | 1 | 38 | 3 | -1 | 57 | 60 | 63 | -1 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | start_shifting/start_shifting_RSTF__$INT.UIM | NULL | 2 | spi_int<1> | 40 | 3 | $OpTx$INV$22__$INT.UIM | NULL | 5 | start_shifting | NULL | 6 | spidatain<7> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 8 | slaveinten<0> | NULL | 9 | frx | NULL | 10 | ece | NULL | 11 | spidatain<4> | NULL | 12 | cpu_Nphi2 | 5 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | spidatain<2> | NULL | 14 | spidatain<1> | NULL | 15 | shiftcnt<3> | NULL | 16 | shiftcnt<2> | NULL | 17 | cpu_Nirq_OBUFE/cpu_Nirq_OBUFE_TRST.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 20 | shiftcnt<0> | NULL | 24 | cs1 | 20 | 26 | ier | NULL | 27 | slaveinten<1> | NULL | 30 | spi_int<3> | 1 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 31 | cpu_rnw | 7 | 34 | spidatain<3> | NULL | 35 | shifting2 | NULL | 36 | cpu_a<0> | 22 | 39 | cpu_a<1> | 24 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 40 | tc | NULL | 42 | spi_int<0> | 42 | 43 | spidatain<6> | NULL | 44 | slaveinten<3> | NULL | 45 | shiftdone | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 46 | cpu_Nres | 19 | 47 | Ncs2 | 18 | 48 | extclk | 6 | 49 | spidatain<5> | NULL | 50 | shiftcnt<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 51 | slaveinten<2> | NULL | 52 | spi_int<2> | 39 | 53 | spidatain<0> | NULL + +FB_IMUX_INDEX | FOOBAR3_ | 18 | -1 | 92 | 39 | -1 | 41 | 42 | -1 | 8 | 9 | 10 | 47 | 120 | 49 | 50 | 51 | 52 | 53 | -1 | -1 | 56 | -1 | -1 | -1 | 123 | -1 | 69 | 68 | -1 | -1 | 106 | 126 | -1 | -1 | 48 | 36 | 121 | -1 | -1 | 111 | 54 | -1 | 98 | 45 | 65 | 38 | 125 | 131 | 122 | 46 | 63 | 66 | 86 | 71 + + +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | shifting2 | NULL | 1 | slavesel<3> | NULL | 2 | shiftdone | NULL | 3 | spidataout<7> | NULL | 4 | slavesel<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | spidataout<6> | NULL | 6 | spidataout<5> | NULL | 7 | slavesel<1> | NULL | 8 | spidataout<4> | NULL | 9 | shiftcnt<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 10 | slavesel<0> | NULL | 11 | slaveinten<3> | NULL | 12 | slaveinten<2> | NULL | 13 | cpu_a<0> | 22 | 14 | slaveinten<1> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 15 | cpol | NULL | 16 | cpu_d<7> | 13 | 17 | cpu_Nres | 19 | 18 | cpu_a<1> | 24 | 20 | shiftcnt<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 21 | cpu_d<6> | 12 | 23 | cpha | NULL | 24 | cs1 | 20 | 26 | ier | NULL | 28 | spi_miso<3> | 37 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 29 | cpu_d<0> | 2 | 31 | cpu_rnw | 7 | 33 | cpu_d<1> | 3 | 35 | Ncs2 | 18 | 39 | $OpTx$INV$22__$INT.UIM | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 40 | cpu_d<2> | 4 | 42 | cpu_d<5> | 11 | 43 | spi_miso<2> | 38 | 44 | cpu_d<4> | 9 | 45 | spi_miso<1> | 43 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 46 | start_shifting | NULL | 47 | spi_miso<0> | 44 | 53 | cpu_d<3> | 8 + +FB_IMUX_INDEX | FOOBAR4_ | 36 | 55 | 38 | 57 | 58 | 59 | 60 | 61 | 62 | 63 | 64 | 65 | 66 | 121 | 68 | 15 | 142 | 125 | 111 | -1 | 56 | 138 | -1 | 17 | 123 | -1 | 69 | -1 | 82 | 108 | -1 | 126 | -1 | 110 | -1 | 131 | -1 | -1 | -1 | 39 | 114 | -1 | 134 | 84 | 132 | 102 | 41 | 104 | -1 | -1 | -1 | -1 | -1 | 130 + diff --git a/spi6502b.xml b/spi6502b.xml new file mode 100644 index 0000000..3b47ab2 --- /dev/null +++ b/spi6502b.xml @@ -0,0 +1,3 @@ + + +spi6502b.rptC:/Xilinx/xc9500xl/data/xc9572xl.chpspi6502b.mfd
diff --git a/spi6502b_build.xml b/spi6502b_build.xml new file mode 100644 index 0000000..b0ba209 --- /dev/null +++ b/spi6502b_build.xml @@ -0,0 +1,205 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/spi6502b_pad.csv b/spi6502b_pad.csv new file mode 100644 index 0000000..99598ac --- /dev/null +++ b/spi6502b_pad.csv @@ -0,0 +1,73 @@ +Release 6.1i - Fit G.38 +Copyright(c) 1995-2003 Xilinx Inc. All rights reserved + + 5- 6-2017 5:27PM + +NOTE: This file is designed to be imported into a spreadsheet program +such as Microsoft Excel for viewing, printing and sorting. The comma ',' +character is used as the data field separator. +This file is also designed to support parsing. + +Input file: spi6502b.ngd +output file: spi6502b_pad.csv +Part type: xc9572xl +Speed grade: -10 +Package: pc44 + +Pinout by Pin Number: + +-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, +Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,{blank},Slew Rate,Termination,{blank},Voltage,Constraint, +P1,spi_int<3>,I,I/O,INPUT,,,,,,,,, +P2,cpu_d<0>,I/O,I/O,BIDIR,,,,,,,,, +P3,cpu_d<1>,I/O,I/O,BIDIR,,,,,,,,, +P4,cpu_d<2>,I/O,I/O,BIDIR,,,,,,,,, +P5,cpu_Nphi2,I,I/O/GCK1,INPUT,,,,,,,,, +P6,extclk,I,I/O/GCK2,INPUT,,,,,,,,, +P7,cpu_rnw,I,I/O/GCK3,INPUT,,,,,,,,, +P8,cpu_d<3>,I/O,I/O,BIDIR,,,,,,,,, +P9,cpu_d<4>,I/O,I/O,BIDIR,,,,,,,,, +P10,GND,,GND,,,,,,,,,, +P11,cpu_d<5>,I/O,I/O,BIDIR,,,,,,,,, +P12,cpu_d<6>,I/O,I/O,BIDIR,,,,,,,,, +P13,cpu_d<7>,I/O,I/O,BIDIR,,,,,,,,, +P14,cpu_Nirq,O,I/O,OUTPUT,,,,,,,,, +P15,TDI,,TDI,,,,,,,,,, +P16,TMS,,TMS,,,,,,,,,, +P17,TCK,,TCK,,,,,,,,,, +P18,Ncs2,I,I/O,INPUT,,,,,,,,, +P19,cpu_Nres,I,I/O,INPUT,,,,,,,,, +P20,cs1,I,I/O,INPUT,,,,,,,,, +P21,VCC,,VCCINT,,,,,,,,,, +P22,cpu_a<0>,I,I/O,INPUT,,,,,,,,, +P23,GND,,GND,,,,,,,,,, +P24,cpu_a<1>,I,I/O,INPUT,,,,,,,,, +P25,spi_Nsel<3>,O,I/O,OUTPUT,,,,,,,,, +P26,spi_Nsel<2>,O,I/O,OUTPUT,,,,,,,,, +P27,spi_Nsel<1>,O,I/O,OUTPUT,,,,,,,,, +P28,spi_Nsel<0>,O,I/O,OUTPUT,,,,,,,,, +P29,diag,O,I/O,OUTPUT,,,,,,,,, +P30,TDO,,TDO,,,,,,,,,, +P31,GND,,GND,,,,,,,,,, +P32,VCC,,VCCIO,,,,,,,,,, +P33,TIE,,I/O,,,,,,,,,, +P34,spi_sclk,O,I/O,OUTPUT,,,,,,,,, +P35,spi_mosi,O,I/O,OUTPUT,,,,,,,,, +P36,TIE,,I/O,,,,,,,,,, +P37,spi_miso<3>,I,I/O,INPUT,,,,,,,,, +P38,spi_miso<2>,I,I/O,INPUT,,,,,,,,, +P39,spi_int<2>,I,I/O/GSR,INPUT,,,,,,,,, +P40,spi_int<1>,I,I/O/GTS2,INPUT,,,,,,,,, +P41,VCC,,VCCINT,,,,,,,,,, +P42,spi_int<0>,I,I/O/GTS1,INPUT,,,,,,,,, +P43,spi_miso<1>,I,I/O,INPUT,,,,,,,,, +P44,spi_miso<0>,I,I/O,INPUT,,,,,,,,, + +To preserve the pinout above for future design iterations in +Project Navigator simply execute the (Lock Pins) process +located under the (Implement Design) process in a toolbox named +(Optional Implementation Tools) or invoke PIN2UCF from the +command line. The location constraints will be written into your +specified UCF file + + diff --git a/tmperr.err b/tmperr.err new file mode 100644 index 0000000..e69de29 diff --git a/userlang.tpl b/userlang.tpl new file mode 100644 index 0000000..7f80404 --- /dev/null +++ b/userlang.tpl @@ -0,0 +1,6 @@ +[Verilog.User Templates] +type=folder +[VHDL.User Templates] +type=folder +[ABEL.User Templates] +type=folder