diff --git a/VHDL/AddressDecoder.vhd b/VHDL/AddressDecoder.vhd index 0b73854..8494d9c 100644 --- a/VHDL/AddressDecoder.vhd +++ b/VHDL/AddressDecoder.vhd @@ -41,17 +41,18 @@ entity AddressDecoder is NRESET : in std_logic; DATA_EN : out std_logic; -- to CPLD NG : out std_logic; -- to bus transceiver - NOE : out std_logic); -- to EPROM + NOE : out std_logic; + LED : out std_logic); -- to EPROM end AddressDecoder; architecture Behavioral of AddressDecoder is signal cfxx : std_logic; -- $C800 - $CFFF disable - signal noe_int : std_logic; signal ndev_sel_int : std_logic; signal nio_sel_int : std_logic; signal nio_stb_int : std_logic; signal ncs : std_logic; -- $C800 - $CFFF enabled + signal a_int : std_logic_vector (11 downto 8); begin @@ -61,17 +62,27 @@ begin -- only from the first rising edge of 7M when any select -- line is low (Phi0 high) to the falling edge of Phi0 - B(8) <= A(8) or not A(11); - B(9) <= A(9) or not A(11); - B(10) <= A(10) or not A(11); - DATA_EN <= RNW and not ndev_sel_int and PHI0; - NG <= (ndev_sel_int and noe_int) or not PHI0; - NOE <= noe_int or not PHI0; - noe_int <= not RNW or not ndev_sel_int - or (nio_sel_int and nio_stb_int) - or (nio_sel_int and ncs); + -- $C0xx to $C7xx is mapped to EEPROM bank 0 + -- $C8xx to $CExx is mapped to banks 1 to 7 - cfxx <= A(8) and A(9) and A(10) and not nio_stb_int; + LED <= ncs; + B(8) <= (a_int(11) and not a_int(8)) + or (a_int(11) and a_int(10) and a_int(9)); + B(9) <= (a_int(11) and not a_int(9) and a_int(8)) + or (a_int(11) and a_int(9) and not a_int(8)) + or (a_int(11) and a_int(10) and a_int(9)); + B(10) <= (a_int(11) and a_int(10)) + or (a_int(11) and a_int(9) and a_int(8)); + + DATA_EN <= RNW and not NDEV_SEL; + NG <= (ndev_sel_int and nio_sel_int and nio_stb_int) + or (ndev_sel_int and nio_sel_int and ncs) + or not PHI0; + NOE <= not RNW + or not NDEV_SEL + or (not NIO_STB and ncs); + + cfxx <= a_int(8) and a_int(9) and a_int(10) and not nio_stb_int; process(NRESET, nio_sel_int, cfxx) begin @@ -88,10 +99,12 @@ begin ndev_sel_int <= '1'; nio_sel_int <= '1'; nio_stb_int <= '1'; + a_int <= "0000"; elsif rising_edge(CLK) then ndev_sel_int <= NDEV_SEL; nio_sel_int <= NIO_SEL; nio_stb_int <= NIO_STB; + a_int <= A; end if; end process; diff --git a/VHDL/AddressDecoder_Test.vhd b/VHDL/AddressDecoder_Test.vhd index cec5fcd..f3dcbf4 100644 --- a/VHDL/AddressDecoder_Test.vhd +++ b/VHDL/AddressDecoder_Test.vhd @@ -52,7 +52,8 @@ ARCHITECTURE behavior OF AddressDecoder_Test IS NRESET : IN std_logic; DATA_EN : OUT std_logic; NG : OUT std_logic; - NOE : OUT std_logic + NOE : OUT std_logic; + LED : OUT std_logic ); END COMPONENT; @@ -72,6 +73,7 @@ ARCHITECTURE behavior OF AddressDecoder_Test IS signal DATA_EN : std_logic; signal NG : std_logic; signal NOE : std_logic; + signal LED : std_logic; -- Clock period definitions constant CLK_period : time := 142 ns; @@ -91,7 +93,8 @@ BEGIN NRESET => NRESET, DATA_EN => DATA_EN, NG => NG, - NOE => NOE + NOE => NOE, + LED => LED ); -- Clock process definitions @@ -127,7 +130,7 @@ BEGIN -- insert stimulus here -- C0nX access - A <= "0000"; -- must become "111" + A <= "0000"; -- must become "000" wait until rising_edge(PHI0); NDEV_SEL <= '0'; wait until falling_edge(PHI0); @@ -135,7 +138,7 @@ BEGIN wait until rising_edge(PHI0); -- CnXX access - A <= "0100"; -- must become "111" + A <= "0100"; -- must become "000" wait until rising_edge(PHI0); NIO_SEL <= '0'; wait until falling_edge(PHI0); @@ -143,7 +146,7 @@ BEGIN wait until rising_edge(PHI0); -- C8xx access, selected - A <= "1000"; -- must become "000" + A <= "1000"; -- must become "001" wait until rising_edge(PHI0); NIO_STB <= '0'; wait until falling_edge(PHI0); @@ -151,7 +154,7 @@ BEGIN wait until rising_edge(PHI0); -- C9xx access, selected - A <= "1001"; -- must become "001" + A <= "1001"; -- must become "010" wait until rising_edge(PHI0); NIO_STB <= '0'; wait until falling_edge(PHI0); @@ -159,7 +162,7 @@ BEGIN wait until rising_edge(PHI0); -- CPLD access - A <= "0101"; -- must become "111" + A <= "0101"; -- must become "000" wait until rising_edge(PHI0); NDEV_SEL <= '0'; wait until falling_edge(PHI0); @@ -175,7 +178,7 @@ BEGIN wait until rising_edge(PHI0); -- C8xx access, unselected - A <= "1000"; -- must become "000" + A <= "1000"; -- must become "001" wait until rising_edge(PHI0); NIO_STB <= '0'; wait until falling_edge(PHI0); diff --git a/VHDL/AppleIISd.jed b/VHDL/AppleIISd.jed index 9082276..b96ae4e 100644 --- a/VHDL/AppleIISd.jed +++ b/VHDL/AppleIISd.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Mon Oct 16 22:51:45 2017 +Date Extracted: Mon Oct 23 22:04:22 2017 QF46656* QP44* @@ -43,45 +43,45 @@ N PPMAP 21 6* N PPMAP 24 7* N PPMAP 26 8* N PPMAP 27 9* -L0000000 01111100 00000000 00000000 00000000* -L0000032 00000000 00000000 00000000 00000000* -L0000064 00000000 10000000 00000000 00000000* -L0000096 00000000 00000000 00000000 00000000* +L0000000 00000000 00000000 00000000 00000000* +L0000032 10000000 00000000 00000000 00001000* +L0000064 00000000 00000000 00000000 00000000* +L0000096 10000000 00000000 00000000 00000000* L0000128 00000000 00000000 00000000 00000000* -L0000160 01000000 00000000 00000000 00000100* +L0000160 00000000 00000000 00000000 00001000* L0000192 00000000 00000000 00000000 00000000* -L0000224 00000000 00000000 00000000 00000000* +L0000224 00000000 00000000 00001000 00000000* L0000256 00000000 00000000 00000000 00000000* L0000288 000000 000000 000000 000000* -L0000312 000110 000000 000000 000000* -L0000336 000000 000000 000000 000000* +L0000312 000000 000000 000000 000000* +L0000336 000000 000000 000000 000010* L0000360 000000 000000 000000 000000* L0000384 000000 000000 000000 000000* L0000408 000000 000000 000000 000000* L0000432 00000000 00000000 00000000 00000000* -L0000464 00000000 00000000 00000000 00000000* +L0000464 00000000 00001000 10000000 00000100* L0000496 00000000 00000000 00000000 00000000* -L0000528 00000000 00000000 00000000 00000000* +L0000528 00000000 00000000 00000000 00111100* L0000560 00000000 00000000 00000000 00000000* L0000592 00000000 00000000 00000000 00000000* -L0000624 00000000 00000000 00000000 00000000* +L0000624 00000000 00000000 00000000 00000100* L0000656 00000000 00000000 00000000 00000000* -L0000688 00000000 00000000 00000000 00000000* +L0000688 00000100 00000000 00000000 00000000* L0000720 000000 000000 000000 000000* L0000744 000000 000000 000000 000000* -L0000768 000000 000000 000001 000000* +L0000768 000000 000000 000000 000000* L0000792 000000 000000 000000 000000* -L0000816 000000 000000 000000 000000* +L0000816 000000 000000 000000 011110* L0000840 000000 000000 000000 000000* L0000864 00000000 00000000 00000000 00000000* L0000896 00000000 00000000 00000000 00000000* -L0000928 10000000 00000000 00000000 00000000* +L0000928 00000000 00000000 00000000 00000000* L0000960 00000000 00000000 00000000 00000000* L0000992 00000000 00000000 00000000 00000000* -L0001024 00000000 00000000 00000000 00001000* -L0001056 10000000 00000000 00000000 00000000* -L0001088 00000000 00000000 00000000 00000000* -L0001120 10000010 00000000 00000000 00000000* +L0001024 00000000 00000000 00000000 00000000* +L0001056 00000000 00000000 00000000 00000000* +L0001088 00000000 10000000 00000000 00000000* +L0001120 00000010 00000000 00000000 00000000* L0001152 000000 000000 000000 000000* L0001176 000000 000000 000000 000000* L0001200 000000 000000 000000 000000* @@ -96,7 +96,7 @@ L0001424 00000000 00000000 00000000 00000000* L0001456 00000000 00000000 00000000 00000000* L0001488 00000000 00000000 00000000 00000000* L0001520 00000000 00000000 00000000 00000000* -L0001552 00000100 00000000 00000000 00000000* +L0001552 00000000 00000000 00000000 00000000* L0001584 000000 000000 000000 000000* L0001608 000000 000000 000000 000000* L0001632 000000 000000 000000 000000* @@ -104,11 +104,11 @@ L0001656 000000 000000 000000 000000* L0001680 000000 000000 000000 000000* L0001704 000000 000000 000000 000000* L0001728 00000000 00000000 00000000 00000000* -L0001760 00000100 00000000 00000000 00000000* -L0001792 10000000 00000000 00000000 00000000* +L0001760 00000000 00000000 00000000 00000000* +L0001792 00000000 10000000 00000000 00000000* L0001824 00000000 00000000 00000000 00000000* L0001856 00000000 00000000 00000000 00000000* -L0001888 00000000 00000000 00000000 00001000* +L0001888 00000000 00000000 00000000 00000000* L0001920 00000000 00000000 00000000 00000000* L0001952 00000000 00000000 00000000 00000000* L0001984 00000000 00000000 00000000 00000000* @@ -119,227 +119,227 @@ L0002088 000000 000000 000000 000000* L0002112 000000 000000 000000 000000* L0002136 000000 000000 000000 000000* L0002160 00000000 00000000 00000000 00000000* -L0002192 00000000 00000000 00001000 00000000* +L0002192 00000000 00000000 00000000 00000000* L0002224 00000000 00000000 00000000 00000000* -L0002256 01111100 00000000 00000000 00000000* +L0002256 00000000 00000000 00000000 00000000* L0002288 00000000 00000000 00000000 00000000* L0002320 00000000 00000000 00000000 00000000* -L0002352 00000000 00010000 00000000 00000100* -L0002384 00000100 00000000 00000000 00000000* -L0002416 01000000 00000000 00000000 00000000* +L0002352 00000000 00000000 00000000 00000000* +L0002384 00000000 00000000 00000000 00000000* +L0002416 00000000 00000000 00000000 00000000* L0002448 000000 000000 000000 000000* L0002472 000000 000000 000000 000000* -L0002496 000000 000000 000000 000000* +L0002496 000000 000000 000000 000100* L0002520 000000 000000 000000 000000* -L0002544 000110 000000 000000 000000* +L0002544 000000 000000 000000 000000* L0002568 000000 000000 000000 000000* L0002592 00000010 00000000 00000000 00000000* L0002624 00000000 00000000 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000* +L0002656 00000000 00000000 00000000 01000000* L0002688 00000010 00000000 00000000 00000000* L0002720 00000010 00000000 00000000 00000000* L0002752 00000011 00000000 00000000 00000000* -L0002784 00000000 00000000 00000000 00000000* -L0002816 00000000 00000000 00000000 00000000* +L0002784 00111100 10000000 00000000 00000000* +L0002816 00000000 00000000 00000000 00010000* L0002848 00000000 00000000 00000000 00000000* L0002880 000000 000000 000000 000000* L0002904 000000 000000 000000 000000* -L0002928 000000 000000 000000 000000* -L0002952 000000 000000 000000 000000* +L0002928 011000 000000 000000 000000* +L0002952 000000 000000 000000 100000* L0002976 000000 000000 000000 000000* L0003000 000000 000000 000000 000000* -L0003024 00000010 00000000 00000000 11111100* -L0003056 00000011 00000100 00000000 00000000* +L0003024 11111110 00000000 00000000 00000000* +L0003056 00000011 00000000 00000000 00000000* L0003088 00000000 00000000 00000000 00000000* L0003120 00000000 00000000 00000000 00000000* L0003152 00000010 00000000 00000000 00000000* -L0003184 10000001 00000000 00000000 00010000* +L0003184 11000001 00000000 00000000 00000000* L0003216 00000011 00000000 00000000 00000000* -L0003248 00000000 00000000 00000000 00000000* -L0003280 00000000 00000000 00010000 00000000* +L0003248 00000000 00000000 00100000 00000000* +L0003280 00000000 00000000 00000000 00000000* L0003312 000000 000000 000000 000000* -L0003336 100001 000000 000000 111111* +L0003336 100111 000000 000000 000000* L0003360 000000 000000 000000 000000* L0003384 000000 000000 000000 000000* L0003408 000000 000000 000000 000000* L0003432 000000 000000 000000 000000* L0003456 00000000 00000000 00000000 00000000* L0003488 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00000000* +L0003520 10000000 00000000 00000000 00000000* L0003552 00000000 00000000 00000000 00000000* L0003584 00000000 00000000 00000000 00000000* -L0003616 00000000 00000000 00000000 00000000* -L0003648 00000000 00000000 00000000 00000000* +L0003616 00000000 00000000 01000000 00000000* +L0003648 00000000 00000000 00001000 00000000* L0003680 00000000 00000000 00000000 00000000* L0003712 00000000 00000000 00000000 00000000* L0003744 000000 000000 000000 000000* -L0003768 000000 000000 000000 000000* +L0003768 000000 100000 000000 000000* L0003792 000000 000000 000000 000000* L0003816 000000 000000 000000 000000* L0003840 000000 000000 000000 000000* L0003864 000000 000000 000000 000000* L0003888 00000000 00000000 00000000 00000000* -L0003920 01000000 00000000 00000000 00000000* +L0003920 00000000 00000000 00000000 00000000* L0003952 00000000 00000000 00000000 00000000* L0003984 00000000 00000000 00000000 00000000* L0004016 00000000 00000000 00000000 00000000* -L0004048 00000000 00000000 00000000 10000000* -L0004080 00000000 00000000 00000000 10000000* -L0004112 00000000 00000000 00100000 00000000* +L0004048 00000000 00000000 00000000 00000000* +L0004080 00000000 00000000 00000000 00000000* +L0004112 00000000 00000000 00000000 00000000* L0004144 00000000 00000000 00000000 00000000* -L0004176 000001 000000 000000 000000* +L0004176 000000 000000 000000 000000* L0004200 000000 000000 000000 000000* L0004224 000000 000000 000000 000000* L0004248 000000 000000 000000 000000* L0004272 000000 000000 000000 000000* L0004296 000000 000000 000000 000000* -L0004320 00000000 10000000 00000000 00000000* -L0004352 00000000 10000000 00000000 00000000* -L0004384 00000000 10000000 00000000 00000000* +L0004320 00000000 00000000 00000000 00000000* +L0004352 00000000 00000000 00000000 00000000* +L0004384 00000000 00000000 00000000 00000000* L0004416 00000000 00000000 00000000 00000000* L0004448 00000000 00000000 00000000 00000000* L0004480 00000000 00000000 00000000 00000000* -L0004512 00000000 10000000 00000000 00000000* -L0004544 00000000 10000000 00000000 00000000* +L0004512 00000000 00000000 00000000 00000000* +L0004544 00000000 00000000 00000000 00000000* L0004576 00000000 00000000 00000000 00000000* -L0004608 000000 100000 000000 000000* +L0004608 000000 000000 000000 000000* L0004632 000000 000000 000000 000000* -L0004656 000000 100000 000000 000000* -L0004680 000000 100000 000000 000000* -L0004704 000000 100000 000000 000000* -L0004728 000000 100000 000000 000000* +L0004656 000000 000000 010000 000000* +L0004680 000000 000000 000000 000001* +L0004704 000000 000000 000000 000000* +L0004728 000000 000000 000000 000000* L0004752 00000000 00000000 00000000 00000000* -L0004784 00000000 00000000 00000000 00000000* +L0004784 00000000 00100000 00000000 00000000* L0004816 00000000 00000000 00000000 00000000* -L0004848 00000000 00000000 00000000 00000000* +L0004848 00000000 00000000 00000100 00000000* L0004880 00000000 00000000 00000000 00000000* L0004912 00000000 00000000 00000000 00000000* L0004944 00000000 00000000 00000000 00000000* -L0004976 00000000 00000000 00000000 00000000* -L0005008 00000000 01000000 00000000 00000000* +L0004976 00001000 00000000 00000000 00000000* +L0005008 00000000 00000000 00000000 00000000* L0005040 000000 000000 000000 000000* L0005064 000000 000000 000000 000000* -L0005088 000000 000000 001000 000000* +L0005088 000000 000000 000000 000000* L0005112 000000 000000 000000 000000* -L0005136 000000 000000 000000 000000* +L0005136 000000 000000 000011 000000* L0005160 000000 000000 000000 000000* -L0005184 00000011 00000011 00000001 00000011* -L0005216 00000011 00100011 00000011 00000011* -L0005248 00000011 00000011 00000001 00000011* -L0005280 00000011 00000011 00000001 00000011* +L0005184 00000011 00000001 00000011 00000011* +L0005216 10000011 10000011 00000011 00000011* +L0005248 01110011 00000001 01000011 00000011* +L0005280 10001011 00000001 00000011 00000011* L0005312 00000011 00000011 00000011 00000011* -L0005344 00000011 00000011 00000001 00000011* +L0005344 00011011 00000011 00000011 00000011* L0005376 00000011 00000011 00000011 00000011* -L0005408 00000011 00000011 00000011 00000011* -L0005440 00000011 00000011 00000011 00000011* -L0005472 000000 000000 000000 000000* +L0005408 11101111 00000011 10100011 00000011* +L0005440 00000111 00000011 00100011 00000011* +L0005472 000001 000000 000000 000000* L0005496 000000 000000 000000 000000* L0005520 000000 000000 000000 000000* -L0005544 000000 000000 000000 000000* -L0005568 000000 000001 000000 000000* +L0005544 101000 000000 000000 000000* +L0005568 011100 000000 000000 000000* L0005592 000000 000000 000000 000000* -L0005616 00000001 00000001 00000001 00000011* -L0005648 00000010 00000011 00000101 00000001* +L0005616 00000011 00000011 00000011 00000011* +L0005648 00000010 00000011 00000001 00000001* L0005680 00000011 00000011 00000001 00000011* -L0005712 00000011 00000101 00000001 00000011* -L0005744 00000010 00000011 00000001 00000000* -L0005776 00000001 00000011 00000001 00000011* -L0005808 01000011 00000011 00000001 00000011* -L0005840 00001000 00000011 00000000 00000001* -L0005872 00000001 00000111 00000011 00000011* +L0005712 00000111 00000001 00000011 00000011* +L0005744 00000010 00000001 00000000 00000000* +L0005776 00100101 00000001 10100011 00000011* +L0005808 00000011 00000011 00000011 00000011* +L0005840 00000000 00000011 00000000 00000001* +L0005872 00000001 00000011 00000011 00000011* L0005904 000000 000000 000000 000000* -L0005928 000000 000000 000000 000000* -L0005952 000000 010001 000000 000000* -L0005976 000000 000000 000000 000000* -L0006000 000000 000000 000000 000000* +L0005928 011000 000000 000000 000000* +L0005952 000000 000000 000000 010000* +L0005976 010000 000000 000000 000000* +L0006000 000011 000000 000000 000000* L0006024 000000 000000 000000 000000* -L0006048 00000011 00000001 00000001 00000011* -L0006080 00000011 00000011 00000010 00000011* -L0006112 00000011 00000011 00000001 00000011* -L0006144 00000011 00000011 00000001 00000011* -L0006176 00000011 00000001 00000011 00000000* -L0006208 00000011 00000011 00000001 00000011* -L0006240 00000011 00000011 00000001 00000011* -L0006272 00000011 00000011 00000010 00000001* -L0006304 00000011 00000011 00000011 00000011* -L0006336 000000 000000 000000 000000* -L0006360 000000 000000 000000 000000* +L0006048 00000011 00000001 00000011 00000011* +L0006080 10000011 00000011 00000011 00000011* +L0006112 01110011 00000001 01000011 00000011* +L0006144 10000111 00000001 00000001 00000011* +L0006176 00000011 00000001 00000011 00000010* +L0006208 00110011 00000001 00000011 00000011* +L0006240 00000011 00000011 00000011 00000011* +L0006272 11101011 00000011 10000011 00000011* +L0006304 00000011 00000001 00100011 00000011* +L0006336 000001 000000 000000 000000* +L0006360 001000 000000 000000 000000* L0006384 000000 000000 000000 000000* -L0006408 000000 000000 000000 000000* -L0006432 000000 000000 000000 000000* +L0006408 110000 000000 000000 000000* +L0006432 011011 000000 000000 000000* L0006456 000000 000000 000000 000000* L0006480 00000000 00000010 00000000 00000000* L0006512 00000001 00000000 00000010 00000000* -L0006544 00000000 00000000 00000000 00000000* -L0006576 00000000 00000000 00000000 00000000* +L0006544 00000000 00000010 00000000 00000000* +L0006576 00001000 00000000 00000000 00000000* L0006608 00000001 00000000 00000000 00000000* -L0006640 00000010 00000000 00000000 00000000* +L0006640 00001110 00000000 10100000 00000000* L0006672 00000000 00000000 00000000 00000000* -L0006704 00000011 00000000 00000010 00000000* -L0006736 00000010 00000000 00000000 00000000* +L0006704 00000111 00000000 00100010 00000000* +L0006736 00000110 00000000 00000000 00000000* L0006768 000000 000000 000000 000000* -L0006792 000000 000000 000000 000000* -L0006816 000000 000000 000000 010000* -L0006840 000000 000000 000000 000000* -L0006864 000000 000000 000000 000000* +L0006792 010000 000000 000000 000000* +L0006816 000000 000000 000000 000000* +L0006840 001000 000000 000000 000000* +L0006864 000100 000000 000000 000000* L0006888 000000 000000 000000 000000* -L0006912 00000011 00000000 00000000 00000011* -L0006944 00000010 00000010 00000010 00000001* -L0006976 00000011 00000000 00000000 00000011* -L0007008 00000011 00000000 00000000 00000011* -L0007040 00000011 00000010 00000010 00000000* -L0007072 00011011 00000010 00000000 00000011* -L0007104 10000011 00000011 00000000 00000011* -L0007136 00101111 01000001 00000010 00000001* -L0007168 10000111 00000001 00000000 00000011* -L0007200 000001 000000 000000 000000* +L0006912 00000011 00000000 00000001 00000011* +L0006944 00000010 00000010 00000011 00000001* +L0006976 00000011 00000000 00000001 00000011* +L0007008 00000011 00000000 00000011 00000011* +L0007040 00000011 00000000 00000011 00000000* +L0007072 00000011 00000000 00000011 00000011* +L0007104 00000011 00000000 00000001 00000011* +L0007136 00000011 00000000 00000010 00000011* +L0007168 00000011 00000000 00000001 00000011* +L0007200 000000 000000 000000 000000* L0007224 000000 000000 000000 000000* L0007248 000000 000000 000000 000000* -L0007272 101000 000000 000000 000000* -L0007296 011000 000000 000000 000000* +L0007272 000000 000000 000000 000000* +L0007296 000000 000000 000000 000000* L0007320 000000 000000 000000 000000* -L0007344 00000001 00000010 00000000 00000011* -L0007376 00000010 00000000 00000000 00101001* -L0007408 00000011 00000010 00000000 00000011* -L0007440 00000011 00000000 00000000 00000011* -L0007472 00000010 00000010 00000000 00000000* -L0007504 00100101 00000010 00000000 00000011* -L0007536 00000011 00000011 00000000 00000011* -L0007568 00000000 00000001 00000000 00000001* -L0007600 00000001 00000001 00000000 00000011* +L0007344 00000011 00000010 00000001 00000011* +L0007376 00000010 00000000 00000001 00100001* +L0007408 00000011 00000000 00000001 00000011* +L0007440 00000011 00000000 00000011 00000011* +L0007472 00000010 00000000 00000000 00000000* +L0007504 00000001 00000000 00000011 00000011* +L0007536 00000011 00000000 00000001 00000011* +L0007568 00000000 00000000 01000000 00000001* +L0007600 00000001 00000000 00000001 00000011* L0007632 000000 000000 000000 000000* -L0007656 011000 000000 000000 000000* -L0007680 000000 000000 000010 000010* -L0007704 010000 000000 000000 000000* -L0007728 000001 000000 000000 000000* +L0007656 000000 000000 000000 000000* +L0007680 000000 000001 000000 000000* +L0007704 000000 000000 000000 000000* +L0007728 000000 000000 000000 000000* L0007752 000000 000000 000000 000000* -L0007776 00000001 00000001 00000000 00000011* -L0007808 00000010 00000011 00000001 00000001* -L0007840 00000011 00000001 00000001 00000011* -L0007872 00000011 00000001 00000001 00000011* -L0007904 00000010 00000011 00000001 00000000* +L0007776 00000011 10000001 00000000 00000001* +L0007808 00000010 00000011 00000000 00000001* +L0007840 00000011 00000001 00000000 00000011* +L0007872 00000011 00000001 00000010 00000011* +L0007904 00000010 00000001 00000000 00000000* L0007936 00000011 00000001 00000001 00000011* -L0007968 00000011 00000001 00000001 00000011* -L0008000 00000001 01000011 00000010 00000000* -L0008032 00000011 00000011 00000001 00000011* +L0007968 00000011 00000001 00000011 00000011* +L0008000 00000001 00000001 00000010 00000000* +L0008032 00000011 00000011 00000011 00000011* L0008064 000000 000000 000000 000000* L0008088 000000 000000 000000 000000* L0008112 000000 000000 000000 000000* L0008136 000000 000000 000000 000000* L0008160 000000 000000 000000 000000* L0008184 000000 000000 000000 000000* -L0008208 00000001 00000001 00000000 00000011* -L0008240 00100000 00000011 00000001 00000101* -L0008272 00000011 00000011 00000001 00000011* -L0008304 00000011 00000001 00000001 00000011* -L0008336 00000010 00000011 00000001 00000000* -L0008368 00010001 00000001 00000001 00000011* -L0008400 00000010 00000001 00000001 00000011* -L0008432 00000000 00000010 00000000 00000000* -L0008464 00000001 00000010 00000001 00000011* +L0008208 00000001 00000001 00000000 00000001* +L0008240 00000010 00000011 00000000 00000001* +L0008272 00000011 00000001 00000000 00000011* +L0008304 00000011 00000001 00000010 00000010* +L0008336 00000010 00000001 00000000 00000000* +L0008368 00000001 00000001 00000101 00000010* +L0008400 00000011 00000001 00000111 00000010* +L0008432 00100000 00000001 00000000 00000000* +L0008464 00000001 00000011 00000011 00000011* L0008496 000000 000000 000000 000000* L0008520 000000 000000 000000 000000* -L0008544 000000 000000 000000 001000* +L0008544 000000 000000 000000 100001* L0008568 000000 000000 000000 000000* L0008592 000000 000000 000000 000000* L0008616 000000 000000 000000 000000* @@ -350,37 +350,37 @@ L0008736 00000000 00000000 00000000 00000000* L0008768 00000000 00000000 00000000 00000000* L0008800 00000000 00000000 00000000 00000000* L0008832 00000000 00000000 00000000 00000000* -L0008864 00000001 00000000 01000000 00000000* +L0008864 00000001 00000000 00000000 00000000* L0008896 00000000 00000000 00000000 00000000* L0008928 000000 000000 000000 000000* L0008952 000000 000000 000000 000000* -L0008976 000010 000000 000000 000000* +L0008976 000000 000000 000000 000000* L0009000 000000 000000 000000 000000* L0009024 000000 000000 000000 000000* L0009048 000000 000000 000000 000000* L0009072 00000000 00000000 00000000 00000000* -L0009104 00000000 01000000 00000000 00010000* -L0009136 00000000 00000010 00000000 00000000* +L0009104 00000000 00000000 00000000 01000000* +L0009136 00000000 00000000 00000000 00000000* L0009168 00000000 00000000 00000000 00000000* L0009200 00000000 00000000 00000000 00000000* -L0009232 00000000 00000000 00000000 00000000* -L0009264 00000000 00000000 00000000 00010000* +L0009232 00000000 00000000 00000100 00000000* +L0009264 00000000 00000000 00000000 00000000* L0009296 00000000 00000000 00000000 00000000* L0009328 00000000 00000000 00000000 00000000* L0009360 000000 000000 000000 000000* L0009384 010000 000000 000000 000000* -L0009408 000000 000000 000000 000001* +L0009408 000000 000000 100000 000000* L0009432 000000 000000 000000 000000* L0009456 000000 000000 000000 000000* L0009480 000000 000000 000000 000000* -L0009504 00000000 00000000 00100000 00000000* +L0009504 00000000 00000000 00000000 00000000* L0009536 00000000 00000010 00000000 00000010* L0009568 00000000 00000000 00000000 00000000* L0009600 00000000 00000000 00000000 00000000* -L0009632 00000000 00000000 00000010 00000010* +L0009632 00000000 00000000 00000000 00000000* L0009664 00000000 00000000 00000000 00000000* L0009696 00000000 00000000 00000000 00000000* -L0009728 00000000 00000000 00000001 00000010* +L0009728 00000000 00000000 00000000 00000000* L0009760 00000000 00000000 00000000 00000000* L0009792 000000 000000 000000 000000* L0009816 000000 000000 000000 000000* @@ -389,67 +389,67 @@ L0009864 000000 000000 000000 000000* L0009888 000000 000000 000000 000000* L0009912 000000 000000 000000 000000* L0009936 00000000 00000000 00000000 00000000* -L0009968 00010000 00000010 00000000 00000000* +L0009968 00000000 00000010 00000000 00010000* L0010000 00000000 00000000 00000000 00000000* -L0010032 00000000 00000000 00000000 00000000* +L0010032 10000000 00000000 00000000 00000000* L0010064 00000000 00000000 00000000 00000000* -L0010096 00000000 00000000 10000000 00000000* -L0010128 00000000 00001000 00000000 00000000* +L0010096 00001000 00000000 00000000 00000000* +L0010128 00000000 00000000 00000100 00000000* L0010160 00000000 00000000 00000000 00000000* L0010192 00000000 00000000 00000000 00000000* L0010224 000000 000000 000000 000000* L0010248 000000 000000 000000 000000* -L0010272 000000 000000 000000 000000* +L0010272 000000 000000 100000 000000* L0010296 000000 000000 000000 000000* -L0010320 001000 000000 000000 000000* +L0010320 000000 000000 000000 000000* L0010344 000000 000000 000000 000000* L0010368 00000000 00000000 00000000 00000000* -L0010400 00000000 01000010 00000000 00000000* -L0010432 00000000 00000000 00000000 00000000* +L0010400 00000000 00000010 00000000 00000000* +L0010432 00000000 10000000 00000000 00000000* L0010464 00000000 00000000 00000000 00000000* L0010496 00000000 00000000 00000000 00000000* -L0010528 00000000 00000000 00000100 00000000* -L0010560 00000000 00000000 00000000 10000000* -L0010592 00000000 00000000 00000000 00000000* +L0010528 00000000 00000000 00000000 01000000* +L0010560 00000000 00000000 00001000 00000000* +L0010592 00000000 10000000 00000000 00100000* L0010624 00000000 00000000 00000000 00000000* -L0010656 000000 000000 000000 000000* +L0010656 000000 100000 000000 000000* L0010680 000000 000000 000000 000000* -L0010704 000000 000000 000000 000000* +L0010704 000000 100000 000000 000000* L0010728 000000 000000 000000 000000* L0010752 000000 000000 000000 000000* L0010776 000000 000000 000000 000000* -L0010800 00000000 00000000 00000000 00000000* -L0010832 00000000 00000000 00000000 00000000* +L0010800 00000000 10000000 00000000 00000000* +L0010832 00000000 10000000 00000000 00000000* L0010864 00000000 00000010 00000000 00000000* L0010896 00000000 00000000 00000000 00000000* L0010928 00000000 00000000 00000000 00000000* -L0010960 00000000 00000000 00000000 00000000* -L0010992 00000000 00000000 00000000 00000000* -L0011024 00100000 00000000 00000000 00000000* +L0010960 00000000 00000000 00001000 00100000* +L0010992 00000000 10000000 00000000 00100000* +L0011024 00000000 00000000 00000000 00000000* L0011056 00000000 00000000 00000000 00000000* L0011088 000000 000000 000000 000000* -L0011112 000000 000000 000000 000000* -L0011136 000100 000000 000000 000000* +L0011112 000000 100000 000000 000000* +L0011136 100100 000000 000000 000000* L0011160 000000 000000 000000 000000* L0011184 000000 000000 000000 000000* L0011208 000000 000000 000000 000000* -L0011232 00000011 00000011 00000001 00000011* +L0011232 00000011 00000001 10000111 10111111* L0011264 00000011 00000011 00000011 00000011* -L0011296 00000011 00000011 00000001 00000011* -L0011328 00000011 00000011 00000001 00000011* +L0011296 00000011 00000001 00000011 00000011* +L0011328 00000011 00000001 00000011 00000011* L0011360 00000011 00000011 00000011 00000011* -L0011392 00000011 00000011 00010001 00000011* -L0011424 00000011 00000011 01000011 00000011* +L0011392 00000011 00000011 00000011 00000111* +L0011424 00000011 00000011 00000011 00000011* L0011456 00000011 00000011 00000011 00000011* L0011488 00000011 00000011 00000011 00000011* L0011520 000000 000000 000000 000000* -L0011544 000000 000000 000000 000000* +L0011544 000000 000000 010011 011110* L0011568 000000 000000 000000 000000* L0011592 000000 000000 000000 000000* L0011616 000000 000000 000000 000000* L0011640 000000 000000 000000 000000* L0011664 00000000 00000000 00000000 00000000* -L0011696 00000000 00000000 00000000 00000000* +L0011696 01000000 00000000 00000000 00000000* L0011728 00000000 00000000 00000000 00000000* L0011760 00000000 00000000 00000000 00000000* L0011792 00000000 00000000 00000000 00000000* @@ -459,7 +459,7 @@ L0011888 00000000 00000000 00000000 00000000* L0011920 00000000 00000000 00000000 00000000* L0011952 000000 000000 000000 000000* L0011976 000000 000000 000000 000000* -L0012000 100001 000000 000000 000000* +L0012000 000000 000000 000000 000000* L0012024 000000 000000 000000 000000* L0012048 000000 000000 000000 000000* L0012072 000000 000000 000000 000000* @@ -468,147 +468,147 @@ L0012128 00000000 00000000 00000000 00000000* L0012160 00000000 00000000 00000000 00000000* L0012192 00000000 00000000 00000000 00000000* L0012224 00000000 00000000 00000000 00000000* -L0012256 00000000 00000000 01000000 00000000* -L0012288 00000000 00000000 10100000 00000000* +L0012256 00000000 00000000 00000000 00000000* +L0012288 00000000 10000000 00000000 00000000* L0012320 00000000 00000000 00000000 00000000* L0012352 00000000 00000000 00000000 00000000* -L0012384 000000 000000 000000 000000* -L0012408 000000 000000 000000 000000* -L0012432 000000 000000 000000 000000* +L0012384 000000 100000 000000 000000* +L0012408 000000 100000 000000 000000* +L0012432 000000 100000 000000 000000* L0012456 000000 000000 000000 000000* L0012480 000000 000000 000000 000000* L0012504 000000 000000 000000 000000* -L0012528 00000000 00000000 00000000 00000000* -L0012560 00001000 00000000 00000000 10000000* -L0012592 00000000 00000000 00000000 00000000* +L0012528 00000000 10000000 00000000 00000000* +L0012560 00000000 10000000 00000000 00000000* +L0012592 00000000 10000000 00000000 00000000* L0012624 00000000 00000000 00000000 00000000* L0012656 00000000 00000000 00000000 00000000* L0012688 00000000 00000000 00000000 00000000* -L0012720 00000000 00100000 00000000 00000000* -L0012752 00000000 00000000 00000000 00000000* -L0012784 00000000 00000000 00000000 00000000* +L0012720 00000000 00000000 00000000 00000000* +L0012752 00000000 10000000 00000000 00000000* +L0012784 00000000 00000000 00100000 00000000* L0012816 000000 000000 000000 000000* -L0012840 000000 000000 000000 000000* +L0012840 001000 000000 000000 000000* L0012864 000000 000000 000000 000000* L0012888 000000 000000 000000 000000* -L0012912 010000 000000 000000 000000* +L0012912 000000 000000 000000 000000* L0012936 000000 000000 000000 000000* L0012960 00000000 00000000 00000000 00000000* -L0012992 00000000 00000010 00000000 00000011* -L0013024 00000000 10000000 00000000 00000000* -L0013056 00000000 00000000 00000000 00000000* +L0012992 00000000 10000010 00000000 00000011* +L0013024 00000000 00000000 00000000 10111100* +L0013056 00000000 00000000 00000000 01000000* L0013088 00000000 00000000 00000010 00000011* L0013120 00000000 00000000 00000000 00000000* -L0013152 00000000 00000000 00000000 00000000* -L0013184 00000000 10000000 00000001 00000011* -L0013216 00000000 00000000 00000000 00000000* +L0013152 00000000 10000000 00000000 00000000* +L0013184 00000000 10000000 00000001 00000111* +L0013216 00000000 00000000 00000000 00010000* L0013248 000000 100000 000000 000000* L0013272 000000 000000 000000 000000* L0013296 000000 000000 000000 000000* -L0013320 000000 000000 000000 000000* -L0013344 000000 000000 000000 000000* -L0013368 000000 100000 000000 000000* -L0013392 00000000 00000000 00000000 00000000* -L0013424 00000000 10000000 00000000 00000000* -L0013456 00000000 00000000 00000000 00000000* +L0013320 000000 000000 000000 011110* +L0013344 000000 000000 000000 100000* +L0013368 000000 000000 000000 000000* +L0013392 00000000 10000000 00000000 00000000* +L0013424 00000000 00000000 00000000 00000000* +L0013456 00000000 10000000 00000000 00000000* L0013488 00000000 00000000 00000000 00000000* L0013520 00000000 00000000 00000000 00000000* L0013552 00100000 00000000 00000000 00000000* -L0013584 00000000 10000000 00000000 00000000* -L0013616 00000000 00000000 00000000 00000000* -L0013648 00000000 00000000 00100000 00000000* +L0013584 00000000 00000000 00000000 00000000* +L0013616 00000000 00000000 10000000 00000000* +L0013648 00000000 00000000 00000000 00000000* L0013680 000000 000000 000000 000000* -L0013704 000000 000000 000000 000000* -L0013728 000000 010000 000000 100000* -L0013752 000000 100000 000000 000000* -L0013776 000000 100000 000000 000000* +L0013704 000000 100000 000000 000000* +L0013728 000000 100000 000010 000000* +L0013752 000000 000000 000000 000000* +L0013776 000000 000000 000000 000000* L0013800 000000 000000 000000 000000* -L0013824 00000010 00000010 00000000 00000000* -L0013856 00000001 00000000 00000010 00000010* -L0013888 00000000 00000000 00000000 00000000* -L0013920 00000000 00000010 00000000 00000000* -L0013952 00000001 00000000 00000010 00000011* -L0013984 00000010 00000000 00000000 00000000* -L0014016 00000000 00000000 00000010 00000000* -L0014048 00000011 00000000 00000011 00000010* +L0013824 00000000 00000000 00000000 00000000* +L0013856 10000001 00000000 00000010 00000010* +L0013888 01110000 00000000 00000010 00000000* +L0013920 00001100 00000000 00000000 00000000* +L0013952 00000001 00000010 00000011 00000011* +L0013984 00000010 00000010 00000000 00000000* +L0014016 00000000 00000000 00000000 00000000* +L0014048 11000011 00000000 00000011 00000010* L0014080 00000010 00000000 00000000 00000000* L0014112 000000 000000 000000 000000* L0014136 000000 000000 000000 000000* L0014160 000000 000000 000000 000000* -L0014184 000000 000000 000000 000000* -L0014208 000000 000000 000000 000000* +L0014184 100000 000000 000000 000000* +L0014208 000111 000000 000000 000000* L0014232 000000 000000 000000 000000* -L0014256 00000001 00000001 00000001 00000011* -L0014288 00000010 00000011 00000001 00000001* -L0014320 00000011 00000011 00000001 00000011* -L0014352 00000111 00000001 00000001 00000011* -L0014384 00000010 00000011 00000001 00000000* -L0014416 00000001 00000011 00000001 00000011* -L0014448 00000011 00000011 11100001 00000011* -L0014480 00000000 00000011 01000000 00000001* +L0014256 00000011 00000001 00000011 00000011* +L0014288 00000010 00010011 00000001 00000001* +L0014320 00000011 00000001 00000001 00000011* +L0014352 00000011 00000001 00000011 00000011* +L0014384 00000010 00000001 00000000 00000000* +L0014416 00000001 00000001 00000011 00000011* +L0014448 00111111 00000011 10100011 00010011* +L0014480 00000000 00000011 00000000 00000001* L0014512 00000001 00000011 00000011 00000011* L0014544 000000 000000 000000 000000* L0014568 000000 000000 000000 000000* -L0014592 000000 000000 000000 000000* +L0014592 011000 000000 000000 000000* L0014616 000000 000000 000000 000000* L0014640 000000 000000 000000 000000* L0014664 000000 000000 000000 000000* -L0014688 00000001 00000001 00000001 00000011* +L0014688 00000011 00000001 00000011 00000011* L0014720 00000010 00000011 00000001 00000001* -L0014752 00000011 00000011 00000001 00000011* -L0014784 00000011 00000001 00000001 00000011* -L0014816 00000010 00000011 00000001 00000000* -L0014848 00000001 00000011 00000001 00000011* -L0014880 00000011 00000011 00000001 00000011* +L0014752 00000011 00000001 00000001 00000011* +L0014784 00000011 00000001 00000011 00000011* +L0014816 00000010 00000001 00000000 00000000* +L0014848 00000001 00000001 00000011 00000011* +L0014880 00000011 00000011 00000011 00000011* L0014912 00000000 00000011 00000000 00000001* L0014944 00000001 00000011 00000011 00000011* L0014976 000000 000000 000000 000000* L0015000 000000 000000 000000 000000* -L0015024 000000 000000 000000 000000* +L0015024 000000 000000 100000 000000* L0015048 000000 000000 000000 000000* L0015072 000000 000000 000000 000000* L0015096 000000 000000 000000 000000* L0015120 00000000 00000000 00000000 00000000* -L0015152 00000000 00000000 00000000 01000000* +L0015152 00000000 00000000 00000000 00000000* L0015184 00000000 00000000 00000000 00000000* -L0015216 00000100 00000000 00000000 00000000* +L0015216 00000000 00000000 00000000 00000000* L0015248 00000000 00000000 00000000 00000000* -L0015280 00000000 00000000 00100000 00000000* -L0015312 00000000 00000000 00000000 00000000* +L0015280 00000000 00000000 00000000 00000000* +L0015312 00000000 00100000 01000000 00000000* L0015344 00000000 00000000 00000000 00000000* L0015376 00000000 00000000 00000000 00000000* L0015408 000000 000000 000000 000000* L0015432 000000 000000 000000 000000* -L0015456 000000 000000 000000 000100* -L0015480 000000 000000 000000 000000* +L0015456 000000 000000 000000 000000* +L0015480 010000 000000 000000 000000* L0015504 000000 000000 000000 000000* L0015528 000000 000000 000000 000000* -L0015552 10000000 00010000 00011100 00000011* -L0015584 00000000 00000000 00000000 00000001* +L0015552 00000001 00111100 00000000 00000000* +L0015584 00000010 00000000 00000000 00000001* L0015616 00000010 00000000 00000000 00000010* -L0015648 00000000 00000000 00000000 00000010* -L0015680 00000000 00000010 00000000 00000000* -L0015712 00000000 00110000 00001000 00000010* -L0015744 00000000 00000000 00000000 00000010* +L0015648 00000010 00000000 00000010 00000010* +L0015680 00000010 00000000 00000000 00000000* +L0015712 00000000 00111100 00000000 00000000* +L0015744 00000010 00000000 00000000 00000000* L0015776 00000000 00000000 00000000 00000000* -L0015808 00000000 00000000 00000000 00000010* +L0015808 00000000 00000000 00000000 00000000* L0015840 000000 000000 000000 000000* -L0015864 000000 001100 001111 000000* +L0015864 000000 000110 000000 000000* L0015888 000000 000000 000000 000000* L0015912 000000 000000 000000 000000* L0015936 000000 000000 000000 000000* L0015960 000000 000000 000000 000000* -L0015984 00000001 00001001 00000000 00000000* +L0015984 00000000 00000001 00000000 01000001* L0016016 00000000 00000001 00000000 00000000* -L0016048 00000001 00000000 00000000 00000001* -L0016080 00000011 00000000 00000000 00000001* -L0016112 00000010 00000000 00000001 00000000* -L0016144 00000001 00001000 00000001 00000001* -L0016176 00000010 00000001 00000001 00000001* -L0016208 00000000 00000010 00000000 00000000* -L0016240 00000001 00000010 00000001 00000001* +L0016048 00000001 00000001 00000000 00000001* +L0016080 00000001 00000001 00000000 00000000* +L0016112 00000000 00000001 00000000 00000000* +L0016144 00000001 00000001 00000001 10010010* +L0016176 00000001 00000001 00000001 10000010* +L0016208 00000000 00000001 00000000 00000000* +L0016240 00000001 00000000 00000001 00000011* L0016272 000000 000000 000000 000000* -L0016296 000000 000010 000000 000000* +L0016296 000000 000001 000000 100001* L0016320 000000 000000 000000 000000* L0016344 000000 000000 000000 000000* L0016368 000000 000000 000000 000000* @@ -628,14 +628,14 @@ L0016752 000000 000000 000000 000000* L0016776 000000 000000 000000 000000* L0016800 000000 000000 000000 000000* L0016824 000000 000000 000000 000000* -L0016848 00000000 00000000 00000000 00000000* -L0016880 00000010 00000000 00000000 00000000* +L0016848 00000010 00000000 00000000 00000000* +L0016880 00000000 00000100 00010000 00000000* L0016912 00000000 00000000 00000000 00000000* -L0016944 00000000 00000000 00000000 00000000* +L0016944 00000000 00000000 00000000 00000001* L0016976 00000000 00000000 00000000 00000000* -L0017008 00000000 00000000 00000000 01100000* -L0017040 00000001 00000000 00000000 00000000* -L0017072 00000000 00000001 00000000 00000000* +L0017008 00000000 00000000 00000000 00000001* +L0017040 00000000 00000000 00000000 00000000* +L0017072 00000000 00000000 00000000 00000000* L0017104 00000000 00000000 00000000 00000000* L0017136 000000 000000 000000 000000* L0017160 000000 000000 000000 000000* @@ -718,12 +718,12 @@ L0019344 000000 000000 000000 000000* L0019368 000000 000000 000000 000000* L0019392 000000 000000 000000 000000* L0019416 000000 000000 000000 000000* -L0019440 00000011 00000011 00000001 00000011* -L0019472 00000011 00001011 00000011 00000011* -L0019504 00000011 00000011 00000001 00000011* -L0019536 00000011 00000011 00000001 00000011* +L0019440 00000011 00000011 00000011 00000011* +L0019472 00000011 00000011 00000011 00000011* +L0019504 00000011 00000011 00000011 00000011* +L0019536 00000011 00000001 00000011 00000011* L0019568 00000011 00000011 00000011 00000011* -L0019600 00000011 00000011 00000001 00000011* +L0019600 00000011 00000011 00000011 10000011* L0019632 00000011 00000011 00000011 00000011* L0019664 00000011 00000011 00000011 00000011* L0019696 00000011 00000011 00000011 00000011* @@ -733,71 +733,71 @@ L0019776 000000 000000 000000 000000* L0019800 000000 000000 000000 000000* L0019824 000000 000000 000000 000000* L0019848 000000 000000 000000 000000* -L0019872 00000011 00000011 00000001 00000011* -L0019904 00000011 00000011 00000010 00000011* -L0019936 00000011 00000011 00000001 00000011* -L0019968 00000011 00000011 00000001 00000011* -L0020000 00000011 00000001 00000011 00000000* -L0020032 00000011 00000011 00000001 00000011* -L0020064 00000011 00000011 00000001 00000011* -L0020096 00000011 00000011 00000010 00000001* -L0020128 00000011 00000011 00000011 00000011* +L0019872 00000011 00000011 00000011 00000011* +L0019904 00000011 00000011 00000011 00000011* +L0019936 00000011 00000011 00000011 00000011* +L0019968 00000011 00000001 00000001 00000011* +L0020000 00000011 00000001 00000011 00000010* +L0020032 00000011 00000001 00000011 00000011* +L0020064 00000011 00000011 00000011 00000011* +L0020096 00000011 00000011 00000011 00000011* +L0020128 00000011 00000001 00000011 00000011* L0020160 000000 000000 000000 000000* L0020184 000000 000000 000000 000000* L0020208 000000 000000 000000 000000* L0020232 000000 000000 000000 000000* L0020256 000000 000000 000000 000000* L0020280 000000 000000 000000 000000* -L0020304 00000011 00000010 00000000 00000011* -L0020336 00000010 00000010 00000010 00000001* -L0020368 00000011 00000010 00000000 00000011* -L0020400 00000011 00000000 00000000 00000011* -L0020432 00000011 00000010 00000010 00000000* -L0020464 00000011 00000010 00000000 00000011* -L0020496 00000011 00000011 00000000 00000011* -L0020528 00000011 00000001 00000010 00000001* -L0020560 00000011 00000001 00000000 00000011* +L0020304 00000011 00000010 00000001 00000011* +L0020336 00000010 00000010 00001011 00000001* +L0020368 00000011 00000000 00000001 00000011* +L0020400 00000011 00000000 00000011 00000011* +L0020432 00000011 00000000 00000011 00000000* +L0020464 00000011 00000000 00000011 00000011* +L0020496 00000011 00000000 00000001 00000011* +L0020528 00000011 00000000 00000010 00000011* +L0020560 00000011 00000000 00000001 00000011* L0020592 000000 000000 000000 000000* -L0020616 001000 000000 000000 000000* +L0020616 000000 000000 000000 000000* L0020640 000000 000000 000000 000000* L0020664 000000 000000 000000 000000* L0020688 000000 000000 000000 000000* L0020712 000000 000000 000000 000000* -L0020736 00000001 01000001 00000000 00000011* -L0020768 00000010 00000011 00000001 00000001* -L0020800 00000011 00100111 00000001 00001111* -L0020832 00000011 00001001 00001001 11110011* -L0020864 00000010 00000011 00000001 00000000* +L0020736 00000011 00000001 00000000 00000001* +L0020768 00000010 00000011 00000000 00000001* +L0020800 00001111 00000001 00011100 00000011* +L0020832 01110011 00000001 00100010 00000011* +L0020864 00000010 00000001 00000000 00000000* L0020896 00000011 00000001 00000001 00000011* -L0020928 00000011 00000001 00000001 00000011* -L0020960 00000001 00000111 00000010 00000100* -L0020992 00000011 10001011 00000001 00010011* +L0020928 00000011 00000001 00000011 00000011* +L0020960 00000001 00000001 00010010 00000000* +L0020992 11000011 10000011 00000011 00000011* L0021024 000000 000000 000000 000000* -L0021048 000000 000000 000000 000000* +L0021048 000000 000000 100000 000000* L0021072 000000 000000 000000 000000* -L0021096 000000 010001 000000 000111* -L0021120 000000 000110 000100 111000* +L0021096 000111 000000 010111 000000* +L0021120 100000 000001 001000 000000* L0021144 000000 000000 000000 000000* -L0021168 00000000 10000000 00100000 00000000* +L0021168 00000000 00000000 00000000 00000000* L0021200 00000000 00000010 00000000 00000000* -L0021232 00000000 00000010 00000000 00000000* +L0021232 00000000 00000000 00000000 00000000* L0021264 00000000 00000000 00000000 00000000* L0021296 00000000 00000000 00000000 00000000* -L0021328 00000000 00000000 00000000 00000000* +L0021328 00000000 01000000 00000000 00000000* L0021360 00000000 00000000 00000000 00000000* L0021392 00000001 00000000 00000000 00000000* L0021424 00000000 00000000 00000000 00000000* L0021456 000000 000000 000000 000000* -L0021480 000000 000000 000000 000000* +L0021480 000000 010000 000000 000000* L0021504 000000 000000 000000 000000* L0021528 000000 000000 000000 000000* L0021552 000000 000000 000000 000000* L0021576 000000 000000 000000 000000* -L0021600 00000000 00000010 00000000 00000000* -L0021632 00000000 00000010 00000000 00000000* -L0021664 00000001 00000000 00000011 00000000* +L0021600 00000001 00000001 00000001 00000011* +L0021632 00000000 00000000 00000000 00000001* +L0021664 00000000 00000010 00000000 00000000* L0021696 00000000 00000000 00000000 00000000* -L0021728 00000010 00000010 00000000 00000010* +L0021728 00000010 00000000 00000010 00000011* L0021760 00000000 00000000 00000000 00000000* L0021792 00000000 00000000 00000000 00000000* L0021824 00000000 00000000 00000000 00000000* @@ -808,11 +808,11 @@ L0021936 000000 000000 000000 000000* L0021960 000000 000000 000000 000000* L0021984 000000 000000 000000 000000* L0022008 000000 000000 000000 000000* -L0022032 00000000 00000000 00000001 00000001* -L0022064 00000000 00000000 00000001 00000000* +L0022032 00000000 00000000 00000001 00000000* +L0022064 00000000 00000000 00000000 00000001* L0022096 00000000 00000000 00000000 00000000* L0022128 00000000 00000000 00000000 00000000* -L0022160 00000010 00000000 00000000 00000010* +L0022160 00000000 00000010 00000000 00000001* L0022192 00000000 00000000 00000000 00000000* L0022224 00000000 00000000 00000000 00000000* L0022256 00000000 00000000 00000000 00000000* @@ -823,11 +823,11 @@ L0022368 000000 000000 000000 000000* L0022392 000000 000000 000000 000000* L0022416 000000 000000 000000 000000* L0022440 000000 000000 000000 000000* -L0022464 00000000 00000010 00000000 00000000* -L0022496 00000010 00000010 00000010 00000011* +L0022464 00000000 00000000 00000000 00000000* +L0022496 00000000 00000000 00000000 00000010* L0022528 00000000 00000000 00000000 00000000* L0022560 00000000 00000000 00000000 00000000* -L0022592 00000010 00000000 00000000 00000011* +L0022592 00000000 00000010 00000000 00000000* L0022624 00000000 00000000 00000000 00000000* L0022656 00000000 00000000 00000000 00000000* L0022688 00000000 00000000 00000000 00000000* @@ -838,13 +838,13 @@ L0022800 000000 000000 000000 000000* L0022824 000000 000000 000000 000000* L0022848 000000 000000 000000 000000* L0022872 000000 000000 000000 000000* -L0022896 00000011 00000001 00000000 00000010* -L0022928 00000011 00000001 00000010 00000010* +L0022896 00000011 00000000 00000011 00000010* +L0022928 00000010 00000000 00000001 00000001* L0022960 00000000 00000000 00000000 00000000* L0022992 00000000 00000000 00000000 00000000* -L0023024 00000000 00000011 00000010 00000000* -L0023056 00000100 00000000 00000000 00000000* -L0023088 00000000 00000000 00001000 00000000* +L0023024 00000001 00000010 00000011 00000000* +L0023056 00000000 00000000 00000000 00000000* +L0023088 00000000 00000000 00000000 00000000* L0023120 00000000 00000000 00000000 00000000* L0023152 00000000 00000000 00000000 00000000* L0023184 000000 000000 000000 000000* @@ -854,71 +854,71 @@ L0023256 000000 000000 000000 000000* L0023280 000000 000000 000000 000000* L0023304 000000 000000 000000 000000* L0023328 00000000 00000001 00000000 00000000* -L0023360 10000000 00000001 00000010 00000001* -L0023392 00000000 00000000 00000000 00000010* -L0023424 00000000 00000000 00000000 00000000* -L0023456 00000010 00000001 00000010 00000001* +L0023360 00000010 00000001 00000000 00000000* +L0023392 01110000 00000000 00000010 00000000* +L0023424 00001100 00000000 00000000 00000000* +L0023456 00000010 00000011 00000000 00000000* L0023488 00000000 00000000 00000000 00000000* L0023520 00000000 00000000 00000000 00000000* -L0023552 00000000 00000000 00000000 00000000* +L0023552 11000000 00000000 00000000 00000000* L0023584 00000000 00000000 00000000 00000000* L0023616 000000 000000 000000 000000* -L0023640 000000 000000 000000 000000* +L0023640 000000 010000 000000 000000* L0023664 000000 000000 000000 000000* -L0023688 000000 000000 000000 000000* -L0023712 000000 000000 000000 000000* +L0023688 100000 000000 000000 000000* +L0023712 000111 000000 000000 000000* L0023736 000000 000000 000000 000000* -L0023760 00000000 00000001 00000000 00000000* -L0023792 00000000 00000010 00000000 00000000* -L0023824 00000000 00000000 00000010 00000000* -L0023856 00000000 00000000 00000000 00000000* -L0023888 00000000 00000010 00000000 00000000* -L0023920 00000000 00000000 01000000 00000000* -L0023952 00111100 00000000 11100000 00000000* -L0023984 00000000 00000000 01000000 00000000* +L0023760 00000010 00000000 00000010 00000000* +L0023792 00000010 00000000 00000000 10000010* +L0023824 00000000 00000010 00000000 00000000* +L0023856 00000000 00000000 00000000 00001000* +L0023888 00000010 00000000 00000010 00000010* +L0023920 00000000 00000000 10000000 00000000* +L0023952 00000000 00000000 00000000 00000000* +L0023984 00000000 00000000 00000000 00000000* L0024016 00000000 00000000 00000000 00000000* L0024048 000000 000000 000000 000000* L0024072 000000 000000 000000 000000* -L0024096 011000 000000 000000 000000* +L0024096 000000 000000 000000 000010* L0024120 000000 000000 000000 000000* L0024144 000000 000000 000000 000000* L0024168 000000 000000 000000 000000* -L0024192 00000000 00000000 00000000 00000000* -L0024224 00000000 00000010 00000000 00000000* -L0024256 00000000 00000000 00000010 00000000* +L0024192 00000000 00000001 00000000 00000010* +L0024224 00000010 00000001 00000010 00000010* +L0024256 00000000 00000000 00000000 01000000* L0024288 00000000 00000000 00000000 00000000* -L0024320 00000010 00000011 00000000 00000000* -L0024352 00000000 00000000 00000000 00100000* +L0024320 00000010 00000010 00000010 00000011* +L0024352 00000000 00000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000* L0024416 00000000 00000000 00000000 00000000* L0024448 00000000 00000000 00000000 00000000* L0024480 000000 000000 000000 000000* L0024504 000000 000000 000000 000000* L0024528 000000 000000 000000 000000* -L0024552 000000 000000 000000 000000* +L0024552 000000 000000 000000 100000* L0024576 000000 000000 000000 000000* L0024600 000000 000000 000000 000000* -L0024624 00000000 00000000 00000000 00000000* -L0024656 00000000 00000000 00010000 00000010* +L0024624 00000001 00000000 00000000 00000000* +L0024656 00000011 00000000 00000010 00000000* L0024688 00000000 00000000 00000000 00000000* L0024720 00000000 00000000 00000000 00000000* -L0024752 00000000 00000000 00000000 00000010* +L0024752 00000011 00000000 00000010 00000000* L0024784 00000000 00000000 00000000 00000000* L0024816 00000000 00000000 00000000 00000000* -L0024848 00000000 00000000 00000000 00000000* +L0024848 00000000 00000000 00000000 00010000* L0024880 00000000 00000000 00000000 00000000* L0024912 000000 000000 000000 000000* L0024936 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000* +L0024960 000000 000000 000100 000000* L0024984 000000 000000 000000 000000* -L0025008 000000 000000 000000 000000* +L0025008 000000 000000 000000 000001* L0025032 000000 000000 000000 000000* -L0025056 00000010 00000010 00000000 00000000* -L0025088 00000001 00000000 00000000 00000011* -L0025120 00000000 00000000 00000010 00000000* +L0025056 00000000 00000001 00000001 00000011* +L0025088 00000001 00000010 00000010 00000011* +L0025120 00000000 00000000 00000000 00000000* L0025152 00000000 00000000 00000000 00000000* -L0025184 00000011 00000010 00000000 00000011* -L0025216 00000000 00000000 00000000 10000000* +L0025184 00000001 00000001 00000011 00000011* +L0025216 00000000 00000000 00000000 00000000* L0025248 00000000 00000000 00000000 00000000* L0025280 00000000 00000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000* @@ -928,11 +928,11 @@ L0025392 000000 000000 000000 000000* L0025416 000000 000000 000000 000000* L0025440 000000 000000 000000 000000* L0025464 000000 000000 000000 000000* -L0025488 00000000 00000011 00000000 00000000* -L0025520 00000000 00000001 00000000 00000010* +L0025488 00000011 00000000 00000010 00000000* +L0025520 00000011 00000000 00000000 00000010* L0025552 00000000 00000000 00000000 00000000* L0025584 00000000 00000000 00000000 00000000* -L0025616 00000010 00000011 00000000 00000010* +L0025616 00000011 00000010 00000010 00000010* L0025648 00000000 00000000 00000000 00000000* L0025680 00000000 00000000 00000000 00000000* L0025712 00000000 00000000 00000000 00000000* @@ -943,56 +943,56 @@ L0025824 000000 000000 000000 000000* L0025848 000000 000000 000000 000000* L0025872 000000 000000 000000 000000* L0025896 000000 000000 000000 000000* -L0025920 00000010 00000010 00000010 00000000* -L0025952 00000011 00000000 00000000 00000010* -L0025984 01111100 00000000 00000000 00000000* +L0025920 00000010 00000000 00000010 00000000* +L0025952 00000010 00000000 00000000 00000010* +L0025984 00000000 00000000 00000000 01000000* L0026016 00000000 00000000 00000000 00000000* -L0026048 00000011 00000001 00000010 00000010* +L0026048 00000010 00000000 00000010 00000010* L0026080 00000000 00000000 00000000 00000000* -L0026112 00000000 10000000 00000000 00000000* -L0026144 01000000 00000000 00000000 00000000* +L0026112 00000000 00000000 00000000 00000000* +L0026144 00000000 00000000 00000000 00010000* L0026176 00000000 00000000 00000000 00000000* L0026208 000000 000000 000000 000000* L0026232 000000 000000 000000 000000* L0026256 000000 000000 000000 000000* -L0026280 000110 000000 000000 000000* -L0026304 100000 000000 000000 000000* +L0026280 000000 000000 000000 100000* +L0026304 000000 000000 000000 000001* L0026328 000000 000000 000000 000000* -L0026352 00000000 00000000 00000001 00000001* -L0026384 00000000 00000001 00000010 00000000* -L0026416 00000000 00000010 00000000 00000000* +L0026352 00000000 00000000 00000011 00000011* +L0026384 00000001 00000000 00000000 00000011* +L0026416 00000000 00000000 00000000 00000000* L0026448 00000000 00000000 00000000 00000000* -L0026480 00000010 00000001 00000011 00000001* -L0026512 00000000 00000000 00000000 00000000* -L0026544 00000000 00000000 00000000 00000000* -L0026576 00000000 00000000 00000000 00000000* +L0026480 00000011 00000000 00000011 00000011* +L0026512 00000000 00000000 00000000 10000000* +L0026544 00000000 00000000 00000000 10000000* +L0026576 00000100 00000000 00000000 00000000* L0026608 00000000 00000000 00000000 00000000* L0026640 000000 000000 000000 000000* L0026664 000000 000000 000000 000000* -L0026688 000000 000000 000000 000000* +L0026688 000000 000000 000001 000000* L0026712 000000 000000 000000 000000* L0026736 000000 000000 000000 000000* L0026760 000000 000000 000000 000000* -L0026784 00000000 00000001 00000011 00000000* -L0026816 00000000 00000011 00000001 00000010* +L0026784 00000000 00000011 00000001 00000010* +L0026816 00000010 00000011 00000001 00000001* L0026848 00000000 00000000 00000000 00000000* L0026880 00000000 00000000 00000000 00000000* -L0026912 00000010 00000010 00000000 00000010* +L0026912 00000010 00000010 00000010 00000011* L0026944 00000000 00000000 00000000 00000000* -L0026976 00000000 00000000 00000000 00000100* +L0026976 00000000 00000000 00000000 00000000* L0027008 00000000 00000000 00000000 00000000* L0027040 00000000 00000000 00000000 00000000* L0027072 000000 000000 000000 000000* L0027096 000000 000000 000000 000000* -L0027120 000000 000000 000000 000000* +L0027120 000000 100000 000000 000000* L0027144 000000 000000 000000 000000* L0027168 000000 000000 000000 000000* -L0027192 000000 100000 000000 000000* -L0027216 00000010 00000001 00000000 00000000* -L0027248 00000001 00000001 00000010 00000001* +L0027192 000000 000000 000000 000000* +L0027216 00000001 00000001 00000011 00000011* +L0027248 00000010 00000001 00000001 00000001* L0027280 00000000 00000000 00000000 00000000* L0027312 00000000 00000000 00000000 00000000* -L0027344 00000010 00000000 00000010 00000000* +L0027344 00000010 00000001 00000011 00000011* L0027376 00000000 00000000 00000000 00000000* L0027408 00000000 00000000 00000000 00000000* L0027440 00000000 00000000 00000000 00000000* @@ -1003,11 +1003,11 @@ L0027552 000000 000000 000000 000000* L0027576 000000 000000 000000 000000* L0027600 000000 000000 000000 000000* L0027624 000000 000000 000000 000000* -L0027648 00000000 00000010 00000000 00000010* -L0027680 00000000 00000010 00000011 00000000* +L0027648 00000000 00000010 00000010 00000000* +L0027680 00000000 00000010 00000000 00000000* L0027712 00000000 00000000 00000000 00000000* L0027744 00000000 00000000 00000000 00000000* -L0027776 00000010 00000000 00000011 00000010* +L0027776 00000010 00000010 00000010 00000000* L0027808 00000000 00000000 00000000 00000000* L0027840 00000000 00000000 00000000 00000000* L0027872 00000000 00000000 00000000 00000000* @@ -1018,11 +1018,11 @@ L0027984 000000 000000 000000 000000* L0028008 000000 000000 000000 000000* L0028032 000000 000000 000000 000000* L0028056 000000 000000 000000 000000* -L0028080 00000011 00000001 00000000 00000011* -L0028112 00000010 01000000 00000010 00000001* -L0028144 00000000 00000000 00000000 00000000* +L0028080 00000000 00000010 00000011 00000011* +L0028112 00000000 00000010 00000000 00000011* +L0028144 00000000 00000001 00000000 00000000* L0028176 00000000 00000000 00000000 00000000* -L0028208 00000011 00000010 00000010 00000011* +L0028208 00000011 00000010 00000011 00000001* L0028240 00000000 00000000 00000000 00000000* L0028272 00000000 00000000 00000000 00000000* L0028304 00000000 00000000 00000000 00000000* @@ -1033,28 +1033,28 @@ L0028416 000000 000000 000000 000000* L0028440 000000 000000 000000 000000* L0028464 000000 000000 000000 000000* L0028488 000000 000000 000000 000000* -L0028512 00000001 00100101 00000001 00000001* -L0028544 00000010 00000001 00000010 00000000* -L0028576 00000000 00000000 00000000 00000000* +L0028512 00000000 00000001 00000001 00000001* +L0028544 00000010 00000001 00000010 00000010* +L0028576 00000000 00000010 00000000 00000000* L0028608 00000000 00000000 00000000 00000000* -L0028640 00000011 00000001 00000001 00000001* -L0028672 00000000 10000100 00000000 00000000* +L0028640 00000000 00000001 00000000 00000011* +L0028672 00000000 00000000 00000000 00001000* L0028704 00000000 00000000 00000000 00000000* L0028736 00000000 00000000 00000000 00000000* L0028768 00000000 00000000 00000000 00000000* L0028800 000000 000000 000000 000000* -L0028824 000000 110001 000000 000000* +L0028824 000000 000000 000000 000000* L0028848 000000 000000 000000 000000* L0028872 000000 000000 000000 000000* L0028896 000000 000000 000000 000000* L0028920 000000 000000 000000 000000* -L0028944 00000001 00000000 00000000 00000010* -L0028976 00000011 00000000 00000000 00000000* -L0029008 00000000 00000000 00000000 00000000* +L0028944 00000000 00000010 00000000 00000000* +L0028976 00000001 00000010 00000010 00000000* +L0029008 00000000 00000001 00000000 00000000* L0029040 00000000 00000000 00000000 00000000* -L0029072 00000011 00000001 00000010 00000010* +L0029072 00000011 00000000 00000010 00000000* L0029104 00000000 00000000 00000000 00000000* -L0029136 00000000 00000000 00000000 00000000* +L0029136 00000000 00000100 00000000 00000000* L0029168 00000000 00000000 00000000 00000000* L0029200 00000000 00000000 00000000 00000000* L0029232 000000 000000 000000 000000* @@ -1063,11 +1063,11 @@ L0029280 000000 000000 000000 000000* L0029304 000000 000000 000000 000000* L0029328 000000 000000 000000 000000* L0029352 000000 000000 000000 000000* -L0029376 00000000 00000000 00000000 00000000* -L0029408 00000011 00000010 00000010 00000001* +L0029376 00000000 00000001 00000000 00000010* +L0029408 00000000 00000010 00000001 00000011* L0029440 00000000 00000000 00000000 00000000* L0029472 00000000 00000000 00000000 00000000* -L0029504 00000001 00000000 00000000 00000001* +L0029504 00000000 00000001 00000000 00000001* L0029536 00000000 00000000 00000000 00000000* L0029568 00000000 00000000 00000000 00000000* L0029600 00000000 00000000 00000000 00000000* @@ -1078,11 +1078,11 @@ L0029712 000000 000000 000000 000000* L0029736 000000 000000 000000 000000* L0029760 000000 000000 000000 000000* L0029784 000000 000000 000000 000000* -L0029808 00000000 00000000 00000000 00000000* -L0029840 00000000 00000000 00000000 00000010* +L0029808 00000000 00000010 00000000 00000001* +L0029840 00000000 00000010 00000010 00000000* L0029872 00000000 00000000 00000000 00000000* L0029904 00000000 00000000 00000000 00000000* -L0029936 00000000 00000000 00000001 00000000* +L0029936 00000000 00000000 00000000 00000001* L0029968 00000000 00000000 00000000 00000000* L0030000 00000000 00000000 00000000 00000000* L0030032 00000000 00000000 00000000 00000000* @@ -1091,43 +1091,43 @@ L0030096 000000 000000 000000 000000* L0030120 000000 000000 000000 000000* L0030144 000000 000000 000000 000000* L0030168 000000 000000 000000 000000* -L0030192 000000 000000 000000 000000* +L0030192 010000 000000 000000 000000* L0030216 000000 000000 000000 000000* -L0030240 00000001 00000001 00000000 00000001* -L0030272 00000001 00000000 00000001 00000000* +L0030240 00000000 00000000 00000001 00000000* +L0030272 00000000 00000000 00000001 00000100* L0030304 00000000 00000000 00000000 00000000* L0030336 00000000 00000000 00000000 00000000* -L0030368 00000001 00000000 00000000 00000000* +L0030368 00000000 00000000 00000001 00000000* L0030400 00000000 00000000 00000000 00000000* -L0030432 00111100 00000000 00000000 00000000* -L0030464 00000000 00000000 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000* +L0030464 00000000 00000000 00001000 00000000* L0030496 00000000 00000000 00000000 00000000* L0030528 000000 000000 000000 000000* L0030552 000000 000000 000000 000000* -L0030576 011000 000000 000000 000000* +L0030576 000000 000000 000000 000000* L0030600 000000 000000 000000 000000* L0030624 000000 000000 000000 000000* L0030648 000000 000000 000000 000000* -L0030672 00000000 00000000 00000000 00000000* -L0030704 00000000 00000001 00000000 00000000* -L0030736 00000000 00000000 00000000 00000000* -L0030768 00000000 00000000 00000000 00000000* +L0030672 00000000 10000001 00000000 00000000* +L0030704 00001000 10000000 00000000 10000000* +L0030736 00000000 10000000 00000000 00000000* +L0030768 00000000 00000000 00000100 00001100* L0030800 00000000 00000001 00000000 00000000* -L0030832 00000000 00000000 00000000 10000000* -L0030864 00000000 00000000 00000000 10000000* -L0030896 00000000 00000000 00000000 00000000* +L0030832 00000000 00000000 00000000 00000000* +L0030864 01000000 10000000 00000000 00000000* +L0030896 00000000 10000000 00000000 00000000* L0030928 00000000 00000000 00000000 00000000* -L0030960 000000 000000 000000 000000* -L0030984 000000 000000 000000 000000* -L0031008 000000 000000 000000 000000* +L0030960 000000 100000 000000 000000* +L0030984 000000 100000 000000 000000* +L0031008 000010 100000 010000 000000* L0031032 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000* +L0031056 000000 000000 000011 000010* L0031080 000000 000000 000000 000000* -L0031104 00000000 00000001 00000000 00000001* -L0031136 00000000 10000011 00000000 00000000* +L0031104 00000001 00000000 00000000 00000001* +L0031136 00000001 00000000 00000000 00000010* L0031168 00000000 00000000 00000000 00000000* L0031200 00000000 00000000 00000000 00000000* -L0031232 00000000 00000001 00000000 00000001* +L0031232 00000001 00000000 00000000 00000001* L0031264 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000* L0031328 00000000 00000000 00000000 00000000* @@ -1138,11 +1138,11 @@ L0031440 000000 000000 000000 000000* L0031464 000000 000000 000000 000000* L0031488 000000 000000 000000 000000* L0031512 000000 000000 000000 000000* -L0031536 00000010 00000001 00000000 00000000* -L0031568 00000011 00000001 00000000 00000001* +L0031536 00000000 00000001 00000010 00000001* +L0031568 00000001 00000001 00000000 00000000* L0031600 00000000 00000000 00000000 00000000* L0031632 00000000 00000000 00000000 00000000* -L0031664 00000011 00000001 00000000 00000001* +L0031664 00000001 00000000 00000000 00000001* L0031696 00000000 00000000 00000000 00000000* L0031728 00000000 00000000 00000000 00000000* L0031760 00000000 00000000 00000000 00000000* @@ -1151,28 +1151,28 @@ L0031824 000000 000000 000000 000000* L0031848 000000 000000 000000 000000* L0031872 000000 000000 000000 000000* L0031896 000000 000000 000000 000000* -L0031920 000000 000000 000000 000000* +L0031920 001000 000000 000000 000000* L0031944 000000 000000 000000 000000* -L0031968 00000001 00000011 00000010 00000010* -L0032000 00000001 00000011 00000010 00000010* -L0032032 00000000 00000000 00000000 00000000* +L0031968 00000010 00000011 00000010 00000001* +L0032000 00000010 00000011 00000010 00000001* +L0032032 00000000 00000000 00000001 00000000* L0032064 00000000 00000000 00000000 00000000* -L0032096 00000001 00000000 00000000 00000000* +L0032096 00000000 00000000 00000000 00000001* L0032128 00000000 00000000 00000000 00000000* L0032160 00000000 00000000 00000000 00000000* -L0032192 10000000 00000000 00000000 00000000* +L0032192 00000000 00000000 00000000 00000000* L0032224 00000000 00000000 00000000 00000000* -L0032256 000000 100000 000000 000000* +L0032256 000000 000000 000000 000000* L0032280 000000 000000 000000 000000* L0032304 000000 000000 000000 000000* L0032328 000000 000000 000000 000000* L0032352 000000 000000 000000 000000* L0032376 000000 000000 000000 000000* -L0032400 00000000 00000000 00000001 00000000* +L0032400 00000001 00000000 00000001 00000000* L0032432 00000000 00000000 00000000 00000000* L0032464 00000000 00000000 00000000 00000000* L0032496 00000000 00000000 00000000 00000000* -L0032528 00000001 00000000 00000000 00000000* +L0032528 00000001 00000000 00000001 00000000* L0032560 00000000 00000000 00000000 00000000* L0032592 00000000 00000000 00000000 00000000* L0032624 00000000 00000000 00000000 00000000* @@ -1183,93 +1183,93 @@ L0032736 000000 000000 000000 000000* L0032760 000000 000000 000000 000000* L0032784 000000 000000 000000 000000* L0032808 000000 000000 000000 000000* -L0032832 00000011 00000000 00000000 00000000* -L0032864 00000000 00000001 00000000 00000000* -L0032896 00000000 10000000 00000010 11110000* -L0032928 00000000 00000000 00000000 00001000* -L0032960 00000011 00000001 00000000 00000000* +L0032832 00000000 00000001 00000001 00000000* +L0032864 00000000 00000000 00000000 00000000* +L0032896 00000000 00000000 00000000 00000000* +L0032928 00000000 00000000 00000000 00000000* +L0032960 00000000 00000001 00000001 00000000* L0032992 00000000 00000000 00000000 00000000* -L0033024 00000000 10000000 00000000 00000000* -L0033056 00000000 00000000 10100000 00000000* -L0033088 00000000 00000000 00100000 00000000* -L0033120 000000 100000 000000 000000* +L0033024 00000000 00000000 00000000 00000000* +L0033056 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 00000000* +L0033120 000000 000000 000000 000000* L0033144 000000 000000 000000 000000* L0033168 000000 000000 000000 000000* -L0033192 000000 000000 000000 111000* -L0033216 000000 100000 000000 000100* +L0033192 000000 000000 000000 000000* +L0033216 000000 000000 000000 000000* L0033240 000000 000000 000000 000000* L0033264 00000000 00000000 00000000 00000000* -L0033296 00000000 10000000 00000000 00000000* +L0033296 00010000 00000000 00000000 00000000* L0033328 00000000 00000000 00000000 00000000* -L0033360 00000000 00000000 00000000 00000100* +L0033360 00000000 00000000 00000000 00000000* L0033392 00000000 00000000 00000000 00000000* -L0033424 00000000 00000000 10100000 00000000* -L0033456 00000000 00000100 00000000 00000000* -L0033488 00000000 10000000 00000000 00010000* +L0033424 00000000 00000000 00000000 00000000* +L0033456 00000000 00000000 01000000 00000000* +L0033488 00000000 00000000 01000000 00000000* L0033520 00000000 00000000 00000000 00000000* L0033552 000000 000000 000000 000000* L0033576 000000 000000 000000 000000* -L0033600 000000 010000 000000 000000* -L0033624 000000 100000 000000 000000* -L0033648 000000 000000 000000 000011* -L0033672 000000 100000 000000 000000* +L0033600 000001 000000 000000 001000* +L0033624 000000 000000 000000 000000* +L0033648 000000 000000 000000 000000* +L0033672 000000 000000 000000 000000* L0033696 00000010 00000010 00000010 00000010* L0033728 00000000 00000010 00000000 00000000* L0033760 00000000 00000000 00000000 00000000* -L0033792 00000000 00010000 00000100 00000000* +L0033792 00000000 00011100 00000000 00000000* L0033824 00000000 00000000 00000000 00000000* L0033856 00000000 00000000 00000000 00000000* -L0033888 00000010 00000010 00000010 00000010* +L0033888 00000010 00000010 10100010 10000010* L0033920 00000000 00000000 00000000 00000000* -L0033952 00000000 00110000 00001000 00000000* +L0033952 00000000 00011100 00000000 00000000* L0033984 000000 000000 000000 000000* L0034008 000000 000000 000000 000000* L0034032 000000 000000 000000 000000* L0034056 000000 000000 000000 000000* -L0034080 000000 001000 000011 000000* +L0034080 000000 000110 000000 000000* L0034104 000000 000000 000000 000000* -L0034128 00000000 00000000 00000000 00000000* +L0034128 00000000 00000000 01000000 00000000* L0034160 00000000 00000000 00000000 00000000* L0034192 00000000 00000000 00000000 00000000* L0034224 00000000 00000000 00000000 00000000* L0034256 00000000 00000000 00000000 00000000* -L0034288 00000000 01000000 00000000 00000000* +L0034288 00000000 00000000 00000000 00000000* L0034320 00000000 00000000 00000000 00000000* L0034352 00000000 00000000 00000000 00000000* L0034384 00000000 00000000 00000000 00000000* L0034416 000000 000000 000000 000000* L0034440 000000 000000 000000 000000* -L0034464 000000 000010 000000 000000* +L0034464 000000 000000 001000 000000* L0034488 000000 000000 000000 000000* L0034512 000000 000000 000000 000000* L0034536 000000 000000 000000 000000* -L0034560 00000000 00000000 00000000 00000000* -L0034592 00000000 00000000 00000000 00000000* -L0034624 00000000 00000000 00000000 11110000* -L0034656 00000000 00000000 00000000 00001100* +L0034560 00000000 10000000 00000000 00000000* +L0034592 00000000 10000000 10000000 00000000* +L0034624 00000000 10000000 00000000 00000000* +L0034656 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000* -L0034720 00000000 00000000 00000000 00000000* -L0034752 10000000 00000000 00000000 00000000* -L0034784 00000000 00000000 00000000 00010000* +L0034720 00000000 01000000 00000000 00000000* +L0034752 00000000 10000000 00000000 00000100* +L0034784 00000000 10000000 00000000 00000000* L0034816 00000000 00000000 00000000 00000000* -L0034848 000000 000000 000000 000000* -L0034872 000000 000000 000000 000000* -L0034896 000000 000000 000000 000000* -L0034920 100000 000000 000000 111000* -L0034944 000001 000000 000000 000111* +L0034848 000000 100000 000000 000000* +L0034872 000000 100000 000000 000000* +L0034896 000000 100000 000000 000000* +L0034920 000000 000000 000000 000000* +L0034944 000000 000000 000000 000000* L0034968 000000 000000 000000 000000* L0034992 00000000 00000000 00000000 00000000* -L0035024 00000000 00010000 00000000 00000000* +L0035024 00000000 00000000 00000000 00000000* L0035056 00000000 00000000 00000000 00000000* -L0035088 00000000 00000000 00000000 00000000* +L0035088 00000000 00000000 01000000 00000000* L0035120 00000000 00000000 00000000 00000000* -L0035152 00000000 00000000 00000000 10000000* -L0035184 00111100 00000000 00000000 10000000* +L0035152 00000100 00000000 00000000 00000000* +L0035184 00000000 00000000 00000000 00000000* L0035216 00000000 00000000 00000000 00000000* L0035248 00000000 00000000 00000000 00000000* L0035280 000000 000000 000000 000000* L0035304 000000 000000 000000 000000* -L0035328 011000 000000 000000 000000* +L0035328 000000 000000 000000 000000* L0035352 000000 000000 000000 000000* L0035376 000000 000000 000000 000000* L0035400 000000 000000 000000 000000* @@ -1280,7 +1280,7 @@ L0035520 00000000 00000000 00000000 00000000* L0035552 00000000 00000000 00000000 00000000* L0035584 00000000 00000000 00000000 00000000* L0035616 00000000 00000000 00000000 00000000* -L0035648 00000000 00000000 01000000 00000000* +L0035648 00000000 00000000 00000000 00000000* L0035680 00000000 00000000 00000000 00000000* L0035712 000000 000000 000000 000000* L0035736 000000 000000 000000 000000* @@ -1314,7 +1314,7 @@ L0036512 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000* L0036576 000000 000000 000000 000000* L0036600 000000 000000 000000 000000* -L0036624 000000 000000 000000 000000* +L0036624 000000 000000 000000 000100* L0036648 000000 000000 000000 000000* L0036672 000000 000000 000000 000000* L0036696 000000 000000 000000 000000* @@ -1324,44 +1324,44 @@ L0036784 00000000 00000000 00000000 00000000* L0036816 00000000 00000000 00000000 00000000* L0036848 00000000 00000000 00000000 00000000* L0036880 00000000 00000000 00000000 00001000* -L0036912 00000000 00000000 00000000 00000000* +L0036912 00000000 00010000 00000100 00000000* L0036944 00000000 00000000 00000000 00000000* L0036976 00000000 00000000 00000000 00000000* L0037008 000000 000000 000000 000000* L0037032 000000 000000 000000 000000* -L0037056 000010 000100 000000 000000* -L0037080 000000 000000 000000 000000* +L0037056 000000 000000 100000 000000* +L0037080 001000 000000 000000 000000* L0037104 000000 000000 000000 000000* L0037128 000000 000000 000000 000000* L0037152 00000000 00000000 00000000 00000000* L0037184 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00000000 11110000* -L0037248 00000000 00000000 00000000 00000100* +L0037216 00000000 00000000 00000000 00000000* +L0037248 00000000 00000000 00000000 00000000* L0037280 00000000 00000000 00000000 00000000* -L0037312 00110000 00000000 00000000 00000000* -L0037344 10000000 00000000 00000000 00000000* -L0037376 00101000 10000000 10000000 00000000* -L0037408 10000000 00000000 00100000 00000000* -L0037440 000001 000000 000000 000000* -L0037464 001000 000000 000000 000000* +L0037312 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00000000 00000000* +L0037376 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00000000* +L0037440 000000 100000 000000 000000* +L0037464 000000 000000 000000 000000* L0037488 000000 000000 000000 000000* -L0037512 110000 000000 000000 111000* -L0037536 011000 000000 000000 000011* +L0037512 000000 000000 000000 000000* +L0037536 000000 000000 000000 000000* L0037560 000000 000000 000000 000000* L0037584 00000000 00000000 00000000 00000000* L0037616 00000000 00000000 00000000 00000000* L0037648 00000000 00000000 00000000 00000000* -L0037680 00000000 00000000 00000000 00001000* +L0037680 00000000 00000000 00000000 00000000* L0037712 00000000 00000000 00000000 00000000* -L0037744 00001100 00000000 10100000 00000000* -L0037776 00000000 00000000 00000000 00000000* -L0037808 00000100 00000000 00100000 00010000* -L0037840 00000100 00000000 00000000 00000000* +L0037744 00000000 00000000 00000000 01100000* +L0037776 00000000 00000000 00010000 01100000* +L0037808 00000000 00000000 00000000 00100000* +L0037840 00000000 00000000 00000000 00000000* L0037872 000000 000000 000000 000000* -L0037896 010000 000000 000000 000000* +L0037896 000000 000000 000000 000000* L0037920 000000 000000 000000 000000* -L0037944 001000 000000 000000 000000* -L0037968 000001 000000 000000 000100* +L0037944 000000 000000 000000 000000* +L0037968 000000 000000 000000 000000* L0037992 000000 000000 000000 000000* L0038016 00000000 00000000 00000000 00000000* L0038048 00000000 00000000 00000000 00000000* @@ -1379,54 +1379,54 @@ L0038376 000000 000000 000000 000000* L0038400 000000 000000 000000 000000* L0038424 000000 000000 000000 000000* L0038448 00000000 00000000 00000000 00000000* -L0038480 00000000 00000000 00000000 00000000* +L0038480 00100100 00000000 00000000 00000000* L0038512 00000000 00000000 00000000 00000000* L0038544 00000000 00000000 00000000 00000000* L0038576 00000000 00000000 00000000 00000000* -L0038608 00001000 00000000 00000000 00000000* +L0038608 00000000 00000000 00000000 00000000* L0038640 00000000 00000000 00000000 00000000* L0038672 00000000 00000000 00000000 00000000* -L0038704 10000000 00000000 00000000 00000000* +L0038704 00000000 00000000 00000000 00000000* L0038736 000000 000000 000000 000000* L0038760 000000 000000 000000 000000* -L0038784 000000 100000 000000 000000* +L0038784 000000 000100 000000 000000* L0038808 000000 000000 000000 000000* L0038832 000000 000000 000000 000000* L0038856 000000 000000 000000 000000* L0038880 00000000 00000000 00000000 00000000* L0038912 00000000 00000000 00000000 00000000* -L0038944 00000000 00000000 00000000 00000000* +L0038944 00000000 00000000 00000000 01000000* L0038976 00000000 00000000 00000000 00000000* L0039008 00000000 00000000 00000000 00000000* -L0039040 00000000 00000000 00000000 00000000* +L0039040 00000000 00000000 00001000 00000000* L0039072 00000000 00000000 00000000 00000000* L0039104 00000000 00000000 00000000 00000000* L0039136 00000000 00000000 00000000 00000000* L0039168 000000 000000 000000 000000* L0039192 000000 000000 000000 000000* L0039216 000000 000000 000000 000000* -L0039240 000000 000000 000000 000000* +L0039240 000000 000000 000000 100000* L0039264 000000 000000 000000 000000* L0039288 000000 000000 000000 000000* -L0039312 00000000 00000000 00000000 00000000* +L0039312 00000000 00000000 00111000 00000000* L0039344 00000000 00000000 00000000 00000000* L0039376 00000000 00000000 00000000 00000000* -L0039408 01111100 00000000 00000000 00000000* +L0039408 00000000 00000000 00000000 00000000* L0039440 00000000 00000000 00000000 00000000* -L0039472 00000000 00000000 00000000 00000000* -L0039504 00000000 00000000 00000000 00000100* -L0039536 00000000 00000000 00000000 00000000* -L0039568 01000000 00000000 00000000 00000000* +L0039472 00000000 00000000 00010000 00000000* +L0039504 00000000 00000000 00000000 00000000* +L0039536 00000000 00000000 00000000 00010000* +L0039568 00000000 00000000 00000000 00000000* L0039600 000000 000000 000000 000000* -L0039624 000000 000000 000000 000000* +L0039624 000000 000000 001100 000000* L0039648 000000 000000 000000 000000* L0039672 000000 000000 000000 000000* -L0039696 000110 000000 000000 000000* +L0039696 000000 001000 000000 000001* L0039720 000000 000000 000000 000000* L0039744 00000000 00000000 00000000 00000000* L0039776 00000000 00000000 00000000 00000000* L0039808 00000000 00000000 00000000 00000000* -L0039840 00000000 00000000 00000000 00000000* +L0039840 00000000 00000000 00000000 00000100* L0039872 00000000 00000000 00000000 00000000* L0039904 00000000 00000000 00000000 00000000* L0039936 00000000 00000000 00000000 00000000* @@ -1439,26 +1439,26 @@ L0040104 000000 000000 000000 000000* L0040128 000000 000000 000000 000000* L0040152 000000 000000 000000 000000* L0040176 00000000 00000000 00000000 00000000* -L0040208 00000000 00000000 00000000 00000000* +L0040208 00000000 00000000 00000000 10000100* L0040240 00000000 00000000 00000000 00000000* -L0040272 00000000 00000000 00000000 00000000* +L0040272 00000000 00000000 00000000 00111000* L0040304 00000000 00000000 00000000 00000000* L0040336 00000000 00000000 00000000 00000000* -L0040368 00000000 00000000 00000000 00000000* -L0040400 00000000 00000000 10000000 00000000* +L0040368 00000000 00000000 00000000 00000100* +L0040400 00000000 00000000 00000000 00000000* L0040432 00000000 00000000 00000000 00000000* L0040464 000000 000000 000000 000000* L0040488 000000 000000 000000 000000* L0040512 000000 000000 000000 000000* L0040536 000000 000000 000000 000000* -L0040560 000000 000000 000000 000000* +L0040560 000000 000000 000000 011110* L0040584 000000 000000 000000 000000* L0040608 00000000 00000000 00000000 00000000* L0040640 00000000 00000000 00000000 00000000* L0040672 00000000 00000000 00000000 00000000* L0040704 00000000 00000000 00000000 00000000* L0040736 00000000 00000000 00000000 00000000* -L0040768 00000000 00000000 00000000 01000000* +L0040768 00000000 00000000 00000000 00000000* L0040800 00000000 00000000 00000000 00000000* L0040832 00000000 00000000 00000000 00000000* L0040864 00000000 00000000 00000000 00000000* @@ -1469,18 +1469,18 @@ L0040968 000000 000000 000000 000000* L0040992 000000 000000 000000 000000* L0041016 000000 000000 000000 000000* L0041040 00000000 00000000 00000000 00000000* -L0041072 00000000 01000000 00000000 00000000* +L0041072 00000000 00000000 00000000 00000000* L0041104 00000000 00000000 00000000 00000000* L0041136 00000000 00000000 00000000 00000000* L0041168 00000000 00000000 00000000 00000000* -L0041200 00000000 00000000 00000100 00000000* +L0041200 00000000 00000000 00100000 00000000* L0041232 00000000 00000000 00000000 00000000* L0041264 00000000 00000000 00000000 00000000* L0041296 00000000 00000000 00000000 00000000* L0041328 000000 000000 000000 000000* L0041352 000000 000000 000000 000000* L0041376 000000 000000 000000 000000* -L0041400 010000 000000 000000 000000* +L0041400 000000 000000 000000 000000* L0041424 000000 000000 000000 000000* L0041448 000000 000000 000000 000000* L0041472 00000000 00000000 00000000 00000000* @@ -1488,8 +1488,8 @@ L0041504 00000000 00000000 00000000 00000000* L0041536 00000000 00000000 00000000 00000000* L0041568 00000000 00000000 00000000 00000000* L0041600 00000000 00000000 00000000 00000000* -L0041632 00000000 00000000 00000000 00000000* -L0041664 00000000 00000100 00000000 00000000* +L0041632 00000000 10000000 00000000 00000000* +L0041664 00000000 00000000 00000000 00000000* L0041696 00000000 00000000 00000000 00000000* L0041728 00000000 00000000 00000000 00000000* L0041760 000000 000000 000000 000000* @@ -1499,42 +1499,42 @@ L0041832 000000 000000 000000 000000* L0041856 000000 000000 000000 000000* L0041880 000000 000000 000000 000000* L0041904 00000000 00000000 00000000 00000000* -L0041936 00000000 10100000 00000000 00000000* -L0041968 00000000 10000000 00000000 00000000* -L0042000 00000000 00000100 00000000 00000000* +L0041936 00000000 00000000 00000000 00000000* +L0041968 00000000 00000000 00000000 00000000* +L0042000 00000000 00000000 00000000 00000000* L0042032 00000000 00000000 00000000 00000000* L0042064 00000000 00000000 00000000 00000000* -L0042096 00000000 10000000 00000000 00000000* -L0042128 00000000 10000000 00000000 00000000* -L0042160 00000000 00000100 00000000 00000000* -L0042192 000000 100000 000000 000000* +L0042096 00000000 00000000 00000000 00000000* +L0042128 00000000 00000000 00000000 00000000* +L0042160 00000000 00000000 00000000 00000000* +L0042192 000000 000000 000000 000000* L0042216 000000 000000 000000 000000* -L0042240 000000 000001 000000 000000* -L0042264 000000 100000 000000 000000* -L0042288 000000 100001 000000 000000* -L0042312 000000 100000 000000 000000* +L0042240 000000 000000 000000 000000* +L0042264 000000 000000 000000 000000* +L0042288 000000 000000 000000 000000* +L0042312 000000 000000 000000 000000* L0042336 00000000 00000000 00000000 00000000* L0042368 00000000 00000000 00000000 00000000* L0042400 00000000 00000000 00000000 00000000* L0042432 00000000 00000000 00000000 00000000* L0042464 00000000 00000000 00000000 00000000* L0042496 00000000 00000000 00000000 00000000* -L0042528 00000000 00000000 00000000 10000000* +L0042528 00000000 00000000 00000000 00000000* L0042560 00000000 00000000 00000000 00000000* L0042592 00000000 00000000 00000000 00000000* L0042624 000000 000000 000000 000000* L0042648 000000 000000 000000 000000* L0042672 000000 000000 000000 000000* L0042696 000000 000000 000000 000000* -L0042720 000000 100000 000000 000000* +L0042720 000000 000000 000000 000000* L0042744 000000 000000 000000 000000* L0042768 00000000 00000000 00000000 00000000* L0042800 00000000 00000000 00000000 00000000* L0042832 00000000 00000000 00000000 00000000* L0042864 00000000 00000000 00000000 00000000* L0042896 00000000 00000000 00000000 00000000* -L0042928 00000000 00000000 00000000 00000000* -L0042960 00000000 00000000 00000000 00000000* +L0042928 00010000 00000000 00000000 00000000* +L0042960 00000000 00000000 00000000 01100000* L0042992 00000000 00000000 00000000 00000000* L0043024 00000000 00000000 00000000 00000000* L0043056 000000 000000 000000 000000* @@ -1545,18 +1545,18 @@ L0043152 000000 000000 000000 000000* L0043176 000000 000000 000000 000000* L0043200 00000000 00000000 00000000 00000000* L0043232 00000000 00000000 00000000 00000000* -L0043264 00000000 00000000 00000000 11110000* -L0043296 00000000 00000000 00000000 00001100* +L0043264 00000000 00000000 00000000 00000000* +L0043296 00000000 00000000 00000000 00000000* L0043328 00000000 00000000 00000000 00000000* -L0043360 00000000 00000000 00000000 00000000* +L0043360 00000000 00000000 00000000 00100000* L0043392 00000000 00000000 00000000 00000000* -L0043424 00000000 00000000 00000000 00010000* +L0043424 00000000 00000000 00000000 00000000* L0043456 00000000 00000000 00000000 00000000* L0043488 000000 000000 000000 000000* L0043512 000000 000000 000000 000000* L0043536 000000 000000 000000 000000* -L0043560 100000 100000 000000 111000* -L0043584 000000 000000 000000 000111* +L0043560 000000 000000 000000 000000* +L0043584 000000 000000 000000 000000* L0043608 000000 000000 000000 000000* L0043632 00000000 00000000 00000000 00000000* L0043664 00000000 00000000 00000000 00000000* @@ -1564,8 +1564,8 @@ L0043696 00000000 00000000 00000000 00000000* L0043728 00000000 00000000 00000000 00000000* L0043760 00000000 00000000 00000000 00000000* L0043792 00000000 00000000 00000000 00000000* -L0043824 00000000 00000000 00000000 00000000* -L0043856 00000000 00000000 00000000 00000000* +L0043824 10000000 00001000 00000000 01000000* +L0043856 00000000 00000000 00000000 00100000* L0043888 00000000 00000000 00000000 00000000* L0043920 000000 000000 000000 000000* L0043944 000000 000000 000000 000000* @@ -1574,7 +1574,7 @@ L0043992 000000 000000 000000 000000* L0044016 000000 000000 000000 000000* L0044040 000000 000000 000000 000000* L0044064 00000000 00000000 00000000 00000000* -L0044096 10000000 00000000 00000000 00000000* +L0044096 00000000 00000000 00000000 00000000* L0044128 00000000 00000000 00000000 00000000* L0044160 00000000 00000000 00000000 00000000* L0044192 00000000 00000000 00000000 00000000* @@ -1588,8 +1588,8 @@ L0044400 000000 000000 000000 000000* L0044424 000000 000000 000000 000000* L0044448 000000 000000 000000 000000* L0044472 000000 000000 000000 000000* -L0044496 10000000 00000000 00000000 00000000* -L0044528 00000000 00000000 00000000 00000000* +L0044496 00000000 00000000 00000000 00000000* +L0044528 00000000 00000000 00100000 10001000* L0044560 00000000 00000000 00000000 00000000* L0044592 00000000 00000000 00000000 00000000* L0044624 00000000 00000000 00000000 00000000* @@ -1599,8 +1599,8 @@ L0044720 00000000 00000000 00000000 00000000* L0044752 00000000 00000000 00000000 00000000* L0044784 000000 000000 000000 000000* L0044808 000000 000000 000000 000000* -L0044832 000000 001000 000000 000000* -L0044856 001000 000000 000000 000000* +L0044832 000000 000010 000000 000000* +L0044856 000000 000000 000000 000000* L0044880 000000 000000 000000 000000* L0044904 000000 000000 000000 000000* L0044928 00000000 00000000 00000000 00000000* @@ -1619,7 +1619,7 @@ L0045288 000000 000000 000000 000000* L0045312 000000 000000 000000 000000* L0045336 000000 000000 000000 000000* L0045360 00000000 00000000 00000000 00000000* -L0045392 00000100 00000000 00000000 00000000* +L0045392 00000000 00000000 00000100 00000000* L0045424 00000000 00000000 00000000 00000000* L0045456 00000000 00000000 00000000 00000000* L0045488 00000000 00000000 00000000 00000000* @@ -1627,41 +1627,41 @@ L0045520 00000000 00000000 00000000 00000000* L0045552 00000000 00000000 00000000 00000000* L0045584 00000000 00000000 00000000 00000000* L0045616 00000000 00000000 00000000 00000000* -L0045648 000000 000000 000000 000000* +L0045648 000001 000000 000000 000000* L0045672 000000 000000 000000 000000* -L0045696 000000 000000 000100 000000* +L0045696 000000 000000 000000 000000* L0045720 000000 000000 000000 000000* L0045744 000000 000000 000000 000000* L0045768 000000 000000 000000 000000* L0045792 00000000 00000000 00000000 00000000* -L0045824 00000000 10000000 00000000 00000000* +L0045824 00000000 00000000 00000000 00000000* L0045856 00000000 00000000 00000000 00000000* L0045888 00000000 00000000 00000000 00000000* L0045920 00000000 00000000 00000000 00000000* L0045952 00000000 00000000 00000000 00000000* -L0045984 00000000 10000000 00000000 00000000* -L0046016 00000000 10000000 00000000 00000000* +L0045984 00000000 00000000 00000000 00000000* +L0046016 00000000 00000000 00000000 00000000* L0046048 00000000 00000000 00000000 00000000* -L0046080 000000 100000 000000 000000* -L0046104 000000 000000 000000 000000* -L0046128 000000 000001 000000 000000* -L0046152 000001 000000 000000 000000* +L0046080 000000 000000 000000 000000* +L0046104 000000 001000 000000 000000* +L0046128 000000 000000 000000 000000* +L0046152 000000 000000 000000 000000* L0046176 000000 000000 000000 000000* L0046200 000000 000000 000000 000000* L0046224 00000000 00000000 00000000 00000000* L0046256 00000000 00000000 00000000 00000000* -L0046288 00000000 10000000 00000000 00000000* +L0046288 00000000 00000000 00000000 00000000* L0046320 00000000 00000000 00000000 00000000* L0046352 00000000 00000000 00000000 00000000* L0046384 00000000 00000000 00000000 00000000* -L0046416 00000000 00000000 00000000 00000000* -L0046448 00000000 00000000 00000000 00000000* -L0046480 00000000 00000100 00000000 00000000* +L0046416 00000000 00000000 01000000 00000000* +L0046448 00000000 00000000 01000000 00000000* +L0046480 00000000 00000000 00000000 00000000* L0046512 000000 000000 000000 000000* L0046536 000000 000000 000000 000000* -L0046560 000000 010000 000000 000000* -L0046584 000000 100000 000000 000000* -L0046608 000000 100001 000000 000000* -L0046632 000000 100000 000000 000000* -CB4F0* -1DC2 +L0046560 000000 000000 000000 000000* +L0046584 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000* +L0046632 000000 000000 000000 000000* +CBE32* +1DE8 diff --git a/VHDL/AppleIISd.vhd b/VHDL/AppleIISd.vhd index bc2e8ab..0a7b706 100644 --- a/VHDL/AppleIISd.vhd +++ b/VHDL/AppleIISd.vhd @@ -67,9 +67,6 @@ architecture Behavioral of AppleIISd is signal data_in : std_logic_vector (7 downto 0); signal data_out : std_logic_vector (7 downto 0); signal addr_low_int : std_logic_vector (1 downto 0); - signal wp_int : std_logic; - signal card_int : std_logic; - signal miso_int : std_logic; signal data_en : std_logic; @@ -106,7 +103,8 @@ Port ( NRESET : in std_logic; DATA_EN : out std_logic; NG : out std_logic; - NOE : out std_logic + NOE : out std_logic; + LED : out std_logic ); end component; @@ -120,12 +118,12 @@ begin phi0 => PHI0, ndev_sel => NDEV_SEL, clk => CLK, - miso => miso_int, + miso => MISO, mosi => MOSI, sclk => SCLK, nsel => NSEL, - wp => wp_int, - card => card_int, + wp => WP, + card => CARD, led => LED ); @@ -142,21 +140,9 @@ begin DATA_EN => data_en, NOE => NOE, NG => NG + --LED => LED ); - ctrl_latch: process(CLK, NRESET) - begin - if(NRESET = '0') then - wp_int <= '1'; - card_int <= '1'; - miso_int <= '1'; - elsif falling_edge(CLK) then - wp_int <= WP; - card_int <= CARD; - miso_int <= MISO; - end if; - end process; - DATA <= data_out when (data_en = '1') else (others => 'Z'); -- data bus tristate -- synthesis translate_off diff --git a/VHDL/AppleIISd_Test.vhd b/VHDL/AppleIISd_Test.vhd index dae50ea..f25def9 100644 --- a/VHDL/AppleIISd_Test.vhd +++ b/VHDL/AppleIISd_Test.vhd @@ -190,6 +190,25 @@ BEGIN wait for ADD_hold; ADD_LOW <= (others => 'U'); + -- select card + wait until falling_edge(PHI0); + wait for ADD_valid; + ADD_LOW <= (others => '1'); + RNW <= '0'; + DATA <= (others => 'U'); + wait until rising_edge(PHI0); + NDEV_SEL <= '0'; + DATA <= (others => 'Z'); + wait for DATA_valid; + DATA <= X"00"; + wait until falling_edge(PHI0); + NDEV_SEL <= '1'; + wait for ADD_hold; + --wait for CLK_period; + ADD_LOW <= (others => 'U'); + RNW <= '1'; + DATA <= (others => 'Z'); + -- send data wait until falling_edge(PHI0); wait for ADD_valid; @@ -208,9 +227,28 @@ BEGIN ADD_LOW <= (others => 'U'); RNW <= '1'; DATA <= (others => 'Z'); + wait for 20 us; + + -- deselect card + wait until falling_edge(PHI0); + wait for ADD_valid; + ADD_LOW <= (others => '1'); + RNW <= '0'; + DATA <= (others => 'U'); + wait until rising_edge(PHI0); + NDEV_SEL <= '0'; + DATA <= (others => 'Z'); + wait for DATA_valid; + DATA <= X"01"; + wait until falling_edge(PHI0); + NDEV_SEL <= '1'; + wait for ADD_hold; + --wait for CLK_period; + ADD_LOW <= (others => 'U'); + RNW <= '1'; + DATA <= (others => 'Z'); -- write ece - wait for 20 us; wait until falling_edge(PHI0); wait for ADD_valid; ADD_LOW <= "01";