diff --git a/Datasheets/65SPI-B Datasheet V1.1.docx b/Datasheets/65SPI-B Datasheet V1.1.docx new file mode 100644 index 0000000..91740ee Binary files /dev/null and b/Datasheets/65SPI-B Datasheet V1.1.docx differ diff --git a/VHDL/AddressDecoder.sch b/VHDL/AddressDecoder.sch index 7777000..feb12bd 100644 --- a/VHDL/AddressDecoder.sch +++ b/VHDL/AddressDecoder.sch @@ -1,244 +1,275 @@ -VERSION 6 -BEGIN SCHEMATIC - BEGIN ATTR DeviceFamilyName "xc9500xl" - DELETE all:0 - EDITNAME all:0 - EDITTRAIT all:0 - END ATTR - BEGIN NETLIST - SIGNAL A10 - SIGNAL A9 - SIGNAL A8 - SIGNAL XLXN_10 - SIGNAL CLK - SIGNAL XLXN_14 - SIGNAL B10 - SIGNAL B9 - SIGNAL B8 - SIGNAL NOE - SIGNAL XLXN_29 - SIGNAL NIO_SEL - SIGNAL NIO_STB - SIGNAL XLXN_38 - SIGNAL XLXN_46 - SIGNAL XLXN_47 - SIGNAL NDEV_SEL - PORT Input A10 - PORT Input A9 - PORT Input A8 - PORT Input CLK - PORT Output B10 - PORT Output B9 - PORT Output B8 - PORT Output NOE - PORT Input NIO_SEL - PORT Input NIO_STB - PORT Input NDEV_SEL - BEGIN BLOCKDEF fdrs - TIMESTAMP 2001 3 9 11 23 0 - LINE N 0 -128 64 -128 - LINE N 0 -256 64 -256 - LINE N 384 -256 320 -256 - LINE N 0 -32 64 -32 - LINE N 0 -352 64 -352 - RECTANGLE N 64 -320 320 -64 - LINE N 192 -64 192 -32 - LINE N 192 -32 64 -32 - LINE N 64 -112 80 -128 - LINE N 80 -128 64 -144 - LINE N 192 -320 192 -352 - LINE N 192 -352 64 -352 - END BLOCKDEF - BEGIN BLOCKDEF inv - TIMESTAMP 2001 3 9 11 23 50 - LINE N 0 -32 64 -32 - LINE N 224 -32 160 -32 - LINE N 64 -64 128 -32 - LINE N 128 -32 64 0 - LINE N 64 0 64 -64 - CIRCLE N 128 -48 160 -16 - END BLOCKDEF - BEGIN BLOCKDEF vcc - TIMESTAMP 2001 3 9 11 23 11 - LINE N 96 -64 32 -64 - LINE N 64 0 64 -32 - LINE N 64 -32 64 -64 - END BLOCKDEF - BEGIN BLOCKDEF and2 - TIMESTAMP 2001 5 11 10 41 37 - LINE N 0 -64 64 -64 - LINE N 0 -128 64 -128 - LINE N 256 -96 192 -96 - ARC N 96 -144 192 -48 144 -48 144 -144 - LINE N 144 -48 64 -48 - LINE N 64 -144 144 -144 - LINE N 64 -48 64 -144 - END BLOCKDEF - BEGIN BLOCKDEF and4 - TIMESTAMP 2001 5 11 10 43 14 - LINE N 144 -112 64 -112 - ARC N 96 -208 192 -112 144 -112 144 -208 - LINE N 64 -208 144 -208 - LINE N 64 -64 64 -256 - LINE N 256 -160 192 -160 - LINE N 0 -256 64 -256 - LINE N 0 -192 64 -192 - LINE N 0 -128 64 -128 - LINE N 0 -64 64 -64 - END BLOCKDEF - BEGIN BLOCKDEF nand2 - TIMESTAMP 2001 3 9 11 23 50 - LINE N 0 -64 64 -64 - LINE N 0 -128 64 -128 - LINE N 256 -96 216 -96 - CIRCLE N 192 -108 216 -84 - LINE N 64 -48 64 -144 - LINE N 64 -144 144 -144 - LINE N 144 -48 64 -48 - ARC N 96 -144 192 -48 144 -48 144 -144 - END BLOCKDEF - BEGIN BLOCK XLXI_16 fdrs - PIN C CLK - PIN D XLXN_14 - PIN R XLXN_10 - PIN S XLXN_46 - PIN Q XLXN_47 - END BLOCK - BEGIN BLOCK XLXI_17 vcc - PIN P XLXN_14 - END BLOCK - BEGIN BLOCK XLXI_18 and2 - PIN I0 A10 - PIN I1 XLXN_38 - PIN O B10 - END BLOCK - BEGIN BLOCK XLXI_19 and2 - PIN I0 A9 - PIN I1 XLXN_38 - PIN O B9 - END BLOCK - BEGIN BLOCK XLXI_20 and2 - PIN I0 A8 - PIN I1 XLXN_38 - PIN O B8 - END BLOCK - BEGIN BLOCK XLXI_22 inv - PIN I NIO_SEL - PIN O XLXN_46 - END BLOCK - BEGIN BLOCK XLXI_30 and4 - PIN I0 A8 - PIN I1 A9 - PIN I2 A10 - PIN I3 XLXN_38 - PIN O XLXN_10 - END BLOCK - BEGIN BLOCK XLXI_31 inv - PIN I NIO_STB - PIN O XLXN_38 - END BLOCK - BEGIN BLOCK XLXI_32 nand2 - PIN I0 XLXN_47 - PIN I1 NDEV_SEL - PIN O NOE - END BLOCK - END NETLIST - BEGIN SHEET 1 3520 2720 - BEGIN BRANCH A10 - WIRE 320 704 592 704 - WIRE 592 704 704 704 - WIRE 592 704 592 992 - WIRE 592 992 1088 992 - END BRANCH - BEGIN BRANCH A9 - WIRE 320 768 528 768 - WIRE 528 768 704 768 - WIRE 528 768 528 1136 - WIRE 528 1136 1088 1136 - END BRANCH - BEGIN BRANCH A8 - WIRE 320 832 464 832 - WIRE 464 832 704 832 - WIRE 464 832 464 1280 - WIRE 464 1280 1088 1280 - END BRANCH - IOMARKER 320 704 A10 R180 28 - IOMARKER 320 768 A9 R180 28 - IOMARKER 320 832 A8 R180 28 - BEGIN BRANCH CLK - WIRE 320 576 912 576 - WIRE 912 576 912 640 - WIRE 912 640 992 640 - END BRANCH - BEGIN BRANCH B10 - WIRE 1344 960 1360 960 - WIRE 1360 960 1664 960 - END BRANCH - BEGIN BRANCH B9 - WIRE 1344 1104 1360 1104 - WIRE 1360 1104 1664 1104 - END BRANCH - BEGIN BRANCH B8 - WIRE 1344 1248 1360 1248 - WIRE 1360 1248 1664 1248 - END BRANCH - BEGIN BRANCH NOE - WIRE 1680 336 1696 336 - END BRANCH - BEGIN BRANCH NIO_SEL - WIRE 320 368 352 368 - END BRANCH - BEGIN BRANCH NIO_STB - WIRE 320 640 336 640 - END BRANCH - IOMARKER 320 368 NIO_SEL R180 28 - IOMARKER 320 640 NIO_STB R180 28 - INSTANCE XLXI_31 336 672 R0 - BEGIN BRANCH XLXN_38 - WIRE 560 640 672 640 - WIRE 672 640 704 640 - WIRE 672 640 672 928 - WIRE 672 928 1088 928 - WIRE 672 928 672 1072 - WIRE 672 1072 1088 1072 - WIRE 672 1072 672 1216 - WIRE 672 1216 1088 1216 - END BRANCH - INSTANCE XLXI_30 704 896 R0 - BEGIN BRANCH XLXN_10 - WIRE 960 736 976 736 - WIRE 976 736 992 736 - END BRANCH - BEGIN BRANCH XLXN_14 - WIRE 848 496 848 512 - WIRE 848 512 992 512 - END BRANCH - IOMARKER 320 576 CLK R180 28 - INSTANCE XLXI_17 784 496 R0 - INSTANCE XLXI_22 352 400 R0 - BEGIN BRANCH XLXN_46 - WIRE 576 368 592 368 - WIRE 592 368 992 368 - WIRE 992 368 992 416 - END BRANCH - INSTANCE XLXI_16 992 768 R0 - INSTANCE XLXI_18 1088 1056 R0 - INSTANCE XLXI_19 1088 1200 R0 - INSTANCE XLXI_20 1088 1344 R0 - IOMARKER 1664 960 B10 R0 28 - IOMARKER 1664 1104 B9 R0 28 - IOMARKER 1664 1248 B8 R0 28 - INSTANCE XLXI_32 1424 432 R0 - BEGIN BRANCH XLXN_47 - WIRE 1376 512 1392 512 - WIRE 1392 368 1424 368 - WIRE 1392 368 1392 512 - END BRANCH - IOMARKER 1696 336 NOE R0 28 - BEGIN BRANCH NDEV_SEL - WIRE 320 304 1408 304 - WIRE 1408 304 1424 304 - END BRANCH - IOMARKER 320 304 NDEV_SEL R180 28 - END SHEET -END SCHEMATIC + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 2001-3-9T11:23:0 + + + + + + + + + + + + + + + 2001-3-9T11:23:50 + + + + + + + + + 2001-3-9T11:23:11 + + + + + + 2001-5-11T10:41:37 + + + + + + + + + + 2001-5-11T10:43:14 + + + + + + + + + + + + 2001-3-9T11:23:50 + + + + + + + + + + + 2000-1-1T10:10:10 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/VHDL/AppleIISd.jed b/VHDL/AppleIISd.jed index 234df97..e40574b 100644 --- a/VHDL/AppleIISd.jed +++ b/VHDL/AppleIISd.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Sun Sep 10 14:02:45 2017 +Date Extracted: Tue Oct 03 19:24:41 2017 QF46656* QP44* @@ -59,24 +59,24 @@ L0000360 000000 000000 000000 000000* L0000384 000000 000000 000000 000000* L0000408 000000 000000 000000 000000* L0000432 00000000 00000000 00000000 00000000* -L0000464 00000000 00100000 00000000 00001000* +L0000464 00000000 00000000 00000000 00000000* L0000496 00000000 00000000 00000000 00000000* L0000528 00000000 00000000 00000000 00000000* L0000560 00000000 00000000 00000000 00000000* L0000592 00000000 00000000 00000000 00000000* -L0000624 00000000 00100000 00000000 00000000* +L0000624 00000000 00000000 00000000 00000000* L0000656 00000000 00000000 00000000 00000000* L0000688 00000100 00000000 00000000 00000000* L0000720 000000 000000 000000 000000* L0000744 000000 000000 000000 000000* -L0000768 000000 000000 000000 001000* +L0000768 000000 000000 000000 000000* L0000792 000000 000000 000000 000000* L0000816 000000 000000 000000 000000* L0000840 000000 000000 000000 000000* L0000864 00000000 00000000 00000000 00000000* -L0000896 00000000 10000000 00000000 00000000* +L0000896 00000000 00000000 00000000 00000000* L0000928 00000000 00000000 00000000 00000000* -L0000960 00000000 00000000 00000000 00000000* +L0000960 00000000 10000000 00000000 00000000* L0000992 00000000 00000000 00000000 00000000* L0001024 00000000 00000000 00000000 00000000* L0001056 00000000 00000000 00000000 00000000* @@ -103,134 +103,134 @@ L0001632 000000 000000 000000 000000* L0001656 000000 000000 000000 000000* L0001680 000000 000000 000000 000000* L0001704 000000 000000 000000 000000* -L0001728 00000000 10000000 00000000 00000000* -L0001760 00000000 00000000 00000000 00000100* +L0001728 00000000 00000000 00000000 00000000* +L0001760 00000000 00000000 00000000 00000000* L0001792 00000000 00000000 00000000 00000000* L0001824 00000000 00000000 00000000 00000000* L0001856 00000000 00000000 00000000 00000000* -L0001888 00000000 00000000 00000000 00001000* -L0001920 00000000 00000000 00000000 00000000* +L0001888 00000000 00000000 00000000 00000000* +L0001920 00000000 10000000 00000000 00000000* L0001952 00000000 00000000 00000000 00000000* L0001984 00000000 00000000 00000000 00000000* L0002016 000000 000000 000000 000000* L0002040 000000 000000 000000 000000* -L0002064 000000 000000 000000 000010* +L0002064 000000 000000 000000 000000* L0002088 000000 000000 000000 000000* L0002112 000000 000000 000000 000000* L0002136 000000 000000 000000 000000* L0002160 00000000 00000000 00000000 00000000* -L0002192 00000000 00000000 00000000 00000000* +L0002192 00000000 00000000 00000000 00001000* L0002224 00000000 00000000 00000000 00000000* -L0002256 00000000 00000000 00000000 00000100* -L0002288 00000000 00000000 00000000 00000100* -L0002320 00000100 00000000 00000000 00000000* -L0002352 00000000 00000000 00000000 00000100* +L0002256 00000000 00000000 00000000 00000000* +L0002288 00000000 00000000 00000000 00000000* +L0002320 00010000 00000000 00000000 00000000* +L0002352 00000000 00000000 00000000 00000000* L0002384 00000000 00000000 00000000 00000000* L0002416 00000000 00000000 00000000 00000000* L0002448 000000 000000 000000 000000* L0002472 000000 000000 000000 000000* L0002496 000000 000000 000000 000000* L0002520 000000 000000 000000 000000* -L0002544 000000 000000 000000 000110* +L0002544 000000 000000 000000 000000* L0002568 000000 000000 000000 000000* L0002592 00000010 00000000 00000000 00000000* -L0002624 00000000 00000000 00000000 00000000* +L0002624 00000000 10000000 00000000 00000000* L0002656 00000000 00000000 00000000 00000000* L0002688 00000010 00000000 00000000 00000000* L0002720 00000010 00000000 00000000 00000000* -L0002752 00111111 00000000 00000000 00000000* -L0002784 00111100 00000000 00000000 00000000* -L0002816 00111100 00000000 00000000 00000000* -L0002848 00101100 00000000 00000000 00000000* -L0002880 000001 000000 000000 000000* -L0002904 011000 000000 000000 000000* -L0002928 011000 000000 000010 000000* -L0002952 011000 100000 000000 000000* -L0002976 011000 000000 000000 000000* -L0003000 010000 000000 000000 000000* -L0003024 11111110 00000000 00000000 11111000* +L0002752 00000011 00000000 00000000 00000000* +L0002784 00000000 00000000 00000000 00000000* +L0002816 00000000 00000000 00000000 00000000* +L0002848 00000000 00000000 00000000 00000000* +L0002880 000000 000000 000000 000000* +L0002904 000000 000000 000000 000000* +L0002928 000000 000000 000000 000000* +L0002952 000000 000000 000000 000000* +L0002976 000000 000000 000000 000000* +L0003000 000000 000000 000000 000000* +L0003024 00000010 00000000 00000000 00000000* L0003056 00000011 00000000 00000000 00000000* L0003088 00000000 00000000 00000000 00000000* L0003120 00000000 00000000 00000000 00000000* L0003152 00000010 00000000 00000000 00000000* -L0003184 10000001 00000000 00000000 10010000* +L0003184 00000001 00000000 00000000 00000000* L0003216 00000011 00000000 00000000 00000000* -L0003248 00000000 00000000 00000000 00000000* +L0003248 00000000 00000000 00100000 00000000* L0003280 00000000 00000000 00000000 00000000* L0003312 000000 000000 000000 000000* -L0003336 100111 000000 000000 111001* +L0003336 000000 000000 000000 000000* L0003360 000000 000000 000000 000000* L0003384 000000 000000 000000 000000* L0003408 000000 000000 000000 000000* L0003432 000000 000000 000000 000000* -L0003456 00000000 10000000 00000000 00000000* -L0003488 00000000 10000000 00000000 00000000* -L0003520 00000000 10000000 00000000 00000000* -L0003552 00000000 10000000 00000000 00000000* -L0003584 00000000 10000000 00000000 00000000* +L0003456 00000000 00000000 00000000 00000000* +L0003488 00000000 00000000 00000000 00000000* +L0003520 00000000 00100000 00000000 00000000* +L0003552 00000000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000100* L0003616 00000000 00000000 00000000 00000000* L0003648 00000000 00000000 00000000 00000000* -L0003680 00000000 10000000 00000000 00000000* +L0003680 00000000 00000000 00000000 00000000* L0003712 00000000 00000000 00000000 00000000* -L0003744 000000 100000 000000 000000* -L0003768 000000 000001 000000 000000* -L0003792 000000 100000 000000 000000* -L0003816 000000 100000 000000 000000* +L0003744 000000 000000 000000 000000* +L0003768 000000 000000 000000 000000* +L0003792 000000 000000 000000 000001* +L0003816 000000 000000 000000 000000* L0003840 000000 000000 000000 000000* L0003864 000000 000000 000000 000000* L0003888 00000000 00000000 00000000 00000000* -L0003920 00000000 00000000 00000000 00000000* +L0003920 00000000 00000000 00000000 10000000* L0003952 00000000 00000000 00000000 00000000* -L0003984 00000000 00000000 00000000 00000000* +L0003984 00000000 00000000 00000000 00011100* L0004016 00000000 00000000 00000000 00000000* -L0004048 00111100 00000000 00000000 00000000* -L0004080 00111100 00000000 00000000 00000000* -L0004112 00111100 00000000 00000000 00000000* -L0004144 00101100 00000000 00000000 00000000* -L0004176 000001 000000 000000 000000* -L0004200 011000 000000 000000 000000* -L0004224 011000 000000 000000 000000* -L0004248 011000 000000 000000 000000* -L0004272 011000 000000 000001 000000* -L0004296 010000 000000 000000 000000* +L0004048 00000000 00000000 00000000 00000000* +L0004080 00000000 00000000 00000000 00000100* +L0004112 00000000 00000000 00000000 00000000* +L0004144 00000000 00000000 00000000 00000000* +L0004176 000000 000000 000000 000000* +L0004200 000000 000000 000000 000000* +L0004224 000000 000000 000000 000000* +L0004248 000000 000000 000000 000000* +L0004272 000000 010000 000000 000111* +L0004296 000000 000000 000000 000000* L0004320 00000000 00000000 00000000 00000000* L0004352 10000000 00000000 00000000 00000000* -L0004384 01000000 00000000 00000000 00000000* +L0004384 01000000 01000000 00000000 01100000* L0004416 10110100 00000000 00000000 00000000* L0004448 00000000 00000000 00000000 00000000* -L0004480 00010100 00000000 00000000 00000000* -L0004512 00000000 00000100 00000000 00000000* -L0004544 10111000 00000000 10100000 00000000* -L0004576 00101100 00000000 10100000 00000000* +L0004480 00011100 00000000 00000000 00000000* +L0004512 00000000 00000000 00000000 00000000* +L0004544 11111000 00000000 10100000 00000000* +L0004576 00100100 00000000 00100000 00000000* L0004608 000001 000000 000000 000000* L0004632 000000 000000 000000 000000* -L0004656 000000 010000 000000 000000* -L0004680 101000 000000 000001 000000* -L0004704 011001 000000 000000 000000* +L0004656 000000 000000 000000 000000* +L0004680 101000 000000 000000 011000* +L0004704 011001 000000 000000 100000* L0004728 010000 000000 000000 000000* L0004752 00000000 00000000 00000000 00000000* L0004784 00000000 00000000 00000000 00000000* L0004816 00000000 00000000 00000000 00000000* -L0004848 00001000 00011100 00000000 00000000* +L0004848 00001000 00000000 00000000 00000000* L0004880 00000000 00000000 00000000 00000000* -L0004912 00101000 00000000 10100000 00000000* -L0004944 00000000 01000000 00000000 00000000* -L0004976 00000100 00000000 00000000 00000000* -L0005008 00000000 00011100 00000000 00000000* +L0004912 00100000 00000000 10100000 00000000* +L0004944 00000000 00000000 00000000 00000000* +L0004976 00000100 00000000 00000000 00011000* +L0005008 00000000 00000000 00000000 00000000* L0005040 000000 000000 000000 000000* L0005064 011000 000000 000000 000000* L0005088 000000 000000 000000 000000* L0005112 010000 000000 000000 000000* -L0005136 000110 000110 000000 000000* +L0005136 000110 000000 000000 000000* L0005160 000000 000000 000000 000000* L0005184 00000011 00000001 00000000 00000011* L0005216 00000011 00000011 00000010 00000011* -L0005248 00000011 01000011 00000000 00000011* -L0005280 00000011 00000011 00000000 00000011* -L0005312 00000001 00000011 00000010 00000011* -L0005344 00000011 00000011 00000001 00000011* -L0005376 00000011 00000011 00000001 00000011* -L0005408 00000011 00000011 00000011 00000011* +L0005248 00000011 00000011 00000000 00000011* +L0005280 00000011 00000010 00000000 00000011* +L0005312 00000011 00000010 00000010 00000011* +L0005344 00000011 00000010 00000001 00000011* +L0005376 00000011 00000010 00000001 00000011* +L0005408 00000011 00000010 00000011 00000011* L0005440 00000011 00000011 00000001 00000011* L0005472 000000 000000 000000 000000* L0005496 000000 000000 000000 000000* @@ -239,14 +239,14 @@ L0005544 000000 000000 000000 000000* L0005568 000000 000000 000000 000000* L0005592 000000 000000 000000 000000* L0005616 00000011 00000011 00000000 00000011* -L0005648 00000010 00000011 00000000 00100001* +L0005648 00000010 00000011 00000000 00000001* L0005680 00000011 00000011 00000000 00000011* -L0005712 10000011 00000011 00000000 00000011* -L0005744 00000000 00000011 00000000 00000000* -L0005776 00000001 00000011 00000001 00000011* -L0005808 00000011 00000011 00000001 00000011* -L0005840 00001000 00000011 00000000 00000001* -L0005872 00000001 00000000 00000001 00000011* +L0005712 10000011 00000010 00000000 00000011* +L0005744 00000010 00000010 00000000 00000001* +L0005776 00001001 00000010 00000000 00000011* +L0005808 00000011 00000000 00000001 00000011* +L0005840 00000000 00000010 00000000 00000001* +L0005872 00000001 00000010 00000001 00000011* L0005904 000000 000000 000000 000000* L0005928 000000 000000 000000 000000* L0005952 000000 000000 000000 000000* @@ -254,14 +254,14 @@ L0005976 000000 000000 000000 000000* L0006000 000000 000000 000000 000000* L0006024 000000 000000 000000 000000* L0006048 00000011 00000001 00000000 00000011* -L0006080 00000011 00100011 00000010 00000001* +L0006080 00000011 00000011 00000010 00000001* L0006112 00000011 00000011 00000000 00000011* -L0006144 00000011 00000011 00000000 00000011* -L0006176 00000011 00000011 00000000 00000000* -L0006208 00000011 00000011 00000001 00000011* -L0006240 00000011 00000011 00000001 00000011* -L0006272 00000011 00000011 00000010 00000001* -L0006304 00000011 00000010 00000000 00000011* +L0006144 00000011 00000000 00000000 00000011* +L0006176 00000011 00000010 00000000 00000001* +L0006208 00000011 00000000 00000000 00000011* +L0006240 00000011 00000010 00000000 00000011* +L0006272 00000011 00000010 00000010 00000001* +L0006304 00000011 00000010 00000001 00000011* L0006336 000000 000000 000000 000000* L0006360 000000 000000 000000 000000* L0006384 000000 000000 000000 000000* @@ -272,75 +272,75 @@ L0006480 00000000 00000010 00000000 00000000* L0006512 00000001 00000010 00000010 00000000* L0006544 00000000 00000000 00000000 00000000* L0006576 00000000 00000000 00000000 00000000* -L0006608 00000011 00000000 00000000 00000000* +L0006608 00000001 00000000 00000000 00000000* L0006640 00000010 00000000 00000000 00000000* L0006672 00000000 00000000 00000000 00000000* L0006704 00000011 00000000 00000010 00000000* -L0006736 00000010 00000000 00000000 00000000* +L0006736 00000010 00000000 00100000 00000000* L0006768 000000 000000 000000 000000* L0006792 000000 000000 000000 000000* L0006816 000000 000000 000000 000000* L0006840 000000 000000 000000 000000* L0006864 000000 000000 000000 000000* L0006888 000000 000000 000000 000000* -L0006912 00000011 00011101 00000000 00000001* -L0006944 00000011 00000011 00000110 00000001* -L0006976 00000011 00000001 00000000 00000011* -L0007008 00000011 00000011 00000000 00000011* -L0007040 00000001 00000011 00000000 00000000* -L0007072 00000011 11011111 00000100 00000011* -L0007104 00000011 00000011 00000000 00000011* -L0007136 00000011 00000001 00000010 00000001* -L0007168 00000011 00000010 00000001 00000011* +L0006912 00000011 00000001 00000000 00000011* +L0006944 00000011 00000011 00000010 00000001* +L0006976 00000011 10000001 00000000 00000011* +L0007008 00000011 00000010 00000000 00000011* +L0007040 00000011 00000010 00000000 00000001* +L0007072 00000011 00000010 00000000 00000011* +L0007104 00000011 00000010 00000001 00000011* +L0007136 00000011 00000010 00000010 00000001* +L0007168 00000011 00000010 00000000 00000011* L0007200 000000 000000 000000 000000* -L0007224 000000 110110 000000 000000* +L0007224 000000 000000 000000 000000* L0007248 000000 000000 000000 000000* L0007272 000000 000000 000000 000000* L0007296 000000 000000 000000 000000* L0007320 000000 000000 000000 000000* -L0007344 00000011 00000011 00000000 00000001* +L0007344 00000011 00000011 00000000 00000011* L0007376 00000010 00000001 00000000 00000001* L0007408 00000011 00000011 00000000 00000011* -L0007440 00000011 00000001 00000000 00000011* -L0007472 00000000 00000011 00000000 00000000* -L0007504 00000001 00000011 01000000 00000011* -L0007536 00000011 00000011 00000000 00000011* -L0007568 00000000 00000001 00000000 00000001* -L0007600 00000001 00000000 00000001 00000011* +L0007440 00000011 00000010 00000000 00000011* +L0007472 00000010 00000010 00000000 00000001* +L0007504 00000001 00000010 00000000 00000011* +L0007536 00000011 00000000 00000001 00000011* +L0007568 00000000 00000010 00000000 00000001* +L0007600 00000001 00000010 00000000 00000011* L0007632 000000 000000 000000 000000* L0007656 000000 000000 000000 000000* -L0007680 000000 000000 000000 010001* +L0007680 000000 000000 000000 000000* L0007704 000000 000000 000000 000000* L0007728 000000 000000 000000 000000* L0007752 000000 000000 000000 000000* -L0007776 00000011 00000001 00000000 00000011* -L0007808 00000010 00000011 00000010 00000001* +L0007776 00000011 00000001 00000000 00000001* +L0007808 00000010 00000011 00000000 00000001* L0007840 00000011 00000001 00000000 00000011* -L0007872 00000011 00000001 00000000 00000011* -L0007904 00000001 00000001 00000000 00000000* -L0007936 00000011 00000001 00000000 00000011* -L0007968 00000011 00000001 00000000 00000011* -L0008000 00000011 10000011 00000010 00000001* -L0008032 00000011 00000000 00000001 00000011* +L0007872 00000011 00000010 00000000 00000011* +L0007904 00000010 00000000 00000000 00000001* +L0007936 00000011 00000010 00000000 00000011* +L0007968 00000011 00000000 00000000 00000011* +L0008000 00000011 00000010 00000010 00000001* +L0008032 00000011 00000010 00000000 00000011* L0008064 000000 000000 000000 000000* L0008088 000000 000000 000000 000000* L0008112 000000 000000 000000 000000* L0008136 000000 000000 000000 000000* L0008160 000000 000000 000000 000000* L0008184 000000 000000 000000 000000* -L0008208 00000001 00000011 00000000 00000011* +L0008208 00000001 00000011 00000000 00000001* L0008240 00000010 00000011 00000000 00000001* L0008272 00000011 00000001 00000000 00000011* -L0008304 00000011 00000001 00000000 00000011* -L0008336 00000000 00000001 00000000 00000000* -L0008368 00000001 00000001 00000000 00000010* -L0008400 00000011 00000001 00000000 00000010* +L0008304 00000011 00000010 00000000 00000011* +L0008336 00000010 00000000 00000000 00000001* +L0008368 00000001 00000010 00000000 00000010* +L0008400 00000011 00000000 00000000 00000010* L0008432 00000000 00000010 00000000 00000001* -L0008464 00000001 00000000 00100001 00000011* -L0008496 000000 000000 000000 000000* +L0008464 00000001 00000010 00000000 00000010* +L0008496 000001 000000 000000 000000* L0008520 000000 000000 000000 000000* -L0008544 000000 000000 000000 000000* -L0008568 001000 000000 000000 000000* +L0008544 000000 001000 000000 000000* +L0008568 000000 000000 000000 000000* L0008592 000000 000000 000000 000000* L0008616 000000 000000 000000 000000* L0008640 00000000 00000000 00000000 00000000* @@ -363,12 +363,12 @@ L0009104 00000000 00010000 00000000 00000000* L0009136 00000000 00000000 00000000 00000000* L0009168 00000000 00000000 00000000 00000000* L0009200 00000000 00000000 00000000 00000000* -L0009232 00000000 00000000 00000000 00100000* +L0009232 00000000 00000000 00000000 00000000* L0009264 00000000 00000000 00000000 00000001* -L0009296 00000000 00000000 00000000 00000001* +L0009296 00001000 00000000 00000000 00000001* L0009328 00000000 00000000 00000000 00000000* L0009360 000000 000000 000000 000000* -L0009384 010000 000000 000000 000000* +L0009384 000000 000000 000000 000000* L0009408 000000 000000 000000 000000* L0009432 000000 000000 000000 000000* L0009456 000000 000000 000000 000000* @@ -378,10 +378,10 @@ L0009536 00000000 00000010 00000000 00000010* L0009568 00000000 00000000 00000000 00000000* L0009600 00000000 00000000 00000000 00000000* L0009632 00000000 00000000 00000000 00000000* -L0009664 00000000 00000000 00000000 00000000* +L0009664 00000000 00000010 00000000 00000000* L0009696 00000000 00000000 00000001 00000000* L0009728 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00000001 00000000* L0009792 000000 000000 000000 000000* L0009816 000000 000000 000000 000000* L0009840 000000 000000 000000 000000* @@ -393,8 +393,8 @@ L0009968 00000000 00000010 00000000 00000000* L0010000 00000000 00000000 00000000 00000000* L0010032 00000000 00000000 00000000 00000000* L0010064 00000000 00000000 00000000 00000000* -L0010096 00000000 00000000 01000000 00000000* -L0010128 00000000 00010000 00000000 00000000* +L0010096 00000000 00000000 00000000 00000000* +L0010128 00000000 00000000 00000000 00000000* L0010160 00000000 00000000 00000000 00000001* L0010192 00000000 00000000 00000000 00000000* L0010224 000000 000000 000000 000000* @@ -419,28 +419,28 @@ L0010728 000000 000000 000000 000000* L0010752 000000 000000 000000 000000* L0010776 000000 000000 000000 000000* L0010800 00000000 00000000 00000000 00000000* -L0010832 00010000 00000000 00000100 00000000* +L0010832 00000000 00000000 00000000 00000000* L0010864 00000000 00000010 00000000 00000000* L0010896 00000000 00000000 00000000 00000000* L0010928 00000000 00000000 00000000 00000000* -L0010960 00000000 00000000 00000100 00000000* -L0010992 10000000 00000000 00000000 00000000* +L0010960 00100000 00000000 00000000 00000000* +L0010992 00000000 00000000 00000000 00000000* L0011024 00000000 00000000 00000000 00000000* L0011056 00000000 00000000 00000000 00000000* L0011088 000000 000000 000000 000000* L0011112 000000 000000 000000 000000* -L0011136 000100 000100 000000 000000* +L0011136 000000 000000 000000 000100* L0011160 000000 000000 000000 000000* L0011184 000000 000000 000000 000000* L0011208 000000 000000 000000 000000* -L0011232 00000011 00000001 00000000 00000011* +L0011232 00000011 00000001 00000100 00000011* L0011264 00000011 00000011 00000010 00000011* L0011296 00000011 00000011 00000000 00000011* -L0011328 00000011 00000011 00000000 00000011* -L0011360 00000011 00000011 00000010 00000011* -L0011392 00000011 00000011 00000001 00000011* -L0011424 00000011 00000011 00000001 00000011* -L0011456 00000011 00000011 00000011 00000011* +L0011328 00000011 00000010 00000000 00000011* +L0011360 00000011 00000010 00000010 00000011* +L0011392 00000011 00000010 00000001 00000011* +L0011424 00000011 00000010 00000001 00000011* +L0011456 00000011 00000010 00000011 00000011* L0011488 00000011 00000011 00000001 00000011* L0011520 000000 000000 000000 000000* L0011544 000000 000000 000000 000000* @@ -455,7 +455,7 @@ L0011760 00000000 00000000 00000000 00000000* L0011792 00000000 00000000 00000000 00000000* L0011824 00000000 00000000 00000000 00000000* L0011856 00000000 00000000 00000000 00000000* -L0011888 00010000 00000000 00000000 00000000* +L0011888 00000000 00000000 00000000 00000000* L0011920 00000000 00000000 00000000 00000000* L0011952 000000 000000 000000 000000* L0011976 000000 000000 000000 000000* @@ -479,136 +479,136 @@ L0012456 000000 000000 000000 000000* L0012480 000000 000000 000000 000000* L0012504 000000 000000 000000 000000* L0012528 00000000 00000000 00000000 00000000* -L0012560 00000000 00000000 00000000 00000000* +L0012560 00000000 00000000 00000000 10000000* L0012592 00000000 00000000 00000000 00000000* L0012624 00000000 00000000 00000000 00000000* L0012656 00000000 00000000 00000000 00000000* -L0012688 00000000 00000000 00100000 00000000* -L0012720 00000000 00001000 00000000 00000000* -L0012752 00000000 00000000 00000000 00000000* +L0012688 00000000 00000000 00000000 00000000* +L0012720 00000000 00000000 00000000 00000000* +L0012752 00000100 00000000 00000000 00000000* L0012784 00000000 00000000 00000000 00000000* L0012816 000000 000000 000000 000000* L0012840 000000 000000 000000 000000* L0012864 000000 000000 000000 000010* -L0012888 010000 000000 000000 000000* +L0012888 000000 000000 000000 000000* L0012912 000000 000000 000000 000000* L0012936 000000 000000 000000 000000* L0012960 00000000 00000000 00000000 00000000* L0012992 00000000 00000000 00000000 00000011* -L0013024 00000000 00000000 00000000 00000000* -L0013056 00000000 00000000 00000000 00000000* +L0013024 00111100 00010000 00000000 10011100* +L0013056 01000000 00000000 00000000 01100000* L0013088 00000000 00000000 00000010 00000011* L0013120 00000000 00000000 00000000 00000000* L0013152 00000000 00000000 00000000 00000000* -L0013184 00000000 00000000 00000001 00000011* -L0013216 00000000 00000000 00000000 00000000* +L0013184 00000000 01110000 00000001 00000111* +L0013216 11000000 10000000 00000000 00010100* L0013248 000000 000000 000000 000000* L0013272 000000 000000 000000 000000* L0013296 000000 000000 000000 000000* -L0013320 000000 000000 000000 000000* -L0013344 000000 000000 000000 000000* +L0013320 000111 001100 000000 100111* +L0013344 100000 000000 000000 011000* L0013368 000000 000000 000000 000000* L0013392 00000000 00000000 00000000 00000000* L0013424 00000000 00000000 00000000 00000000* L0013456 00000000 00000000 00000000 00000000* L0013488 00000000 00000000 00000000 00000000* -L0013520 00000000 00000000 00000000 00000000* -L0013552 00000000 00000000 00000000 10000000* -L0013584 00000000 00000000 00000000 00000000* -L0013616 00100000 00000000 00000000 00000000* +L0013520 00000000 00000000 00000000 00000100* +L0013552 00000000 00000000 00000000 00000000* +L0013584 00000000 00000000 00000000 00000100* +L0013616 00000000 00000000 00000000 00000000* L0013648 00000000 00000000 00000000 00000000* L0013680 000000 000000 000000 000000* -L0013704 000000 000000 000000 000000* -L0013728 000000 000010 000010 000000* +L0013704 000000 000001 000010 000000* +L0013728 000000 000000 000000 000000* L0013752 000000 000000 000000 000000* L0013776 000000 000000 000000 000000* L0013800 000000 000000 000000 000000* -L0013824 00000000 10000000 00000000 00000000* +L0013824 00000000 00000000 00000000 00000000* L0013856 10000001 00000000 00000010 00000010* -L0013888 01000000 00000000 00000000 01110000* -L0013920 00111100 10000000 00000000 10001000* -L0013952 00000011 00000000 00000010 00000011* -L0013984 00000010 00000000 00000000 00000000* -L0014016 00000000 00000000 00000000 00000000* -L0014048 10000011 10000000 00000011 00010010* -L0014080 00000010 00000111 00000000 00000000* -L0014112 000000 100000 000000 000000* +L0013888 01000000 00000000 00000000 01100000* +L0013920 00111100 00000000 00000000 00000000* +L0013952 00000001 00000000 00000010 00000010* +L0013984 00000010 00000000 00000001 00000000* +L0014016 00000000 00000010 00000000 00000000* +L0014048 11000011 00000000 00000011 00011010* +L0014080 00000010 00000001 00000000 00000000* +L0014112 000000 000000 000000 000000* L0014136 000000 000000 000000 000000* L0014160 000000 000000 000000 000000* L0014184 100000 000000 000000 011000* -L0014208 000111 000000 000000 100001* +L0014208 000111 000000 000000 100000* L0014232 000000 000000 000000 000000* L0014256 00000011 00000001 00000000 00000011* -L0014288 00000010 10000011 00000000 00000001* -L0014320 00000011 10000011 00000000 00000011* -L0014352 00000011 00000011 00000000 00000011* -L0014384 00000000 10000011 00000000 00000000* -L0014416 00111101 00000011 00000001 00000011* -L0014448 00111111 01000111 00000001 00000011* -L0014480 00111100 00000011 00000000 00000001* -L0014512 00101101 00000000 00000001 00000011* -L0014544 000001 000000 000000 000000* -L0014568 011000 000000 000000 000000* -L0014592 011000 000000 000000 000000* -L0014616 011000 100000 000000 000000* -L0014640 011000 000000 000000 000000* -L0014664 010000 000000 000000 000000* +L0014288 00000010 00000011 00000000 00000001* +L0014320 00000011 00000011 00000000 00000011* +L0014352 00000011 00000010 00000000 00000011* +L0014384 00000010 00000010 00000000 00000001* +L0014416 00000001 00000010 00000000 10000011* +L0014448 00000011 00000000 00000001 00000011* +L0014480 00000000 00000010 00000000 00000001* +L0014512 00000001 00000010 00000001 00000011* +L0014544 000000 000000 000000 000000* +L0014568 000000 000000 000000 000000* +L0014592 000000 000000 000000 000000* +L0014616 000000 000000 000000 000000* +L0014640 000000 010000 000000 000000* +L0014664 000000 000000 000000 000000* L0014688 00000011 00000001 00000000 00000011* -L0014720 00000010 00000011 00000000 00000001* -L0014752 00000011 00000011 00000000 01110011* -L0014784 00000011 00000011 00000000 00000011* -L0014816 00000000 00000011 00000000 00000000* -L0014848 00000001 00000011 00000001 00000011* -L0014880 00000011 00000011 00000001 00000011* -L0014912 00000000 00000011 00000000 00000001* -L0014944 00000001 00000000 00000001 00000011* +L0014720 00000010 10000011 00000000 00000001* +L0014752 10000011 10000011 00000000 00000011* +L0014784 00000011 00000010 00000000 00000011* +L0014816 00000010 10000010 00000000 00000001* +L0014848 00000001 00000010 00000000 00000011* +L0014880 00000011 00000000 00000001 00000011* +L0014912 00000000 00000010 00000000 00000001* +L0014944 00000001 00000010 00000001 00000011* L0014976 000000 000000 000000 000000* L0015000 000000 000000 000000 000000* L0015024 000000 000000 000000 000000* -L0015048 000000 000000 000000 011000* -L0015072 000000 000000 000000 000000* +L0015048 000000 100000 000000 000000* +L0015072 000000 000000 000000 000001* L0015096 000000 000000 000000 000000* -L0015120 00000000 00000000 00000000 00000000* -L0015152 00000000 00000000 00000000 00000000* +L0015120 00000000 10000000 00000000 00000000* +L0015152 00000000 00000000 00000000 10000000* L0015184 00000000 00000000 00000000 00000000* -L0015216 00000000 00000000 00000000 10001000* +L0015216 00000000 10000000 00000000 00000100* L0015248 00000000 00000000 00000000 00000000* L0015280 00000000 00000000 00000000 00000000* L0015312 00000000 00000000 00000000 00000000* -L0015344 00000000 00000000 00100000 00010000* +L0015344 00000000 10000000 00000000 00000000* L0015376 00000000 00000000 00000000 00000000* -L0015408 000000 000000 000000 000000* +L0015408 000000 100000 000000 000000* L0015432 000000 000000 000000 000000* -L0015456 000000 000000 000000 000000* +L0015456 000000 000000 000000 000001* L0015480 000000 000000 000000 000000* -L0015504 010000 000000 000000 100001* +L0015504 000000 000000 000000 000010* L0015528 000000 000000 000000 000000* -L0015552 00000000 00100000 00000000 00000001* +L0015552 00000000 10000000 00000000 00000000* L0015584 00000010 00000000 00000000 00000001* L0015616 00000010 00000000 00000000 00000000* -L0015648 00000010 00000000 00000000 00000010* -L0015680 00000000 00000000 00000000 00000000* -L0015712 00000000 00100000 00000000 00000010* +L0015648 00000010 00000010 00000000 00000010* +L0015680 00000010 00000000 00000000 00000001* +L0015712 00000000 00000000 00000000 00000010* L0015744 00000000 00000000 00000000 00000010* L0015776 00000000 00000000 00000000 00000000* -L0015808 00000000 00000000 00000001 00000010* +L0015808 00000000 00000000 00000000 00000010* L0015840 000000 000000 000000 000000* L0015864 000000 000000 000000 000000* -L0015888 000000 001000 000000 000000* +L0015888 000000 000000 000000 000000* L0015912 000000 000000 000000 000000* L0015936 000000 000000 000000 000000* L0015960 000000 000000 000000 000000* -L0015984 00000001 01000001 00000100 00000010* +L0015984 00000001 00000001 00000000 00000001* L0016016 00000000 00000001 00000000 00000000* L0016048 00000001 00000001 00000000 00000011* -L0016080 00000001 00000001 00000000 00000001* -L0016112 00000000 00000001 00000000 00000000* -L0016144 00000001 00000001 00000000 00000000* -L0016176 00000011 00000001 00000000 00000000* +L0016080 00000001 00000000 00000000 00000001* +L0016112 00000000 00000000 00000000 00000000* +L0016144 00000001 00000010 01000000 00000000* +L0016176 00000011 00000000 00000000 00000000* L0016208 00000000 00000010 00000000 00000000* -L0016240 00000001 00000000 00000000 00000001* +L0016240 00000001 00000010 00000000 00000000* L0016272 000000 000000 000000 000000* -L0016296 000000 000000 000010 000000* +L0016296 000000 000000 000000 000000* L0016320 000000 000000 000000 000000* L0016344 000000 000000 000000 000000* L0016368 000000 000000 000000 000000* @@ -629,7 +629,7 @@ L0016776 000000 000000 000000 000000* L0016800 000000 000000 000000 000000* L0016824 000000 000000 000000 000000* L0016848 00000010 00000000 00000000 00000000* -L0016880 00001100 00000000 00000000 00000000* +L0016880 00000000 00000000 00000000 00000000* L0016912 00000000 00000000 00000000 00000000* L0016944 00000000 00000000 00000000 00000000* L0016976 00000000 00000000 00000000 00000000* @@ -639,19 +639,19 @@ L0017072 00000000 00000000 00000000 00000000* L0017104 00000000 00000000 00000000 00000000* L0017136 000000 000000 000000 000000* L0017160 000000 000000 000000 000000* -L0017184 100000 000000 000000 000000* +L0017184 000000 000000 000000 000000* L0017208 000000 000000 000000 000000* L0017232 000000 000000 000000 000000* L0017256 000000 000000 000000 000000* L0017280 00000000 00000000 00000000 00000000* L0017312 00000000 00000000 00000000 00000000* -L0017344 00000000 00000000 00000000 00000000* +L0017344 00000000 00000000 00000100 00000000* L0017376 00000000 00000000 00000000 00000000* L0017408 00000000 00000000 00000000 00000000* L0017440 00000000 00000000 00000000 00000000* -L0017472 00000000 00000000 00000000 00000000* +L0017472 00000000 01000000 00000000 00000000* L0017504 00000000 00000000 00000000 00000000* -L0017536 00000000 00000000 00000000 00000000* +L0017536 00000000 00000000 00000000 00001000* L0017568 000000 000000 000000 000000* L0017592 000000 000000 000000 000000* L0017616 000000 000000 000000 000000* @@ -661,23 +661,23 @@ L0017688 000000 000000 000000 000000* L0017712 00000000 00000000 00000000 00000000* L0017744 00000000 00000000 00000000 00000000* L0017776 00000000 00000000 00000000 00000000* -L0017808 00000000 00000000 00000000 00000000* +L0017808 00000000 00010000 00000000 00000000* L0017840 00000000 00000000 00000000 00000000* L0017872 00000000 00000000 00000000 00000000* L0017904 00000000 00000000 00000000 00000000* L0017936 00000000 00000000 00000000 00000000* -L0017968 00000000 00000000 00000000 00000000* +L0017968 00000000 00110000 00000000 00000000* L0018000 000000 000000 000000 000000* L0018024 000000 000000 000000 000000* L0018048 000000 000000 000000 000000* L0018072 000000 000000 000000 000000* -L0018096 000000 000000 000000 000000* +L0018096 000000 001100 000000 000000* L0018120 000000 000000 000000 000000* L0018144 00000000 00000000 00000000 00000000* L0018176 00000000 00000000 00000000 00000000* L0018208 00000000 00000000 00000000 00000000* L0018240 00000000 00000000 00000000 00000000* -L0018272 00000000 00000000 00000000 00000000* +L0018272 00000000 00000000 00000000 00000001* L0018304 00000000 00000000 00000000 00000000* L0018336 00000000 00000000 00000000 00000000* L0018368 00000000 00000000 00000000 00000000* @@ -703,103 +703,103 @@ L0018912 000000 000000 000000 000000* L0018936 000000 000000 000000 000000* L0018960 000000 000000 000000 000000* L0018984 000000 000000 000000 000000* -L0019008 00000000 00000000 00000000 00000000* +L0019008 00000000 00010000 00000000 00000000* L0019040 00000001 00000010 00000010 00000011* L0019072 00000000 00000000 00000000 00000000* L0019104 00000000 00000000 00000000 00000000* -L0019136 00000011 00000000 00000010 00000011* -L0019168 00000010 00000000 00000000 01100000* +L0019136 00000001 00000000 00000010 00000011* +L0019168 00000010 11110000 00000100 01100000* L0019200 00000000 00000000 00000000 00000000* L0019232 00000011 00000000 00000011 00000011* L0019264 00000010 00000000 00000000 00000000* L0019296 000000 000000 000000 000000* -L0019320 000000 000000 000000 000000* -L0019344 000000 000000 000000 000000* +L0019320 000000 101100 000000 000000* +L0019344 000000 000000 000001 000000* L0019368 000000 000000 000000 000000* L0019392 000000 000000 000000 000000* L0019416 000000 000000 000000 000000* L0019440 00000011 00000011 00000000 00000011* L0019472 00000011 00000011 00000010 00000011* L0019504 00000011 00000011 00000000 00000011* -L0019536 00000011 00000011 00000000 00000011* -L0019568 00000001 00000011 00000010 00000011* -L0019600 00000011 00000011 00000001 00000011* -L0019632 00000011 00000011 00000001 00000011* -L0019664 00000011 00000011 00000011 00000011* +L0019536 00000011 00000010 00000000 00000011* +L0019568 00000011 00000010 00000010 00000011* +L0019600 00000011 00000010 01000001 00000011* +L0019632 00000011 00000010 00000001 00000011* +L0019664 00000011 00000010 00000011 00000011* L0019696 00000011 00000011 00000001 00000011* L0019728 000000 000000 000000 000000* L0019752 000000 000000 000000 000000* L0019776 000000 000000 000000 000000* -L0019800 000000 000000 000000 000000* +L0019800 010000 000000 000000 000000* L0019824 000000 000000 000000 000000* L0019848 000000 000000 000000 000000* L0019872 00000011 00000011 00000000 00000011* L0019904 00000011 00000011 00000010 00000001* L0019936 00000011 00000011 00000000 00000011* -L0019968 00000011 00000011 00000000 00000011* -L0020000 00000011 00000011 00000000 00000000* -L0020032 00000011 00000011 00000001 00000011* -L0020064 00000011 00000011 00000001 00000011* -L0020096 00000011 00000011 00000010 00000001* -L0020128 00000011 00000010 00000000 00000011* -L0020160 000000 000000 000000 000000* +L0019968 00000011 10000000 00000000 00000011* +L0020000 00000011 10000010 00000000 00000001* +L0020032 00000011 00000000 00000000 00000011* +L0020064 00000011 00000010 00000000 00000011* +L0020096 00000011 00000010 00000010 00000001* +L0020128 00000011 00000010 00000001 00000011* +L0020160 000000 100000 000000 000000* L0020184 000000 000000 000000 000000* L0020208 000000 000000 000000 000000* -L0020232 000000 000000 000000 000000* +L0020232 000000 100000 000000 000000* L0020256 000000 000000 000000 000000* L0020280 000000 000000 000000 000000* -L0020304 00000011 00000011 00000000 00000001* -L0020336 00000011 00000011 00000110 00000001* -L0020368 00000011 00000011 00000000 00000011* -L0020400 00000011 00000011 00000000 00000011* -L0020432 00000001 00000011 00000000 00000000* -L0020464 00000011 00000011 00000000 01000011* -L0020496 00000011 00000011 00000000 00000011* -L0020528 00000011 00000001 00000010 00000001* -L0020560 00000011 00000010 00000001 00000011* +L0020304 00000011 10000011 00000000 00000011* +L0020336 00000011 10000011 00000010 00000001* +L0020368 00000011 10000011 00000000 00000011* +L0020400 00000011 00000010 00000000 00000011* +L0020432 00000011 00000010 00000000 00000001* +L0020464 00000011 00000010 00000000 01000011* +L0020496 00000011 00000010 00000001 00000011* +L0020528 00000011 10000010 00000010 00000001* +L0020560 00000011 00000010 00000000 00000011* L0020592 000000 000000 000000 000000* L0020616 000000 000000 000000 000000* -L0020640 000000 000000 000000 000000* +L0020640 000000 000000 000001 000000* L0020664 000000 000000 000000 000000* -L0020688 000000 000000 000000 000000* +L0020688 010000 000000 000000 000000* L0020712 000000 000000 000000 000000* -L0020736 00000011 00000011 00000000 00000011* -L0020768 00000010 01000011 00000010 00000001* -L0020800 00000011 00111101 00000000 00001111* -L0020832 00000011 00000001 00000000 01110011* -L0020864 00000001 00000001 00000000 00000000* -L0020896 00000011 00000001 00000000 00000011* -L0020928 00000011 00000001 00000000 00000011* -L0020960 00000011 01011111 00000010 00000101* -L0020992 00000011 10000000 00000001 00010111* +L0020736 00000011 00000011 00000000 00000001* +L0020768 00000010 00000011 00000000 00000001* +L0020800 00000011 00000001 00000000 00000011* +L0020832 00000011 00000010 00000000 00000011* +L0020864 00000010 00000000 00000000 00000001* +L0020896 00000011 00000010 00000000 00000011* +L0020928 00000011 00000000 00000000 00000011* +L0020960 00000011 00000010 00000010 00000001* +L0020992 00000011 00000010 00000000 00000011* L0021024 000000 000000 000000 000000* L0021048 000000 000000 000000 000000* L0021072 000000 000000 000000 000000* -L0021096 000000 010110 000000 100111* -L0021120 000000 000000 000000 011000* +L0021096 000000 000000 000000 000000* +L0021120 000000 000000 000000 000000* L0021144 000000 000000 000000 000000* L0021168 00000000 00000010 00000000 00000000* -L0021200 00000000 00000010 00000000 00000000* +L0021200 00100000 00000010 00000000 00000000* L0021232 00000000 00000000 00000000 00000000* -L0021264 00000000 00000000 00000000 10000000* -L0021296 00000000 00000000 00000000 00000100* +L0021264 00000000 00000000 00000000 00000000* +L0021296 00000000 00000000 00000000 00000000* L0021328 00000010 00000000 00000000 00000000* -L0021360 00000000 00000000 00000000 00000101* +L0021360 10000000 00000000 00000000 00000001* L0021392 00000001 00000000 00000000 00000001* -L0021424 00000000 00100000 00000000 00000000* +L0021424 00000000 00000000 00000000 00000000* L0021456 000000 000000 000000 000000* -L0021480 000000 000001 000000 000000* +L0021480 000000 000000 000000 000000* L0021504 000000 000000 000000 000000* L0021528 000000 000000 000000 000000* L0021552 000000 000000 000000 000000* L0021576 000000 000000 000000 000000* -L0021600 00000000 00000011 00000000 00000000* -L0021632 00000000 00000011 00000000 00000000* -L0021664 00000000 00000000 00000000 00000010* +L0021600 00000001 00000000 00000001 00000001* +L0021632 00000000 00000000 00000000 00000000* +L0021664 00000000 00000000 00000000 00000000* L0021696 00000000 00000000 00000000 00000000* -L0021728 00000010 00000011 00000000 00000001* +L0021728 00000010 00000001 00000000 00000000* L0021760 00000000 00000000 00000000 00000000* -L0021792 00000000 00000000 00000000 00000000* +L0021792 00000000 00000000 00000000 00001000* L0021824 00000000 00000000 00000000 00000000* L0021856 00000000 00000000 00000000 00000000* L0021888 000000 000000 000000 000000* @@ -808,11 +808,11 @@ L0021936 000000 000000 000000 000000* L0021960 000000 000000 000000 000000* L0021984 000000 000000 000000 000000* L0022008 000000 000000 000000 000000* -L0022032 00000000 00000000 00000001 00000000* -L0022064 00000000 00000000 00000000 00000000* +L0022032 00000001 00000000 00000001 00000001* +L0022064 00000001 00000000 00000000 01000000* L0022096 00000000 00000000 00000000 00000000* L0022128 00000000 00000000 00000000 00000000* -L0022160 00000000 00000010 00000000 00000000* +L0022160 00000001 00000010 00000000 00000000* L0022192 00000000 00000000 00000000 00000000* L0022224 00000000 00000000 00000000 00000000* L0022256 00000000 00000000 00000000 00000000* @@ -823,11 +823,11 @@ L0022368 000000 000000 000000 000000* L0022392 000000 000000 000000 000000* L0022416 000000 000000 000000 000000* L0022440 000000 000000 000000 000000* -L0022464 00000000 00000000 00000000 00000000* -L0022496 00000010 00000001 00000000 00000010* +L0022464 00000010 00000010 00000000 00000000* +L0022496 00000010 00000010 00000000 00000010* L0022528 00000000 00000000 00000000 00000000* L0022560 00000000 00000000 00000000 00000000* -L0022592 00000010 00000011 00000000 00000010* +L0022592 00000010 00000011 00000000 00000000* L0022624 00000000 00000000 00000000 00000000* L0022656 00000000 00000000 00000000 00000000* L0022688 00000000 00000000 00000000 00000000* @@ -838,8 +838,8 @@ L0022800 000000 000000 000000 000000* L0022824 000000 000000 000000 000000* L0022848 000000 000000 000000 000000* L0022872 000000 000000 000000 000000* -L0022896 00000011 00000001 00000000 00000010* -L0022928 00000011 00000001 00000010 11000010* +L0022896 00000000 00000001 00000000 00000000* +L0022928 00000000 00000001 00000010 00000000* L0022960 00000000 00000000 00000000 00000000* L0022992 00000000 00000000 00000000 00000000* L0023024 00000000 00000011 00000010 00000000* @@ -853,41 +853,41 @@ L0023232 000000 000000 000000 000000* L0023256 000000 000000 000000 000000* L0023280 000000 000000 000000 000000* L0023304 000000 000000 000000 000000* -L0023328 00000000 00000001 00000000 00000000* -L0023360 00000000 00000011 00000010 00000001* -L0023392 00000011 10000000 00000000 00000000* -L0023424 00000000 10000000 00000000 00000000* -L0023456 00000000 10000011 00000010 00000001* -L0023488 00000000 00000000 00000000 00001000* +L0023328 00000000 00100001 00000000 00000000* +L0023360 00000001 00000001 00000001 00000010* +L0023392 01000000 00000010 00000000 01100000* +L0023424 00111100 00000000 00000000 00000000* +L0023456 00000001 00000001 00000001 00000010* +L0023488 00000000 00000000 00000000 00000000* L0023520 00000000 00000000 00000000 00000000* -L0023552 00000000 00000000 00000000 00000000* +L0023552 11000000 00000000 00000000 00010000* L0023584 00000000 00000000 00000000 00000000* -L0023616 000000 100000 000000 000000* -L0023640 000000 000000 000000 000000* +L0023616 000000 000000 000000 000000* +L0023640 000000 000000 000010 000000* L0023664 000000 000000 000000 000000* -L0023688 000000 000000 000000 000000* -L0023712 000000 000000 000000 000000* +L0023688 100000 000000 000000 011000* +L0023712 000111 000000 000000 100000* L0023736 000000 000000 000000 000000* -L0023760 00000000 10000000 00000000 00000000* -L0023792 00000000 10000010 00000000 00000000* -L0023824 00000010 00000000 00000010 00000000* +L0023760 00000000 00000000 00000000 00000000* +L0023792 00000000 00000001 00000001 00000000* +L0023824 00000010 00000010 00000010 00000011* L0023856 00000000 00000000 00000000 00000000* -L0023888 00000000 00000010 00000000 00000000* -L0023920 00000000 00000000 00000000 00000000* -L0023952 00000000 01000000 00000000 00000000* -L0023984 00000000 10000000 00000000 00000000* +L0023888 00000000 00000001 00000001 00000000* +L0023920 00000000 00000000 10000000 00000000* +L0023952 00000000 00000000 00000000 00000000* +L0023984 00000000 00000000 00000000 00000000* L0024016 00000000 00000000 00000000 00000000* L0024048 000000 000000 000000 000000* L0024072 000000 000000 000000 000000* -L0024096 000000 000000 000000 000000* -L0024120 000000 100000 000000 000000* +L0024096 000000 100000 000000 000000* +L0024120 000000 000000 000000 000000* L0024144 000000 000000 000000 000000* L0024168 000000 000000 000000 000000* L0024192 00000001 00000000 00000000 00000001* -L0024224 00000001 00000010 00000000 00000001* -L0024256 00000000 00000000 00000000 00000010* +L0024224 00000001 00000000 00000000 00000001* +L0024256 00000000 00000000 00000000 00000000* L0024288 00000000 00000000 00000000 00000000* -L0024320 00000010 00000011 00000000 00000000* +L0024320 00000010 00000000 00000000 00000000* L0024352 00000000 00000000 00000000 00000000* L0024384 00000000 00000000 00000000 00000000* L0024416 00000000 00000000 00000000 00000000* @@ -898,29 +898,29 @@ L0024528 000000 000000 000000 000000* L0024552 000000 000000 000000 000000* L0024576 000000 000000 000000 000000* L0024600 000000 000000 000000 000000* -L0024624 00000001 00000001 00000000 00000000* -L0024656 00000001 00000011 00000100 00000000* +L0024624 00000001 00000000 00000000 00000001* +L0024656 00000001 00000000 00000010 00000001* L0024688 00000000 00000000 00000000 00000000* L0024720 00000000 00000000 00000000 00000000* -L0024752 00000001 00000011 00000000 00000000* -L0024784 00000000 00000000 00000000 00000000* +L0024752 00000001 00000000 00000010 00000001* +L0024784 00000000 00000000 00000000 00100000* L0024816 00000000 00000000 00000000 00000000* L0024848 00000000 00000000 00000000 00000000* L0024880 00000000 00000000 00000000 00000000* L0024912 000000 000000 000000 000000* L0024936 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000* +L0024960 000000 000000 000001 000000* L0024984 000000 000000 000000 000000* L0025008 000000 000000 000000 000000* -L0025032 000000 000000 000000 000000* -L0025056 00000000 00000001 00000000 00000001* -L0025088 00000001 00000011 00000010 00000001* -L0025120 00000000 00000000 00000000 00000010* +L0025032 010000 000000 000000 000000* +L0025056 00000000 00000011 00000000 00000001* +L0025088 00000000 00000011 00000000 00000001* +L0025120 00000000 00000000 00000000 00000000* L0025152 00000000 00000000 00000000 00000000* -L0025184 00000001 00000011 00000000 00000001* +L0025184 00000000 00000011 00000000 00000001* L0025216 00000000 00000000 00000000 00000000* L0025248 00000000 00000000 00000000 00000000* -L0025280 00000000 00000000 00000000 00000000* +L0025280 00000000 10000000 00000000 00000000* L0025312 00000000 00000000 00000000 00000000* L0025344 000000 000000 000000 000000* L0025368 000000 000000 000000 000000* @@ -928,11 +928,11 @@ L0025392 000000 000000 000000 000000* L0025416 000000 000000 000000 000000* L0025440 000000 000000 000000 000000* L0025464 000000 000000 000000 000000* -L0025488 00000000 00000011 00000000 00000000* -L0025520 00000000 00001011 00000010 00000000* +L0025488 00000000 00000000 00000001 00000000* +L0025520 00000010 00000010 00000000 00000000* L0025552 00000000 00000000 00000000 00000000* L0025584 00000000 00000000 00000000 00000000* -L0025616 00000010 00000011 00000010 00000000* +L0025616 00000011 00000010 00000001 00000001* L0025648 00000000 00000000 00000000 00000000* L0025680 00000000 00000000 00000000 00000000* L0025712 00000000 00000000 00000000 00000000* @@ -943,26 +943,26 @@ L0025824 000000 000000 000000 000000* L0025848 000000 000000 000000 000000* L0025872 000000 000000 000000 000000* L0025896 000000 000000 000000 000000* -L0025920 00000010 00000000 00000000 00000010* -L0025952 00000010 00000010 00000000 00000000* -L0025984 00111100 00000000 00000000 00000000* -L0026016 01000000 00000000 00000000 00000000* -L0026048 00000010 10000010 00000000 00000000* +L0025920 00000000 00000001 00000000 00000001* +L0025952 00000010 00000011 00000000 00000001* +L0025984 00000000 00000000 00000000 00000000* +L0026016 00000000 00000000 00000000 00000000* +L0026048 00000010 00000011 00000000 00000001* L0026080 00000000 00000000 00000000 00000000* L0026112 00000000 00000000 00000000 00000000* L0026144 00000000 00000000 00000000 00000000* -L0026176 10000000 00000000 00000000 00000000* -L0026208 000000 000000 000000 000000* +L0026176 00000000 00000000 00000000 00000000* +L0026208 000000 100000 000000 000000* L0026232 000000 000000 000000 000000* L0026256 000000 000000 000000 000000* -L0026280 000111 000000 000000 000000* -L0026304 100000 000000 000000 000000* +L0026280 000000 000000 000000 000000* +L0026304 000000 000000 000000 000000* L0026328 000000 000000 000000 000000* -L0026352 00000000 00000000 00000000 00000000* -L0026384 00000000 00000010 00000000 00000001* -L0026416 00000000 00000000 00000010 00000000* +L0026352 00000001 00000001 00000000 00000000* +L0026384 00000001 00000001 00000000 00000000* +L0026416 00000000 00000000 00000000 00000000* L0026448 00000000 00000000 00000000 00000000* -L0026480 00000010 00000010 00000000 00000001* +L0026480 00000011 00000001 00000000 00000000* L0026512 00000000 00000000 00000000 00000000* L0026544 00000000 00000000 00000000 00000000* L0026576 00000000 00000000 00000000 00000000* @@ -973,41 +973,41 @@ L0026688 000000 000000 000000 000000* L0026712 000000 000000 000000 000000* L0026736 000000 000000 000000 000000* L0026760 000000 000000 000000 000000* -L0026784 00000010 00000000 00000011 00000000* -L0026816 00000010 00000010 00000001 00000001* +L0026784 00000001 00000001 00000001 00000011* +L0026816 00000001 00000001 00000001 00000011* L0026848 00000000 00000000 00000000 00000000* L0026880 00000000 00000000 00000000 00000000* -L0026912 00000000 00000010 00000000 00000101* +L0026912 00000010 00000000 00000000 00000010* L0026944 00000000 00000000 00000000 00000000* L0026976 00000000 00000000 00000000 00000000* L0027008 00000000 00000000 00000000 00000000* L0027040 00000000 00000000 00000000 00000000* -L0027072 000000 100000 000000 000000* +L0027072 000000 000000 000000 000000* L0027096 000000 000000 000000 000000* L0027120 000000 000000 000000 000000* -L0027144 000000 000000 000000 000000* +L0027144 000000 100000 000000 000000* L0027168 000000 000000 000000 000000* L0027192 000000 000000 000000 000000* -L0027216 00000001 00000000 00000000 00000000* -L0027248 00100010 00000000 00000001 00000001* +L0027216 00000001 00000000 00000010 00000000* +L0027248 00000001 00000000 00000010 00000000* L0027280 00000000 00000000 00000000 00000000* -L0027312 00000000 00000000 00000000 00000100* -L0027344 00000010 00000000 00000000 00000001* -L0027376 00000000 00000000 00000000 00000000* -L0027408 00000000 00000000 00000000 00000100* -L0027440 00000000 00000000 00000000 00000000* -L0027472 00000000 00000000 00000000 00000000* -L0027504 000000 000000 000000 000000* -L0027528 000000 000000 000000 000000* -L0027552 000000 000000 000000 000000* -L0027576 000000 000000 000000 000000* -L0027600 000000 000000 000000 000110* -L0027624 000000 000000 000000 000000* -L0027648 00000000 00000000 00000010 00000010* -L0027680 00000001 00000010 00000000 00000010* +L0027312 00000000 00000000 00000000 00000000* +L0027344 00000000 00000001 00000010 00000000* +L0027376 00111100 00000000 10100000 00000000* +L0027408 00111100 00000000 10100000 00000000* +L0027440 00111100 00000000 10100000 00000000* +L0027472 00100100 00000000 00100000 00000000* +L0027504 000001 000000 000000 000000* +L0027528 011000 000000 000000 000000* +L0027552 011000 000000 000000 000000* +L0027576 011000 000000 000000 000000* +L0027600 011000 000000 000000 000000* +L0027624 010000 000000 000000 000000* +L0027648 00000011 00000000 00000000 00000011* +L0027680 00000001 00000000 00000000 00000011* L0027712 00000000 00000000 00000000 00000000* L0027744 00000000 00000000 00000000 00000000* -L0027776 00000011 00000010 00000010 00000010* +L0027776 00000001 00000000 00000000 00000011* L0027808 00000000 00000000 00000000 00000000* L0027840 00000000 00000000 00000000 00000000* L0027872 00000000 00000000 00000000 00000000* @@ -1018,14 +1018,14 @@ L0027984 000000 000000 000000 000000* L0028008 000000 000000 000000 000000* L0028032 000000 000000 000000 000000* L0028056 000000 000000 000000 000000* -L0028080 00000000 00000000 00000011 00000011* -L0028112 00000000 00000010 00000010 00000001* -L0028144 00000000 00000000 00000000 00000000* +L0028080 00000010 00000010 00000010 00000010* +L0028112 00000011 00000011 00000010 00100010* +L0028144 00000000 00000000 00000000 00000001* L0028176 00000000 00000000 00000000 00000000* -L0028208 00000011 00000010 00000000 00000011* +L0028208 00000001 00000001 00000000 00000000* L0028240 00000000 00000000 00000000 00000000* -L0028272 00000000 00000000 00000000 00000000* -L0028304 00000000 00000000 00000000 00000000* +L0028272 00000000 00100000 00000000 00000000* +L0028304 00000000 00000000 10000000 00000000* L0028336 00000000 00000000 00000000 00000000* L0028368 000000 000000 000000 000000* L0028392 000000 000000 000000 000000* @@ -1033,41 +1033,41 @@ L0028416 000000 000000 000000 000000* L0028440 000000 000000 000000 000000* L0028464 000000 000000 000000 000000* L0028488 000000 000000 000000 000000* -L0028512 00000001 00000001 00000000 00000000* -L0028544 00000011 00000011 00000001 00000010* +L0028512 00000000 00000000 00000001 00000000* +L0028544 00000010 00000010 00000000 00000010* L0028576 00000000 00000000 00000000 00000000* L0028608 00000000 00000000 00000000 00000000* -L0028640 00000001 00000011 00000001 00000001* +L0028640 00000000 00000000 00000000 00000001* L0028672 00000000 00000000 00000000 00000000* L0028704 00000000 00000000 00000000 00000000* L0028736 00000000 00000000 00000000 00000000* L0028768 00000000 00000000 00000000 00000000* L0028800 000000 000000 000000 000000* -L0028824 000000 001000 000000 000000* +L0028824 000000 000000 000000 000000* L0028848 000000 000000 000000 000000* L0028872 000000 000000 000000 000000* L0028896 000000 000000 000000 000000* L0028920 000000 000000 000000 000000* -L0028944 00000000 00000000 00000010 00000010* -L0028976 01000001 00000000 00000000 00000010* +L0028944 00000000 00000010 00000000 00000010* +L0028976 00000010 00000010 00000000 00000010* L0029008 00000000 00000000 00000000 00000000* L0029040 00000000 00000000 00000000 00000000* -L0029072 00000011 00000000 00000010 00000000* +L0029072 00000010 00000010 00000000 00000010* L0029104 00000000 00000000 00000000 00000000* -L0029136 00000000 00000000 00000000 00010000* +L0029136 01000000 00000000 00000000 00010000* L0029168 00000000 00000000 00000000 00000000* L0029200 00000000 00000000 00000000 00000000* L0029232 000000 000000 000000 000000* L0029256 000000 000000 000000 000000* -L0029280 000011 100000 000000 000000* +L0029280 000011 000000 000000 000000* L0029304 000000 000000 000000 000000* L0029328 000000 000000 000000 000000* L0029352 000000 000000 000000 000000* L0029376 00000000 00000000 00000000 00000000* -L0029408 00000000 00000010 00000010 00000000* -L0029440 00000000 00000000 00000000 00000000* +L0029408 00000000 00000001 00000001 00000001* +L0029440 00000000 00000000 00000010 00000000* L0029472 00000000 00000000 00000000 00000000* -L0029504 00000000 00000001 00000000 00000000* +L0029504 00000001 00000010 00000000 00000001* L0029536 00000000 00000000 00000000 00000000* L0029568 00000000 00000000 00000000 00000000* L0029600 00000000 00000000 00000000 00000000* @@ -1078,26 +1078,26 @@ L0029712 000000 000000 000000 000000* L0029736 000000 000000 000000 000000* L0029760 000000 000000 000000 000000* L0029784 000000 000000 000000 000000* -L0029808 00000011 00000000 00000001 00000000* -L0029840 00000011 00000100 00000001 00000000* +L0029808 00000001 00000001 00000001 00000001* +L0029840 00000001 00000001 00000001 00010001* L0029872 00000000 00000000 00000000 00000000* L0029904 00000000 00000000 00000000 00000000* L0029936 00000000 00000000 00000000 00000000* L0029968 00000000 00000000 00000000 00000000* L0030000 00000000 00000000 00000000 00000000* L0030032 00000000 00000000 00000000 00000000* -L0030064 00000000 00000000 00000000 00000000* +L0030064 00100000 00000000 00000000 00000000* L0030096 000000 000000 000000 000000* L0030120 000000 000000 000000 000000* L0030144 000000 000000 000000 000000* L0030168 000000 000000 000000 000000* L0030192 000000 000000 000000 000000* -L0030216 010000 000000 000000 000000* -L0030240 00000001 00000000 00000001 00000000* -L0030272 00000001 10000000 00000001 00000000* -L0030304 00000000 00000000 00000000 00000001* +L0030216 000000 000000 000000 000000* +L0030240 00000000 00000000 00000010 00000010* +L0030272 00000000 00000010 00000000 00000000* +L0030304 00000000 10000000 00000000 00000000* L0030336 00000000 00000000 00000000 00000000* -L0030368 00000001 10000000 00000001 00000000* +L0030368 00000000 00000010 00000010 00000010* L0030400 00000000 00000000 00000000 00000000* L0030432 00000000 00000000 00000000 00000000* L0030464 00000000 10000000 00000000 00000000* @@ -1105,32 +1105,32 @@ L0030496 00000000 00000000 00000000 00000000* L0030528 000000 100000 000000 000000* L0030552 000000 000000 000000 000000* L0030576 000000 000000 000000 000000* -L0030600 000000 000000 000000 000000* +L0030600 000000 100000 000000 000000* L0030624 000000 000000 000000 000000* L0030648 000000 000000 000000 000000* -L0030672 00000000 10000000 00000000 00000001* -L0030704 00000000 00000001 00000000 00000101* -L0030736 00000000 10000000 00000000 00000000* +L0030672 00000000 10000000 00000000 00000000* +L0030704 00000001 10000001 00000000 10000101* +L0030736 00000000 00000000 00000000 00000000* L0030768 00000000 10000000 00000000 00000000* -L0030800 00000000 00000001 00000000 00000000* +L0030800 00000001 10000001 00000000 00000001* L0030832 00000000 00000000 00000000 00000000* -L0030864 00000000 01000000 00000000 00000000* +L0030864 00000000 00000000 00000000 00000000* L0030896 00000000 00000000 00000000 00000000* L0030928 00000000 00000000 00000000 00000000* L0030960 000000 000000 000000 000000* L0030984 000000 000000 000000 000000* L0031008 000000 000000 000000 000000* -L0031032 000000 100000 000000 000000* -L0031056 001000 000000 000000 000010* +L0031032 000000 000000 000000 000000* +L0031056 000000 000000 000000 000010* L0031080 000000 000000 000000 000000* L0031104 00000000 00000001 00000000 00000000* -L0031136 00000000 00000001 00000000 00000010* -L0031168 00000000 10000000 00000000 00000000* +L0031136 00000000 00000011 00000010 00000010* +L0031168 00000000 00000000 00000000 00000000* L0031200 00000000 00000000 00000000 00000000* -L0031232 00000000 00000001 00000000 00000000* +L0031232 00000010 00000011 00000000 00000000* L0031264 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000* -L0031328 00000000 00000000 00000000 00000000* +L0031328 00000000 00000000 00000000 00000100* L0031360 00000000 00000000 00000000 00000000* L0031392 000000 000000 000000 000000* L0031416 000000 000000 000000 000000* @@ -1138,41 +1138,41 @@ L0031440 000000 000000 000000 000000* L0031464 000000 000000 000000 000000* L0031488 000000 000000 000000 000000* L0031512 000000 000000 000000 000000* -L0031536 00000000 00000000 00000010 00000010* -L0031568 00000001 00000000 00000000 00000001* +L0031536 00000000 00000010 00000010 00000011* +L0031568 00000000 00000010 00000000 00000001* L0031600 00000000 00000000 00000000 00000000* L0031632 00000000 00000000 00000000 00000000* -L0031664 00000001 00000000 00000000 00000000* -L0031696 00000000 00000000 00000000 00000000* +L0031664 00000010 00000010 00000000 00000000* +L0031696 00000000 00000000 00100000 00000000* L0031728 00000000 00000000 00000000 00000000* -L0031760 00000000 00000000 00000000 00000000* -L0031792 00000000 00000000 00000000 00000000* -L0031824 000000 000000 000000 000000* +L0031760 00100000 00000000 00000000 00000000* +L0031792 00000000 00000000 00000000 00000100* +L0031824 000000 000000 000000 000001* L0031848 000000 000000 000000 000000* L0031872 000000 000000 000000 000000* L0031896 000000 000000 000000 000000* L0031920 000000 000000 000000 000000* L0031944 000000 000000 000000 000000* -L0031968 00000001 00000011 00000000 00000010* -L0032000 00000001 00000010 00000000 00000010* -L0032032 00000000 00000000 00000000 00000000* -L0032064 00000000 00000000 00000000 00000000* -L0032096 00000001 00000001 00000000 00000000* +L0031968 00000000 10000000 00000000 00000000* +L0032000 00000000 10000000 00000000 00000000* +L0032032 00000010 10000000 00000000 00000000* +L0032064 00000000 10000000 00000000 00000000* +L0032096 00000000 10000000 00000000 00000100* L0032128 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000* -L0032192 00000000 00000000 00000000 00000000* +L0032160 00000000 00000000 00000000 00000100* +L0032192 00000000 10000000 00000000 00000000* L0032224 00000000 00000000 00000000 00000000* -L0032256 000000 000000 000000 000000* -L0032280 000000 000000 000000 000000* -L0032304 000000 000000 000000 000000* -L0032328 000000 000000 000000 000000* +L0032256 000000 100000 000000 000000* +L0032280 000000 000001 000000 000000* +L0032304 000000 100000 000000 000000* +L0032328 000000 100000 000000 000000* L0032352 000000 000000 000000 000000* L0032376 000000 000000 000000 000000* -L0032400 00000001 00000000 00000000 00000000* +L0032400 00000000 00000000 00000000 00000001* L0032432 00000000 00000000 00000000 00000000* -L0032464 00000000 00000000 00000000 00000000* -L0032496 00000000 00000000 00000000 00000000* -L0032528 00000001 00000000 00000000 00000001* +L0032464 00000000 00000000 00000000 00000010* +L0032496 00000000 01000000 00000000 00000000* +L0032528 00000000 00000000 00000000 00000001* L0032560 00000000 00000000 00000000 00000000* L0032592 00000000 00000000 00000000 00000000* L0032624 00000000 00000000 00000000 00000000* @@ -1183,11 +1183,11 @@ L0032736 000000 000000 000000 000000* L0032760 000000 000000 000000 000000* L0032784 000000 000000 000000 000000* L0032808 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000* +L0032832 00000001 00000000 00000000 00000000* L0032864 00000001 00000000 00000000 00000000* -L0032896 00000000 00000001 00000001 00000010* +L0032896 00000000 00000000 00000000 00000000* L0032928 00000000 00000000 00000000 00000000* -L0032960 00000001 00000000 00000000 00000000* +L0032960 00000000 00000000 00000000 00000000* L0032992 00000000 00000000 00000000 00000000* L0033024 00000000 00000000 00000000 00000000* L0033056 00000000 00000000 00000000 00000000* @@ -1201,7 +1201,7 @@ L0033240 000000 000000 000000 000000* L0033264 00000000 00000000 00000000 00000000* L0033296 00000000 00000000 00000000 00000000* L0033328 00000000 00000000 00000000 00000000* -L0033360 00000000 00000000 00000000 00000100* +L0033360 00000000 00000000 00000000 00000000* L0033392 00000000 00000000 00000000 00000000* L0033424 00000000 00000000 00000000 00000000* L0033456 00000000 00000000 00000000 00000000* @@ -1209,47 +1209,47 @@ L0033488 00000000 00000000 00000000 00000000* L0033520 00000000 00000000 00000000 00000000* L0033552 000000 000000 000000 000000* L0033576 000000 000000 000000 000000* -L0033600 000000 000000 000000 000000* +L0033600 000000 000100 000000 000000* L0033624 000000 000000 000000 000000* -L0033648 000000 000000 000000 000010* +L0033648 001000 000000 000000 000000* L0033672 000000 000000 000000 000000* -L0033696 00000010 00000010 00000010 00000110* +L0033696 00000010 00000010 00000010 00000010* L0033728 00000000 00000010 00000000 00000010* L0033760 00000000 00000000 00000000 00000000* L0033792 00000000 00000000 00000000 00000000* L0033824 00000000 00000000 00000000 00000000* -L0033856 00000000 00000000 10100000 00000100* -L0033888 00000010 00000010 10100010 00000010* -L0033920 00000000 00000000 10100000 00000000* -L0033952 00000000 00000000 10100000 00000000* +L0033856 00000000 00000000 00000000 00000000* +L0033888 00000010 00000010 00000010 00000010* +L0033920 00000000 00000000 00000000 00000000* +L0033952 00000000 00000000 00000000 00000000* L0033984 000000 000000 000000 000000* -L0034008 000000 000000 000000 000110* +L0034008 000000 000000 000000 000000* L0034032 000000 000000 000000 000000* L0034056 000000 000000 000000 000000* L0034080 000000 000000 000000 000000* L0034104 000000 000000 000000 000000* -L0034128 00000000 00000000 00000000 00000000* +L0034128 11111100 01000000 00000000 01100000* L0034160 00000000 00000000 00000000 00000000* L0034192 00000000 00000000 00000000 00000000* L0034224 00000000 00000000 00000000 00000000* L0034256 00000000 00000000 00000000 00000000* -L0034288 00000000 00000000 01000000 00000000* +L0034288 11000000 00000000 01000000 10011000* L0034320 00000000 00000000 00000000 00000000* L0034352 00000000 00000000 00000000 00000000* L0034384 00000000 00000000 00000000 00000000* L0034416 000000 000000 000000 000000* -L0034440 000000 000000 000001 000000* +L0034440 100111 000000 000000 111000* L0034464 000000 000000 000000 000000* -L0034488 000000 000000 000000 000000* +L0034488 000000 010000 000000 000000* L0034512 000000 000000 000000 000000* L0034536 000000 000000 000000 000000* L0034560 00000000 00000000 00000000 00000000* L0034592 00000000 00000000 00000000 00000000* -L0034624 00000000 00000000 00000000 00000000* +L0034624 00000000 00100000 00000000 00000000* L0034656 00000000 00000000 00000000 00000000* -L0034688 00000000 00000000 00000000 00000100* +L0034688 00000000 00000000 00000000 00000000* L0034720 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000100* +L0034752 00000000 00000000 00000000 00000000* L0034784 00000000 00000000 00000000 00000000* L0034816 00000000 00000000 00000000 00000000* L0034848 000000 000000 000000 000000* @@ -1259,14 +1259,14 @@ L0034920 000000 000000 000000 000000* L0034944 000000 000000 000000 000000* L0034968 000000 000000 000000 000000* L0034992 00000000 00000000 00000000 00000000* -L0035024 00000000 00000000 00000000 00000000* +L0035024 01001100 00100000 00000000 00000000* L0035056 00000000 00000000 00000000 00000000* L0035088 00000000 00000000 00000000 00000000* L0035120 00000000 00000000 00000000 00000000* -L0035152 00000000 00000000 10100000 00000000* -L0035184 00000000 00000000 10100000 00000000* -L0035216 00000100 00000000 10100000 00000000* -L0035248 00000000 00000000 10100000 00000000* +L0035152 00000000 00000000 00000000 00000000* +L0035184 00000000 00000000 00000000 00000000* +L0035216 00000000 00000000 00000000 00000000* +L0035248 00000000 00000000 00000000 00000000* L0035280 000000 000000 000000 000000* L0035304 000000 000000 000000 000000* L0035328 000000 000000 000000 000000* @@ -1274,7 +1274,7 @@ L0035352 000000 000000 000000 000000* L0035376 000000 000000 000000 000000* L0035400 000000 000000 000000 000000* L0035424 00000000 00000000 00000000 00000000* -L0035456 00000000 00000000 00000000 00000000* +L0035456 00000000 00000000 00000000 00001000* L0035488 00000000 00000000 00000000 00000000* L0035520 00000000 00000000 00000000 00000000* L0035552 00000000 00000000 00000000 00000000* @@ -1296,9 +1296,9 @@ L0035984 00000000 00000000 00000000 00000000* L0036016 00000000 00000000 00000000 00000000* L0036048 00000000 00000000 00000000 00000000* L0036080 00000000 00000000 00000000 00000000* -L0036112 00001000 00000000 00000000 00000000* +L0036112 00000000 00000000 00000000 00000000* L0036144 000000 000000 000000 000000* -L0036168 000000 000000 000000 000000* +L0036168 010000 000000 000000 000000* L0036192 000000 000000 000000 000000* L0036216 000000 000000 000000 000000* L0036240 000000 000000 000000 000000* @@ -1314,7 +1314,7 @@ L0036512 00000000 00000000 00000000 00000000* L0036544 00000000 00000000 00000000 00000000* L0036576 000000 000000 000000 000000* L0036600 000000 000000 000000 000000* -L0036624 000000 000000 000010 000100* +L0036624 000000 000000 000000 000000* L0036648 000000 000000 000000 000000* L0036672 000000 000000 000000 000000* L0036696 000000 000000 000000 000000* @@ -1323,13 +1323,13 @@ L0036752 00000000 00000000 00000000 00000000* L0036784 00000000 00000000 00000000 00000000* L0036816 00000000 00000000 00000000 00000000* L0036848 00000000 00000000 00000000 00000000* -L0036880 00100000 00000000 10000000 00001000* -L0036912 00000000 00000000 00000000 00000000* -L0036944 00000000 00000000 00000000 00000000* +L0036880 00000000 00000000 00000000 00000000* +L0036912 00000000 00010000 00000000 00000000* +L0036944 00010000 00000000 00000000 00000000* L0036976 00000000 00000000 00000000 00000000* L0037008 000000 000000 000000 000000* L0037032 000000 000000 000000 000000* -L0037056 000000 000000 000000 000000* +L0037056 000000 000000 000000 010000* L0037080 000000 000000 000000 000000* L0037104 000000 000000 000000 000000* L0037128 000000 000000 000000 000000* @@ -1339,7 +1339,7 @@ L0037216 00000000 00000000 00000000 00000000* L0037248 00000000 00000000 00000000 00000000* L0037280 00000000 00000000 00000000 00000000* L0037312 00000000 00000000 00000000 00000000* -L0037344 00000000 10000000 00000000 00000100* +L0037344 00000000 00000000 00000000 00000100* L0037376 00000000 00000000 00000000 00000000* L0037408 00000000 00000000 00000000 00000000* L0037440 000000 000000 000000 000000* @@ -1353,13 +1353,13 @@ L0037616 00000000 00000000 00000000 00000000* L0037648 00000000 00000000 00000000 00000000* L0037680 00000000 00000000 00000000 00000000* L0037712 00000000 00000000 00000000 00000100* -L0037744 00010000 00000000 00000000 00000000* +L0037744 00000000 00000000 00000100 00000000* L0037776 00000000 00000000 00000000 00000000* L0037808 00000000 00000000 00000000 00000000* -L0037840 00000000 00000000 10000000 00000000* +L0037840 00000000 00000000 00000000 00000000* L0037872 000000 000000 000000 000000* L0037896 000000 000000 000000 000000* -L0037920 000000 000000 000000 000000* +L0037920 000000 000000 000001 000000* L0037944 000000 000000 000000 000000* L0037968 000000 000000 000000 000000* L0037992 000000 000000 000000 000000* @@ -1386,26 +1386,26 @@ L0038576 00000000 00000000 00000000 00000000* L0038608 00000000 00000000 00000000 00000000* L0038640 00000000 00000000 00000000 00000000* L0038672 00000000 00000000 00000000 00000000* -L0038704 00100000 00000000 00000000 00000000* +L0038704 00000000 00000000 00000000 00000000* L0038736 000000 000000 000000 000000* L0038760 000000 000000 000000 000000* L0038784 000000 000000 000000 000000* L0038808 000000 000000 000000 000000* L0038832 000000 000000 000000 000000* L0038856 000000 000000 000000 000000* -L0038880 00000000 00000000 00000000 00000000* -L0038912 00000000 00000000 00000000 00000000* +L0038880 00000000 00000000 00000000 10011100* +L0038912 00000000 00100000 00000000 00000000* L0038944 00000000 00000000 00000000 00000000* L0038976 00000000 00000000 00000000 00000000* L0039008 00000000 00000000 00000000 00000000* -L0039040 00000000 00000000 00000000 00000000* +L0039040 00000000 00000000 00000000 00000100* L0039072 00000000 00000000 00000000 00000000* L0039104 00000000 00000000 00000000 00000000* L0039136 00000000 00000000 00000000 00000000* L0039168 000000 000000 000000 000000* -L0039192 000000 000000 000000 000000* +L0039192 000000 000000 000000 000111* L0039216 000000 000000 000000 000000* -L0039240 000000 001000 000000 000000* +L0039240 000000 000000 000000 000000* L0039264 000000 000000 000000 000000* L0039288 000000 000000 000000 000000* L0039312 00000000 00000000 00000000 00000000* @@ -1418,24 +1418,24 @@ L0039504 00000000 00000000 00000000 00000000* L0039536 00000000 00000000 00000000 00000000* L0039568 00000000 00000000 00000000 00000000* L0039600 000000 000000 000000 000000* -L0039624 000000 000000 000000 000000* -L0039648 000000 001000 000000 000000* -L0039672 000000 000000 000000 000000* +L0039624 000000 010000 000001 000000* +L0039648 000000 000000 000000 000000* +L0039672 001000 000000 000000 000000* L0039696 000000 000000 000000 000000* L0039720 000000 000000 000000 000000* L0039744 00000000 00000000 00000000 00000000* L0039776 10000000 00000000 00000000 00000000* -L0039808 01000000 00000000 00000000 00000000* +L0039808 01000000 01000000 00000000 01100000* L0039840 10001000 00000000 00000000 00000000* L0039872 00000000 00000000 00000000 00000000* L0039904 00100000 00000000 00000000 00000000* L0039936 00000000 00000000 00000000 00000000* -L0039968 10010000 00000000 00000000 00000000* -L0040000 00101000 00000000 10100000 00000000* +L0039968 11011000 00000000 10000000 00000000* +L0040000 00100000 00000000 00100000 00000000* L0040032 000001 000000 000000 000000* L0040056 001000 000000 000000 000000* L0040080 000000 000000 000000 000000* -L0040104 110000 000000 000001 000000* +L0040104 110000 000000 000000 011000* L0040128 001110 000000 000000 000000* L0040152 010000 000000 000000 000000* L0040176 00000000 00000000 00000000 00000000* @@ -1445,17 +1445,17 @@ L0040272 00110100 00000000 00000000 00000000* L0040304 00000000 00000000 00000000 00000000* L0040336 00011100 00000000 10100000 00000000* L0040368 00000000 00000000 00000000 00000000* -L0040400 00101100 00000000 10100000 00000000* +L0040400 00100100 00000000 00100000 00011000* L0040432 00000100 00000000 00000000 00000000* L0040464 000000 000000 000000 000000* L0040488 010000 000000 000000 000000* L0040512 000000 000000 000000 000000* L0040536 001000 000000 000000 000000* -L0040560 010001 000000 000000 000000* +L0040560 010001 000000 000000 100000* L0040584 000000 000000 000000 000000* L0040608 00000000 00000000 00000000 00000000* L0040640 00000000 00000000 00000000 00000000* -L0040672 00000000 00000000 00000000 01110000* +L0040672 00000000 00000000 00000000 00000000* L0040704 00000000 00000000 00000000 00000000* L0040736 00000000 00000000 00000000 00000000* L0040768 00000000 00000000 00000000 00000000* @@ -1465,17 +1465,17 @@ L0040864 00000000 00000000 00000000 00000000* L0040896 000000 000000 000000 000000* L0040920 000000 000000 000000 000000* L0040944 000000 000000 000000 000000* -L0040968 000000 000000 000000 011000* -L0040992 000000 000000 000000 100001* +L0040968 000000 000000 000000 000000* +L0040992 000000 000000 000000 000000* L0041016 000000 000000 000000 000000* L0041040 00000000 00000000 00000000 00000000* L0041072 00000000 00000000 00000000 00000000* L0041104 00000000 00000000 00000000 00000000* -L0041136 00000000 00000000 00000000 10001000* +L0041136 00000000 00000000 00000000 00000000* L0041168 00000000 00000000 00000000 00000000* L0041200 00000000 00000000 00000000 00000000* -L0041232 01000000 00000000 00000000 00000000* -L0041264 00000000 00000000 10000000 00010000* +L0041232 00000000 00000000 00000000 00000000* +L0041264 00000000 00000000 00000000 00000000* L0041296 00000000 00000000 00000000 00000000* L0041328 000000 000000 000000 000000* L0041352 000000 000000 000000 000000* @@ -1483,8 +1483,8 @@ L0041376 000000 000000 000000 000000* L0041400 000000 000000 000000 000000* L0041424 000000 000000 000000 000000* L0041448 000000 000000 000000 000000* -L0041472 00000000 00000000 00000000 00000000* -L0041504 00000000 00000000 00000000 00000000* +L0041472 00000000 00100000 00000000 00000000* +L0041504 00000000 00000000 00000000 00000100* L0041536 00000000 00000000 00000000 00000000* L0041568 00000000 00000000 00000000 00000000* L0041600 00000000 00000000 00000000 00000000* @@ -1493,31 +1493,31 @@ L0041664 00000000 00000000 00000000 00000000* L0041696 00000000 00000000 00000000 00000000* L0041728 00000000 00000000 00000000 00000000* L0041760 000000 000000 000000 000000* -L0041784 000000 001000 000000 000000* -L0041808 000000 000000 000000 000000* +L0041784 000000 000000 000000 000000* +L0041808 000000 000000 000000 000010* L0041832 000000 000000 000000 000000* L0041856 000000 000000 000000 000000* L0041880 000000 000000 000000 000000* L0041904 00000000 10000000 00000000 00000000* -L0041936 00000000 10000000 00000000 00010000* +L0041936 00000000 10000000 00000000 00000000* L0041968 00000000 10000000 00000000 00000000* -L0042000 00000000 10011100 00000000 00000000* -L0042032 00000000 10000000 00000000 00000000* -L0042064 00000000 00000000 00000000 00000000* -L0042096 00000000 00000100 00000000 00000000* +L0042000 00000000 10010000 00000000 00011100* +L0042032 00000000 10000000 00000000 00000100* +L0042064 00000100 00000000 00000000 00000000* +L0042096 00000000 01000000 00000000 00000100* L0042128 00000000 10000000 00000000 00000000* -L0042160 00000000 00011100 00000000 00000000* +L0042160 00000000 00110000 00000000 00000000* L0042192 000000 100000 000000 000000* L0042216 000000 000000 000000 000000* -L0042240 000000 010000 000000 100000* +L0042240 000000 000000 000000 000001* L0042264 000000 100000 000000 000000* -L0042288 000000 000110 000000 000000* +L0042288 000000 001100 000000 000111* L0042312 000000 000000 000000 000000* L0042336 00000000 00000000 00000000 00000000* L0042368 00000000 00000000 00000000 00000000* L0042400 00000000 00000000 00000000 00000000* -L0042432 00000000 10000000 00000000 00000000* -L0042464 00000000 00000000 00000000 00000000* +L0042432 00000000 00000000 00000000 00000000* +L0042464 00000000 10000000 00000000 00000000* L0042496 00000000 00000000 00000000 00000000* L0042528 00000000 00000000 00000000 00000000* L0042560 00000000 00000000 00000000 00000000* @@ -1567,15 +1567,15 @@ L0043792 00000000 00000000 00000000 00000000* L0043824 00000000 00000000 00000000 00000000* L0043856 00000000 00000000 00000000 00000000* L0043888 00000000 00000000 00000000 00000000* -L0043920 000001 000000 000000 000000* +L0043920 000000 000000 000000 000000* L0043944 000000 000000 000000 000000* -L0043968 000000 000000 000000 000100* +L0043968 000000 000000 000000 101000* L0043992 000000 000000 000000 000000* L0044016 000000 000000 000000 000000* L0044040 000000 000000 000000 000000* L0044064 00000000 00000000 00000000 00000000* L0044096 00000000 00000000 00000000 00000000* -L0044128 00000000 01000000 00000000 00000000* +L0044128 00000000 00000000 00000000 00000000* L0044160 00000000 00000000 00000000 00000000* L0044192 00000000 00000000 00000000 00000000* L0044224 00000000 00000000 00000000 00000000* @@ -1593,8 +1593,8 @@ L0044528 00000000 00000000 00000000 00000000* L0044560 00000000 00000000 00000000 00000000* L0044592 00000000 00000000 00000000 00000000* L0044624 00000000 00000000 00000000 00000000* -L0044656 00001000 00000000 00000000 00000000* -L0044688 01000000 00000000 00000000 00000000* +L0044656 00000000 00000000 00000000 00000000* +L0044688 00000000 00000000 00000000 00000000* L0044720 00000000 00000000 00000000 00000000* L0044752 00000000 00000000 00000000 00000000* L0044784 000000 000000 000000 000000* @@ -1610,7 +1610,7 @@ L0045024 00000000 00000000 00000000 00000000* L0045056 00000000 00000000 00000000 00000000* L0045088 00000000 00000000 00000000 00000000* L0045120 00000000 00000000 00000000 00000000* -L0045152 00000000 00000000 00000000 00000100* +L0045152 00000000 00000000 00000000 00000000* L0045184 00000000 00000000 00000000 00000000* L0045216 000000 000000 000000 000000* L0045240 000000 000000 000000 000000* @@ -1623,11 +1623,11 @@ L0045392 00000000 00000000 00000000 00000000* L0045424 00000000 00000000 00000000 00000000* L0045456 00000000 00000000 00000000 00000000* L0045488 00000000 00000000 00000000 00000000* -L0045520 00000000 00000000 00000000 00000000* -L0045552 01000000 00000000 00000000 00000000* +L0045520 00000000 00000000 00000000 10000000* +L0045552 00000000 00000000 00000000 00000000* L0045584 00000000 00000000 00000000 00000000* -L0045616 00000000 00000000 00000000 00000100* -L0045648 000000 000000 000000 000001* +L0045616 00000000 00000000 00000000 00000000* +L0045648 000000 000000 000000 000000* L0045672 000000 000000 000000 000000* L0045696 000000 000000 000000 000000* L0045720 000000 000000 000000 000000* @@ -1635,7 +1635,7 @@ L0045744 000000 000000 000000 000000* L0045768 000000 000000 000000 000000* L0045792 00000000 00000000 00000000 00000000* L0045824 00000000 00000000 00000000 00000000* -L0045856 10000000 00000000 00000000 00000000* +L0045856 00000000 00000000 00000000 00000000* L0045888 00000000 00000000 00000000 00000000* L0045920 00000000 00000000 00000000 00000000* L0045952 00000000 00000000 00000000 00000000* @@ -1645,23 +1645,23 @@ L0046048 00000000 00000000 00000000 00000000* L0046080 000000 000000 000000 000000* L0046104 000000 000000 000000 000000* L0046128 000000 000000 000000 000000* -L0046152 000000 001000 000000 000000* +L0046152 000000 000000 000000 000000* L0046176 000000 000000 000000 000000* L0046200 000000 000000 000000 000000* L0046224 00000000 00000000 00000000 00000000* -L0046256 00000000 00000000 00000000 00000000* +L0046256 00010000 00000000 00000000 00000000* L0046288 00000000 00000000 00000000 00000000* L0046320 00000000 00000000 00000000 00000000* L0046352 00000000 00000000 00000000 00000000* -L0046384 00000000 00000000 10100000 00000000* -L0046416 00000000 00000000 10100000 00000000* -L0046448 00000000 00000000 10100000 00000000* -L0046480 00000000 00000000 10100000 00000000* +L0046384 00000000 00000000 00000000 00000000* +L0046416 00000000 00000000 00000000 00000000* +L0046448 00000000 00000000 00000000 00000000* +L0046480 00000000 00000000 00000000 00000000* L0046512 000000 000000 000000 000000* L0046536 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000* +L0046560 100100 000000 000000 000000* L0046584 000000 000000 000000 000000* L0046608 000000 000000 000000 000000* L0046632 000000 000000 000000 000000* -C99AC* -1DD0 +C7786* +1D2C diff --git a/VHDL/AppleIISd.ucf b/VHDL/AppleIISd.ucf index 161a87b..733dfa6 100644 --- a/VHDL/AppleIISd.ucf +++ b/VHDL/AppleIISd.ucf @@ -18,14 +18,14 @@ NET "data<4>" LOC = "P7" ; NET "data<5>" LOC = "P9" ; NET "data<6>" LOC = "P11" ; NET "data<7>" LOC = "P13" ; -NET "extclk" LOC = "P43" ; +NET "clk_7m" LOC = "P43" ; NET "led" LOC = "P29" ; NET "ndev_sel" LOC = "P24" ; NET "ng" LOC = "P12" ; NET "nio_sel" LOC = "P14" ; NET "nio_stb" LOC = "P42" ; NET "noe" LOC = "P25" ; -NET "nphi2" LOC = "P8" ; +NET "clk_phi0" LOC = "P8" ; NET "nreset" LOC = "P20" ; NET "nrw" LOC = "P1" ; NET "spi_miso" LOC = "P40" ; diff --git a/VHDL/AppleIISd.vhd b/VHDL/AppleIISd.vhd index de09636..8f405c7 100644 --- a/VHDL/AppleIISd.vhd +++ b/VHDL/AppleIISd.vhd @@ -38,12 +38,11 @@ entity AppleIISd is Port ( data : inout STD_LOGIC_VECTOR (7 downto 0); nrw : in STD_LOGIC; - nirq : out STD_LOGIC; nreset : in STD_LOGIC; addr : in STD_LOGIC_VECTOR (1 downto 0); - nphi2 : in STD_LOGIC; + clk_phi0 : in STD_LOGIC; ndev_sel : in STD_LOGIC; - extclk : in STD_LOGIC; + clk_7m : in STD_LOGIC; spi_miso: in std_logic; spi_mosi : out STD_LOGIC; spi_sclk : out STD_LOGIC; @@ -73,7 +72,6 @@ architecture Behavioral of AppleIISd is -- interface signals signal selected: std_logic; signal reset: std_logic; - signal int_out: std_logic; signal is_read: std_logic; signal int_din: std_logic_vector (7 downto 0); signal int_dout: std_logic_vector (7 downto 0); @@ -86,16 +84,11 @@ architecture Behavioral of AppleIISd is -- internal state signal spidatain: std_logic_vector (7 downto 0); signal spidataout: std_logic_vector (7 downto 0); - signal spiint: std_logic; -- spi interrupt state signal inited: std_logic; -- card initialized signal inited_set: std_logic; - signal inited_reset: std_logic; - signal inited_int: std_logic; - signal inited_intff: std_logic; -- spi register flags signal tc: std_logic; -- transmission complete; cleared on spi data read - signal ier: std_logic; -- enable general SPI interrupts signal bsy: std_logic; -- SPI busy signal frx: std_logic; -- fast receive mode signal tmo: std_logic; -- tri-state mosi @@ -104,9 +97,7 @@ architecture Behavioral of AppleIISd is signal cpha: std_logic; -- shift clock phase; 0=leading edge, 1=rising edge signal divisor: std_logic_vector(DIV_WIDTH-1 downto 0); - signal slavesel: std_logic; -- slave select output (0=selected) - signal slaveinten: std_logic; -- slave interrupt enable (1=enabled) -------------------------- -- helper signals @@ -118,7 +109,7 @@ architecture Behavioral of AppleIISd is signal shiftcnt: std_logic_vector(3 downto 0); -- shift counter (5 bit) -- spi clock - signal clksrc: std_logic; -- clock source (phi2 or extclk) + signal clksrc: std_logic; -- clock source (phi2 or clk_7m) -- TODO divcnt is not used at all?? signal divcnt: std_logic_vector(DIV_WIDTH-1 downto 0); -- divisor counter signal shiftclk : std_logic; @@ -132,6 +123,7 @@ architecture Behavioral of AppleIISd is NDEV_SEL : in std_logic; NIO_SEL : in std_logic; NIO_STB : in std_logic; + RNW : in std_logic; B8 : out std_logic; B9 : out std_logic; B10 : out std_logic; @@ -139,44 +131,36 @@ architecture Behavioral of AppleIISd is ); end component; - component SR_Latch - port ( - S,R : in std_logic; - Q, Q_n : inout std_logic; - Reset : in std_logic; - Clk : in std_logic - ); - end component; - begin add_dec : AddressDecoder port map ( A8 => a8, A9 => a9, A10 => a10, - CLK => extclk, + CLK => clk_7m, NDEV_SEL => ndev_sel, NIO_SEL => nio_sel, NIO_STB => nio_stb, + RNW => nrw, B8 => b8, B9 => b9, B10 => b10, NOE => noe); - sr_inited : SR_Latch - port map ( - S => inited_set, - R => inited_reset, - Q => inited, - Q_n => open, - Reset => reset, - Clk => extclk); - - led <= not (bsy or not slavesel); + led <= not (inited_set); + --led <= not (bsy or not slavesel); ng <= ndev_sel and nio_sel and nio_stb; - inited_reset <= card; bsy <= start_shifting or shifting2; + process(clk_7m, reset, card, inited_set) + begin + if(reset = '1' or card = '1') then + inited <= '0'; + elsif rising_edge(inited_set) then + inited <= '1'; + end if; + end process; + process(start_shifting, shiftdone, shiftclk) begin if (rising_edge(shiftclk)) then @@ -275,7 +259,7 @@ begin -------------------------- -- spiclk - spi clock generation -- spiclk is still 2 times the freq. than sclk - clksrc <= nphi2 when (ece = '0') else extclk; + clksrc <= clk_phi0 when (ece = '0') else clk_7m; -- is a pulse signal to allow for divisor==0 --shiftclk <= clksrc when divcnt = "000000" else '0'; @@ -294,26 +278,29 @@ begin end if; end process; - -------------------------- - -- interrupt generation - int_out <= spiint and slaveinten; - -------------------------- -- interface section -- inputs reset <= not (nreset); selected <= not(ndev_sel); - is_read <= selected and nphi2 and nrw; - int_din <= data; - + int_din <= data; int_miso <= (spi_miso and not slavesel); + process(selected, clk_7m) + begin + if(selected = '0') then + is_read <= '0'; + elsif(rising_edge(clk_7m) and selected = '1' and clk_phi0 = '1' and nrw = '1') then + is_read <= '1'; + end if; + end process; + -- outputs data <= int_dout when (is_read='1') else (others => 'Z'); -- data bus tristate - nirq <= '0' when (int_out='1') else 'Z'; -- wired-or spi_sclk <= int_sclk; spi_mosi <= int_mosi when tmo='0' else 'Z'; -- mosi tri-state spi_Nsel <= slavesel; + tc_proc: process (selected, shiftdone) begin @@ -324,29 +311,12 @@ begin end if; end process; - spiint <= tc and ier; - - - -- inited_set pulse - process(extclk, reset) - begin - if(reset = '1') then - inited_set <= '0'; - elsif falling_edge(extclk) then - inited_intff <= inited_int; -- one cycle delayed version - inited_set <= '0'; -- default value - if (inited_int = '1') and (inited_intff = '0') then - inited_set <= '1'; - end if; - end if; - end process; - -------------------------- -- cpu register section -- cpu read cpu_read: process (is_read, addr, - spidatain, tc, ier, bsy, frx, tmo, ece, cpol, cpha, divisor, - slavesel, slaveinten, wp, card, inited) + spidatain, tc, bsy, frx, tmo, ece, cpol, cpha, divisor, + slavesel, wp, card, inited) begin if (is_read = '1') then case addr is @@ -359,15 +329,14 @@ begin int_dout(3) <= tmo; int_dout(4) <= frx; int_dout(5) <= bsy; - int_dout(6) <= ier; + int_dout(6) <= '0'; int_dout(7) <= tc; when "10" => -- read sclk divisor int_dout(DIV_WIDTH-1 downto 0) <= divisor; int_dout(7 downto 3) <= (others => '0'); when "11" => -- read slave select / slave interrupt state int_dout(0) <= slavesel; - int_dout(3 downto 1) <= (others => '0'); - int_dout(4) <= slaveinten; + int_dout(4 downto 1) <= (others => '0'); int_dout(5) <= wp; int_dout(6) <= card; int_dout(7) <= inited; @@ -380,7 +349,7 @@ begin end process; -- cpu write - cpu_write: process(reset, selected, nrw, addr, int_din) + cpu_write: process(reset, selected, nrw, addr, int_din, card, inited) begin if (reset = '1') then cpha <= '0'; @@ -388,11 +357,12 @@ begin ece <= '0'; tmo <= '0'; frx <= '0'; - ier <= '0'; slavesel <= '1'; - slaveinten <= '0'; divisor <= (others => '0'); spidataout <= (others => '1'); + inited_set <= '0'; + elsif (card = '1') then + inited_set <= '0'; elsif (falling_edge(selected) and nrw = '0') then case addr is when "00" => -- write SPI data out (see other process above) @@ -403,15 +373,13 @@ begin ece <= int_din(2); tmo <= int_din(3); frx <= int_din(4); - -- no bit 5 - ier <= int_din(6); - -- no bit 7; + -- no bit 5 - 7 when "10" => -- write divisor divisor <= int_din(DIV_WIDTH-1 downto 0); when "11" => -- write slave select / slave interrupt enable slavesel <= int_din(0); - slaveinten <= int_din(4); - inited_int <= int_din(7); + -- no bit 1 - 6 + inited_set <= int_din(7); when others => end case; end if; diff --git a/VHDL/AppleIISd.xise b/VHDL/AppleIISd.xise index 76af624..d171fcd 100644 --- a/VHDL/AppleIISd.xise +++ b/VHDL/AppleIISd.xise @@ -17,19 +17,15 @@ - + - + - - - - @@ -59,6 +55,7 @@ + @@ -127,6 +124,7 @@ + @@ -138,7 +136,8 @@ - + + @@ -148,7 +147,7 @@ - + diff --git a/VHDL/sr_latch.vhd b/VHDL/sr_latch.vhd deleted file mode 100644 index 2f87492..0000000 --- a/VHDL/sr_latch.vhd +++ /dev/null @@ -1,55 +0,0 @@ ----------------------------------------------------------------------------------- --- Company: --- Engineer: --- --- Create Date: 22:26:04 09/09/2017 --- Design Name: --- Module Name: sr_latch - Behavioral --- Project Name: --- Target Devices: --- Tool versions: --- Description: --- --- Dependencies: --- --- Revision: --- Revision 0.01 - File Created --- Additional Comments: --- ----------------------------------------------------------------------------------- -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; - --- Uncomment the following library declaration if using --- arithmetic functions with Signed or Unsigned values ---use IEEE.NUMERIC_STD.ALL; - --- Uncomment the following library declaration if instantiating --- any Xilinx primitives in this code. ---library UNISIM; ---use UNISIM.VComponents.all; - - -entity SR_Latch is -Port ( S,R : in STD_LOGIC; - Q : inout STD_LOGIC; - Q_n : inout STD_LOGIC; - Reset : in STD_LOGIC; - Clk : in STD_LOGIC); -end SR_Latch; - -architecture SR_Latch_arch of SR_Latch is -begin - process (S,R,Q,Q_n, Reset, Clk) - begin - if(rising_edge(Clk)) then - if(Reset = '1') then - Q <= '0'; - Q_n <= '1'; - else - Q <= R NOR Q_n; - Q_n <= S NOR Q; - end if; - end if; - end process; -end SR_Latch_arch;