From eeb0b14725edc8b45a7ca15604106e995f69ae11 Mon Sep 17 00:00:00 2001 From: freitz85 Date: Wed, 11 Oct 2017 00:53:20 +0200 Subject: [PATCH] AddressDecoder testbench --- Images/AddessDecoder_Test.JPG | Bin 0 -> 193081 bytes Images/AppleIISd_Test.JPG | Bin 0 -> 287229 bytes VHDL/AddressDecoder.vhd | 4 +- VHDL/AddressDecoder_Test.vhd | 193 +++--- VHDL/AppleIISd.ipf | Bin 21055 -> 21062 bytes VHDL/AppleIISd.jed | 1058 ++++++++++++++++----------------- VHDL/AppleIISd.vhd | 12 +- VHDL/AppleIISd.xise | 4 + 8 files changed, 672 insertions(+), 599 deletions(-) create mode 100644 Images/AddessDecoder_Test.JPG create mode 100644 Images/AppleIISd_Test.JPG diff --git a/Images/AddessDecoder_Test.JPG b/Images/AddessDecoder_Test.JPG new file mode 100644 index 0000000000000000000000000000000000000000..7e568e0592a07cb63b3ff06a911cf7ebca9259ef GIT binary patch literal 193081 zcmeFZ1z1&GyDq#CDGNb5m6lFvmZ-EyhqQDzQo<5cN;;)MKpLc_1OX`(kW@OPyKAvH zV`1U@{ol9ux6i)zf6jH@+n2@t5f{-)d+a+)y?P~@(3%;X(@9GJO$p0Z^5Xfbh1u_T* zIClXYi+~>p*#h{5iTw8W=Who7X5eoI{$}8B2L5K?|3wBw4Xw3nm1AjAcngLOWA;bz|2C)V|4iHC(J>&uSZ3F&C z?oOODHg$Bg6<}ktc3^#AXrph$YG7l<=JLRnjf0h)4I%_}v3+1*VdQvK-^j$wT9|&d zx{m&;nV~Sf8jmcytnFVpP!$Nos*4| zlLgdZad5SEeBi=j?Lhs*z#St81A8-DM>8Ait4MPPp62ETf@b+WfS>D$nN&B)To%E;Q$ z0kFZrM#c8s>HpC_MT86u1PttrkZZl~-76W{{qJ#RX(sZ=5>ki_$s9QQ{R^-ULWqTh zCB$~R>yt{Sp#P{1A_%_yiZ^I^^?%^=cPIZA$$!)JH(mc01OHa#zt#0OUH=vX|5oL{ z)%AZFUBB0iM%G}V=nPhb$3u|Y5DYXlbhNV==xFGe7#Nu6aL$7@#yNcK%NKBn@JWb? z@QDaXDd?|}lGBnC5>c^I(K1|TVrC+_%EraU$Vt!0#E3M4f`N&74(lA=`SW;;WJF|) z|Mus&9)g2;mKv=F6@?0N1_uQd2j#d4LJ4-!(7-)Ax|qyH+cE@1#aIF6PJ*bl2%eyQB_mFuVG+lWNcz;X71qV^w8PG z)$PgCXFk4u{sG|;kx|hxFJj|frln`R%FN2nDK053E3bG{S=G?k^uD>JwXMCor?;icY4Gq0q^=#80qu<MxqrPo0= z`Bu-kYcFZUw@+jeyU#amP?M{hxmLR~Zv_E+;lJ#qAqZ%aw=Vb|L&%OHlT0KX?Mya! zHD`$xhpQQECK<7abE6e+4hlIBdOa=~rMw}Px=B1hJje&_4nkF2g)>V*=ZLWp{Kt?c z#bZcA({H>EJ2zsK7HHH5(WHtEcyZ8`?{4pVkvVqqgTz{X)iuW zn9?={jUo`WA2@AL;TUrMlH39M?PEwVY#m?J$_jT^!;9smkq@Ei7jNmUafe`$*xj51 zNlibais(VQ2iBj6Tp+E8{fJeQo{H}1=r`N7L9jh_`_ov-lD97Y#7f#$5z(mH?TXVp zvn1Y;7S1u80Lazqvs#`itAQFlL(6ZVQJ1Zb%xb04OV+7;wNzIqw~5OJYiIy4Uw;oP z<=X4?V@Qf6bZ_<;f^(lFC!_2rZAhaj#|r=J9nty@`!B+FtE!QH+aBDFxXiqvPeadQ z&18>9i`K)r#Js1+_S6+&T{pgm7KGx$Dfc4PsV)4eE&L``XwYq&C_KD!2f1pbvV`ub zME3<0hhu(oeAzy5(Z;~}k7n0l6MAjOkegp%>zxRytRV@~mh?MTsZrKZ@Qu%BK5`8_ zht;S2~u$do#J_8$#s= z?(It}{rk)vcc4pXirBIEL- zJmMwrz8}`$1iYU(!3z@B(;2{T;|af7FXqJvL>=<}I0@L}{OQlPc~jt_?x{1-3BKPR zUYAA!0L8el&Zj@PwWEjceUF}2KGM5f#1((&Y{L(Dyb*{;*S<5atcXOHyeA9LC1aid z0>kB01yv;Xy&#d<{4yy4r|bUF75)c#fgc9aPYkR^0!onj8I;>!^F{1~P*%Dj{gZ>! z6(bw%wx0yw0%`3S@+cDsOzRDjp60=bM(N3$Q`kovND1_o0u&(XQqb9E%EM`( zDd+?@fbrlM@>U5cAswWIkb(W(h;l6sgh7P;7&3MpL|u&oNi*p1X@}hY`gh-e%=mv< zoIzs&6<+;OA!P3^5*QKe1Q=6nisQ>xR>!fm*wdDg-A4ZM)j?SSP-?Sx*AO&h_}&o7 zNVDAj#t%kvkc_aw={RAXkv|zRpoj1OVC0Hc*P;v%?L2gX17J-3j?o_}n}(l8=qhuN zx&~F)PgMa7VL@lxPZ)}unM3+ow-(a|Sdjn0!e`*?qvXx$6JBK<9Fe}t?a3g+|HD^P z(9PT5-Bf7+VkQ1)MY$G(g#Xjz0RM>sDdDt076~VKxM2<201rr!$OvE2T1JZSIRf`9 zbhd-?a00p)2c2L6eop{sSB@e61&)pxNN1sI(MV@)fwNd3WZgh__kY--Ke2<15a8o! zvE2TqFJey)K^L+oLj#=WgRMRV(z8fPar$8h>EcgANYsYOo3q$QYsqj~hb<&($~|c$ z>K_e}s0)4>tz1WHCFPHEF$mmj=xq0Q_h++_V-f>Ss|f2%hwe7O)&XP{diVh{geR^Z zAt66G-3B_r7=iG(_5*U>IUs`gW5`-05^?A$#7d{ksGsCMWPZMzygC2feaf{+B(|ST z`Y)np0B7A1xL}C?a1T1caJnl-WLINr5vO}XQX=%r<^!adkO@3VZh!kHu~JBW)PE3* ziOdwd&f@(ApNLY3rG6#`Uhg9AI!;{ zi`YkpAeyg{nze>C$N&z2dOUl8IMNWfQYRL7Knw%tE}saJ8W;rHIx*PKFpnI8 zUje{ix&0kq#1T@*$fSgqyt(|{*CI2Zfq3Xz*lEj19R>Vuq23E7rdjhcn$s?^G3MomjB`)56GU3o1w+beBPf0F%T? zKq_dCAxW1(f9c4GF(CEF1O4Nq8SIZk3&u#Gjla|}MGQwET##gfrG|fA6_8+D-4KX7 zCTz7ENwyVmN(hX~IuORDer=>1a?6z`Zpip`e1Ua%S zhDNoua=GO-ConJE*O%u?a6;|stzNC04N5-RzNq~A7!vBya^w`UFKqPHrLeIoYEr{& z5E0|-K=<%A+BfUv$5IVXBI@bavfVC{a*TEIEbWA4#LHUyZDg@epsox>1R5?`3#_j& zTJ4T)Hg_Tpd};uvunn}muw#gxU)@&HOwl8?wdeH*HcxnR4_U6XSC;k6#t|NB&06GH zDv!U#zUq{AQ&@~gil)!7Crw7JDu5^K^PM}4s1ERP|pSr)Nf z$B=L77IoY6*oc7WN;cw!!I6*vYlAhGzUA%tG7Qxc^=G{gIi|kF@tDY$geATX^oO+R zpy**s)$}Db$hfRLds+M8#e^6Rhdy4>IBGNAW>02TAYfeff9;#`k0 zP{ZY>wDUO4HtC`x;~ss|&15--CvSQyLkzK4uQLsKjNPdrf<*)T7LV?)x*KY?t&ukkmPUyg^C{f z`xd4S3G=rHNQq!5lS^{##>I>oVGF5Dh zXeSKZ!kUso$LR}(Z(TK^jD|6K3oBA%nnmU&c&_l65j{#tcwAe|Ki?Cl_P`)QfY)yj z`&zUC!Hs?6Z&od@colE>PZHwzO1%*K==w&fWPa05J8o=9kTEYM+A8<4tDrNC3MvrC zPoN$x*`ZL+XxB^f=p%D5!@Lfii7MTA@lm(6k3`Q*rf9~VH)(XGg|2}8vpeA*^FAbT ziddHojA}iXkx0lAwB@(FbdO)yS17LVD4j}$_c_@EALZtmn~jVpL^}4G$B+T(qk5f*g6oZ?*HZmXL9wu6hbjs!KOZVXBR^jYb?;*j z!LHqfie{W>WpPfcTk1F!k1=#e%S4O`BxsL9CULNyDH=WX7Ams6F}~BhAX%z=SrjK2 zFOFMSg%uBV&$^&kRuz9knmLd*H1(**`{{)Zj%2w`?zwFl6OQbNStq59T>P_vUe!bx zU&ap=9ui2KvU_G3<2(hml!n-5-xtV7R`GiRhARbCL-OU- zwyy;_m}-oOZ)3|#&aUNhJFpjllxg7d_%*F@tJU~Xs*8Xy6!``qlj>4C!ka*5etrbR~p_T~KxoKXBaSc2D3 z%vDc_GOwFR(L*Zq2EJ&#FFD~CP!e_x7Pa+t2j7s=VlW2s2@_42zh`<~^bV}3d2?Z% znTR`b>uCs_T1{kBsbE15mqmt}p4g0udwiYuMu%z`=-!OrmYZRM$Z@b^pweRyL7#!p z@zw2#Z`mkF(Shzcxe2lCUXyb77Y2LbklNRF7Ifir=BJSv}7i3x7XhPeh+6)|3i|j@^`FtQ`)t7 zK%h;q2H_mocf`nb_o?!-lX4K*Ull-PkK_;@unnqXNYKMxg^vv_;4%vn0T!{}QAfJK zlz@F3xF4{ek8J-Q)&R{0lvW@q{n5Ved;3ykdjjr@z~c8alwU}a;fJtqVjyJ83~8~? zg4qsHf7z2c^7>_{pd*0)h58iqH4k)u=Y(T!n4Y=r=JE**79}r1K={U%$Yp+;7Kr(2 zdMOKOn%ngE8QoJgA95qzB0qYP4g2Br;d!v*3NU%VnyX0|W*!)XAR2n>W`~X;lmOD{ z(sMscVY6dDOBFy{*h$-y(ohnDG{Dv|WDkfli1LeD2>cLia4sTv20jBllKJjXoGNGg z<@33m!$e0tm4636N<2QYL&9 zbE<6gXFG0WJ0b4s&J&~9>luiTVEvs0-2?oS%$=|cJLKFvaj+3?N@6s7;*am%fG84( z9?V!9pgi$`@@otXiHU-8N^=XCZN}KK8v9Wj_-Z@?DzYJ+wLzjJf_|-lZGJeZE`hAL z0bu&>^=~8DdvX--41m-jfuzY+1BY}^92!A#z+P8U0K^r85P~*`EG^dUPXjuz*YgLF z0~GsEU=iU8n*zQfI;ws(96o7y3@It7``$3-P~=BF3u~lGnh>48YojMS{pg*i0*O-p z7-BL4`|dpD*InHoZfhb*Z)WIOf&H+#LN@Bd(_#h8ia(FxO2a*@*qIu1Mey zM>k}EKfNg$C}62c#C0tH;2RZGm~amTg8c%*FC6SL-{CsM<}>&z-X+G(>1~9W1I0sG z4BwX$THcq4Zb+OBX|4wQKOtDK#T*!Y7Q@VWvaGcVzfq5S7`;}RaR&^ZU-9Df>)C%$drA6v^_fz6SE3_Zbvy*D714r?8l)ZMj&ZV@lGmUa4#(pFd2^jY%A zS~SMpT_<*Y|F$b-gLcSj25ocX?N(qg9^`BLQ~{cnM2^`3MYTb$IcMT6;xdbBmtNye zbnd1AqGn3^tFJMoq?ams1 zyrms?15I?)-Yz1L0`G7j77o@IVGA|~Q=G`FySBWS+ou3K;{_bY5Js~FI``<5xr%~tDI?;Td)G;I`P82z(|Frs(&&Y9 zbc{8}J06%Mb1PVAknQZUU1<~k*x#V6w_NM(w^PaZ1+5C#!~Fe7sWYzvbyGJ-Fng*= zxQH~{E|JN4j*ghmH-#$^^syC$6&Qk~2CxtJKDE?Y4u}cKJXN+dV$|xGqIyH9k!ojW zX1{23PL?IoYs&0V+jFwV==a8(41&h|L%1|M?QuIMA26+4@?jU5BOj(1jj~vZhHW;; z!7qT}GZpC(-idGldp%w;lyLjk**yIG&O8Crs$9_5%8ZZJ+S}@{ysMQQOnc=9Pni{7 zRHfNSm8@4NyV=0n2gi6Idq|rMUjyPtWrgldQyP|lk%|i&Hv*}WEUWU4K%l*h@f}Dk zeqS#d1`WILd4?k~m0+gB7~FQTnyhnYx&k@Nx#~kVFv(`?ZfpG6JnauJA7@;O+*!Xv z{f2ZZl#hi%wBal@G2E>`NMc6@x<5gWK-Yq;w~Mryfbj~3XZ?o|1i$P8nzkxk(%B%r zIJtPimtQx6pFa91;4Oi#Bg?dGvlAov`RfN)HTgU*(Q^XmiyKcu-TPy{GK1{SN{579{(MpkBD!8y1Dw0>6j8`vmI)UsW9UVUT?cE|-?N21sKmSTt!>8Y{qnnx z<}YI#JtcOlL)`swemMsUdKo5zt@k4%TiZhUT08G?An;l~Pe-M@T1VEz(&Jpt6y&3J zrvBE<)nRe_pZn?+N!3k)Ss$Pt*3>MIdohc%TJjwBb}F~;9d*y{3&i418~e;DC{L0w z+WFoc^c_Qr?}K!X1zqC=b9k`A>A*mI&a++1?~JW(efoaau6hMz_h3YsdD_2FPdeK9 zH|bVl>4&sU+0&NSglh9#XJarPH#uf4=g~$z*o;<>qmYrzK5Wq9r?w#T80#-Z{1JVL zKSMcuE+kWCC-aT>gu-ybN{H>?EpLHfPle9;yU(RuqWRu-UqDH7R-*j)@$oM}tN$F& zWqhjcXnq`c_rq3QQnx}Po!aukX|)CDKW}kU%+5-1L_)aqb8~CpQz>Fw(W^TT=2g_B zIdqOn?4?^jjx*o>_~^+-49jYZXg#R*WD2`fj8hP-hn3%0F9nvjO%?M; z;I+_2t=EP-5PGK5dP5I4Ee(A*3Y;&a|^r2+Ixney$1)kLx z!j|sJ`ZJ`G<^A`_@^CMQOjjHjwOlOIq+Apxh6&in)4u#yO3FyVRZuuOo#jIQbmsqp zauut5EAi-ErS!a_{SC=wSH3}iuB>@_J_xqbs$#qhPm<|fwwYUpG-r0kmn~5P_miF~ z=vA`d|EutkpwRvwR?aB+&(j^jnfLe@|0L!8HS_4v{7XHg-TaTsvliqv8`DQVT(D2~ zM=fTrNr<|351UiJl)i_Bx@sdDqw(XqMAd<VOL{N50AgYq z`F78*ww#!)$B^jAzj!BqHc}b(F9x^i{{iJPR)(EadAL`I6dRXg$gD>~_RSCu6E`!ChFC8_h@l(Z>F%WJ-wfSdQLkSODId0#I4VuS_#gH(Zl|9kO(;wt zC$NNPxgO${JSu;-R~0t6#kRlidkjH$fUOUT45Y$3KSKAo;=x@0S&y3mJg`$Xr@Og{ zjkqua)|I6mg}1<#%L~|=3GXq4{AInV0$3hcbk)tyP{N797D9{Zq0X~o2$cO8GTvc> z5EOgd^|AzU+G@{KyjYxa0I@;1gkH|`tdBBRx=>~|OkWe4{zZ6y&h-pk|DeqOLWP&3 zjrZdE3drfy8<$9}3$SRot}imo$p<)paZHve1Y2KFaJ~8Ron5KY+s5<*ZP?g8*c#iW ze9-N|TDJ-Zn>=pMA%oY)P92B5o)?MH-9^9a*qxThV>vV?Wir);AZDs%s1YFGd7pyPjl z@xQx(wEXvI_kWj3%rX{Za>ho>=z$70<{T9k79B;%#qfuLm(mKg*1M)YF*}-CI+0q` zEhqb{K~18JHmoTmf@Ram3#$2*0&#}bvi`W_`E#|U)Fr``x%j~<&qA%(OrZHgXEA1| zS@(({fqc`tSI~#Cx&dMmE!6`HGe+HJ!iR$*B5hV8u|#4`ESPPQD#18IxgHKWPflN3 z{CUwQ)cF;t9uJXKdigVIiQ-VnB?6U(0#4TlJzfb3DmQAozV+Gg5Z7?! zbvk)ivzWMU%^P0C>|ALmzm?iXs|;z0h|qTa7fWBln|5yYLm-{GShq-Ymsx1C@Vr7@ zel6?Q)i5Ex+g8s9xzYl$(_%ZlO|bLl^@N(=sU3$`73dz2x($M|V@Nsl;9UO&%Jt0$ z#}KVT*j7$O4B}RQ-43{-7S(w71R-JxU5r{qL@AzG&W{v`@z2k<9<-rea%fe&KFa*s zs z=7~pPQRN*mU9p|ZZz0XN%YVc9?^TtoF&|edGSQ^W4smw5Y zkak_EN0a=GQ%BSxS>jA3MNk30)T*>NtXr?r-j;9Lnevw0gtk?FeN}0IQ_vJHgI+N! zkx8$rcv;5W;PP_Z(0$(xnzmTHC8k~<>yXxMp}66KyTxT1YmqZ~g-4pL4Sa5qj52X* za>~^(-L<<`#JY-oWvQ<2oGli%VNKpPEXw#aTdJMXx_Ix7;wR#(hc4c7O1hjOJwhz- zn(PVDc7fK&!sWddRo{D+wa*>6UM$lxbmQN=IZlR9acC*hnm-^+tW73keAW%Mqsu8 zHx{QWA>hrp;can z!@jcp-A-c3 z*EDmkhozrxEy$?9y!>>G`y~%2Wt2Tu8$1{HeC~EM{pND#6*7SdQVTsa=)I&~AIFg# zNrPZf+s!u4Jchip(pdZ>Nht>^?)RU4O6PjUNGtY;;wy1_+-*F<`~JgHm*2@}p0!>~ zE;NV8L{;}(C?31x*=~4-cR1rQbQJYKx!wojjVa^}lvF*98!>aHx~{GT;d{gwlnHkg z1><30UlFC@%kXXPW+|y)JKUzApdoKPC-R~GoY7WCv*l-SXVrO!>p>dEijSwfLYso^ z`jdCo&G-Xk+bIr48u9s>=b9aQiqdp@8|Mf7HhnLi^%AvpWwZ+!rJR;wecn_R;Jj=2 zIhxKoLe@#L?0)xxteKlZwLBI5w4MOAE(|99IZx(WP46~#ah^C4m1XRGx5Fn#7*opT zx=$2+X13m0=3iBaj`Ez_B3@O)g5bKHYb@e2-Gv!e%C3DphE&HA)}`iG5s>gZ# zDm(VZX2SG?A&;%T!S<00S<=<8+T|geP3}TA>f)zDss09KD&jJfqRMi9EUMS&q9^~v7F@qO25_6Jyxr0 ziJ}UOOpo0Sji2jotHHJp#t!I6WF$pC7@@sg9#uAvueIff)BIfo`NorcWklU29Y1zJ zx-99uduUNM+BY@&aISakThyc>I?X|o?qGigugEO1G(LN_qWmJsV+x*I{HQ`5tJWkH57>k(oYLTf_TMk*0rR zNLo3GD7WxdxT*`r*2>rTx|S}R&c=}_OZPGkysG8v}pLOqLTM!BzPNiIALx8;-@ z>2P0HSm1Qk(C&?wv3xd!`WA11dTQBtxIFQt*0A^v%qVVW`I==-kJqz%*s>k>gl`?F z1wB_W@p~p`Yy%{;+jdPxnc|HnCDW~7V!^xUy?Of3o*mhor^0- z6v^Lt+8?xCdVx(#P{xY&8acK?1#}g4QKwP+jk$`M(sVYf@ttq!D^UTvibTz~aW*)n zhVb=Ec=*Xg8lH)YV~#jq2^OhQ4ZPclTk2orH|>z1CWV@lS0Y^;ihd)k3|1QvP0f%d)(LDo>>*Q8_m;?suJ!B%IPRO$k~{Oh9$iboQbNeJKdyokwa*izwA zXA$ogc%H{*9ZdV{R;PLGM51s$Gl{Dg?(jrFQoRZ4_K_(nNHX7Kdtr-%;JE~8os471 zi~gcxh;HUFL@Wb5kg-9x0Nq{&H_$TJ6ptYvE!2-8g*_m@cgKH`Z0XzDw|XGc=Cpht ztx=GfK=nCmJt?KmWNhlfhnXWE)!r5Igvd8FB^-tZeDp-y`O`@jd@DNWc5gAnM9hx9 z3a!Z73v9}dxll~EnF(p;T_&~F<&e~UCFWL?NK#s9l4%8P^Q=m;OjC`zXKDd9P&t$MqfQwg=XQ)Y2btpHOc*c(v{FTyVqi zT`gc;;c?02#b$V?79}e0hkj#yQOm^%jsLpiMx9E}?VOSbZ#(#M&kXnA;tp^0lwxHM zZADZ&dxeh}>`jwiGSDhp0{GRnHct+!2=*!T4-PVT$G{Ilsj4_Is0%St#G`vf><}2#-Lc=;R1pdwXAs ziT^mg1D_Gm;c_$9vi7Y71<{wB8f)>$YIuN)FF8h=W<(a% zLgP*{s`Na=TCDtFY?F#3JloS)r79|=B+gL&6Pe{Zsb)#y_a=9fxcuWu&{A)@&~1xS z&ucimpB<9A^l;x#jc-0IpuA#NjY_Ph)*BadsJKJC6;4sq_~w4L7*rGSOl@Z5MlH)h ztcG!VOc!HKD9d2sYD%#D3|Ci3N8vndgCu^@>==?NDn|Ubd-giZ2V8a~#n4IyGG2oBBzy_Gcju>+gH2sF3 zm!eYrO4=XhMzyCRGP|7frZD&!^1qfX1ym&!7nyq#+XN*@F{pX6L$o%-h7;UWlR|Qb z7FENwG)NrsF%ESQjhWK(dEAHEoS&@n zUgF%z|2td3S6DKWvqfnMyV%tT z%N`uLe}BF_hXj@36048E3PxZOy0Cd>6ZW~`g&T1`h32D1?@KZJ*9@-h;A-KFQK#fY z2ThFO%!}Z;G)4Ev*mJk(g+&KQp`$Vx!c*9xI%X4ODzYjFwIS^~Z0ZZQtVskPEq`-r z^E}A(mfZ?-m9^9F#zV|ASiJ0oeWM0!>sG)H?rlfqJgy?2lZOy&Krn0~`wP+l&!ln( zN8omPw{wAUk5z_&W1JKh8@R6($`W(r4pz@80dbQq%ag8j#_FGm$Mjb$QM#~oqR=C6 z%{{bqJ8uZxo6CD)!{$^gtI-yCBNWPM@ML+#b@uf^zjT>^lm4qh=Od5ifP_pezUuo} zX?ua@4qABkW7?}Y&bnw_mu*jprba1l4C$^C$hI+|F`cK$(RY7h|G7E-b}P)k_@3J( zK74)G=+Tjx2tfrIvP%}ZR}>R6TucK^o273=R9~yD@o68GD!7oOrDu2tJfX=4fnc>1 z;>*bPU+bl~QObLfEU4^hmw*+YKD+vbqn^dVocRip;M^>as_R0}O*bxwh0cC)CUe@J z*W3{98~*$O#uxPx~FxSHT*>^U+?D=7tqX?#-5jSe)XfU5qkEJtMJ7aaDV5fuV!3 zZ$|zqih*g4$x1Sfy9YI!vIJ$;uhT+qw3SavUF#gROF*A?AzIWd^G}n>8P%1dOQ*7s zp}A3h-F^0wuq7BL%dPKHA1w{!s*ng(24YFNNm`tnY z@5I|1cX3zL)Gg*dOdCGePDmE&(7UsfuX}E>u+S{oES!x^D2pW`=p+BQvy#*+vjHWW z+TLqru0~L1=Z7Cy35mYhdE2{CloeW;M<0H@wc-%{#S72=-kD%X8~uXRf;qJ!SLUbB z`S^J~J%wYtNzcqCY6Hz05_9PDD&u7>dW?EjHpwyI9%HadEBI`7p4HaAc&bCr2Szb# z`75k>*9)mhLZ)$ zJ$)4SsI*?!g2#?7sPq+H4c-vG-LShhta0n9j)vUBnY-xUp6W?n#py)d%=fSBAA}7o zS0I9=XUZ^_HF^~KGD_kG6qCN(7L?_D9rgS=tujabzC>ns)&1X9yR|klv6NNa;QjH# zAF%kPq`zs5!Ck@N(X&WCB8y^q-}JD2nftvrD_7ZhiZ^p8JOmZsdPWiUg=F>^B0+mU zPxtnl`o2dhXk|~8%&M&oW0>*1w6pSk3=#}dQTf_-&ia~BaCGy;7n#{GN!WiK!h4MS zg_w^~dVM}&K+x_%?v0ooresVRR~oCUGFdhgK>;Ntb`d>EzSM8I0xjnUJN=w4nvb%m zy$e?#@-aTNj9HVJCeIAbk#X2{+1|?IH$N0#sk~>Qwi7gBKcDe-(?OwknLAgvRQJQQ zxTdL~46f}xc8sC|Yf8TxR~9eVUv4p>Z|!Mq+o*(I7gUGW`tvnQSWcza4O0b86%iJM zqpP%g`(n(XRd0sqSj6=%7pywDY?CHq$$BtzxZ0)1ynaeVGixT4B&QYZ5V$FwZeE^1 za_)1%;EokrSeJ%HoX1WE&$GN4nHRjS&!=CXFMaH(&+bZHt0}jC4mK27cW{HGY3*4- znoXuoTkfDouzUP|!C5+$(3h31#7Y4}ziZ7cI0n^JTFCIT5{z0z5RFXVM0rTQhDE&H zqI)-qDPWBt)0G9jQ=zD%v+7O9SvqQdOMK~DiCy(o2v-6{ecZ}o2Lj(>z^%tze_?T% zRKFsz&JGVOxjv4LRjafK5*E0rTCAkS@1~T0LS!=);!2Cdeq4#YyN9*uB>GDKeH?odm#$FP#V+jra_TT6 zf5V_B)Q{UGY|XCu2)d{;sF}0cawRxtcA?)nn9+Y&Tpn%+K^$V3?w&<$8Ne3_0gnWl zY9t;*7GDY)){h_HZ0BxJeION|VjTCONEr|;kmNp+5UVJ2_U4VZZXM3D8I|Zo?L51^ z&5cr&3u`6VMbv&fhIGl&2l8N**77RWln>GdwJJ7VHENh$>o9Y&**^mwRU4>DKETR> z;owTF%@k0HKg53XjQA=R3jG{}=J4FmrHI_KJ5}(H7UkJe`AZ!)4%OE)5`)TmWavI5 z4&hrxy+8?;9BQ`b(9}6Iuq~^%aO2?J2urTGa;Yd0#>ICKl#ocAW>=9fQ>+MjbMQ1( ziDbs*4_H*L`1myw&3x2P-pvp247gA#$+|b`Q1`RwB?-zzmrD*BHs7bd=6g0U7+dG5 z+d#?l^od(qy^}QqIU7r^dnRuF%~tzRxlKXo*4G0QRlU~CuNcGMbBX(w=!NaCYiz%p zdrS7Vc3nKvHA{lFHhi?*;KI4mM%QS(R-jcz%>=`KiU^!-U3Q&8 zb6K=p&xurBTDcc;twZS;!7WLUP6G{_i_>*aGoLF~5vo~O(8sgiOpP}af_{Pv$vYviuvwY`##-6l0!)%&m z+GpDp@AcjKD5rx$SExCMz)Wt$SzMj?M0Xyel<-+b&mY=agzb?zublTtxKM7~PlzWMW}>NiBaAiKfwr{!?!*qWT@}F{ zqM@4C)K`}wNwNl?k0D_G94BSc*0tF)V4+)c_3zg8okxF36U?0IpxsQ^pPdt!IL0?ToU@}>~RZ8V&V>WpeLyRABEt48WX=SA}axd2vcBZBBE zO;TSO7we>{kKy-k$3nu{yKpf+`cq${zb5)^n{Y$oVr;aD`jmvYiNWI(zBc;T*>g{_ zrw1xvmmG&$N_(wpu7hXJcpC-p1Xn@=vn_)$p+Ss8rt2;}4TK~FiJ6*W4i41*c`xN@ zb!h`a8~L@!)@&WNaz?MMjXim!lrw+eQ?bMJ{5eMZ70yYqS)5j`%@l)bj5k}%7r(A7 z7u2{}C>(8s) z+Eg2z^5HWNE3c)M$(Y1l^KG+lxUS6cPSDn4|7viRqE7aB+=;EZ@5v8#4@B(iB zM0u%S8>6@MW32jfQqz$z+}%|TRp`<7jw^`F5q)hAUs=Pea}XJyJOuC2!Re&6YKK6lWp zXG{mhJzlaGRj-KDIgnQkTyq^CxwqjmQ&m!wsME%rSytXxkoVv`smM9MNFUWpp5m0O z?8GH;U;5!}b`DPXD?#o&Ws{{LZ{4#ECEI-ND25doYH^`91al2!*b7?MfDAz%a7fZG zuoIR;^)@!=s}7T-zG}rADHPl4fWRvq{4JT>Uu(o(YD$M`SrFhzQSY0EYg0vAMh&6} zC1ewApM6*${h>i)J2;C+J^XWKuwY@<#BBw+~=mkx|L&P@hvdjE!Nj2k{!pToe(#cDoJWOaOWpHK8t-}oc z$@`p(w;|{lVSD|FK50fd8Z7;>t~RdLOzAuD=DXEL%}XQ=m|UG_Q|_g>Dr5>dwNrM759u!2>`}Xe zr!+A|6e^&LLFqXRnGxSjox_YQUa=#zXeb)T6 zH)VCMsZqCEXdDRC6ge@kjz3wv`7t*>=-jT&5M9s84HKKV)**)h;)8PqR&Zvw_vw^- z7gTClvzakT+-HOCd!O07eE!N~eqpJrD64XZRs(Q2xIqrPsF)D`xmZhSgqU4-p5Qg} zjR95praC9;FnkG5NNVAhPs~S)TxvRwH?6VoO^q=t@Q` zOO5$~GJEl^JM6p^qL`e#XrYK?js!8ST%vs!Jg`v1lg#@(FW)iOLwZvyxVvLoB{gYb zwoPrAlcOfsu0x>D;q4MLw|SL*mP%bIjXYl8d-tXVRhbFiUHD zHL(9FNnVWV=V6Vpny&WLsc`=1OxeU|FWZW4XNwGhO*bePY^+fc{Xy?mIh1ER_26UO z)XhG}E_SwM$WAP*xfJoMlEg1mT^Dja^xH{4RO zZn^DGJ@52TtcpeB2gp3f2ohP~N`5}c+1_YV??jg&odu!F>!_w&JB+2X`TXR$|~5iA8&T6XY@q<*$p^nY)Kaq+d5AiPaA;-BbvF zK^E3uEmsbn^Zz)uyViwnU>;|Xt@^f9&+Q54=0UIirZ@)GOe6JCXG4vjh*iikN-7ZeN@>oyq*-BOAx53 z;PoQ>ZjO|&9Cs;k?1^MMj|z_v$XAs#?}7cBXD0{wY(HW2+Al;jl1kC`zPm#DqV=ZM zR$B*}vi`Sad^=vFhbB^4^PGV)u7=||_>2yiS`E6nHZDIbw zD@SSOLX~MTNA{aVjRu8}^b=2ysDY2VYjvJ&^-YE`QCRLib3nZ#lV}xTja1@B(*`$ZFjrk{UaYGR(L*PA*D(FAB*G?!B z6R>!v!edP?v#H6zUI6t#fu-Ny_HZ^?F6wdftRww)A@~a?|0z@xeU9CjygN@RQo!(5 zsGWI!O^NpXlgPu2#7kotk2?Q>iI6?rx+~B*Qy3f->`6>H+CS@jMX@U$tr9I0PZ%b$ zSn4NzbW!HN5Fy)zDzinHsogO|HU_-GSXR1vfT;ogxWF_Rzx&VsN+;!CgFbye8Hm=x zY;5Z?xT|0H0m0pqJ#YCgZs%iISFBn{|69XGRDu*S<^F~83-4h(#3h_I_V*`I{R1_&$2ZO-+71( zO&&vOfOvk6>Djt(^QxblZZOY?NMn-?e*UX8yR#fe(db{EQ!@re(u||D%>XH`T4fE& zrtl=VB}UTO3E30cHZjRv8@DFndxz0=*c)oDY9ZXaE07TxKK#+hhNXdF|7JZ}$gYRQ z%wL1|53G|`??a6 zNvPa|b0%Z^WlkW3P96fE|99g#Zy)@R(fA#j|6DXO|Lhga0{^?3f}Ii=&nDqt6!ecn z4vf@9M1N1++_mqMhFSE%`$*mx`}bM*bC)YPVxpv@m3S=!r>LogTk;=Gr9ZOU3xef; z`8whfr|La9$(OED{tQc15A(uhoOcVDJo56MT=LwNWj@b{B^guWx=EXCYyD{BTn%)h7C2mdLKw)XlQ-^&vqx=^~X{xR*r{^I*TV2fn+;O9Yv*%1d%uCyx)t9%p3 zSfh*Lxk$y=&$qG^b@_pc;Y~dE3;!Y4tYYpVv4btWf22HWuxhyg7Jd|);4Sixe-+4I zq9vlBb@1e$PqN^~+HXRMUspV+uC2Y%YY@JA+5Xa(;)|LwDm{VG>AHi7 z75|I9w+@SX-S>t^Dd`Z9MkS@YVL+s$1f@#}>Fyk9r5kCLmXdCUPU-HH?v7!6f9`e8 z-s0MOt#zJ#&hxy_d%b_mbulpf=DzQ5eZF}+Z9_cjZZY7w9fR)bu>;NPe?she&RL(5 ziA!bpDe3HbO?wKNyxHjo9AckZWIDkPeqFweEwx|t%byW1vs*Cj+0P1v>*qJz095^z z;eO>vS%CavKbzVczQ%YDfM@NGvDTkB z8V^Y;@TmUtXZ?5R<$r}_S^r!T0K}Jblhtp&yZAH4Pydx|hYd%{azB}5)t9-<5IAza zA)-k4O}q&Fg)M%^Ho#+4P~LHEnY%1hRF?!0#ZcRJ*r_OqHiA$ZK~CAgPSgN30Um>% z6VAhQJTm|IWS^H3^yfhq8Os2#eoGIAZVhJ%KYzLdUb`Is4!R8>@!+Bu=%2^|Q}fy= zbU$_q(tlC}M*{Hiy=QagH45n_r!{^xo}+c|=P}NZ2EW*GWbV6y-~@*qeTTgg-hAS{tC;e-9+A1~4J}rk3A9ZGh{C8oGB40%(pL z_k(M`gZ_MTZ+`h^U}~o|WE~lL&Q$>*qb(q3`-^q@Ct&Fq1xCvYJx0)jk!V2<93agG z?!XLJ?(d+c%pZKD2mVWf`2iT&Sr0G^3E+ng{oq+(A}8tm<*gz(CNuQB2I!gi3qpmx zD4664Zh59PucIZ#7xmhtx7FeWS7A%6z5woA1>`1*{xf0!_pRIy_x;~R7XOF2_XiUG zf0f4lH-W;xXGs6cIOo620Yx&t%lASuMl6nVxS4PBXOs=MTIQmsbI+U+4tCl+pvF$= zr)CK8)~*V9Vslz!?VMSo)$2?$ass)D=KroC>-@dA&riy(Pyuy-iQfAp(li^f-ZV?Z zUi^(XQM-l$$8u0!p@+-C*gMR+ExJH%Qmud}?y8b|Mu?FS@<-i(KG_6WHmM$e?G;f>C+i>hiFDI zzAEYiCM*g`Q1yIzd6rX4hh)kcb2BkMb?i_}L&~#;4h8Wp)x%}}6+Aw=Oq#H8!9eQ5 zqUr2@Yhj-$-L;~dv636ebD1Qq1N`>V`7NnrP>a-vm7fg5wKq!^Rsm52L2LE>M=Q%@ z6f;At?eoEk5au8kdCgsXJQ*vltCok4*4sS3zVS4R<6vRXF6`BF*_mG=2*2Sv-gsl) zcrqV0Cs4B=7W-La6W7$f$J5!8VXCcK#~^GrCi0Qp9S-PYK{eiARIuYUJ5hF~+%M(6-Uu4Bi!eYsPk66w z!!OkGT~<$Jgb+TL$rGtnE-p(DBZm25`FRD@rTvsX;`0@D@5qEM;*AXP8K=rHJuxN2 zsVn?C_y(kcn5vjf&|6>e(cacRPmHCckXz8Y1Sm=#&?;%BzV2$Iitqb&AuW7|dtMI} zHfqA0YC{#mu0bUVz27l!wAHWpG%u2UGhwQB^RD5o(A0_T^s2ByH%!4U!5f6%QNoEaw7m~|n)UIQPh zZnaG-*Yo_uDxmoO3r>F;Q^*^S3VN6Jx!69-hzQxx_bV4=Xx&qvnhl*_Q)kbhme0& zU8I+LB&ziNB6~n2YD|{gOxzSU;y%OWgjq)m95bj%qG0rkB6p#su1X+bVYVBxGC$w9 zKx$9MFaL5oPfshe7s$PU=+shl%VlBW|!y&Gp zH?F*TS41sYc1P0>hu!LOmdM-c8a36=FxI>)NxcxROx)Y*1Y#Db4QJ=k-`A}P2J54K z2aQHXV8^v#6HO1*%=}AAIX!%8cu+PrBth1XP(z?$fTqbIHAm`AOzw*4<|}hUBtm|A zNGy**^%{7;zyqk)zk_{x4@Rp7HNR3zTHOf;eBbaQ-roNB)rkOO zbJbh$SdY{33Owm9)F=%?!5ocwL-Vew%(p5hjRpUDi8*AcwT07LgsO3>gl@H8r(%QQ zM^ZKK9%qgyw3fExgFY+B?5M#U$-mCwq%!iC-yz>+j+1d{TwUS|ZS^vsZPa?eOL1#i zh-CI(@)77r5BXeI)*AmwjZ;Bk|Hlm(#DR{2sjvA)>@&>Mmi^u8KxWGFWD9H*UJ>!t!yIrk_13f*BwKX@+sV{!# zeK^68-&ztOW94^iXF&C|z<}qY$pfF|PC;*dwfo_j@&>Q0v!~uN52KI1KkW0A*)<&~ zQjImw+?~Gs@*-2gA%6}!w&L{c!Wl27HttmT^QR^CW@2e384!rQlemMg2uR04R+>2hMzznK98K9|T0&>i*yK#^Nmj`C) zpPZq zGa8^gXhMnQTkG2z7IH2y*CndhkFD@7GUs8_J~!*zlujR6n_gvmDUGzBj)pw=hP{#C z+p-$B`g5%=8EdQl(w*sD7D4UoPv@6CkB3{PXUr;@sAUWWjXNeRQ>;`i4=N7Dnissb zanzGj0~wV@g*cf*D`L&2@+)xaXD1V-(}SK1<>Zmk z)sxsgPwq28)AgrOTAZqD9ZK5gja#&Iewg{Ro`3FhL7}I&qcNv36^XB$Jc6VyD<8puh}DCsU`C)|Q{$G3 zrNOiNgk1>TrF(PLQv;*i*T&k1(25iD9jUJV*wfnh_?|w_N?X1@WZQK{k$NE^8ikb1 z`su4_NG^x7Gax~g)byW%KtI2x_aU$lAmK4i{2g>GJ3i)?77QbG=acPyI`)l9MW?~s ze+K`ymon$TQLjhB0x(;X7{~_|asm<;UsUVnZm{2Rczn7sO<5bq{4u_Kh&)d&Q(`PuZTQBoI(9lYWdx zc~bmV-g7al(vKrgdgB&)6tV(!9|t5&F0^#kwB~tNRzXTZt>&yY6(#d64U||GY=pGV zqX`GHj(3iVWgB~UA`W{7jxYQj_HSD{fI7uEfs%8CG#1@EppesLstM1*!iPawu{8;U zIcs*?Q#^rWJ~TrG-x2~;=|3vB+MnOrRS>X)geCJ_BJ{ng9x8YlZ7b@;$jC;GLu8zM zy44{bo@i?Muy&{r?4qn%o%GenO8p|V(g{nkr;;p{-8X0jtH5ShB0B@O1G9oE#mBb} z3soON0Zp-#Ma#aI8!9L3D^Tr_!nal7)Z9J4J*R!>>ZLxNHfw+3+^@LQ!R_cFm@QrV zVIOAqLBH0|HYCEvg$etaJJvM^&%lr~X((ozAhdMy9aZwS-`a=EwQxXU2~2fORquI` z#I1S?Of7Bl8$OBLQI&c|XC3pJj&J4Q%ZU(HdKmlIobmIx_(yuCiMsKYr%B&ISWG;N zvAU}2((-LDjImR*znpBO#eP}8^q4vx8=7by6gTtXVh^D;ivKX(vBJNth(f9!wMvPh zJ*BU1Nrf8|+{G@L-5;_q(t8Ib8m7}v-XTix9aPDE3-9jz;%A>x_>X8n4wMRW@4*hE zPdzH04CnSbu^)d2VZTeHKdz!Nx|(|d@`t(ZitbGpiWmhd?tklDsPJhXU13jPE5<^$ z-Sds3%+_0u7ppF-9Ti02tJwV9r6%y>^LeYR{O7%*hVPj>AF!K`PM94>lTow(VKnrYtEgkkqI<12^SQ5_89A0Y{dVmcyS97=lT$H@@n|-NF2`oNL-H4* z&MpKfwL>4NCU>R)TDsb6m32v?KzTuGOJHzyIz^&Ukw_#q9GY{oGakBjN)d}dJz#p; z^-!Rsq?H3pimE8*ntxpnjepMqT9)puIJM}aAHbL1ZNX6MrE8O5yz7>#;N^N;I~`oF ziJbHmPsWg9k?dsvUXHS);I0P+hhXxz0yX)m`O$6hma-)1iFve1B5i_*f3{Gf_T64C zz}z#%GdZn9DS&I-kNWwq0DoqYq?GcA`D4cw+ZCh?ob8oBs?w^)NN6$X&r}LRgKYzxnG@ z?TypVcgXdLsl#U6FWua&J7ZHN4;Z^OlBZhLzpT+tmZrrR9O`9fh3tO7@)j$C>z`TP-=Hb_2iHPy%aB* zplMNyZcS)yKKWZH&qh{hRXIHBLiH&eY`ahSi1(z!icn5*dQ0sL{90dS#KjaIllpNeLEf2-fo1((S0<_*;AL-7{t&;+Jg~0NaoRf;y5r4hcYlv3j z?2&W4&q`=fK`S_JI1q#jwE(X{=po8}__gB*V6)uDD>XI6Ee^R0l2zHhpp)0>;M`WE ztEPFEv#?+2owL#W9i&!Km8hC(*gf0#0b4*Dl=tv+m>^b0YR0Hyr2OGF{d}yR{Sq4E z&fdAW2Y?wxx1hfb^BjRRlP=6(qUZqO_8&7iDRNiEiw`gfPS0Qu8ZZGjz;XU>lQK8K zi@#AfR9%I%1Hd9kriUXYz zXHd`j*Y8P(nrqG0DP<#WjowCcJ-o{&o(*boSYUztQg|2ec$z9 z9$6i4C5sn_C&GR013BqARWm!oMU7)46KeC^Ok@l0caX4DCgUcd2<4{+AT{(0L4F~u z$N#6!JuLv}$2S{-Y@JeGW2n`5y#5Y~_S{dq_H6nN%E+omXuXv3bHK!(ZOPE?=j@JX zFY>hcOdQ6cn39a8Ah=9sOw|wPgmg6k_o6ibKyc{!(=&v%MEXxTV*j1>=KnjNrR#lQ z3YhA8#}`lV5j#34*z)Pd=&rlw4+Pj>L9ApbOAk@%iWWFpuej9w6 zl2ZQFgL>m(Tsx7aki%LwWgIBF)%h}nq%ZzeMu*9;-UHIY$yj`9(yneFw@2IVD%PxR z(E0tnYdGMVEWeOc4zAPj&ZK#*Ul~xAi@oQeXtk;EG^!2`eY(-R8|AAg?a26mJi|Mv zY}4)|xeXss;^Vj+E{B%+?Y!~|P7YK{j)P%l;Xgl9<$10^8fi7V->dykCp){*j%=yq znp0!Tb;a5kmBom50{iw8hxW;7+t(ECrOfb3V=lS2DWXXOWtmN2XK7Xp9q~)^d*{w@ z;4pz;=w~4)AyBv70zJC~^0A@MKFhPbI7U&xoJ|)s(|q9;TF@#G8YE?nAL)10fq3E4 zLAg!omAsbNIbz8*y%iVfILk(>{z=}_nqBm3pXh73W&69~MT_7+`id91+{Fryc2(R> z42Ro%&r7~)AY-1od<@`Tti-THAX_-t+tP|On=;fa8;4A((op*wps%q=l6UQdg`ljp z^YJ(61w){V^H^8Oye)z^VwJeGvmH{@Q=-dZ zCEV4tahWPDYCwm$eKC!4Y;OHMAr+NocagPmhV%R;r$Y$ zpEAVSl(RuUz9kG#<5IKW2xYrp6qh4Lw5xV_)hJI4;mAguiGD4E>G#%Nf*4IBqDg_lfml+jpN+evDn@vTg=Vi96k5ne(Kv%;tE)Yh%-OVHG&re|$`%FDaYu-at;53~kuG zwg%1-A>n0AMnxZVQzn-CQCiuNT3dJ(qI~uG!!Y3$#uzR4OPmfCUW$Z%GO{rQkB5U2 zz8X!rW?#fZ5#^f4t;q=?%+rA(8dMn&#G0c8ahG=6b3KB%ZoEh3WK6d65Pk1)}(p_c>AHr+3 z*I;y(;R9aYiEhovSjHYldD7vqcGfWzeS} z`hWI92-r7<#gR+FYknZW>G6+qCxO@P04$!WJ8DWhRCfe|)V%OOYu!NiG0HOlxQm-* zQM)#zFNf+j>zK=534a{@II^?j@Xcg~x>zwZq{T@FBolRqlFkgu+R7{d#!bVP#~(0b zp^={M^^sr-(u$SQ7`|_4t)v;>fH!On(=l3l1lz07kW}VUyUlYo@mkwX&D|iCm!!M= z+&pt~lTEuV$4rvZJ$LtGxhWNU`_XO6l%%(7A;s+?)hWx?Tc5szc+{q#C)XAsV04e? zel8q>;W)vyc~_RKSCX6RA45=ax(DBUARw(Gdx`Bf4m~eg>; zvY`Yeudr5cdHrbTBS#jVQxQ%yV_e5^sB0!YX!5GDMv#KBug4C3~ zIXu69S(u*9JrKUb{#f5-jh>C@9hGH97}ijAM}d~DopmzEkJaro_PD~A{* z39(l{!7h|X2lwC-J&;Sd?|0DSLhPGi^*Ij-AgpdT4~KpLNRj7z4iJa-Y7u;S1$!Sf7?NKI*BBH-v%)hesz%fNkO^MRXNg#2j&iGcMb+SyC(3+iZWG@R80>j?wYvYBq zz)>e>V4S5sJ7dv73g)vc|Bw}tCkzm!j&8`3VTyH>{WA02HA-iiy21j2{6$>8y zl$20vXNc{{G6L-z#=qlfRYzu#E$LCKkFHRvi}+&_V&s*x>w!&GCZJxa$PeZoXHjdlV+QdR&jS$ zmBXzK^U-Qbl6|BU{KhOqzz3M6a+Y)@6-Vw`8*zhol1z0D{6p@fA^L2Thm-BI&CQQP zwk}00;lRMmVO~c??Da7?<%q?D;Ye18*@r#PN!};QAC zBZQtkvp!~5QBUm_yBaT&Nl-LlkC!1S-+g$IfcW-($b)0P{6{d2{F!r42aUprNrq1m z%*%KJA8Bwi(d%Pn7B$`y5s_hVP~J5=-Ln{&!#CHGVfy%x`{IG;ReUyt{bG}|X+bFq zIu03Y*|~NCG7V>m4V?R)mHz2v?!r$!pE3qUB6XIjRK&m3OGJ&5(oka(`=%k?LNBDo zsDqrDf9~6M8qYCHL|r-jY)*l>;AMr%Jhg2Cv4P55TPk}p;o1Pn+NR27;1eJd$c{u{ zEI+(k?x{K~KfH8QxJN5}Kio!Vcbh;`24oo7#rJvSKfEW6n&I92g8c02U_-Tmgq>*{ z!2#)m=%(eh&~_|r;&lm->TgD&lp@WMB~~OO^7YW1$wHib%QshoNo3CG$h(Kg$a8KX zZ~peV0w|K{X$>?S2F}{VbsdXOOewqDQB`>Cs-kX)BPk~2o7h?yz1RVZ1*1VG%mBCXpX90O)v z4+gnX@UFy$mlAeVr_4`GXJj$V;%}>|BB|N{(TK zaG1vRGBH+F5I(0kxp>EqnVcAB4Tyf?A!A@oImNRWSJZ5+4D3~dudH`MB)?S@%02=! zTw?H>y92cfdSi31$e96_`VQiJy45UT38dmPhj$7|rHAL`Nm6;ZR%hjNs!STG?}o$@ z^KV4CjZRYrxV`@LDsH@$_qmv(HU8-r@vOYLZt@jL@av! zzl{~1n6jel&Ph=}m-$wRCV+2*9zs9m^aj+}&hD+|0E`)T@s%t0nHt-iX|o9Wg1Y_k zeM@;tY(x!SK1P@`2CuLSk;2&UNU;vrj9%GQ zKWHr;LxU#NNV1deb4{AA>l(MJAFug3z%IAZ7{0oBj?}!kjFL!_bxrrt;KhzzQ8Og! zQ+T4-NkrzfVHRcd^}1YLqHL8J(nn0@4=J!69Qigm zeSJj6ERH2As2OoegB*Jweid0U+jWwQq zp~j5nLsGfAruLgkn%9Bs3{MPaidYjOq~E=5rW6m#T?V5}yJ!kZm5yYOe5!KA(GHf- zGIc___>e&D7;D!`p%N3q;oD{;zGSI8yOT)T9BOV#6GJTtrDlcN9q+Azg(Y2t&??;l ztyfRCenq*@sKUh8sLp2YXT2pHc6J>^#2Zg4hc8FrakK)~*QRi1{>%T& z@H6yfX3v_E6wD>%NUY3Ge%)83SePa!%ERyxYeI>zRmu4cD(26co~f#iJ)dbSVO*Cx z-Kip9r*`RDI5=uwMnMTVe)yj(p;11(TVz>eToLS{%Jy!T)P@wNGt#$fZ7mWRS&@Go zQx&je^dB()RDqmelTB7Nu>*uiQA;Xx}}ePM^2Ow5oFloFvZbe zyT1&7n&uDQ<_2Os=|ce8c<1$S(W}}(_tEKb)6s~$ZOfz z$Ej;Yb$u9kU4LWa_ebc>RJhfac~RYp-3`9PLoV@Z@tU=x8FkF=u4Iw&ba7Sm>q;>! zZ0V*`AOr-Wb|g3OxAU!QFT^~}`EkW_u7bSoH`btlB2g5N&($A;+x+Q@$vvZy3o zi)_hNOS67D%yiLDQM0kgD9#7K=HSS3P9GM)FqlvjU<^ku_O)%=chHl1&*@=6@q**C zD-|ZL=bLr00eGB9dASURzjM2){3&i%*6#b$yPuH{#pebWZte~{;j^%B|45Zb z%}{iFF^3!qyBJ^hgkL{28{%(j?Al4}9Rr}O+kXF5Jmuw+(F}WEU*BnNZ2icdNtZE# zQatmDrM@K@I`x8Wzw!JH^lj%0eMFDBSvLFedx61au5^c%*>Q_s-A6x`$1a0wk8WQC zWjf=pusFXA<0nv)*sotrvnkcve5ND7JX92IqED165wXU>`xtvcDofsyvx#$KDmG(T z*-zXW?a9*I=*PHai-&A9wzQM@cA|zf_APGV#@9fK;tjd1lP=G&6_*w!P}sEfqApZB zZg>|>BH7sf!bN3mkL))8hU&uuuXo(bTFlFgjM7;$_ah(9QaBeScHd zbZQW>*ptdBz;Yh=-tv*eav2yCVsn&7?obHb*4L7J{4%PuKI7wy!m&4e7h?DQXPZ>E zf)vIOnNoQNsL(Wbl`+g2Ez1(>GMIX5eqDB)|{~H58B<~*78qM zsD$@cHUzcpA}t;Tcjk!Uy1GztV8AXKf%}<(%K@kEO+2X6N_zJojh2$7+u0PKUVrl= zIzA|*id2k`PUuD49O3YXv7!@GW=kVzZM>Tfcg@9@qPW~Lu(n6W=~esZu0{6=3x~3y za_NG?vMWwQqr~A}83Tq7Dc&Oi-H%Y51bna!D~^VN&PZ^7XJO`skWz+({Yp~2&BMDw zgJRSXt^Urp4?o8!#-}9&B2p{?k$Jxk$wQ1#nyD|2A)-^B&3!9Ak`qDJulx-nGCT_U zkxQ5N<>G1_+anEf+7pFmt9+JFEkmMhaf0n+>TboV@X@#2zg$oWZ^Vj$#PdUTBaS$md(h4T|jLDdTL_5j(daFIuGY;q{w>YYtsHiis} zWOg#gbXi~ukXWwWR-2}LXzTvGjIG7X&g^itK%*>%ntnblui6uMrrV{c!mT%=_eNSgo!B zt%jOQ<1JA%Br`Kz^xzlwlxB3( zjwBLNbjhs4mZqf15C(Q4Uo0#v09JTl^s;Fm8qg7#p5l>0PV($SMM7pU$F%*~{!Z0V zhn)SE)`*~VS?xO=Hv4meiE;pfHUjUc>QUbBvwCltV|Ma(s2nh$YpB#3C zb?GN}3H-iq9l;ILhru1Hof;Tw3Tjy6!@D#vr_7CTi!MuN&d0^vrjQ;uWCP=jY0 z<*YbOi1+MwLa0Ih^a)cFLO#yUMa<_XMP`X2{);YUJsSn-sh$#<($lxP`*@;+C09E} z#>)^QBXzN_Bmr|l*$h4ze-31Qww~HM(tb0)9nNIo$_k_PdI;o^%R5%V%|Kfj3<#}= znTLOc_`7>nw&-DRXT-WY;94tj<=dni(jz@(RoC0hBy}89}SXb!;dfs z0T2y&k!blyzVeVF?##SKh7&t#;wmHsS!U|PqaHF}@8p$`+w#tzxnc?Up+`bM>P%(K zVVN9^(B`4W8Vb9YCzZ8T6B9Wjb0_7AU0!Hrf)v%PygqA)hvZiRN3E#V1(2lTh7NW+ zxrO;0TX}7>p}J&dx@YHikl+_15kEHY`?cKJ=*COO?3SX+-pm@k>FFt*j@%}5fhKSQ z!)+hqwu9qYYLl&U3(m)%)DqT=)TE}^JmU-4C4ASN z8i;YL10~H2fXJw6Z=vhVwin_1g=g|5BYSWbm(6+EVZ#P&ikuQxRej^f%FmLtOzCBD zppOvO$kqse3*a+S@6-nD0%dcHBsz48@**bbEa_v8Iy zIKB|ZQ`tFyHC45kAL55{?kWqr~lxu^a$4QyI@?%fadZM z<)YNj+*0X!+Fx&yxaa~Kj>af5Ob!S0T6t_L< ziwXi6hL8k z%ecdH)+ac$NseXzuQpLDIRw;fR4y9tZ-e(^$dR()-SBQv%<>2`IJE44`gw8+=Jr{l0dPAy=g1PWF1v3G$EE}Ry18ib zX%w2^*$-=pgC4QMkbwNU)W?p`7n(0F&0NHre1?`x*5bfBxn9PhI?vX2s)_KRpoQJF z4{g>w<8VJHGGyTp0#_&`3}V*0h@Sy2A>ap=!~rB+^uB|ropmjXu2H=(fO6#(pp7U+ z>UZlUEP(awLRQIuB_==?Sb+i+VX2mT&&2cO2G6CMpG9ZS<(fFYOOCKKLXzeU^g%^j zek0QjjDxwkr#ysay+e{TcvE5^JhE3kMziRM70A24zxc71D`3}ueI+cKz#gFc_r8O= z$j5Ik7w~J;t`xq}HS7oa(!@RpLbBe;RYS!$C&6NMe@Nf|JR~{ssGGjrdQ}g|NV9z_ zg7pBiUm&o^S=S2aG0i#WcMwW6aJ-HbHxEUd8DF#VxAoeM!;Jvc4~0wb)=leO;=usj z=-UO93=8CUeZHu9L1KO`-V`u2XG$0JgCuH^O4N~DZ0eOk|g?<=?B5(?$uUk~$U#nfWJsvD{X1W-8CtaDTcFO`Ik(1`uw>d|QsgUaq z|J$f9SoAYnrT;=^|4+fHaHBKu5!OGbL=l*42A#Srn9Ixo_%&K*PVT6m?sO!tem0oB zu^wa?-AMF#?tkIL!+%~#p}0&GQMtQnby?6ME4O$PyG_(>TOXxZ=tIUa%i~^=>9$@Y z%XHJD>CIH8+F`39S+3}=3Y{cX4l%X`87d>5vpUN2+aVX^>gcqYNE zsW?_Q5f)N-s1_+v@pT^W_(`e1m(1v}Ku5lMEyD*2?YO1pom}yY+$eV;mBJ7?(Ou*Q zTq0VdcY0z?I9!D}%k7YFP1Ii$;$^z4&Sn5w!00K#_|y+({BtFc{hQt}Jp4Nc9t-NI zZL5xd(E?8O9l`NKbbt6%%%0doX%yZ%kAsh$P0Qq{{|yKG&lQIoMbc;B&SA5P#JIFY zY-Dj~V-7{cv}xsv4oQq`#D=Qorm_>dDO6RO ze?eL`YDT@L0rk`GCq#6h-u$vsZzor>l|#tS*1f-^H6@g5;xcf2K6d$d6m`GatAL2fY=*~Lu#T~)SrYqji)zR`o1Hv^|E#E<>cPjvN zp7&S<^2408r|aeaum=}ZkefpMuk26TzeTlm=gXqglx{^&fLml4#PQMQr-0Hl4K`MrJ zZrqZyy>=d$u4N|RS@F@@)*+IM_d$?dOEM!yBgmz}9 z`KSpu4<;*HAlNh08>eHHn6A$F!%s*(u2gTM#~Jj0bsI z?<|YDB!aQDgWr1KE@qf6CeFxX&aSL?QNQNDYd2f6X6T1IVucdoD?`v$_9Y{`vEiJ(;%vGzu^(nf60uc5I`}R&Sad;;p`ihWUz}CT$eK}J`6PwYuhuF zAl|f%j@EUR_&u!ouN$^sc~P~#cLb$Lz(T571dqPPfBH^XFDMTmTclyP zixBv&Sqf^4E^r)*u26y6-1R(7X0_j7na2-=T@n1ns$HKBI@bOWr6J~J$ zI4ABN8mGE~D>8u`szw;nIs$bns7>{zRrqHR_!kiLdrtZN{swl-^(v+{#O#4%5mIG) z&pdu*rc{g({(wyP=%{mzjxO2Z_P=kl@?7|WDY4Dm3JOz{pTl# zq6YB~W<@!YrAV#pI##L4NRG^sQ~($!^7$I@I_eu}&cMG1yuWg#({FNI41 zv@m|DAJzpveWVWAK3UTz&?^`i^(;))%_yt9C`D zQZ`ZsG-SANkk;}?xlqHWVk-pLjQDsn$Z+_m2>ws%5Wzq7^a^g8lF@&L495Cg^xEQ- z#6OvmXwlU7un+C7RB4F$A5_&1y^+L5KuNW4^UVH>xv$!>sigMPvr4Sg2^c%9Ubx1o zV;63Bo(ptvkIbL6gTLuX{!|P9OZB_CU1~?+xldO)C7FwUuhm-Vkze=g%IQ`5D=K5s z81Kk-+xZYOl2J;mmmEYLK`>DrQ5vsNLQ(#vj@WGol?p3#CDTRrdINeK3K+k4%ar?3 z1uY7vOQaICFZA;hjLC52EikNMj3&@^Q=swZz}8ktqhn}g zJE>27vduVHR?SN79lDu};cC@Yoa`2hxO^;>7Hiv2x9qIG05x_96;({wO`O24{SIPA zVZ3&8&NeUe_r!h_t_h7pjI}O*S@QDK0 zgL#h>dXs?mqhNWU43Y&19zd3X!hOf*DnlOzx$;6~UmWOGBW0HC#VK-=nw&I-M4zMe zoJX@1o)J1F>QrQs$XE+{*7WRHmxv-ybZd1cM~85JlM-?)WNl?TPgmJr8Q7|rg6*+* zTQNVV2v|s;iN2Z#MtIJ5$nbU4yWaCCDB6M?!os!~Gp~WV5zc`VQdwb!=DAa#(Ryk{ z^^8LbUvZVu%9u~d8<$Gt1jyXnDVsm$*h)KtgWJ#QdbiRXn#Os0hrl$2Jv19)gFo5B2v%7Ikq3cHD;5`@wW>rPH z1;*)E%!QP|r1BKnFO0NYk3*H23cnJ;8g*^b+9D=^Hq5Nqo~8cEbzWPIO*_Wv*3$I? z;Y%WQ=-ky)j}U48hpz=i+c8mjcGj$$7iMFDdW*j9E5(Xo>wJqn4~;?zk&h38CWYEW z3~ohnP&F=(6S%{FUP0X)BN#{Lyi}^Hp?vK1Xu*klWkPn?!jw2+JFW$_tC*Wz-Q`H4 zFf{Z#sHD*9uF9yv0jRV}YIxUz#Unf38KYE&O7u>9E!N(#H`aIE$QDuK>bYf zt5Zq=?42U;PO2AA<;?6=e|;!ijc7XTBza@0I-mK$cyudf1{d!VlD8FROO&k@i%`*= z1N@9~?vt7taC3-Wk-}1FO3}A#kD61#9ZuL5k#dv>XT$ZS$e z4CAne!;pcVLdg92j}hvw{uL=p8N*z%=y{TdtVPb2>6YQ9M4pptK^zctauSy|BK|!SD zyC3w8KuB7IO0=Xm$6Ua(uQkH2;R|6}?M1Qy4#!y&%{vR*py6|HRz>9EEucaoS924m zb7_gOJXsR#_RRy}^V$_VIZr#(9eHL_f?nWAC9;6XX}mVjesch{$N-b(Z}7s_hfi7C zP8?-Lm_5j)M(hX3rSxHoL><(fD*KHKZ&ENFAb*^Kdyj7(a3HZ3q@~I3@)|We)S%Ue z9`U_UvT^GC)6Me01}!qvL1JoyvYnS`;Yx{ta)X53w}+qZ*sZZrD|Ce$HK7pTd>)lT z=PIb#*qHyi-7*qJ{<)7m?aZ(+t!Vwl{<~2%MVFISm))3e%Z_Nzc3*{HB_n~u+{dHg zH@iP;+AwUgCSvIO6su1}$`|#@tYO|TD&US3NANX8d0l2NBT!2>%x~6{YL^mKYt>`q zTym&`O*1NFP-u23Q5fq8xj$U+Kw7^nWG5UA-ze~a?1Ru63SXPPk_57Nlm-=l=ps6VUNY}-9vJ>H})bfs$^v>iYR0qWBshW zdg*BFeY}(|(AFLDgsqOA3^Ny48HXzhsC0MXzR#EYdImByMqHze$p6NZk}n>uXb^iq zSa}W2WnLD2^$JH4>CEbWsvC&!z5#h{a^hDhlNAk|#TXy#j|Aq=hglqwpryLB;|xUZ zz5`=Z9{dNK{$PU&xv7F>*BbvCSDT975|It$HWB@W3V}57P?fQq0v-Ikx~I{Iyn zr35~BUcH2_ST;TN&N1nOaZR+LZ8-noS5MIPExHeg3(8u7;<29!w*<7OXe-?_XS&Er zHTw1iTV*5}q^S)N!UVlvOx5dylrW6RnKh{r#^4!^#x0&IPmw7igh-|&RwH@$9 zg6N96n*S*LzM=QbLF8ER%~KqIwb(WcE~h;_7Ub)nVC7KN=%U&lGPHlN$sz2zjFQ+r z4P>GQpauZ$u>zm(fUR~;p@+&1B|HD#_DwxseFwP%2S~T23|LUOw7zFr%lt9^2!OP- z04zb7{ws+rcYdZqXpQ1E`YHJA6aZskgFyGn9xOtnoOKTfX$R0v!hlq;m^_fAKmw?C zaCwtPV{XJs@go3SxotZRC?TWV^LZWZRjq(qLL z^GZal;E|9LSBXv+YiOPFo+nYzMk0rNGe}eoY1=5`t|%%ZsPwLY zs5I%Fs7MzO5fBhi0cir#J5dyoE+`;1N=HiQ9U@5Yy%#}x?>+H5>gp197kBU7cfb4I zcmIHp@M}3|&di*dXP$YGr@MV>)5=1C9d43WVw*lF7ijVVoi4aAKyg$nbOb}~ge1>> zUU=d3NkF!@pO;b>Vr=NP2Z4kQC=D$^C~K{$5Lddz4gi(utP-`G;+km{1R9aXQIn|nMBW--tTV^l&pX}~tlHE7S!~BjnVQY^CTUel65`~tUVm)`mn|F*0tGid3pVLmX z!b4D;C5T8c{~YuC%daM$@Q{mfOek6H)GZkPo8Ic&*1!f0`e*x&JJT1cs@Jld9ey+1 zMA$a=nOObBZjTI(+bm;z4FVg~XA^i7#=DbhG&R)+)YRo%!%nA5Dn?b*4|*o7g)n=) z#_gmy(uOI282T}?N8FNB6PfSm};38KD_ST;cQ-%xYtlur<_m-NGK zk4F8wIy`a;@AHs28fXpxcjc(uzq}rV_kJifo(p=K^N%MUPVzr|X2272^X8c$hy44_ zg0tAN=Jj&>De^H?d1k`iHZ4=VYg=>Uob-ulG<5sK{({NoP&Ki=biolh&@oXIG3 zWFpx@?xbP2#q3k=fcn-eBa&G0j1P{nV^fuc5yk5t^A+~Tb6Z(oo^o9}lP-Pjlz+}f zkZ==-1XNMFM&H_NNnqG%4%GI^6?QloB!-vGyTYYVQWFC!xf5xtN*OA*y3U z>CycumlI$?zigXbq5q3?-=F%1f0si3=b^rDMs}O7=)ux-Iiic48)MCUmYcD~S-7LJ#LXl+d>W@ZLAc1qDWStYrN}If{qU z2&=Afyc_c=u(M1S03b$RkS{>a0W@IL)4WN;+d7Es8dU4H&w9I3R6sK+3oHC?(SGyd zX~>$ezj53oI~{wM92?G2g%`Bp?njMtg}Z2+xa6f|Yl8$B!yO&x*WUZ`bIRAc&$v7S z{HmTOLvPPez>cr-CWn-4QhCJoWM+koRnUxl40`kcZ?J^{Ar(19WORDaB;z%aWbu>R z#+AlqMTJ5W;YS1Xxk|FxiT#;Jm~ga{H3adDUEP-rTFfHr&N(sQ@@FMf`*y-~%TQwg zf`3E4SeFx*lZWcQfr@nGQ%L+NSIT0n{?_>he6VP$zcX>oCOOZPi+#vF(10hcG&>jMxDWuyA$`;NH^t9YhXhlThwEZr@L zDeOT&-*0X$3sGe)3lX(3AuPsClI~e&g`eUy@ie~7e(X?#lp62!3JwV?(}*qeEUn62 z2Q9OdN?uyp$Ng{D4GzDar}8%uWEbVMvyfQee_ma3;SkMIXvg8G_sKoDEc=MEiQ=g{ zm73WZ)aWyhi=8>KZ|il}Qu?UFMo;#-!3aTAhsip$Bf3f^C&unddwak*)e!8QivK?A zBRFg&-u8YM_vdmktU74+!$9qct&x9uEGi+o*ofyDGEu2MiiddsEQHWHh0n}@jE5gRIbBwTAt1;fioN|G?E`)}gkL^Ozy1U;! z8VjkpUi~KQ-GNsRv4yH=@XRk|W~#Iw3^|b(aI?m%HWKn&=%AQWM9Q`u^HX?C9XH(6 zmXpjsqlv5VNg(SXl^glE?qyCFL2u*GF~YzhZ4R4cg~*%gXQFN2sH@RW>NmSAsoinW zFbWal&hCe@I_L(aZGVC=q6G-DK{hO*bCT&^GnaUnMV}N-dDinX-s~_sHr~l3pFBq| z8AC#^mAqaRb>>!GoD27p`nz!l1Tmqi#e=y zbBSCYGFdMzExzk9YKAvI-i?g|9XUQI#eSP8)d-JMgs}7>^b%TY`z*S_W2*rauq51& z*A_@ad^?D`YXJsQP;6*I19v9p>8IW0bop+Ng%yh_d{hzOa{w9v|L#fhgtB_jVW5phkk}iv>Y<1whI5%DGL2*xi#3=R3F&wJc z@OMZ3?DyU5AS-`^<4ds0!M-Se_k{^+Eq|rwR;DRu-1hyF8ZGv#Odotdki& zdG=03v`tKEGFg5ymj_K)jLzgqD79U^Ev8K_z435(Lww!dy)Gx#NlDXu zzE_7{4_mDvqS~MY4?=JwUA0%O0;d7Xmu_P8O8t|=#B|2DR!Fh5tq(?gsgnO(DgW&U&mI+w z96k>n2mv{WEClm{M_0vyZmC>+PlKremj{xa(YBQ3eVxOdYF>(u>oRtMd$j;i7kPu{ z!R3JqD^=!?3Atl_o24&0n9(CM2lbJSF8+87v2QWfLVK!S#FIqaUXU=l{pEST6>Ni7 zeH#EZOGSt5X2ys|LD=x3CgE1gyc{WSgw` zb7Kd98Zg)R1PNaqSm$~SqW8*ypfF*Bx{!)`7CJWVw5;~SGvvRXfc^IzZy^lQR?ia$ zRtCXpj%sd~QJF`3C8}tu-%^)3>@LK<9v1xMI`#VU%cJLW0hEuh(lPlX+KK)Xq^Qp5 zh*XrxVTN&&BgYfSOY{-Uvcc}7P93J84)+8|RNeE*?1eRyBG zdSm7eV!?+-fv+w!gPb?S{@gnUeZ0;dZQld?(2j@ZQ$dfRoy6zFG(k!Wp1Rd!{G$`Z zXJKQ*PRrE)9RJ$`x@&wIdtsF!v9J!*0NNuvF*w)!wV`;i>ix@xFg8n^EG<;@u?t#R z6$0~iR02+?)1ZSC9mui5Y&7m|5M_TkkcM0!?spGNK`v;mMf4FWU`(C(Hf`|Q_8LIZ z7bjA0n+1NI7`eYk{QuLIR<_~m1<_Ybkyq9z+t>I8ZX57W(={a}W3KS4lo4wQB+^2HkU(kj%Bxk+)C z#OzY4sD|xrpZ>@H9KFNP{C#86o>{T&mS>HE^#N#ozTFrSV|Du@_S6Nzt4go}O}lgU zIMDuzIZ^)ev6#!y)J#R{mnwM8&iwg ztCnkzLUE;t=nfMLV$-1xSZJQiP}dkkAID3VhAbAa>QIDa9#UZ886!2#fn~7cB|R7t zg175!P0d&?ieC}(Ne!H%HMwENsVIjjQPlI^h679eJ)l=m3T&Zz_UpRwhW#Th|B2#~ z4e&UXVC`l!8;~|TjkB<`ke$hl1~ML1mbB^hl&Pwwgzf+ z$t4VH&~PaX9&e) zWrNwG;;(z~TcT^*FA;}fg*<5AOgmhz6m~@C=`UO9bL29QS`z|jRo!}Ip;APT zN=kMsjqI z?%G@K$B!FVD5qp6n{lR;v-90_+{#H+Q+ysXOKWY3%P)R{jKPBog?NnmHb)B_l!y{1 z`C76H(I4we@4T&!e91I7&|K<7*u#>PAZP5EHCF9q)>EX@dsCO<1A9lnE}UtuN>@*( zDt%_CGy$9E`8Wjbu6TtAu5_2ewP`E)*y;E1`<#vSR8OVVTej*R}7cU$$EW^g;>d;3+ z!z(1Wa8&3f6>4rTI`}aNvVRPZMVepxXlt1c&R8Zn^%eB3-~WF|ZUVZ@YGcSu-8 z%-0={Pm%bj+R0=|TCS1h8_OGnmY_jq`)KUb8Jbo>t5<+1nuXzIm2 zyz@CPM?5JR<3X6!S>Gc-qR$pCDXRMkavx|XGtEkv7j+N!CrEGLBK1cNlQg(i*(#v` z8G#aPwGO#PzwNr-gi1F-Rl!c7IqlD_;@we5ee*kKi>JYF|LELCy@7=V5VN>lGl!xN z$dQ^Z;D}f4Gf02W5Jn~(=9t^IpO9K7kPi1f17(_UM9MB)sOUL+-fl@$9a>zS)c$O@ zKKM(bIwBJj!?r7BpSjvJ4Z;j!12`lQ;yPn03)qBi9#c_ zm`h>zh;a#&=1Y?33=(^2Z&dB`=ExS3F>5bWsqhzKZA$K|7Zjb66?7Yii{)EiS^kaD z;-ac{^el9dEq5V=e;+~E_dy5$ecX9tT2Ef(6Xg5&i+>;U>DW%8|I~9WI;a=VObmei zC1CxFn3+10cx$o|4{O5j{tMi=zDMt7D6^4;AgcWRyYfg`cgD-dJ9-^qgl^p%*z!Y* zZ0n)BveCoH=b)p3Mzy2ckL2dxk-7iTa}8jN4C)}lf<$|S1Lr3TY>9GNhoR+(xN1`Q zP038G+6CDIrUcdVJ&8zDZ7h6s)3u6`>l1aH-Cc-US$~O42I5G~V7_Wb<=x=oUHETg zk9azWF;WG#p8{U^2f$iGdp>KM5WK9p0jh7}{4F^y1G2c}_@63_|KApn66XGT6!v}Uvsf(ku$?WcxFw&q8o&Es<=r#T9~>

o4WOXRL*}xGX4sC@PlWjE3v(0C}4 z%ti+(zzZOaE(?L8!gHw)O*Tq?G&;s5$=>aiL%P4fV@{p86eUXn8^r5PqeK&@nLgyF zM6VP-iv{QrCA3KuC4MwihhEG7x2FK$L3D&Gpega4jlX?BWS3lu{gJTW(PBa`x3K@W zp4$u?HqQpm1F%KQH~d%Upf$q#1hm#*$84M?Shm%%e4j$pWQ9S-<*GI!2Lq4b zY;c&PEh5?SlCHXwGs)lYh~ z+a24t!!`TW-?xz46`(on7^rScj>v^=_yF_TfUZ+G6^SRGXA{h5qkS{{c5QP?r56Ei zo%h&t$ja%IQE!kRPwbJ$;h1q(uqsWr_sNIfSDZU>`1O|1ww|^-WP;tL&&4R#O5ouQ z-Ok{rEoD{#S@P*PcfHP6F-GaRzm28Ur$p7+kaVXh+UhV6HZHQ6J9U-I*1XYupO=yM zM4_i|hKD;^gx?ceslL6!_zAVC#-&}EO^w(=99zkPR&~dt^<4-E{I5O=79`VsLYyBt2*8+Rv-?MSTojV6y)8#zM^9^bRO`J7`YC#`c>ix~quH0ih$szQPl(d+yVwlmZ znYBfxRdC~uzVDj=Vo}E@ZnU5=&9Otc;b95gg3uv}83#N%e*CA+Li}Xi-H))G{d_ z{7DHX#Fjx~v?2hl987Yq7s8xr_CO+TkKF0FKJ)}KZCi#LfqF5zk(H%{R_?a?I6ZnY zbOvf)HQ~);UBUly_IAIGz^#KYSD@ z-PGo1_24pMX^XO9LeM*NKX)!utag@w=$;f<&cmhGibKKvIQmMCX3{JSEu4x1e1EQOzw7q_R!%ugshe(&_+80 z-6m0j(%lKwT`k+KJra=_PAoRgEi>G!5$gBGNoS+FD75Mw(y1>BKqSZa@e)o#cb4cC zvDx#YnbzG_1Z-!S#Zs+DMNN2JI&Bf2eB%2CEbM1>Vga zrzeT0@qK=bclN;bBm|Km5g914O}3QbE*X8No-fU*Ol)YnReo3UI_*_fTIa(blkPSm zjKpRfK}tG?CIYjE(0=LnuthF?=*kuz`YB_dFh<`(4dOw-$e97(L;*iZgm4y4iX0wr zU;v@b2e65AQRTL>d(>WuirTEgYC)l%Zawh2IFa+`U_mT<9#8b;@H@KO&45=h8m*oG z`A#@HTPdZ!%&@Zawg>{Hp;h+w$HfTwVIsWr(!A4Cvu$hJo7*2$0h^JbsBU)1`e$;e1Y>#iY}IMdPkun*UP2pJ55QWcTq>2WI^;Q`Ah zOS&a+mH`ITJ=>2(yd)KTy9y@tFw??jvY#L)fe`xB%`N-^DZKvm=4ToFp|v z?}t$&VQ~KJ*Mo7Oqk-rHtV{HJ;GyHAd|(7Iwj$rx{D5`g=hHVy+ea1FM}(jP3_(EoWUu}6f#|B(y;2>WkQ zT-*grpq}>(8IS*)u2PU}d2E=N?iX8o>v+(ikG;p$u}dGt0@5CaF}>9n;FU`^-QfkU z$I_r!7xw-EhTaaILCp<{49!iD=Kll{W453^fgA{n~Rm-vr@|G9YiZ?#KSf3U(}-2>ED`_>Pj3v~fW>UHIwo&9Py zEznr@uV90(ccyN~Sl!`^LNcH`vld!$*<@$@fX@MBtbHds9r@kkAddu1wcT{kgpQNZ~;3j%(e~rKZl>eJmw~Y~IHV!`is&R0?F7Gjcj5 zkL!KR@HZXvJfY2}L$V>;68gYC^q4^#tqcRbqvO?tHW$uN|CMPg#Sfn#nA9`V!7Oj$ zH4aC#EoY?$<40Y1P{m?iS$>h0!ZSRwy})GE3jj8uPheBYV8unYXT{RfTj-OXs|cbZ z6eUo4tv{h^84!7Nf_bEr$L(l~I9U&4c?qv)Xwm#70g0{H?7_Q2C(1H<^yykzh!#hi z4WnF)Zj@u)yOe=^bxiIh%rz&*MwL56)h1HR+Mc{jQQoiCCD#j6?qQj+c+q~L^vF}8--zB(NS7DEPFn{i7PEA$@s=|CtW;M?pSSHTK5vXERb35oz_cpeU z&S>8w3Lb;@GH#nf!il`KC(8+P`};I1B2nS}w z7fUDW9~4pOW##p!+T*r+nI;rixx98is}n?PHvVSBn^@)QZMG^;KlWqo<>#WUI_?y5 z@k!gOdE}BZ-}bYnqpVHHL;PSmrbAc$_3bLNu@U5#9y8_=fR-Gog;b??sq@8FWLDcG>6fqpy0(2_V!EUNm!@G90=EN*$rTz zp|hWzSd{Zp{m{bsCZW&EU?w7sdxqElNwTe%t%DZtFooHn%Fi3-@+Ng?sXEcrm4(%$ z1NDI{Aq0WftBg*nktjy66icx{y2=mo4&}O^%**Lc2M_bgc?VqkGi)1|6?ae0ejClF zfSAOf88)>_jXJ8F=K$EiUO3LfQI5b_jEZ?d`VoqW!NCOk`5U`0qB2cB19KYira&4Z z|0@^#4-mgff|=sAEFs})Yw+(Ld~{db4|;7&qcZ}k4(ypKmXX(Lx$rhc$WQgxW{ ziWt{fwHJnGDX>>)!~5iZ+J%4hZv;uy%jvKVmofAUX%$XXrkVxFzxdBgEh1cJ0aN~- zc-W*S>2Kc?o~VqT`@-;jdT$h+T7-|0+7{9rY-u*jV6z}6!wRY#&+kH2?nc%r=AB(_ zL*@fG9p3-{8$~_7vOu3W#g#dHp&DuVvF(ErDai-7^LFR>GeOIAFnn$uiEVJ!ucM*K zS`OmPlwcqHIRUlME%)n!P=TWC`9RD07E=OEg(w6fd8NGBaF>T zKn>)AEn*r%9rp9{{VTJ@a%-HdXfmB^y7KnZT^1uTKuWi9ii}>4_oc*zTIF(j{Zf2oflAA|jRr`Ss_N@^GS)6s~ z^4%6MgKRa&hV5!EyIHC2&pKt;8xT?MsJ#%!MD+eIR9GCW%VD}Q>09cp9>W{X?2Y{u zEF{hsPm%aOPuft@?FOX?Lz-LD9WuZqRfbuDz3%EK$R>;32qOZI;W}#&V{DTxt3o~w zj}mhoW9%29ullVzn7Fv)aK+B45udv&PgK2Q?Xm){=hqItI{1pFB>t{68|3y+wn7Qg z7-K7MBaRlM-m=L11ZlQqyqv?>7HY6~xjoGep~=;`#76k`ayA{aA;a6EnT_PKy^*ik zqB=7PFl*3uMr+0W69mK~zcj1)F$y%nLjLCyslO`*(I4H5sylnlX$*a5&s!-b84O%& z-X@GHv%auIey!ksnOT~rtySj;iH`=fJizA!C_0G*aZ}V7+9N9|t~E4PU$q}TuhQh2 zaNRs(#)RIaEwtg=^fZ0bD_n$xebxC?K1}u}P~VG{R8l|4E&*Q#A5SrfXTJimC@qN#AqbgjWv0Ia0X)IC30E?AS}A3T#qXu z+Vu*BJLKp`EiPx8XfezV05>COYyi_H{Yofi|L<9rUyZ?E?IY)9VRx1X5MkZ!jvY@-z z$v1g^r>n1GQk_Oc`T(p-YCdqQ1vWvh{JI0Kx$|v{+Wz0#sD0k7{pQav=mm9AvtOXo zF(P7TQe(r^#B)~Zy2jHqa}J}Ljfu;fn1$Ss(LkMclvRoC4tv=T>tBxP6SrdEFeY*)0R zaHxQK*GF#!+`>WRLeX#k7OLpe4~_nd1l?D7`exeaC?ps7(X04 zo1E7&*q*>u^mdJ-vq#7!SVK$(l`m@Mbym(#ljF|*(czOzEd5c+v=@Jiy_RZiiwjnH z1BT>5yBKLmF6>83X}Te&SphCHGPuwLGb)i^)~?wNB^JD-zZzmM&ysF&bnW_mZ?-XE z6`$9X59yX6p+}@2jGJXB_UTH8Txp-P$Pc0oFy!mUwsAXKCW+wxPB2s3hu*HP&sggY;uZe#hT^2h}C* zkq{J$h7Jb~qVLS;fzq{H*f4V2*Zzh;Qd45E#Vo8qaABLLFj># z?TPq?=!^V@bTw4oBeCwIFSkLz4tr&<3SFAj+yEPXI_P_{j1vY+agw|(2p<}&%$J*6 zO3-fu)S>w2sB!gW2lj)JLKsT>+kYOi>R({D(C-75`TH4MeS0Zb73=%$s?`kGSTAx> z69BINVL}eWmaC^1bF<{O2hb`fr?ZonPeb7c9Q& z9>9@|pJ(NLH}GiSz&2FDHfR~Y3EU27^`4Z1-3n+)C+bnEcAxF7Ks3nwSCMM|8j9YY zya(Kcsu{j04PwF<{%Y|Mnf*0}HgyNQIxoSc1v-e=lK!Ua<(6an$vXHEhkOV4O3;}1 z`UfqFF-FWa*C%DYPCW?1DoKpnC;pmc5N(pA-=GWAx9O0l@BN95?pmUYgg^CcpyurH zjzaleIBfgh2LWY>C2Bc|(!=J_Z^)WlHVE24aBHHisGMOpeXcMDG2xyp$jV<>a4#4A zOitwk$!~gi8!w8N6+DH3r-tw2v*a7II+7c4oq$ z4p<5onhKJR)iZ24kgMy2`h!LQo|WQMAKXpjWv6;!t{eVj8M%SEK#|ug|4B6w{mslV z{MXKy=&1yKWjn4im+8QOt=ZE-8swINvrG@2agv4Cx8M5gUWj|~SVwT(FsY70ps8DF zH7+1e2P4;^O11ww6Dr~hL!ryhl&VL_blM+B^>g(q=XuW31ao;MYF(5rtz_>vE$7jF zGjVN4$;}>qORK#EPuyqKL;VkT9`XD{`kLUJR`|AHyo*V3mr5+tMOX-MXR znh%+pqhCGal`XmriJ;DtBa#jEwXj>JnnpXhTE{QNVl}5uHR`v>Fk=56*a;E2GxUg2 z52f1MHf=A2K}cb{g7KCs<-%{3eSd48wELA2gg`*983p(orrTPTK^TGUXQs!u+MMQ_ zh2gRGBcd?_NiVPPgl_S$O=KK(3m9|=RnvYY=fuw z=pKjSKVYjOEuLnzm@>M^(Cr&?&uYf^1w!MAl46Ck1^MeMt1m7H!CKBxS4{=n(BeDC zJzG$F{?5ni21fa@j^*pzlb;~h8zWj*aF#4sTG_=%&L2v-NkK?4=3V3uu&9{eshLfy zFvF;c#?FZaTkb}d&KA@AN5|snf*0_*dC(Wp$3H=m^WbP7)d{sQJgt(r2=_GUJkd@4 zJXbgqGdm}H$v7g#m(B?Avlj15Z^^V5cionxL_8XHy^PGP0Qv;8ay}gqf z+D&D{8CL_EpOrp8*MIYzwc_nV8o7g(GSmu9EM>`=vFZ~Y2lhWR{-75cKmOny#RJ>b zx0XD}l}^OeoPZjFN^L-W655SF73`mc4$m?{MJM5SJgcrwOQ4Iv|7li!aau@@R?zf@ zVx72H>#~)Lr6d1rajd5_e%_-9_EY0EIY)g=FV3Ny4)C9ic(gmFi5bsS`$(MbGt}@5 zu8M-E3A8PEabUfrv4*EMNOiIZ<6Q8ixRxsy{7&G ziUlo$fhCj{K+k%>(0t;n+)02ytsT0~n+^E&{6`mC(3WfAtn{p(nI^w5E?^w+5{7v? zGjvST#tgu3;l5ilFugy|Ymgb00Kp=eN#U`5C4@&>S=k^T>N9PmhK41==1oli!0TL23$nau zF`7&nP3N?9*}VZ>-i938tN?H@BG8J&Y}e6W5Kx2mj<@pI2jAyn;-pxX2jS^HtUUaw8r2j9y_0>jhM$=~{_iY}B$FcmtuYb-a3R~& zn{0+byJkCcn>K3FbbUa?*5rN{v3xdD!rOPlk4_ICIB~-1p>~U>exLo++zAYmqi~grTLj+kn=1^LSjhX*f*Pq-U;Y5u7d3Bn~ znzX_Uy^|ASCK;9{VwQf2mXzH0S!HEqy_k4h!f}1of|RJ3(L*9}I%-~GB%0XIrShLb zJdgWU(s<+Y$euIjOCXrIBq~{_vJq_YpEKx;su-%r9J*^ADHYbgh=*h8KV!YWmKG@tn%cA1S`R_7K-afdk$Fa90o z_kYy3|6jTIUd$nQhbH(#op>7i)q1!^Ta9YYPp0tENaXIj@&x{xl&i@>u7&F`au9FP zc;=+8OQP@ERm!FUn95C(NFQuC2bn!DcV+Sw{o9Csr ztan0sTm_*xjGDY1rl=;Bh^~2F;6a_>aZjD$=B4dJ6ayZ`o?eE{RRs3OJ3T zUiB^M@>bM^DzOK9c}^*LF={5H4kRit{5BXfb{dp9ds+d)U%MteX>)zL>%pZr| zO+8;F3FR=sdP@C;n}Q7VzU6rlR9%~ z{bRbBYXl?Sm)_NziS0X6bX!u}bCgHt6U1UDuLtiLF_E2eXH>eH%efI`(3$I^V^43H zx310Fb!fIn#oO~H*oJD}@OV2ZIZ*S){^PMMt~nCbzCm^-+Ure4!?3VUcKBry>RR*G z<^HoxM$s)gt(H(cu1BtN%{_AMq%oS=Qr8Lf9^PB*#bR+1#j&m0v#-43!cEA$0= zN109^dRH`4da~gnHr7$CZ1Wc?&t@n*PE)WyzqEWhMPcnF+`{rKU1B~}QcRZtfc_8* zTAD;pq-kRN+)xorVB>gm?yO8w#!LEVnlGKrz0KVNZ@6BU!%}~5eH1h{_F{Pv8v`c@ zx+y$Rbc($q94o)5m71ETzkzU6bu`y;h*^GxQt`EYJhWYqDD@)6uwN~$;sG}XCNVj4 zm6ewk@^aBg9Du5fw96hqV{bTC6s&XCk4fhvGqamkaJhR8&C0BGV)_q9wlrRW5Z9WW z$sS%g4>=K58mj!tCjRoebcqz{O&n?8Tz=~1cw4Hr>UQrW#3Wg-mFYkMmHJB!nSuwZ zWj{p>rw(yllce*Lm1Ic5HCo&zd?dgKQwwVSjfH^`L%2oQm!S-!vdp=cC7s2exg}ye zQFt#%RpA67nx+|x+O210OVmU9atsK2bj`2={O7i)#hX{6@$9-)6n^s zlm}wb)#&PrxE5}L6gggcb=hiJ5f5(IX$0jjjjTGXA{6zF3tCF}F=RaqR%O1n9H)sup~_a|ODC(bsT z4N!{rcnUwS=_{EZZOc>t*q*68!3*Q6=D4uy?zl?W>Ec`bLSY5osl)eN! zAlTPn(9W$t=%pa*Bb!cSMRo=bGqTHyJHt`kIM;W9yq72BY`3I*3glq-*y#@1M~H4b zVjFMTc-@&Ynu_l9(2Z9)8%w!Gt9EczcNy7wBQoaGCMTyB^;3{RgfCPdA2n*IjbHV8 zyGZGlBsbK|{+I#rXW6=fx=RU1?`K_Pk4w_T zoXlM?1-I;1*d61YN3ZnH8Bzxn+QV z<~7xW7ICvVw=~;cMgQD-vqM~hn{T_TVq-k$5~$#(!ufQ(6vOYn3pz6La8T=(w$BTp zo9>wh+Id8iHOrmpvNF0^h4uas zo!855r%Merw@!;{gB+*Hh&0X}Ym%GStaZXYD@`dWsm3jaz77IhEV5* z`pIY~zqMBruoKwqfidS<>9Xe~%p`7Db@gu=8f|Bd=W$T|aOL!TU2Ww5>C4l+xb)__ zy+WIj#gx!xG~*QG&7pz}?-9XV!RB>wte;eQJFM7w@DJ5lsV2KcO>}#;Kh}y-5e^gz zNHS-x>0zup`dpgV=YA>iwFnZi#&?tzG_wfdf4fht0^(T1tYcEo*d*68=kZO)AXs4K zgoCxNL9lTCQ9GTmC_9#1%BOIy!naozFKPvz>buAuDo$=}RxwIPK{o6_h{*z*sA*tj z7Z#nA96jXdwAf$8ttMh>Kqo;AxkJ!cw|yrokR*p457okL(Xx=F8tLoxCa%gIalfm%owqg?<+A zUpJ+H_6A`g={63AV%$6d_*EtZK_oPs25Be5dLmEWrf|md&A>-Ib>R1|hds07%8HEb zF(6tamOLq9MiR@!SCUdi<$B)U`Mhs?r0dO$fPNx-atWu}l-%y-5|P7~1ZP-_L|SJC z9@-GK&QLFv7dx&u8#0s@g?eGEKQ%ny$T_n)k=h`&oIDIK zeA|@IY|*@B(xVhm7WNY(Ujf5VTZn9y-%x)$-|hSd@LVmS z;@$x6Hq_iCwnw#>You2}2H$9D=H?+56(1N^4W9~9+(!;S3$rJugoz|Btyn?t*2#$i&Fx7XE@5pUCM-sbmy zeVWW`w4La14xFWj#z){$OX$*zcNGj@*9LX=&yVaa_Cx*q&2uSAJ=X9EvM+Fh^o9~* zH*^sbL)i@fNTui^Qcr<_p|tTItkkVVa?BvaFou z+(??a&fvG7BKO$jzL!J1J>~&WHkuC|ARPiBGL zbb>l-8GOSJHhn$ibA={P@w}c$1=;b(@~u?zaXr(@DWcaM%HGjkeIPXNhG6bEFbvzDo=GuBULB)~DVP?$i86lNF=OFah^wV4==E8WeOP~>3<{~g zKBCUww?X}%-va+P+#v*z&Mb0>00JppASkSwRy*NV^Bt}y>I79FEo0#}MGeBLHdt5j zUNDdx>$Mnzx;WPC;Fj*|pB(RJzpnf*v=5RoR_I){pW8U6aRMM5O;EH3%VbManC}g5 z-w`EHzz1j%$RGLHiNi{WOV<3Q)o!I;q=uE{RO&*UKGFcy6Z;?f60g>-L9}(T#;Bhs^GG0Nc&;stxWtG(y{S&PdVFZ*+{&#C1%N59n|1o|HuFSE~+~J5KVXqFBf6 zQq6@1nq&THsj9sVEyCoJ9eJ-Za&uZ{+NA|N;*1sKvw}&Fp38}Sl{SqnaH&S;Qm+`} znU5uT(OF(+;+)F360aPV$%-@)s0irBInAeowcrOCHm^(u8f_@efS4cFoyaBKW3OH4 zt`%oUtrvbH*Hf%md-0)6PWAQ^^Om-3`0<%cxubQ1Im{-UQ))Rh8B|U&8r6+rIYGX*&G}RE{gNmzVfvAb|lVY{ASjU5#=F#y+ABYR` zQx>?A<5+KRF{SEt5C&Ah%1b2y~ltU)}`t(VA7h& zn$aLU^6b98L*~TD1JlMw-h3uCn`mEt&^QG?`d}FUfjH;&bYrv5a#{Ve=jL961#xI6 zglY}B1D&-*O)z0T1tk%@bjP46vL;dEo}`+AScLLDDzeS4`aDO zmweGui9|=|)Jux^8E11t%~G1 zTV~UkSO`JOtd+o4*09lj!B=$~06j29;)W9M(JHJ&eyg+n#@2z=;ky^_tV)_4J0!S; zZ-B>gTE9~I+V1qe>~^6pH&FuRIQvt3aPc4P>)3wAuZ(&!2U}K5x~qiw_S&zg`*&VS zo!FK6zNSPg3*!Fi0-IQ8-crPAm!oMf)<|JFg@$3tf$x!v%m!ej!9%{5yOopGMyzY3 zJnGG4ZtyrgE5S&(d0K5xuHj@rN&K1el%wXi>4V!5E`e78*VcQn#S8&n_{_YKWq{d@ z4G(9IQxx{jj6|6Qj6gQNcSwvS*a$2DG~qgzgmvbmzfgIHJAx5Pu^-&|wSMn!HEYd9 znhDL-lYnBoNh#9}^L@rXFxY#K*U$eyIN*#Nb^`hs^<)OQY|tUSpo`XqZxJLySM5d% zc7+M@G(Dh8bO3}DeFs#qbcDElf;hYZB%w~C2$ygec=)J`Kd^H9vVpP!g+d6t69f;pg$00*ucppK2Xo%fx zs~BsBb~b##<=L_{QHxR3i)%S*H6fD8euS9{B*%jeHluIz3Cp9YhJpt5x{dUQyh!_| zO$Zgmq}j5bL$H$#TeqPfX7~q6o=^7EgfkMPw5Z?Xk#dr!dja`rUh-59r7a0I*UQk{ zMEFWPZhjX>+1cdBdvu@E9rQVDz@HJ!T<%X4M);-sTvYbA?sKnx?mqW8)Krb$EF^W< zLfQJ`%sz`GY^i*BiSB#iKw@iCq13I5>|)N$UT$G-TXjn;`<9bWL<>X&rvMrJRl@?1 z5r^z*TEI|>w{gzt4A^lO4xqgaRxr$u2|oQWoGi0AMqflZpRBD%RNJWAl=1MbC9=i! za??uQ;p4uN#&}v@5qYp@dCcu@A7@l?!dTR~&#^@Nb)U()kZ?ua`Q@#B>7GYT%ghNF zEKM`-fk(j5?Vl6!WEy)Jgn8uNjEo@T;($Scr?kdVY0ps$`(>| z*3f=6x9F`0{XfhGpa_w*D;M0C`NC&t%y^djxv%@$zSsAX z_h!^oC_niu^^@`TYw~m{N_kc?Q*D6HF&^`Z`%`FF9gb#HTGekGO_ zk6uHpPJ{e)y)%~yCk^rld>XoZ@KG#XRs}f>9NrF^L37Pepotw|D6)qr;Nag$DuK;2 zHv&)pnA*(iSKLjDH1Htz^2NernU#GVe)`Kw`M@`m?o?Zc5CVWL5t=N!*rcw_iGJcTPv*ku_$ef>csvB0%AEAXe#Lug+dR zti+|0*0P3}R@)spAaeM6@dXrOTJcXrBI)<(%KIDVqe{|!6cCM_9+CSr19jXB$AFf% zk~>zYTUeJ@HAL)4-zWL5MkA~bzPh^-K=X$ITxbHnBSHa&?ic1srH3IHx(y=9Kf8_C z)L8(dAy|jpRvAD6WAQz_+pA%@zUGr4mXea=grlJeI z(AC|e@MU_=<>q#Hc>$;nXn6r2IVDuqqROx*A-0xoc;dyZT5V4IojY8mdi9jKH|n%s zc(_;p>FXH%_4SRfW>A3Fa(u!~y4SV6+=|DcKxHsW)?aAN(yyuvVw@=!-h?~dU#o4P zbo*l9zk+nNvXf#-W{ddSUoFhZKlS##d@gv8f5jN%(wwgMpS+jXzYB`%|DvG05-W0g z!6TxQWO1%T%liVXpCgMv=Ps+)jWU9q)uwPE*a~$DVhjVy5@c&tsVtN`{l~^vR>Me? z1OoDyo9i+sJ8MiQ9HDbFeCS29KNc+?pM@0-qYgj`sGk8kQkNi>z9b`vbZWXJKhwqs zdM37SBaMQ)WYjj}nqzgJ@`#VPG8F3j0b@y=4?__H>Oj(+J%E?-Y#gyH6#$?~jpsE2 zexFND`@&|6f1Os!1ItK^=UXrX8%cOv}Y8h=4)<+A^KW6kiE?P@J zHHBN#JhKV`5;P++$N;ot>n&Sb4h(Up&vx z2pvAiUipEJ(@DD0L$q(TS=o$QKJirZ^~Zf@=&E`gi7L7wL{1A!tLJHKT0HUEz-NrO zywu7_QrmuA?p3=&AQ}2|r}ubK{<;5PHpB?Bcu_dk3<<3RgwvxsW|>6^M1r94PM#@hqk92J29q} zG=I3Yx>-Ud!LslK!qUONgOcWWW{~!&2>(m>doSojl0_PK+r5ANX@p~%_XxsOy@$58wC36(?(x@Z@4xvd`KthF4Ldn7G2aLCKa|2Ht2zeCv zNqYMph5fg=F8DMiekZ*`Fa^izH`pJ&2i70E9DykU-HSw%0Fw4f>dLNwr9nU+pPW>g zuWN#inXxO`8*QGIK{Y0PqSk?o&C$B=28l?Y_q?tJ`d!ldfXDq?{8h zdga~IZpZtY8of2^+R<#Y7g={{%~>y8`N9yVKxX?iXVe0RZO9BaKrNF*FJ1w13Ei-mQ(|?G z9!6f7z(DI#O8**BqS|k)ez3onl=5%p0kNpQi!h=si8kLyN|QNvvYN@FDzDGDe8*ke zJV{v{+;LH)jeF=w+X!zB4Sd_CqwrS#$XZA+rwQvZ$|iaBb6k%ib$d1lDxYfY<`Gds zE>5jH#_gPr2RqX|uMsmofSLk9a@MBBb0C@o+%7OF`c;06CVu_u(BgHB<1#$Z^)R3e z1>SxzNLh0#CocQfFKOiG`iK^(iI+crWK3iz=e+a^@jH{E_Uh9(Ka->`t&h(%AKiBJ zQ&zDJroB&(p31m@`=HM0qw4)SZ$b+72b~2+Klcalh-x7L;rm}E6;1tZ4(MYOZvK}s zDhl5SH(uQve?&|+BfWW=EvSO#9TiEqkc2=`U4TWurJI;;Ac^j;?%qp`(9_bT(1fWvS7xS%*-}iWLC%(xJXzC^o5|)yGaRK6(8`A8`I!Tt|oY zaC|{W({*OtDs{sM_&oT^tY;B-%aVM`+rCoyC6a8(R{mhW-=`V^dZu}y&sO#DVST`D zfXITPZsc%fpY&MhpBNY#zSd$t(wM&TavTw6U^onbJE3jSj?*ERL_yj#Hio1Xm=~fWZnHEB;pq7*pEy z_hFRI+w!D?+#V`$0RB7e?oUxe{YDV{BpO?mw-~DapiBNwoaR4ApZJfF=l-qJBa`=A zCf+AFoR@PqFint zKhOvIOMamJ?54l~N1gS!5nv8OoxA8NTyeA&Aw^>qcujKFz4}@&r`njHKKGh#9-qJq z+QY8&QX9P7&Z&QiAzioYH!g00=>J+j@o!z&eoFoR=e7y>Tjuw{_iZ^)IcqS6DH1&w*Bayg$2AtJ|~K#Rw_%C zj#m+U%`BO=Y_#IyYCjRsi5i$WhmlW2jQPSp75aUbCBf28Or1R=_ALF7THhd($Aun! zT!usSj?>ex4!w9CJQ?H>ZvA|ylJvA6*_&MMaW+LpIe=(9admz|udZhuLM3n6?R?<# zo#SD04dt0Rxg|-Y)>p1ZLP$D}+WLzz87yC*$+5Kf=bSv{_bru&XOXfllgWfb?2Yn zim9`o@;PG`^njdLxzT>&a7?7a8;b$!lHmNSdg5NbR|zY8A?k01O-0N5B&3s=ZFHi~ z92=!t$@6emTAHwnJOmZY({NOkQonVp?VVYyRld&#lO7HX^eZHEDf&xVlh6h7=y1iG zeG-Fh`G`_JQ|QNNN~w4o$&U%myr}Zzp*?vNLNoUcb=w8>2#xB$Q?yM=4egO~UZReA z0(cemqWzrlP(AuY{USU#MxS*o~i_1&a1@?4l*+>4yw zJ1@rko>KzT>%JG(poe}=v#~UJCmkXSFV``u0u-RqGpFMZiBDYz9y+- zMr)e0F^Sw-Ln^X%u-{=b^1nA@TQKd=|F>@E-+0O-7dR4RJ5xy!XwKm5sjv5(xW6$q z*;F$*)%rk)$5>4d1gsyrO0rq4doI-M+QfeWSp1@I&q_u<3hKsLLLqx*Dq znh+uGQz-u$@WW4tX-)ufcmj%}Q0#gKK<0fiejRcv814t14sp7N@dP}hM2Z+eyNj3$ zONiOsfBebQpvX z>4Fc1Bpd$Gd6R++sh-akeeS!%&6il2)0BmJ(1t|2aAF?G=(uZVHf3-hJ{{`f)sz>M zo__9Fu;ej{H%a=~Pc3vlu`$*@*wL}8lWKfzpInF*)2GAYd6O-t@}x=u(*fSV%Hxfo zWwrK-2B=6cZx2VzrlLqdeCz;T$Ipvucz$+VK#p zlPdJ0`^N=QM==ZTCx4trss&j3Fi?$xyb_Qk)wA_I7@uYU{|gdU1^h2l{pC zYVK^R0`Rq4<|;!K4->m?J4UYzSe`7F>bs=KJ*xe^wRb~I8q{^Z?M5l+uDL|<|IwFS zPT%jOSes98C+@U#;$qav-SJm<@;akOTNG#XdI5&*4)@i1UN=rdRk~RZ56jw;GjOeE zsVHRdasRz~HR?Hjn`)Vs=RnPrjb5L$Z~UGx6#sXA+op!{zp)Nk7=vMaf(tvbt>GRu z5`|f(7kh)g)CP%EGOa@@_oe2pV)ZT@@pU!~LMllFsqe<3Aj4c=23n4_5xGzb8_<`- zu9}+*`>3|U5(lvP{Ob^|*c=<9FH?yASSMxl2x8tZ>9b{RD)z2<@+~hK_0!TnS!qC^ zQw7Meve=0X?pqVFLI!G|tQhPXNqXX~*fM02MeZGDU*i5@@EWnCVxp0pY-1e9t+%#= zd*l;l0fWde;3q0`}t8GLWY?;d3fV?0*p>tE*RHneWo zzzx|jjc=pEe4W-IcU`CB*ykwP57ZJ4z)Y+~7C&+j*Pd6GJ&K*nK8^x;uLEHIjMFE; zN0^$twLO5g2?Q|UMY2ZNyuU@s=8Y*gX;?{~hf7G4jJN-DC(1{{?hdW92M%f|%&GpxO-pCllBnMM3JJKef& zh-C$Rw`4>uBP+ycYF5o7q)|hNGSKqf#B3AUSaz_m@RSH4qClD9XpHuR5~o@EnE7)> z-F?-O{UL@qrNtNp=287Li`Z@OD_=rL(+}ma8GUwpD&}F-c%|mv&S=@}`|sk`o|N{p zK^Oc!`@60!l)0yRb0mw4UpkU?c6%ckl}=J5@05VWUNS|_6w&TA)Jnm`Wl_Ouks}Lq zni}JK1B+^eAE%I%D$u`|jzT^j3esa-lLH1TvB1V|o+MR0K0M6eVh54_&#q z^Q=Xvk23XxzJ+Kx4>$>~+yCl8VN5|&?XDL-+|u9WV+3U(U%%U+rnAPhsN8?;SQ}j8 z7K3Kwj9S14v%s&^dujw!@m0MuI9#e~l@@Ki4)Jmp#;tDAJux)Ai8s69+2VP$@uj-R z=~_Li4xgBbYZJTVS;wm@$~+1zi%@vAjg@_rGHa5{=Puh}B6jzdNuZzPy52w?J}Tu3 z8d2QDDg-0Q>fu`JmU;Yc;du@Bh?^XtTOgSD^VYu%e3Fpcz2*oX0L)-hmTzkMR6{{2TeYPn+ei z4mr3xWaC^&G_B>O5WE9Fe=4ixAFU24me>oMW$g01b;vO_w9iH8nxu1f^wKBj;!?lX zvgXjdx~$8ouQTX-pNt-06$%Q6uJ*w&-Y#od*de?-`A}dX2*MUh;b^LbB^#`MCFXwr zO7{}>Y{`Fswsd9%J{_dAOT1!e+fmg<7Spq0#`7sJavp;k4QnN`4|_Vue2G$HxkuT%Jt4gXCxM|w%g86^1wOLvacm*$y!we0VG%2j@na#4+6 zC$m>Mjh^>Y`H<(*`?3=@m)_5QQMBbvxY{s5eK0j-ryg_OqY6oqQJ1Ct^x@(THEv2V zEH98qbhOP-%Rt9NW^chH%Sy$m-drzYhi?BK-jAP znA}nLs-$J-gpt4YO{Mx-e5R-027(rI76{-w}w|DPy_ z4HP6HaIUWe_i5wmd%5^G}!6kEp51zD%BTJ$T4^KXuHg0P3!sFLkbJUzEVq zvgu=Z+PtD$u>GOhC=wlHWvQf7Qu7{#Tnl3V9;L2o-ho z=DE}tw|7^tFPf!THLJ1NN?cX@WZj+;)!9iFLyBG|`^B-Av>6y)p>Lk0oBnHW^0tJN zBsnB4*Mt0?EfR~C&8Ke1H7(*P1%7FBbP`KwumuQvo5C3#!H<3&5j$JAn6X5gLZlnBa8Q_<}ASL9cZt4WSkNbQGLD9;F^y(Yn{w>vvZ3fEst5pC@-g?m_s!V*>x`yR*9-a~`vQmo5Fx+sZA|s>5d)*SngVSby$(55;NFfU>}^K>0{PBH@%7&uooJMk zPN~hRJTH*atI&bW@7|4BsBhtehJg?^&9j*NsB5g-C!_}*SmV@`LIu^qLV4_VJ`@kt zU(ox_p;zMGQM9r&7w>re#Or67TRZ-UA>ZS&he-s1>M=Vj-!(@RcSRwN)M+GRs@Y3Z z3bpU740WiwTxs{0bny_v^$HRl2&3_=@UH|!1hKd}Sf9=Z`emvpf;4)*)p4)r(0Fx2 zdsdGgBkr#d^vdgyVo4|Es%yxRYgUA?Lu&%IwL@jeAW8sC;jJjaFHwW7D8XtUboTF$ z5?nd94rveeJbFr)kn-mBp(@my9rjICB`?S3-nQQ@smwK$>YfuJjC$Fa79-_z==G;v z_>Ba_vKLCP%v9x*-kjmk7#yjr&S);g?`#kliU zhn>}}+Jb-Gh>k85B3yKtjyk~a=}b}qg`?>UZAO+g?&9a4CE&%t38z}QHxW2=Yc~2V z$mbhABpd?Jfyda|g%LkemyBys{}fxyh7S05NP518iofx&9 zMl3}VAiHAy=o{5#ubk1qua?WlKhh*``->g>Iq)Z(s9(I>WMtb&8m<4z?4^K5@EGH{ zuKb7J#MIl)Sj?<=t%P3PAyQGDDKLSYPUZNfZvzuBb8vjluO>4&AIJcdH@yTN&p2$A zF4cayG7m#PR-_$t-aUo+xkA7DlN^z$mSiXWyV(5|a@-6L!A%jnuJXbgE_YlSihd`o zW{va$3e$c|Vg43o-%^*kIrk2WU(GqLkwWr%{%Tm=! zH<8VsP^@8RL|5_D*;mzuPoOk5^9ZrwLgtr|W5S3&3(N+*6K2A=xwLrU>&?xy>sbT0 z1w4nA&<3|($TzWU$e)EvzJ8C#@C@QcxxoAVgYx;c(odZVH^Bw+RQM^doBUs|_-CJZ z;V<0XpFa&={0rBS)M>$SgK-Gv=4@e8k6;s1X2KbF8JDgznnP=CJ-yZud8=XwD z=|vB{xQTsSa_G-3)eSy0$Hm;MvPOk&p{xVY;V%+Pso!=x`f06cw_Fym4Ah~a{!#Q> zrfI2jix>L>uHxIX-^o6iAC+>(^JllRO1Qw(@A)tIfhpXa^Hx>~H@s)3PEV94h2%L? zljqH9=P`Fve62-UC*K3uxo2@QkEwV7O+!E||0#q4?_1dhF0w;BNFt%~G2jIxqEOdw04D36`s*??lNt=r@ z;ai80E%fm57sF#eq?4gy#;eO<(JbkYp%NhDi_;SQntRQJ@F(6Hd%WZVGf% zeuvuH0L7Z?ERWlfIba|a9Q^9BeD9MS@%=C?vPkc{DdF@|&+mAZ8g)3?AFSGq;0~6u zcSv}J(sbBb1AK&0<5^3zKK5>dHX#_$$G3kXVe!lJY?j$=&=8<{m|9b5%}C8Va(~UU zs(ZJ~!?UHnU^Lzx;s)RtoIGn6{t=6q-`LI-u;2<`1Eu(%1XDkgC0&UA@($Wok*j~i z`QZ&>+v-eWsfB(dHvPz7h|PW{KdMKM8=QsH%>b!f>N%}E2+fp7;y}D<-*PgqF1&mX+E3#;vP8HLKyKVP?IV2a{d@ZacyQfs@Vl3O?o@bi zAAn58L-Rrmf5ON3!CpA>5WF23WZ7dHT_;OB zvgdoDrLV`WmcJW$#ZdhKEEp&F*9-s8hjuSYMy+9#H0~g}A^@Dct$-+h6?Z?pl@5&c zrad6O-ZJ(lZS^T*hVeDG3>mRWHoxk%8pza?F3GzE4jP7q|BpawXrfO=)`yabbDi%c;~GxXo;3OMZnCZ)Hok{+Vov z5g$6|kid4CYy5P?yl%CX5DgWbDkW8fI8XM~(|K{MlN16sMMqVX83aHAsp?rOF)l1N z)d~N|EB{_oFW`zkKkyZe@`F#}0!+33EG=}Z8n8KNYv9LMQ?+rrkbRpSso4m>ZU)rH z#m9C^3BW4~m(?(Z06krQPH!J>d?%V7(T{ZF1Uv%Q;3J1$mb%U1vEFXwLbh@t|3HP! zI%E!{TeG6k;kiBo>ySYh==B9#6FPm@NAREW7O`lV@xbOAu-6}mVr--71{Qs@R-5>U zS6p#QCBLEdq=+H#K65-7DjNtP&V7|G>-7!x6$5FDv{Qe}T;pg^)MqmvV{CfCAr^ zGJ(J*$660;bq!Q+E2`j?Fy*>kS%*AypN>Ym11#OkxQFy})p(R|TWL61noXBykb&Ab zzLkdi>b(5fCoLfEse)s=;ENWcI3fq=dq5iUAA*h{`nh08kVib3`Au;Hz*v7@2E)`N zH|hnYH?eE*pw;+7l+RZTpA|BPEv!*s?sDUW{uO7+_+Sywvv_FV+ztRQZe{QAFHg{n*s~9D~YMQ>4Li@esbSs6nl|ma| zLe8GeXUEJVSLK?9g@#(NcLkf@7kvi>_;;ny0ClOih6hDGkKlsqdL62D#(8yZ(I)~G=oG#BsBI1FkmYd5 z%qn*)tcPnEu!x_4Q-MZHd!s?esk)60K_3vSgv%hv3Q1pwU^s3{c=#+*EGrcP)}_zT z62$V3_;tvPAiU_pv<>Da26P~J&jXNX-p?rhdv5W^0bSRrq9g>_D279B`jktoSI%v- z&Xm4Pc}?LDa|cT!oVH*8QX^(ySF!A=x2zt&9C7YllRan)*MZdTQlOC#_-4#YoY1u6-%Jj(Z{PO`7MQFn@i#C1+xPvMIH2z5 zu1(N4ukq%ammY&Zbs;~0i$*^})xKtgQn|5bH(EV!m`|mQ?FfE#qT15nmQEl|=uU+p zrke`$$y47V19R1u)r8EZi>!zmB(j~)VF z&uM^O@-PZOJ1n{}!+jus{|}S#nfFIxmOWEWethmJ$Qr^zMbgcA4utGk}z~5JN$0eCSc|%bef~qZP{7+qjnmb!i_r)~Occ$R;7m@)} z%Qxa?3tlZ~B}O$v>#3 zOf&j{#M1J#l%!H3onh9PvfSB&moCrEGtx^Wv`oGjq|T62rMV`c6Jn<1Fw&$gx3rQ( z^Yo$oVOjg==_mlRnH%Z>);XsjFfRDz@s|k=O-|vT`r#Skt>0}nfM<5t5Pz4H9$6B8 zyudkmIlOivaAd~$Xvf6b-Uu7>$f7Tu{Vyhm`6K%xjW`P1v3h9zr*zcP#~6WjY;)_7 zcYy2R572XB;6FrH_Cv(i{~iGId~>FwM!m$1iw!zra`kUN(qB)AIa0FwCPY#4W<2jx zmszbBnjHdN@Upk}A3wnuA~>PKRl8<7C{N3}9NO=BTQr%vXTr?PQ&UWGxxTeq-#r9% z+tI4*i66q%zrg7k*(mpQo9)XfU88NyeZ>q_8v9JV^3^VL<$sjZHsB6@-{1G_b>da) z?nZ_pSIwAwMTxj9r}7|uk+$=5ku(13&cw=r>e>xX)E^h;q{+*?9I|6`t_Q}9G;k|@ zP~P(_l@k)V`+ayU-#v$Hp^$pcx~aa#cE+nwO(kWluxoyuq9gS;1rna!-o111kE$f> zeE9$6?f>iDWwyX#zk|hk3(21(yXjwc%Bs7>^D@fqU3u{~!GZT@Fta}bn0D@)v)0kTV03TR>_*f zAX1l!WnXJmnDpG|iFGIGl011$tKK+#9F@4#NpkVQ+Dq!1)sDKJy-{S1Q1!<7bnSLp z|F%I%MdRGs@H^TPj1SlhlK7>xg8Z30GqM>DbF?fBT+T{fha^wB?v7h^9~aBXeOTNq zb-2YK$2T(~iM#ES#4y|L+5D6s0k6jpO=-Fva&k^cregBNWXFW|(M(~E>LagBNJLC? zLac6A95lLjqVCO+-BKo{($&{#&c11QivdKx#jN#57NFzqGK$lax1{{+k4$ieFQle! zzmXM2U3Br(oJ_)s(Pf6G-PUB%gBd8%UJ4Q?jb8GCkmSHDfm#b|2U2of*#boQ-AgiJ z3R(v^6c0)V@@LOI=JC?ci8BW&TYqzxK$yAyZWNTX~9FWmMMd|m&Ezxki$MSNo^3VJkp z_3R-f`_vu6#>8kyaWL5_qU@p`<>$Djj6}Bt)}9L{f%z#e2gy-sA5h*RkRUbPU=cU> zp2Jrh`~^e4JoVpp>*{sIac+t-DW>Tb|9RHS2}rGv63&*|=|RfvIg_FTsze%v#3u=M znkpw+?n~~YAk&h!J#th>SBXPm@EY4Tj`yOH1Vb#@l3rKWAr>lVBK2DFmu*Mu#} z>vXov+`Cs8nx1eo-MY_WG566WL+1v&7mfOPZ0z1P#!PM_@x%M?x84@>=YB9F@Aq1Q zohQ$dn$guV0-5%5>PQ5uVaoX&WD)9d?Foe%B8BlOcwbQ;CP#QyXh2G`&JETV@b1f~ zOERK0c>$VH!DN>(SCo{X@*eeUYI{%(0pa$3Wd`AV>14fqHkKQy<}`Oj^?C2A zhlm<|m>LxsRskdW8?Lg?L3F;mizU6mBxAOx`N)L>StccB>}MeApLg%Lq5rkmJO0_~ zBY*@8^18l+*$!x9te9&Mt_}xfZ0(ryw{?+FQ4R*TJ3eP+yX`DVYycVMl7wMSBmr`Q zEdAAE;e-#s%ogo_JFxxXB!o(;*gTLzt$Ps`a(+6i2u3>A1$}TPYOh~^xXBmhf=q~_ zCS)CQ*7-gClBCqIHBvb(ykbE(%0@&-nVL0?@&2~cwWYatZf|%5h$Sqds*dSP%&?*=K^n_M!WbUc&FXS zro*5|;xV1c&LiuP(`pN&VyHYcG_?>8dUnI~JqqU5im`W!x}D!I)A#U7X{w>~wB{Nx zkE6#L9abDYH@QjVFxq`?d1z&IZDA_9iy3QsA7Ra=`1<>(J6YtZlFNbGQD6 zm&HpQx#@dx+Wa0d0WC~oNi}5WNIAg z#m%R$%bj@tf(vU4=ys?++#_cyXC*C8uxmfVoh)*)JtwG*jcuNI+k{1VK4)7qiaMFc z?5}-ly7Xy}8e?qeEyny^6Sg++SO?&tEijse8)n3L-mrad6W0 zUP!p6@w<#GK^3BgO2)z0uY(-i%HgB%Rt_~ZQ%FuPsiKY7Kt45{?n`TVx&CSQfUI?h zR!C7P9GinSd^SVOVNfV|oK7Hj3PJp6I&Z6FsOKrW&~K_&#q1u%=^ zSXb>OrL01RmU+6@OpxN0bj6@NOD5yM2}4m1{*htshE=TQmt`#no$Z(I3RM>5`y@34 z*Fc8(S9YoRml4`dhZSi#yw1v;vKwqa5OVxW+w+bXRx;MUpq2~=hTQ>imiiR)r0$j~ z>5W$PjY@Aii`Y(7T4#$$uFg%GFWq2OnNDB4JCO3yzwN}VX+N>~iiU5ueZ|=LQ7)TZ zwd7eh?G>#}6k_&@@UiY7Ji4DL`uYxtUHCwBaVmv!d~w3Ea`Bi{tOIlGYZ3#men*2G z!nLGqDp%W%n+k3RdK3!}7Mr>8ykLJ*ZxU9qmvVw{uvD1IU-g*eU5}|F^u0E_oh(b* zG9MKeJRD5SFD#Mxp=?R9eDp&`dgWtYDb7=i4P{(@EN8TYw{PR{ zT_B!8JFUGxVFA5|As>>W`dk3a2yF_)8Y{8^aoS@XMuB3iabth(3R1?IQ23%eBHrUM z8YzR-M(_*Pb}O-j2Xt9Da#{K0637%^l>(JJ0dQ3`|JoZAY}!V2I@D_lWJp^-u`F+SAD$?4AKq+4a zmoau-bsP!koR`F!ZP+vKrd=5b=XpBU-bv?!FNYRSVak{0ry8Jn6vgWhqPb`iGd++6 zYGN|Y zC!{NCeIo;%U~=jrkxBW16Z_{H8R%FAFnf>yYwWZuoB1I-iugT zftLngZTrcbj7A;U0B1bs0~2={k`6Co>_)CqZKS+!l4`&*(x+B;YOO<%pw55+cTb3X z7aaQ{0R4e|13EZHKh930Ah4^juOp9h4;gmYP6m$05p!niIsd!g=M^)L-P6fP--YEX z)0y`TZj-8U@r^J0lw~Vj@=ZP0F_dLMpbMx!{+Q0Mmd~0E&ZOQSxP2;!?6{M?f%N;Z z>{XY=3BONGC6yaVm%n3`em@kj`NDo<^VNImIktU)y-gUkx&CYYj*=_9hh;Wln^13d zJAFt0UEW;Dt+HS(Ks8v~??wB*qyO%0K77M2SjG=_7jVedFk#sOTf>BFO>NDlEuq_5 zo3NJittA}Wo%{b)(>;YzxY5=4bWwetu0I5u;l0D69o@qMI4hYhi#}DY?P(538F5jK z>EWaJAeA&odeL?J&dCU=ldk+-{A0Jp0x4o7g5K{{d}`>&tSrF%{KVzR`S*SEBc;^U z`N}=Dovf+M_( zr|xBt)mbM(k*j?p6TX)fW+;53XC(oqQO5{#VN~jP*4>7l$ygh*w;eQ|L)lNf&9zxM zx1(Y{dpHXXAZcO!E)kgQGF0Mofi9bLwAeUF2J`WHR7ubgOUFGy8rO{j<9$&vQ3dWQ zXWRvf(_$o|_I;*3Z}NC#<|c8fQgb$F`E9xm$%L*RY2KaVU_%rlp>gbvz;o{t+}V>W z*9rHC)Tl`wx_v#^hp1lTKt|Yyr7V5Ztm(zu4U~cRPG8_0384oO@-JeGfH{Q~mrRC)xZNBFbU2JB?U5;y&S?qUSPOMbyCwxzoE}@v9-)4SeiQ&ki&upg* ziR*m^V{X&`q89uEGUDlAoh`qgK96DNj=58H%k$YN<8c)}rfkw!{s$24c8a*Zz=x2H0W^i@!m zWn6f$_n5QmwUN5oE+&Wf5f*)K3;Yn{PYD6_2|(!V0A8XO6ld%P+Iwy8HJrG_t$;xZ{DqsV<8UGJ1OEz(nGd zDZNL!Z9P_3wSVv^dS$&*PZG&P_i8u_bJ%Wc*RHe@*n4Pl_xz($B3A3y{QlQ=3Rdfv zvFF1aIUdB1k~=fM3@$%;N~%Og?21aQ=N#*F1ZG5XXGKD~*zyrUZOi*ZnbXbQWOYZK z`u#u92Hh&(e=AUWN*mg~q(4^!UF!$#`8AfqGi<9;u|`+J3m?YHOQyell5yyPH~lV% z&vx&ME;YL{C5L#wU|lKA$#i!);;c)d#;x&7vqwxW>%1{6`9!1uF_`2&X_fTs^AtR5 z5-}7BTdDvqc=-&}cR`n{v~!$iyg#aUl-oqpb4O+5HU&|pzUD-8hJ2TN>Z4gv&6dum z1=@A2<_=yX_|R4r&-F=qyDz0?7cZFlR-BlkX2j}Ib;oO;5wHgmHdX^G%~34dwTlJUVCVQwPCv{4SKC z^2pM-{hLe|`?D%`!fn^vFDeFZS3&S|FwLG|%$=zfw_^Ol_--ufcurO@=~MCIb240y zf<@V$gf#l@k=EHGZ&8M+ZbS^LO!Hv~h1VgBuU4ZUEcmf$%Q!wOTFT;@l_!6_v~O2h zN=GPvy8uz;O``e&kIU~RWxeVO8Y&|#n!EDY=4wL!Rg1~za=tyz9LR^tbD~_0iS(|?+I5(F zqA|+>r&}ibGwUT}B&+J;7NvKk?Avepxa>MtNf%4hl@%eh*7lAn*1HN#(ng^oUm71` zPS8HHuNG^#_%6J*I8=+RUb~7;`$Srpe#g;@oiDpH`CC0TGFv2_ThrWxh=?iQi! z7rJK`Risq-Gl<@q8D%*Q-gh3PaUYbUsOj)a`oJk+P#@829qk@7TH7;RT|JQeu%kWo zDWNxwfeqK&y(dTybM-JX6YRff`I#p%&!h*DhAbFq${>vh&9bx)?&&jPNF!$LAKm3^ z%G&n0iy`OAkuHuF5>B>=`?K(wPqmpdZelzi?*^qZ&bd0?W-Ev)Q3jR`fAM}cV*}cg zqW#mhmS<36VJB(PAlC zll7{T)bjo$)#134u|b|>Jp`lTdn{iuMD{`1+*~O~rY2AY`0$J*DHg3dj}u(UOuvTZqB9!MK0Ag`KZk(9F1eJR)m$UWf2pq)~rBfT5%$8WHxQx3KMa-Hl`K8;9stSo>N7 z)ZjJ)!`VdfB4rg&mJ%1&H8`K_$6u!^?jLsJjtT9rGnHJ`HXv9^^8WnNB1Z3l|MexA z+=Bezo_y&fnF4Q088(Zc+jbdpH9M>}M9ok%YdXE2l`V?3`N^frA#uL9B`GFH+5+w* zFGz9}pN?7`*SsW`NVEUc`M~FnPs^{jLR?%39!5RbkS_AwtQgw60YHlP!ImbGK&4+u zq9AA+v3oLKt)c!dB+NXxqnMF0&^Cy!Z$I?ChIXc3x~^ByO$nF_e*n!`F*B_GoPYGV zD@FO4Zx3C>Yz)d?Imk46xK!d?Dw#bXY*Q73r;Wo7oY>@;_L^C{Y^x?6VewK7-Hd8J ze*>CbWCT<82IaNMA)Ae7ziezkLDA)F^igcOOl|&AU;G+N@hr`^hiDO*ISASp6?Ud% z`9(%SUz8^4$?1rRZ=bk=8WRg)77@K6cC?Y!<<-*(_-xLhP3~>8`&GAyB7f5`AJ$0r zS%P}U8b|vH29;u%FqD@FUQ7GDr=a@zDf$OjgU{{W|0VrX1yUDXq>;C86y>1ZgUzGSQN3 zphf5?iCo*YU4%`N;=8hpSjHSh)*+!FQ8HYbbaK4SNliuFoi@(e2GMMBObeit6s#jC zvphsf-N!yZr!X6Lc3}UMVn<{pM}EVKWlm#e$J2h1BIN|xdn*?T^LTFt05Z~ z$M5H=O$VSK)Z6<2Mr9Ei2->Q*S;xk~&2zQY;N$~ulS}s1ci0sQvS;mJ^qFah)tfDN zm3F@Acn&;Hg+3?Vj!w(Mh^{3g%|CkPD0txw(90{kc5HIqTqVm#BzP+`{ zS-4F^sy$BBWi!H%@W5tWTVGP9}T>S zG;SSo-DYi)0>iHx5HcYT{t1w>`h%*OeJYrKh932 zhdLQ`_lua05*$z3YoMN&&}~;sE_(DWc%1LvEf=$XN%$Q;n*>?I7}c!o9Q6lp*>d=0 zd1W}NpDQ2uaKwf1R$Et0Z{>v8thn6g{_N~aq0W7obAzqERWyecF6}D{mZ714Pv*RT z$@3&1&NyrokNz=6FC#v-*mfXKE6UDO;LLh{>kuDi*bJ>+jK2dN&!i zWNKQTQ#93oYf5Eq|J%dBaK?J|@;K9ic6WTQD_W*_sZS|QAucmLC}qI)9etKTEj?w( zNu9fX<(vkkqC?*7GbMGEPIbd!0$S}PMKx5Hb6$`?tumY~3cj{!`cH8_It+~yMZU9CNl_+A)<-!b-Uhx;KpmYaCe=nIVF%AD#!{ z%xoz3q(5J{za?egsmA2)Os7;s?GQNcRk~o2r6V`HO~qgI`R*J0sMK|!@kKtS`k{j7 znw-3^G+hA)hlVDj9U?4b|EVto+K~p4!KCF!d=S{D#g(P2%bF?H_6OK!KpnYxw#GJ@ z{W_=n%nS+k8xh`mKg@0PY4LgH?eabZwrJr@o8MLd9eiQTiC?xKVXp~uPxwO5pWm+Y zk!768cjAsIZT*T~qqAP4-O;!FUYjg(&o)^Mzx=u^b!JhE>50hE@6%&=a=NTBfJ^ngO{&uY|0>)#iO@pbQ%6&VRwF#;xl?u{q0vI!^q z^Xmk!UoW0~yGr5mDO?Ei`2cN`hue{RgAm&8hCD(JQs%Rku~`B7%^{!mZ6DnQ*>xUX z3tfWqS)>b+Uo~Rc-*gvfjB;CmIs{h8g|$=dDKb-5d>Ak0tLiuQir#u=X+$?e^qRi` z!yyASNHNeLn;pw_Ih04r^!~F@ny-`R#b1=)fikt#%NwT3W(Rd8X>`5(4a^u?OC_X} zHY^+OK%gv-0%iGZvx6y7KDo0UGn*XKP?Ozv+2}C?Q6_)D9t&V)^&0=85+#93 z-0UEC{EG)~(yGV_wdu<43ta|k_ZZX0*l!p^n;oQWsFQ8IG)QzS+1IFq;Z2#^@{`>_ zO;Z3hz1gvmVW2zh2v0PZ^7oQo+EB*#m|-LLHte!Zj@i#|4gA)?2marm@z*ur9~)4J_Q5hySy9Lqp3Y%K$Qj=16juzT zIbztP1vZ6(qpVY&DxM1y)O-19mr|8KjN;fK#q@UN$G2$}!ZF5s0*=YOKXAQxcMRuR zhF}+ia5#BkWQ*O%3&!YlzSgJ4?cSs>CPNtaGZ)+vnFr=^5sxsMEO!V*VSrQV2jbv6 z(~%&y6ul8!64p3JdG=L$v^J{3>(GZzzJsRIAKz)p*f4i?aF7gT`VEOJnCQr{Bvar z9Jvf&UY&N~f!a<~HJuZ}qgr=nzHqUppQXE9^x&WZ%?@AVD?;?5BDIpEowZ)iLowQ8 zl5&ehvx-_Wk~zMS#}2-|To-0O`+1h6yt@%T{Q#KrGQ2sU%%cxD0`_p+hipJRrnpy3 zakYiiJY_UcyT;ha`Yvb~q1yifxfvXN9ZkN%UZ)Dv9IXWfyKv^Ul^Q6H&$>U>g-A>ppz{fz1~1m4=un28Gw za;AkMXKImsiZfA#=k&po=A zYBYQdEwv>NPx6_BP^-VYD6pN7o0IoOLjO=y2N^-lRs6B372G>6UN`_b>05}jBvtH2Y2OvX5*Z`lVf=$1QIaC)JPnVRZ zyGq{_H~KQ-hJK=I%>+>*?Z?i^s34i`K?%Ais!H+>^uHlqR|u50p{3y1+W9&$~?s?!3biR1iF0T!mH8B z@RPBMXZ>E7#Ci1yW*p@yACwI|{r}i|>!>)hW^c4{cXw+fI0SbI7J?+WyQgt!BoH9D zdvJogySqEV-Gf_jnXl*Eb4K2G=A5(cy7!N7t@)!D>uGvFTdL|;wX16Ha?yHssP;CZ z`abnL9MF)R&}4wFbYT%}qTpu<0@iAt(p=_gG_8@j31Yf&OmK?sLkI z0DU$gqVj<74EVYJ1RM3lM0eIyl<*w1X-CcQvd(H`Y@GCH?Zd+^2B)sX>sSOZ6YuKW z_Jt%!+Dv;7k#*d}d(te{KG&WJ%F?j4I2?@2P4JH^@V;Puf_eGuVfO+p^8(o{|7lX` zodXvL3*{8D@+dh@e6vOl#Clu>@M5Q~r~H6dG*R);@bES{e{#K#2$RUqL*U21zE^8v zQQ?ZeqvZwZ{eEb*LDUn>cb=*G){YlkjX;QBhXxXaU0>+9Tc!Ay7aj65_y|6BY$S|{ ztpBeY{n+{TbO!MY`H{|?Kp?VHSQ12HGXh}^DX`s(pFqd+bJ+ib+Q>{jK0mP_b&Af8 z4W4Wx-%^afoZV6V)7Aec3s_Zu?!~@h4to1?IAu$IC`c48fg6+7?yai+@ijKgYxE!@ zz!(2+B=iKQy!wj5u>u9#xv8Z=BWhS7Kse2sJs(MO<53Dk6a5cV3qWQ%f$$ZglTriZ z$ADwjRuYg)k-W3rnQ^FRaCu&Bct1_7=FN$*tKE-Kju!G+SaO)!KppiYmG9-)L+@F# z6SkL;nPZx>mOjUPjW-K1em&u_+wnhjO3Y;SYp41?Bfm13V5%ruUijgpHSf#Y)gkBl z7vnE*J*ScZaB)tFJve^w=5S8eR5{Ni@&(}iwz^W?MPo}S+EC8wcQhi8^;uO?J8x1m06 zc-d~Z(M8RO(3lTq&zz6Ua}Jal;36xeM>f=m`D1P#M^Dn4RsG!%btRtxl@_O|E98{Y zhBHh)|JlFw<o0FcIura!NrOQwA4ptwUQ1m%F-eV!O9&>(sA zG8zyKUgdMqEV}vT&T}Z%px#Dbo2;dEu-znl!}~1dKcOOs>+!#a2?_RNh~v0RA8Dgw zwnXtzM4Je`oUJ88R+~obQeE7oEc*04AeOWV79AmJ2GSmKgc^c1e|`au81~!zE3ICd z+lalM0EjDU_j-)_32ss^>%CLiStcCr5W2$z{jC=|!%ohhQ3nzt{twjo%fY(eA!LQL z=D3t0AnFaIVhnO*__&?P&{FLCxIJO+W!gc2_n^gcS>NDq2J3!@l!ZjA;$FIi_1J%9 z)Sb3XbosCs`BwA~5H{lqf!IE8=pU?;3{7*l6MmtlL45mhkL?J!>v!)($S+NkPEzI* zgKW<@*}iV`QMCL^`~QIG!6%r>H*4>k=ls90ZjS}+5Kf4;+~)gq2TuwmMC;j@3dV~8 zgIL93e0P8TTk|=B;Gaj!$z`_8R9B;Ke+(k~U*S?d^}^f=d(M-4Lt8@2sjk`Zeeu3% zU9d0U>-TDJ$~E}hj8cot>e6<#k)2F6&cE|==G*t?$7GtW?tgI8QiMmXHDgR@^Ob;S z$dj6L(klm$6SeMVGNLR-jv34K%NM+=Z;J|Mxl(Au0r541!|1N3t{*LyU7032{+ez6 zk`nKg(1N}+wdL52`E>D=MU<$Mrp3IyojDc!MBcpz5*i|FEzfta9RA|}IG1IWa%pv7 zK^A`)qaYM|u0Mk001W>Hz>bxXJfN}`W`}-I@8Qy_{=lXG77CWp2z8VInV+A&0eGd3 z9$*YiP>cfw%bbx6zI!#!k*Pa7Q+UwQyOV}Ho1FGQA8Z~1+Np8E%6O%<+ni_)MW*Td zb>Q}sjlm=9*~=$$h&Y&M=^>9T!4Y$j>~~4=9Hco7{UlZKsVWsprhOS|xSTv$y>Y&e zeC<2HbvT!xp4N<<&NrhTV)1+XsNVw{ZoDUI`Ne3|;$i0^kSePhXzdfG%{Y`2ee>LcHdCe;=sAOMfLqKpyGVM2lv0zWt6A@J#M`}aRcw<7 zgfhkZ02rJ1!1mCKV{@xbY1x$=uWwZ?Fa?4#+zAfnM~Y3TwA1C??dM0NHPnM-VbNWh z@FdB>2$ZJVcm>Z9Mms}nHwqoUN_tJfs6!e$e?dC@sKPE8Ibai|@e=1(p-x9cEovt_ zxFx_I<4JJt|8P9CH@53{s5YE`Qmn}_1Tamz-YZ?d;}n)YVJ)a>kKDu=!CqG4ZsFXg z((E5;gh_)*Gkr*@c@8t#DfO569OM`b*K9UOX)g@v3%OU0F`aH1Gir@D`YR-&EYg&P z+HmJ%1&kA1IE2eHUVK#Ryd1LM8Mt~mV}`otn9dPJ=em6CsHsC&F%7#ZiKr>ps$y6V z;nb?>;2#>d(fePMOZr@uUWMRdVXcdAxlw0~OZHKkz{|4B004Cb(+QJRD7vYy<*tBP z`5o4*H@L*b9+nxYD-7eYJl87~40PVAQ}j2dyNrwi*axh*BVD3eWR55Q#SF7hhhE66 z<}qbZQ`9CS`Me^kMmXI=Vjbw_$Sr_r^@?)Bas?fqLBN#Fv4G36Ngn~+#&n}k+orMB8+x+_#-1+}Y@xkLp!|AP7ERaiFTEc8JZJIGl54najdxvTg?#&eo7Ow#1>8W>> z(>De3m77MsqS088`;g=CD$rOQfpR+oPW!L8dS?9JX8D_zah_G=^F~E*m$FU9t zttqh(EJQ)D%>1e#4#tFjs8h?S9`G#u3i)4t)mhhL0QJW6FT75ABM$j*&)fkG>Gh3l z6haO&74QA*h2d%1&vl98BUt9rUH0lH)_N~{k7`_}OTXZW3ZnXP68gtz5J=Rx`btN@ z-=Du%Xtp4|`~*>M$2J&raz53dr&>X`Pw65M^T1&YK$p2$KYg4y-QNw~lo-V=sT$!h zc63yfqbu|I4UoRmi`U?|%gB7@lQRwZ}Y7^0Fdagd$DE#|qxzG=0H1r_NNDcQ9~@62VN|Xw2l=D{0GDly4TlB3l9`;JKlGJ;7ZL{zD45F)0&87 zW$H7&75lGJ4*!4th3w1!8wcsSMRN965TX;Vk2{PH;2Mu&Y3Jow^mbFUyGqD)hAk+c z{r(Yp`8jL(^a_?piPv^LRgl+te=aj!{(LNzY4 zy@@(A^r<1(&L#KtRQo*rEyVxYf%Jm=sa)x_2SO{HC#I3jc`tGI+(X`x(jjcCITR-- zd9Z9Nw4C4Iz2s%HbVR`NJf*KfW?xFYM_>`=JZvDZ;ohuK3JIduPl?l(H&uhdn$eGw z8};_cK^C3}*UvusK?UiuC;^|_DwE@z`;DUhjf|WUL938^t|A6*6kA@F2xj{dE)5fO7VD}x%vAqva-W` zMgQ{m1kgUewWhF%d#r>wF}^?~z%P^)7UD(v{R@%bmJ$yRR~PB!x5q!MEF&^QBhK@0 z3(fGTo4FeF@N4{b~8brivf-i$tLi~^_4-^X>H9mDZ!)>f z+iKZ+19oC%bJV0@fU(j5n6&wHwkOK<^Ta!g7c7c9nfB%9Z*-9Rc_wH^FJA^6(vJ6S zT^}v*JhwbY=!1@bPL+3MEn6okSh#r#Sq@g#wT`NuQKI#!nqs zXMd*NC-By~R!cI$!J;kDhU$HL z=LuE?xcfMNcDED$LmNfGkYi8Ry5hxyIH{Y()(TGG@?~5a^?ueZ9wc&#q!Gy`FWR_-dSblEA@HqjJYCxFcIB^a4IP_x z9+We8(OBc#g{}hLEUY*T=GZs=%PS#;U!3jkpCFfEE4|=-D`E4k{q$O;z41IEKIWi- z>Ej4~GL%(XG4E+tzZk~2!>!KDS8h2kqWsl&MH`xIAePeYw&wQw%32O_l+&K*@$v=4 z-Y@EWq^1`NQor--WO&Jei4ue5q?AS;RECM^irK$TaqwvRyVA zLbi*PhV0vco!=astQ)3pS$FjxY)3L-oUSKkh5aV zxj|8)GIx;(`@CjB_eS@)lVzx1L3{e!oll{79&LZzW{HBlN^RsJ3;$NbRcQ6;z3S&t zcnnHcE(Se49}W0pGYHXDa(pKCUnG3aA*alKUrLZ9=zM%jaFNo#+c7`mHFz+wSI1H2 z4Dm6|f1V7D!s5=9x;naNQ#i#RuLe}V&_sDc{rbrQi2iygCjoBM;15)XM3{f;qP(h= zeq*OmlR|8A&RjaigvUORF&DbigpY_wWUDYg^L$T1ngsFqiS;(Fmo9YCxPeoShzPwT zJfV}GCj9-d-!UXr`;Ti6sRi}>QvIkMqv#}FElncEPud*&!0}_ePyAYBatdiZPFkcJh>)spn!nsJZ%P)?nG#DM=C0>h>kESPZe0hzh!#;mcZuOs! zQ9&v{?5zdb<2KVtDx4m|%}a5h9#GJOY zNj+8y3z5s+~7*3 zi7R7XT5T5zz=Ra$iZk+cif*AB#5?e}L@2`*MMqemYOg%6OSpdG7(q+Ro*%W}j%a+` z<_DCE58%0iNH(9_T2)r8+DSr*a)@-(RT34LGOR$Gk+eC3R++XUJEd(5 zg>z!OLHgOLk<`QrHYOTr*l(BZZv?I^gyzlwKOO%YBUp;L0JhJudqIX0t@gw=2-V(U zJygMCqH~QrN-^=O`{85dApw&eX{FUUXZ|irTgbp502q^*NBV8l^bZpJCpuWVXQFL? zcd^k~rI4(OlCwK`vjFWfVV{AiYx0t-rItSZw{_MNhHRO#&MFD710E zpz^p}=@8kt6{*_2$M(0}B#xeRez~oY`qpot2m6rcP}_zpaAk~;aL5#f(orCCtGHVP ze??PwT}+6ICGXpN2m4Gjj&99O$;wSfFwv0=BiUlye?#9%$-F0_#*8^cW+4vdhG1ZQ z1XTlzK(wqWz^gOBBxZr)Z4uB1o#jc=Gkf(QgRH)_x?ZO!(bLnp*TR85!&|w@SPa0K z@slr?DcRufNvtQ5xj6xIIEUn!XSqhvUK=OK%2H++%hT1>!$7&=CyKnEsdA^?oTgmX z@rAtaTf$BUn$7N;A5*?WeEmwv8Ce&QsIJ;E!Ss&kf71udfdK~1EX&U!W+Bdo+gE$y zmXpgNX8MY|@63V_q6LX3zIqA2tkzAJI%lG;t+b6Sugajh9^XJ_IphL}88tQB?#O4@ z6?YQ-qY9w-Lx)V+sWK36dJb!aLjl;Dg(E@F&eU(!h;d=bEv5m120>^qErsH9UY*U? z+75IfBVXXq}= zsqpJ}2Zjq=r{Wq|8i44kk+UM{d0xVEtgI|Q!!S_S6|7xXWNgq{%aDc$4YLw<$lC)W zRS6)u0KXd?e^fn1wTrD-#dlkf5`i_7UUX7nUn!i#Z<6T{iTuQ9_?4018lZ_ZLEGG~ z3;ZX-DJM@_>A$T2ON(v_Pd2GE%ess{r>ia}2wj`Zkfx>3uaML|h5Gzqeg4%Z;D*|G z=2iR7Y%7ES^@yt6n9lVm5m!60+eeJH-0*tW+f0oR7|s6fd>PE4Au#X%a~1aUZeW3( z8Tn)$ykmKn?-c=@9AF0<9g1K1badixrc@rJG%Z45JzcAe*)*e%)ang@!DV?P_ni}UJ~Ch7BChBe6PgRw1g;`nUKU_v zml{3+ZO9M6@=yiUA0kxx1+1C*n&7MM%*7Oi2w%@8x4K#=qAChzU<{%PK6J&bd*f4A0lpm$sbB1&D_*Q9%}yu z5Sy^ccANhTV4A1o;TJ$y9U0X%gGu0QetmODHaf{(-Q~M#j{U@572ils0-7=;1Er%% zo0*40ji@exv9-*cE}s&X@cJuV{k5@zVnI14Ix%Fri1;imQnMr>wz(P>5dwp<_{ueF zv)uZc!0E1l(fn7jL}m;P>=8xO)m+w{{!d^Ue;tpC&^qwXmU}K8Po1zKZW^<^0cxH_ z2}(|a9Sbo1sav9?1-N&o{tJVr}#Eya=BNcPFUFGBs*$^GF`So zdD`=8yZ3tDuuDrmI!AX&+;%XB%@aY_>6cnshqp7yWuuNlG1BB~e7O;+*0BXPP7RL{ z;o)jwKFCJ|WT+UtI>EQ%7PLFofC56_I9Fo!cC}jX6Q&d2*4Qws*T|?1qPDj3d3f`o{kUawCp!2G|m#v zx9t*7oupTjnMrm%&EypjLYYO#YObV$ZQsmekFku6O3O*gkUGioa>8wf8KxKYnNyG$ zSbL<81%^4~FZWjfhl=#1Cs5UkP_YTj&;vqVM{OwsfIqv|rnvXo!<0gDgH(C#N9b=d z5>rcqWsu=d#_CetP!b=@hWfZY*^Y7`ck*B1XU<hlk zcGgdOkSCXwS@e?ARL<2kmc~vWE{)GEX;MXYThXa-1rRNpei_81Y3~yo>x9LM)5oA7 z0s5cZCN3?%)xvos9_=4}`N;9bEY{fA#j0|!_aU~CQPqQX_s&P>MKJzp)c5?hR|AK8 zyf`uGD$I!F{^9xH@m)4J54#9aWaOnRSTp{!Yov^f{P~$)<5%6o4vRVR)8*sa=wARJ z-GdD%=19)DDaMJIR|w8lgA*6TN3|Hl+DErr)55&U)iK$zZ+IQD(2b*I7$eG8a-z|} z{KJW)-<`m>ZyVgzEm>!?BX?H_=lx+g6CT&yTN})-k>v((XJ^ayAJ!ip1KJ=r1uGq$ z0q1MWjIK*q6y9vP2VWg!@rSfz3yn^@;RVRke*( znEJYsPQZ0b2TMw{9U*YI|C7UDKs=!HX0q}kFs~4NIyWqs&8)vY4322dcYUrUKlbRN z5NujbW0frrG$2~)E7n^~7gXk0((AEcnUw9-|CrBS%=sAgFe!)P>%R`jZJ+RgL{fQ> zmTfcVf2g0k1yyjVcnUv%QP!Ivwc0~-JyX7tuVF zwDUgvUAini0$KHmNq@bzen9?_$+#!U;F5ijU9(KMPU`}o`h-tO`e*03*z=j^%)^r? z;O*KOB&9StWK${V_JJ-BZ#?Tp1@Smzg>Zxeb#iGSCV|u(;R*S16LM<^jP;F2xql%+ zB}UGY&LSbc%%WpxfN4zR8W{?2B0HEZR%jwFZ~Eyix18O{vO?QTdFi&?JB<=@Jqp(W z60$(_{*CA!QeV|?7g9Z7r=I!CUgCYV&$5#}_>!WF8G6N9{c9S2ZXOQlT}|As4?5S7 z)2K)WiV*Lr=mvVrOx+JJBbQJ4OIGvvymOU0!}A0csFlZ7NQ{1T7`iQ%}md05zIc_BZ-E>Ey4 zWKAGzkHE#Re9n+Dawpd9V-gBhh6PoIGqCasdiAtlfj^udO?Xu6Af~E&9@`4mb0@__ zW_r4bD&B8ZvUoE@2-CM+Fl7a7`m&e=4?yb*$~mGI&Ikm^$Vz@b3pa$8BdI-oVF7#0 z$j)%f!KAXtcrQw=W?c@843NC*9ID-aR*n;{iAkJsizFjc(@>7}eK zKL5$inpzGNlBUu~Vi(PxuypG>4&=YHtY&qsI%u304YBtrP&kU!%;v17qaU^UQNIyT z@VH*7J5K})h?H!i$@HpcYt+wpP1N?`#wZ@Xmy9N+H#JuE<7qnb_m3uyyKDE!ei9YD zfp7Ne7#*D#qOCY=XOZu5AWeL4prhM4QLHOX70Cmk%y8H)Kad~&SZNf2kg&jIL)djo z`ooe|PFeUo@04MG``xFQ;yQi z5ruu_N2)|ryK8d9Y}xeLrNItwd3)yk(ZMA*mmPvN2RP-Eo4O8e%86~*au?0pO0H~J zXroISxC~(iU8)@tM}F-JgIUQ(s@R1xq4$}HlU9e zO13oSv0CX zsvA~FTwJUy{n7lv8nvE^TzgYs{~<~X0l1(tbL7e-MwR`8+AwL!D_9=(o|tjI6N)YM z?8DoK{-7e1_qj{@@1lWlApiT8y@}M=FO1PyH5;EMog_dF&vwr~vZ57@{yOIf!Q7rB zMJ^<}moiHi&fF-;BT_(4>EL=JSXot0{|4qxaz{n0o*bJs?$#vrPb^$B#y2H!9ZP2) z@wZ3hW;>&MaHlpD5vhn2d3JWT%OO`~fqhgie9w1Fm&;ohDP^uUsCL4!k9I>)OCrjy zxU388V0WGHYql`37HqUv)a9&PKo&M2X3PO2uDDkz65%J+5~he)>&98;8_KOpIDtt=&n9(}w0 z8C>@)r$)7)21kJ{9jhg^58n_?KP|9CYY_D~7f*uk7J(FYt@U$D9|kiQ=FE94u8hKI znB#D8N)!U6>Axp*snleFr{@hi@M8{|1L7laW2ssq08Y4m{Hh?=T}Ylo*SKosUPf7d zM|nr!&e~8ynGlq0Ie0qya70a%VgQ+k7%9MGyvyz|8L+v7RP zn6IB>+y-*Y{0mNRy@yDineme9fL67o?YntS>Lo#Wp)b;~7Ng?(CqxTk?>6?KPm=nT z(5yM%CcbiT+#X>cuw!YR5NItSq{99%bS0Z6i`8_{1--K-*zCE=iNc0qP5yBS5}95a zHpK2!hhgZtswed;iypfSr0|3e@Hv8LMoJH><)8CUV;~y&@6OLQ+EyZ?AJ6u5zS7B& zjLBT)gqKk18oIlqlB8|J5b^2boM*J<-+ki-If8dxs$-Y5eCljjQ?{hR%cEF>#nJwC z?XvywVms(G?RR;WAR$Tk1oMgFA2-$GEK7S!hL0pxKq*1IF3N;Y5s{yx#Tqk2nSkd! zA;r4urRAbEWm{k5QLS(lk4G&y>QRe139&T+1+ddf7Q1(#XK%{+MTmy@ zQ%zExfeXLNFSpxjXiVSI!dyZ`uK8kIimnr2Xpca&Ehv7@T4S^&sGy>p(tGcQCR79) z2{}o~r&~DjSZ1?eS+3U?rg{{dWerDl{rf@IM{s-A@Os3J`scZWk3T}9bG@Nlp zNJR;`LMv~EnbIxdi25Y5TuA-mM5d4XA3yqAVaK{cDp~fiI;Q%BrZiXIe;RqJ!wCHa zFmG^0Kg%WTcw{hFK&&3*Q?RzA(?v>f%lc+br-pMdLk<}+rqc0_u>gUd@Zw2ij;^IP zxr@wh%%Db8Md6#5gzxIeHP%gj^q|DH28RTlKX2NzuB88MN|KA z!;6-jowv%buw*$kF;#bv+qRU5w86%jv4`C+M=q^NAGk{`y9sj`$d;KgNV>XrGVIZi zFJeFW6g;~kR6tDME=I6Vw+69D4ajxxJ5b)6R4Ogb!MTS1JTesh)3e7M7dhPAF}L(A zi;iMb21!S47l>;-W+>S<1htE>2*oiMv+U{>;Q)8#Iwok4z3ZC|&LI-8gf5fR{=TZz zI(%F%TBZS8CY;}-71b1z5Rz8Fr8ZMhM+LVy!<;BQf3iD(8b#edm7Qeo*sZqnxx~LI zF-dCo#qHM&R^E=xCypdGKW?209Hks|uKY_Q)Yur2|M02)t;)r)Y}pIyQO ztCQ=fBj^_N?MGsaj@2z%{(+U{d1_OcTAr4n{SdQ!8@rJ)A?iM1z<9~cC!^Do_6c{4o7wRU0QTTE3kbXP z9y{7iIt_BZAFD#TnqbSb>eG!K@U6(u>szN8S767na8dhlsW-U4%eyO1))EEPZg~ zgli<#Om0 z*WRnskqGhYuMJ7VEz_H_}j&Sx%l7 z%qNlRh1oRSuvIR{s|R!Q1=V@JBhJ#5ia0!4#3h4x64$MpPq zW#K8zXLd(60TbfcO(-29#GsI-#C@gjiNh4N%o^DYPc6xm>Q*%2cI%C>UiiudMqNS{ zI?@-!*7F*PHCFS@puX(f2(lkhEF`B(8#$F-3oF=!Ceg2)8%ekzY)E_1jhm=IuO0!h z6Pewl$nA36)hRCu9mQ6Gra_1ckQ{ju0lVOiI>)-o?%_dH~VV+667ue$6FL5pTWVE_%2447rjbU}8>IckDndZrYs0%Ns@T+OwP#cLFK&o=Q7Y}7cwVC~m!Jyi_b@gLwf2l)qhOYY8k?QTk*87JP` z=%68!NxX)24MFRz&PktPj^zsVx9tA5n&1`76Ps9k^f0}vqvNBqaP7e~Ub`NWVjlAe zwE66@uNt3(;BKBGj?UAtU%3UTU)xi05&&xL*>>U!==0q z&l(zlqgalic6vHq|3L?ibAkI7nrxTLIG&>6ydU&#{i`lK%8#ak}O z`hyI$JqJgp`l`29?x%Z8wP3yNQaT*kEu>T;N#NuZXtsnt+4XKyD$E9f+TERts-A7xo3AuNt2WIl5u$)5cu5Pmp`2uv7K6|;RFtgliB9*YU zUN5JX3zy>vYqSh7lNRGx@iIK`YcGLR=aJJMRZ0xBB+Io_OL?RDCBu@EW}})hy%i^6 zu#QB5Y!@#o`!2*KY@|hwYxzWAZ`LFxyA^A9`_nQht}CG+iJv5@Bb*~X1*S_$gg%C7 zPjg(qNeBGgg3g`+-6odqYX#prIaqw%Dn3}_i1e?CC6ZG=%Bj3rz~&0BBl3~vY;HS@ zEeg=MRtehN99(`X(Femh468$gADywRXGBBlg!vOW(9+Xza^M8R_~S{h{6t+jX4){M zTu}^;nkuB+AG{Rs&27@PyVa(*W|+_5&M~|5_L-rhugF@yG7xRb8jlj7=@A4985MwQ zq%z-6`Wa|0PU2e+oMXNhG9}2_-a|O!Zc8ck1nfB0OtvqTzv6+a^)T((O&vIQ5RLh!Y3f_mj9EKzKu_PR;C^CuDmPd=7oy?)4ycxG zJ`aQ5BO4`Ttu=7Q^ux6DjBzsoFAAy^SS|udDHG{x(Erwt9mXO6HemhX>_vQe^d~Y? zq(Lx#N<+`8MXw0O!t_Cv!q;8!zFGnf7`BIoDI8CfDWh>?2lo6d^t7NYa9N`<_TY36 zb20!t5a(R-m9eXwQ9iSF;N-Hc=_%&6Zfigd!sT*$cHK%AxDzfrp>2 zRsELy5D{g<$CYj~wHSQE0pEy`OPo&cMk^ltxdAIFM*<&gu4No2mhsFYmUvN1&5Aeg z@7*}XN~dKA*E{SH@0h*wb#bUSz5q&N}Od!RWnAbGqL-wt#K z&!Ykx2ZCxV?0zylcPxsmwKebG#`Z_rOav+s--$5TC0=he#pvq|YDLAazXk!I?Ueu+ zVoW11zg0Ige@@J})e~Pzg zsJU#GkaS@F0{HmO>`}bNhDWT&gbKJ5Zd$q0NqSD!xYwj}cK=Er`xk(R-7}4Krp6>o z?!_^(ol8RGR`=ndtcrGv>}Uv(N>-df8Q^*^wmk7xW9IBB^M~jiMdp2q-1(JQY|p#u zS(fn%P=2l-UmZ{`Q6}1Nx{)c+yY&}9?TV9}+4-(NuoL*D13Y};P47{BE!wDI zMkt90swJm{rN#r@$heB5W|^Q?4l}Pg936cvEjfU%S-=Lg4S2XEMASWo)q`OhPLuec zm1axWM2%ny>sP5^GKIPd_k8JW#B)`@az#`oja{VBPRJ-S2tt389+rxWJ|m|R+-Q-4O_Nb zY0L92tC9%4H%)u4)vR~{+)ZMG;?gvYeY4hmu{5PIocN&?NqYlZ%=sfTGk^c)_`G>; z(kD^c7lY636Wh<;bbe2wGoZYbPmDLe02EqcPvsj=L90ZYoQx&?*>B7)2c_<{WMNTF zP$JOidpNAn%#FU+AxTv$5&Xa?tE?`?juoe3g%R@XtL_?l1-v*96L0ZvG3%r92#?9ghUM)~2<gV_S$ z=1Gb*%%<0i4Pq2*p51+tS60Dtr`#paifowHbFpiExH>GOP)3)_jtQf0GURtS3?-w* zxA9aqZ!+v?+FHJIqqNL^RbUw{!?a2hVnu*x zbI(@qMD%qlLhFe8oL5GkTH-A_*TM7?|HweJ^YG^xs+LvK`Dz#Q36ROLn9LVp;_KV{ z&f`v~lX+q5x&c3~lGNpvBH|5s7dO0_=#c=9@Q?a@>LaIQN?%vPFM_PL?1*#%O$4%ov{Lxu?=4qqwjDnB1e;Ni9l73mD{O0#N#T_($lC~KYY$#7 zWnCF_HaDv93&wn9hq3vrfaE#EcBJ!SNj?tQ(fv%G*SsTmS2>sSeKX@EKhpdwQ}fA6 zzoSnOAEbhd_jA(Z-q?Ns^BIc^q4$gniou$Uhj|F(RG%~r;!pyOKXw|sq5HUapA$Q{ zpwF#&1yYb=NkDJ$Q?8QN&4=0#UwctosBxhAGHuMpk@uJCY=x8xSHN~XDhJ)e(~kz0 z@p8^(KxO=ZJW&QSR&R+cTm7a9!dKSitsMjfTmI$kK0#0XHge~B$pWFq8 zX;ThzM(+J62M|LMF!KQb)C>W`j&sG-La>w4lzGMhsP^t1hk!tk8GEfL$8z|IBN!&_ z6I1M$Lvrp5gTVan9o0Jxb$%DS^^KKQIkA?kA85F=qTzt3IhcJgylWH0#H$fIFRw08 zJ#0%HKn}F&!6EdsbfKt2uS2lJn5iu^yAh;Ta(CV7*|J~iL2TazI*vY#_i=@RuXS3^ zFWnnlFO~<9NF=^FB5qflp?mvP{j7i;$R8-^6KN>6X1B`y6wCC@7FO)d1!&ZPipJ&^ zxP$JoA43joo4O|aIYv%WXgh5JV)hvOIbVVr#htxtFh=)gnP->T4cg(-v94wFjKI2j z{p5mqNmo5^?NmAi7yL$g$pNS3brXq>U{(K$Vd9a5hQ`^0H3|Ol6D(Jkt#b#qjd~O; zicK3@O){0lJBLcJrdR4$cmM~g+iQmiJ)0gLkE6l)*zrJlqEe;!VG+5v_(J|yUjAgw z=Qf6z(&B?$?_J3)ID9s2bV?4+%DDC971}anEE;RUw;=GgbQF4ci);wGLnBrfQQsR8 za;qwNY^`-jZODruyH_(t;HMxkG^|Mz%Jae`CLv7tw$Qwm6m1siYLfp_k9^|{ND?l>8(WLFxoc34PBm1x31g0)!{|CdfnP zLQ{;vt0t&jdaAxu_8j4kPQ`2FHkoK^kji-#K1H-!LUs-U-Lb`${Rm0K6=c-~6&{P( z0oj#=9#Me`YvtU6Xcq6MZQn7$_D>9%rQ^naOVnI}M&V_Ix{s9G##*}*nL8;+Bsn?~ zY+?&|a#KG}-H3lfEhtI#ArA_cv+_QwUI3Zlr9+vswhYm#Ax>YRQ16hysf!eQ!jT5f z?{#&WbZFMgc_f0E@K8bNoxqINck|ZRmzUZ7K!#ONI)DWynL66`gJcc|4FW zz{@_a%NZ`u>;T>`YK;C_(c$%So#TpfhnCqJMhERg0*VE|8}0Gz#1Hbofp>PaS?D{7 zlwY5^5@{Fpl1673~nCDkD1MPy(o zU>E0qfz@R!f<5@!W>38K@`7^6E5$*E;#Ygv?e>D~08f_*?Zxc$6H^3whx04NmL{J% zYvS;FH0I0lG*fX@kbuBQ?H6pPJ^tPCX>jLOf)@-xJwwk)JyrFJ23)7X{wW)axVo4D z3vqZ$4r=8~`aUqtq$E4q*3l6RPgIVYMEp|0DCdAS+Y9W(-U8o%VyZAHV~G8V)xhJE z?j=}Yl6RqN46yU>Vx-IvSB{34n!s0=xAc40WE^_5xXumNS)_dhel&G;frCdWr4KH( zF~W86{m{|wADFUBg1>x0`{r$75_!K@(0cwUZ*^p4z|+&l9&(Ds4!e6O!3QXz3NOJE z4~r=-6pP3=ATC8QzxyJKE-}B^p9z<=47}ai8w97AGJ60 zgO$$a6Kx8(?%9}3d~r183kZ-X1(95dc8w%IYRPVB#EtNjqr#krmo|@En2fq}^#rs0 zx`50~w1%aV(?o8gOF~$2T+>f05>4Yu^qKN06+z70sfmeCvWGV+nyuE4YB}*mwlb7Y z{;*h)HtM0|5D$&E&Lv#_0CxeHdTvBDNTvDvHQC!2BD}t_WvRyE{)a%6&}^>W_?@vT5+v6UOu`S6YyZ!XSqo@efA1b6CdF4Rc|Fv5f* z%?BesXm2$xZHH7tMv6AS(}XuDWxvNQMWm!;{ZI}ZMY5t;nOj`S;k(;kGVrnJXe|{Z zfY~T;m^*PJOt9&6dxVXRH@T5q0E4^gCuzo`v-0(n@6gJV&`d#HL!E-;`-XaXhT6xk z#<9W;lQOp-^adSfeP2~Z_gdt08K0Mhb7=L)u7GMmD$q8UO|+y_NbM(O%ySanL`Zn_*pu;z@fx>T6aDS{ z=3KfR5REmbNRKu%zMf+OXbPD}X*L%X6yrNfB*(D;82fU+3Au*qROMKnn8zigD?ynfaClf;1b_tFms zv!|t-m)b;&s&q=pB%HCuS;uBSFmzKQ4G_ebYroc>XuO}Xm@aD{fm^K#$+ZktR_l$a z=5eJOU-9GXSM*?HfI$Q+PL){%ZXF(Oma`eQCu$+XyHjKNb{7(geAt0n*(Nm(y+fm^ z4P0WwJi;2VpdKm!@!VH-nk^G?m?fFu_NLz55|x*S0`}O1FjLa|zNECb6dfX&0i6&n z27llh(EH zgsDrSoR^UT7-@oaty03L7mtWTH5Hg+>l3{a8_=j|E8lrr!i9W9=%n|JWK3`P%(Y-x z6}WYJb0dgi1)|gm4Bw*H^Huy#QeVFN!O{LCws)^Ng@J6`%nLVhT1P(5CZ#-;i)RSL zRY^#?%7{H|iv8xOg?XP5_i3P>ebEa8H^v&js2pPIC%rf%DXKt)ylY}rOQE5>|60$x zfipv@@m`7mzmI{dk>xryU5;FmdlXCTP<9EQb60ko|9NvlBq2E;qSxNdnze;K+$e8t z$k;JaMPdns0evB1y3r<*uiHT9PBJ)LTZGqncXYzoTliDgZ6Bsr@$pio-eHgpMc}iK zwNnqj&GxS>X7s&ggc5thAK^67z## znD5ZY`K=O|DwIX$LxXH-*$D@3%x-HS3u+UCth2Eap~_T35MH5|@J%MV{*Qj zcalEfJQK1kRuY^{0x?^Kny}|wAFi;ScUJB9JuXPP&GO=r^5ML8dtTw1gmP&T9UkGE z1U%OPVgSc$XP9W=EB!Ob<(Q)y9OA`?i4OWQ%x)pJ7sk8fa=;$j@R+(hFT5ptdwC55 zDZwNAOU}A7cor+>TfJ4S5i`+r)VV%hn5t+$GA&ugV+^uOc6%Fv{mvA#@pL20c>+jr z1B*ozc`K6`$^5yHxpyc1=ab(^L5GNxTg}$5pu+zrn4T;0g8pj;Xq&HB9OYKDPq*y zaB!#mGWRMnVRlNYbH}!w^q?WiK8ZLmd(JU=jfn>6tyUWck1a>E*x`VFhdP?$-brer zzu!}-YmK9yw|i1M6K1%#rktz^v`{-pS8kRtockR1y6zvbh1^?%y?>ZrP& zY|Tq>ch_JcSa1)L;E>=12@>2c?h*n7f@>hb-7Vr<#2|3ccbidUGD_ z6~}TRXAr{5T1m*2ksGb1mnMYUi8-PC1)k8iM#kFS2yI?VCcfZJ8C zauF(qVdpDCJzn%YZGFj~%E5!2r&MxXPnH_-^(l|lU^0a*yWzgQfpy$7hb?Ql?h5zY zEoTwMP1Y7v%jWKMmhoh~(HX3S!3+|+0e&%iECIg-+LlY?)gq}0NhkH$mgei=+GNro zA-2n-MH2%Q5~1Rh3~pI*h4qK1fTA)De18FvAN@JG z5+rCo4#Pnwt3=!I0mK9!m8em70Jp>ZW~92F);(toOvNupXJSDRq>Z&r5bj8FBI7h! zHX;su^~3$Q(#HR)tA_vKy*ywA(s}@$alQ?7x%Az618e94n1VvrnCVqH%Ujlbj=tRc z0O00XI!(zd`FBxjzEdO*pm@)L%e$y%z(`wO-*Tq#CeB;^0Ti=ice6@;l8^Jd!B=iY zjO@y{)r@eeMpr?Q5h2HMFnC>@{u_n_-Fg>gH{~lQx1|%+CI;Bq(v9>);mQ!IRT}L$ zG-0frKglOcX1xg~2Z5sUfX_Adb5)}fQc*8{{8qt< zBqE64{(9CO;YjJFT2IM#^c;Gk3%R6U;<)@+fvTEtr&D;@#|BXi@ilo*k?VUb-!cH-yK_}d9tjAqWvDR!bOZJXbe(Bce>qwb6INFr`}}CRpU%7xe~T zgQ;u(xdXnru4r^a+7VuD7s6QeFA5UKvyX8D&5abZxH9BOuitL=D+_j$z0v99u>D{gB24r1C0u}K;e*d;Iz|ngE6&l>^Qp18+qRX0p zTOA}rrFs8Fr7zb8Ht(3rR)h0PeprariQO*_pcRY@uU}m{!!5^rg?6i?tn>|IXMFmK^;Ku{K@e3UVM&X{zlGd|ms7wJ02FKM`# zEFZ0CCJxVB3-1>*7K>6ob^~iE+a{^?#V_52KybHazwgBs(e~Nd;n7!-5IKgD*?qpY zQF>xAE4|{7D%<3cw`k$GqZ#7(ju=PxDdz_T#fnNk)a~2#K@aGWSCXBd^8hos*8XPW zJ8O7HiLm&5GQbV$&CK-j;JH_|Kaf&vH+J zmS^4C6$)*f+?GpKbtludWb7_sl~eL;}wL22NE0iXze#+ow0NXTmjj{JpVN@u{#~0>cu%srJzl z--arXm=Nmd1}+EuW>L!<=(%?-y0COH+KYgdIWau_S5$GtSXnZ(Zb)asq+{J7b_$1MPL_T13=1ZEa_p^Wdjo5mN99 zdg3}*N#z@{Yu4z2Fn>5(zhQ;)+(ueIqHYCe6TTRq^D_(>HH5V-xg z0dE8~IQv@%XC-X7M9RHDC3E@ARfX71-E4!y#eprb(GnmcTxJQ;iMR}X);#0nM!`Xw zIO-GA)fC?F6Gf1Viq9dc$?1a|tfl;f*lrg{TH_)g3jQ`b(^e>#v!iTjw;@N^*M=X~ zJxNO6Z^gJ+kGp0k{#yLUpmdmfee(!rlPL8>UcE*8SZj+prZuin!3oi4>||@4BJFQj z>Qv01Td;sL;yI99QL1B&^0KtFxSAXspY488j1mw{^aAO^_$11E*NTdqIH|#kuc7oJ zmH+d%dA9P;nj5_IMRl=!o&5YP)puQKU#Y*G?*fQ9X#DzoRk}W;P`Tlq<2Dh7W$fTZ zEj4Sn;4{8<^=xyo3#EUKt9}15*qy0G z%*5SO53ml>33L1REA{J=u0}rHwrhcbTmUAdMB)}aw#>@9MCSqdMZ#M}`=wWQ!dtRMRyC&{tF zcdgr5JJ}9$CHpbSkXJ-w39b8nSwR+dIyM?pfkduKeV)6IwM1MqEiF4qH%pS*AWc*n zpjP74UEc(qjx4xu2(#%wfRyS-cnSFUBHT}_C&Tb1vEXgFBa-X8603xDhbOdQWLk@f z2I`Y8y4$(olB2!DPtoWSI3DH5XQKrLqKl7DRAtenF|DCi!k z#K&5#>Qg4{W9N=m;#s@_4j*^e90ouhoZAW0llFE1Pb|3{+cM7JrJFBX>Sz~Rbp)!c z&TC5Zlew%~jpPq)K|hK$#c*x%f(F(qn`0NHAadt~fpm~i%NT7sW|L=e-;sMMCG62% zI^vHQ>OK-py2v!$y3Gyj@`zeScdUKu`ch@77!F<)Bw59k04$ymYxk9LUg`=-Ll0KP zn6Ksu1nQA*dTlWtJ#MWmWI|ONd~=6=C3YloIV=VRbd2rFx6>6yQx6H@`7YgIyDvIt z&9EX(w8C0IkLb!QeZK5}zDiql0At*~z*TVa$gvupF8RXIgYawbT4c7{nr*+HmHKH>@~}qoFkc+2ONjFK zj`?po(ndIQq-lymxHyi>^zTlqKw41e$BhO`Vna%8$B3KvmM~vG&&#gb8~1_9kYbup zI44inmtiF6l_jyT(@eC18h#GYy2J_qj}=Tr=2Cio-kI*nQ_Rmvsn~>yQ27b>+PXn` z$$Njkw}3;=5Xow=9@_WZ^>{Pk=4OJOM%Q|pZ9$}QS|bFvo0P=wRG^p8hLdzV*!+kG zpKTtsWG7l{Ufve3m+_+vnD3Y-fsgYLL14kZ!%=othM=WLDx zsihOqD+O5d#sg@CP5;$jtv%n(ZecP@s6djy(hWm#PxD`bhSY+8GXoV7R!ly-1D7t! zV0__A@C;>cyh^y3mdrx=>IWwKI&Tu^FTElwu&ZY9*HrB{D(v5vQ-!s`>^^VgYQ_&1 zv`eX0c#_Nv3FTh6angVU*5F>~1v-U}iWsj5o-y%KUnP{KV_az3!OL(DJxL`%_!gfF zEq*o6X(&i@sx8zKRx?+QIDomL1H@N*zV7CK$-1#Vke<}G|491eD?d4-;-6w?qJ_K9 z58)))d9G>4$V zefIv+R1%=;kkpwja-ApFC6~-!ZZfNox04 z+P}qeUAy7E2+6gI9w5rk(_WOZFQ{VZXy~R_r!O#+=thX;9*)zX{s|+EMc1NkYfz9f zE2$kxCh7e_euzN+UfFB4lpbB`-q&F5&14OmiVK)uW`wb_x~?%udv@buAz!>=50Q{? zIDt{zsqsU8K$>7GcPH5_V+D7Y*@X@d{`>&djE-&){(OOkS@a%ty;>|eJPn95a#Lf3 zC)IU=t>!!Xkg6ZKXNQMvY*8&|GzktC>gHlj&FI-JXr;4rcFuF(myz&Q8>_v&AgP&{ zrf6R7-cM&4VbhXbSI>^`3H3g?dZmNu&v$yvt&R{*`o%G-%j@1B#k%j0wQ%OS$LUYy z50`m9B9V`~#8N)XzdL->axxINHW(W@u-ZY_7%(W(3Q zuCT=ZU7d>xF}7Ia6WsLvl;iJ6LBb{NuqFe@Y|x! zw1I@jJA}9_#Sy_<=V3m&Q|SpiHUO5JH>;%V7@BIgsl<)(%>keizQ)-|unIewoAPF|irtvcNCrQN2ai z1b%+NI3Bmx5pPV)MVrp2oBOm*`btAvwrc_2f^D=(qQLUzeRYG$#nAx9o}o50c5}$% zneDh_MM$=CzlOM>jL!_nxH-9%imaZ4JPO-VHQBxo>y~M*7emglUY|?u38kauR2t#Y zMJD`9-;C`qD%_teHmV}Vzp+ZtMAo|rp1Yp&JTIYq{lb9MJ)ui`Z2tgSQ}4&lX5;b( zQgyN#w4qn89)AENg$9e`3Und*4bC?{Be)8X4AlenDSrn^&`9F(YpJIDK#H95 zb3*Z>Umy)ABRtjzRpiNX_u=ElDDlzBjRiabGhL>(&ccY$((n&;B=C7i%xcR67z1uk zN2-An?zy&Y`d(r3hv|8W)eU5L!9n|{O9m}4@|!vEOBh_&&y{q-#a*W@%`uB@H`9xl z=chCBBl5;LTzkQaC@VgtUj$gvt;W;abvh10mMyDejICYDz^7yzPZA#^EvyS@IJ5Qo zjoVviRE+SHa*>9KM&o*T64FxG?fXLme(nl5y_ zn@#xa(0HEUR)UlZN#H6$ohSqix?|@pOBpUL<#+H=-;NK1&1xsh4&IGnkYVOj&ZDeG zlS3jEf=|NV1`l7O%{=Z#i1eJOIW`E+nn3asxshxLKheDw`m9-rt~IH(?o&$oxe9VX zf+4iouhz-oWv$28+VMzRTX(J?ga(~J{)2SlYzlS*m%R{1Qa1I+CPAzizIftKXLV=W zOZ^E0HrY7c^WObmXO-Y%h0)-FC;&11gs2WG4qu{Id2^PomlsLkcD||84h#nt+X%{B z!~;kt$ou2T+ThanQ}61`yDp;oLTa%>ILA`#~BCE0oFpI|e&>+=+=z z*%S;H8`CFmbQOlX&oWe@p`iV~gKF1BEgr=cumwgVajN*XVlQ(VUWgrqTP&Hxs&LN% zE~}39>JK;+W%EQo>7IM=I0GLuJ5yAD!db9e+uI`RCu@$gWNNCZYlt0X3DE@gv;_oB zF9{VgPh$=txFFRqqp*V6^0?-7+6aPpf}0!y8SsVQ(0!W+mjvk*j5QR7(ZOtoX9vw!g+?2~eNYX2!3<5lRX z?_bSF8vAau6YcL=kJcj^x!OEjSA1^Ye$(m$VIhgyI!%=q4u$?=d(K2n8v>$h8CY5k z4qrWha=_}|rp*QsRHTX3_nNva3gq3kW#H=F5W4XGP#il+x+ln)0*9en=9RTVsb$4n z-BUzp{!7MzXeMFS_|Z-${$lw1VJ&lxo`3<|Cm+anlHV;)UrD-`0erbfmatFJ9oDN# z*cL*O0no;KJB?xE(Xx()c?+)XOCc{rM#9h+1*fw8_VR_>DHvnyHg_JhJ8z5(2us`X zEm}uZt)m-tcy8rTQ_H)BPf(Bre=>gmbZe^wFnI!N*$NYEE)L-L+!wnF&E@rKdG>P& zhMdVRq;1)<*3u0vb>5c(i^%0$3n_)VxU-$_S%eX;^@tevT$?B)(yP)T+t0rZPchsi zoVh}3>!>9&=~*Q|tD9GzeR0Bi`oj;z!rDh+O=mkKd48QNqVv~RiPf$FJgB!yFxt;1 zv5d{OWAXvliGxG>ANk=`>to5cr( zP&GWOY~o{1TK}2VpIC1Bj;Zg_zARmF)clzO;kRN~R*5Gj;pWm&vU?OPP~q^7MCZ@c z55Ewa&=?UZdFkyD~=f-S{K#BoMH9nu(g#BNRAc!?gk+lZ%{yuB7{c5@HT_o$IVL z`Xs(vpKtTwnV+GNTqs$~#v}jn?F4iT6%q`P)~f?1+Mj{tSAXIL`27!OqUT7Vr-xUs zngDHik$VZlVDoK_`n?#IQLzO~4&PSrir5X|vzDWG)-XcE@^(tcV{>ae^d$j*S4iBw z=rlOyMXVsjQQy#Oi#n~dP?o{2$eN4fUIX++Qn5N-^0#M0ik@l!lLqfG2|YEMfr$(C zEK$?@cxDGLj&*ZDtbf0`8hVIP5?M{RFnl{);3KCHcp?DZ0ARHEy_~hh`d@YG{QW+v z{L_$li3JO7WSUYgnOWx2?ywLY|oqNUGKxVo3_l3oh zIsK0+$3NV|fBmE*=xK6WL80-;J*qj$vC@vZ2(0)4|D*<31V)(31!|6Ajb7%M6Oh2e zG}2XVIy=Z5W^Wq}H2jR0|NmUq|2@0&n?@1*|1-D$4@UkEQT?MUGeCy$%R2qXtoomO z+CR66{UNG!n(0WVFo!8mKMBe(wXwxm>EyJx*t$db`A~iJ_pT=?AjbxfUJ0xxjoKUB2;< zJYZ_C0Q+u9PP80lQs3z@qRgkEfW57ub6ys)Bu4cybdkJh1zC6?kUfaPsHynZU@gXY zOQQJV*&U)q#PLaM5B}`h+Sq-lziSorNNH2w?XTARj~F+PR$SSz=@IQ@0BdEG`2(ny`i6B1cSQ-gJoq5~Kmj3Q zW|ec^!{k660ms zE=zMYpT@b|WQ>31hx=6cUJbY=DEA=N&eVRgr0jkf z%`a$U4nH+oUCc686@P9}$Pud6pb3k4qdlRk=#L12+-fY$x1w8!{P3IiU*e`%7T2Wz z@ERGE#+;JI0PC$)Tk&$Ks&lhrC&yu1h4ezSL6H%3imobfmhe z`m2(i=)g`1oGPg!|5HsvX^BmLEKJjR3$p$8m_1PYf-h2y}@@2a{)Au zA!#|rBweHKTB%}%OM~g;_mO#Kk zp+l{4eP_@!Dio1?32`uXLS96fwt_yJ7LjaKk6K8^U+o1+T+>= z{C)_f=gE4M6L@#WqbGAtd2+)RPzt)KV6okZ{FB(4&gA_0-2N{@;MD$dd-bNtp2sT! z6@7G`>8E3KKwx6bZaejP=h(HJuIw&uyjg8y9CxBhhL$W%jxn;=Bf-~;6QxfDAm(7P z?m>rFEX5&60n6Le&S^xW>u9Jy$Fj-4M;$mVg}<+!L$~%4Ft4rh7j~y@tdm^{c~k_) zeFTD{C6V`82wCa`L_#~Php0xe701|K?!~XYBu!ee^yfq<@F4vlKyQx0?Qd1|`Zdw# z@^Y_B0y;uA!cd`uY!{P+gjq+)sV}G3IMvJH4tFJcd&u;Ns;+A$P!n@rd9a=Ghz47Y zf>VisLbL3c90d3M`lACgZPOn=4Mne?(7~7SoP!xDjV5T5v@>E!5r*H2|1=4bNa2b_ z*^;I4N1?}&DCucp94nH063lB?n|Dr}nTba-&t!3Nt*^fnql6wU?bI{Ge}3-B?cHY2L+rz*KNIq? zofNH~20ZemH!j*KHOE{`k#9=r*%j?%JA7BIsA~6(Z7$hh!G%}0;v$`F#V2uU8q=}I zttlJ=yJ6f{iF2(twb^#2Y^94ymB_VCXz~pi_E?=X{-jEnOBDsBci6@V(TUH>%ZR4> zbR`pq!?Z@FqNQ_a=<$M4jRpcKCL_8&?c3V8SZgMjzS62l{9Lxi$Q!lZ{bO0??Wuy& zE`aQIc}lI)(A?ah_7hD@--?)rHv!|eOkwp^w=!|>MS|EBfg}T*oz4TAtuLW$lM>{C3seXaXzLP# z3qK-JN(#M6J+NxPL{YTUTb8^{V=o|&A=Qr^ZmUp%Y(G!D-Sh%n!C@WjolYhSWTM(YlVF@<=OY=zXd{S=6#!$YIUB(8-cYOujYW zt2i;%-Wh1x)!fu#jkgk%@<|6Vx|5?Rq#negfJ!Yu$%V4HtD{eKw2{7?%D?AnVndKR zM3+*Pu4)}iP{dsJYRhXn+!o8jo9RVrUTJB=x!WnPb!h#uZ9Z~nnN8cv3)yE`wI`9u zV*Mq-3go3QRmh-yjtLa2gr%kg^^O6vGyi#iL;P`VU7bRrjYRN^Q5xma)Z{CseDdQM zAQMS->E=X)JrKPm_(m`Ci>Z&BrHd_O$D}rLMc187tF@3FBeuxz)X)DVm3@wr>iiXu zA0KqlI!@G?i{YpIs1L_Za2!hd|?#z?EC}WXi~FpLcwz$TQ3JA3F82 zTm4HYNwHj?-qs^HL|UPGlOkN&@cO! zP@jqF$elezi0BJbW%I<7hDd>rlZ7~P`6powh2|tV!UttYl!eW_x4aSKD{+U z%uW>2PAj(~$^fbL-19p*E|SA|O3wG_RgmP*0t~4qYVX=&e*7Sqe_O@|zW04YM27eYFiJ*?tnDoDb$zi zP#P##N1nG0A-q7282YlFQW8@uq0EIPLkepTtoFQA zLBHvbs&sZdqDQ=#-(#fRZ&yRNM8L~>GP?e~uZS!}Zhcf)E`yGHrRV5ONSMQ&dea<* zrk)gfGfw(em)GMeQb{j*c~ zFS9gqzOcGh?7H+_WgCq13>bCxwyFoR(lm&AeV1^D!2+b8g8ZvAkxpVT(LtF4!smTU*z?J6PKSgn)+cq&~BY7uSGP7zspEQmo zi=pQeXWg@?`pay+Z)dCb@ku|v#&D>88_*bNoMQjVVqp1JyGerR+leAO?MxsBpLa2B zBxhP2|NFKjnxp%IG0m?2` z6d@NplLn2aP-${=#|3&3zkoCpmi`!T&7-y91qF)mJ_IB6IT6f_LKkuO=xc8PL$-7V zm>d+&LiwLcdA)Y6i}SxeuYFX61J@qYGbnLL7L_R$s9=Y#U~V$$n)Y}Chsr=WO zk+6q6{vgG=`z&!poe8m#*>5{EaF!PpcYRb z_n|$BXX?T* zdp3Tjo;M^578?vXr?V3xk`f5X3(?k%^OwwUI2@8sI&6JfC}-jF%0T&hv6 zRVg`=4HZEGiRi$Vb$&T%9e|@`GP3$K2hNRcqUW>6F%oX&+{byhvwlZ=)UJHqy@}83 z1IDtQUEC{}Zpvdd!TZZ~m1CtM@p1c}fz?qMgIZB61DYDaM@_W~b|lCYxsY~DqQiC| zQTjlCSzq>Z$nrG+_S;w0e@c7+VJ*t1^dKFcxD#~=c`H}6G&egy9Oqz(8s5R>6zxXG zwT6ePniKAcRszEf%x!Oarw^na1r&I`;PrrU>%?U;tmjW4SZXrt?#)}dJ z&sXTZz6X=7+Xe=oH04Ii(swiPL%-2SOkYQGc2cGE{dp3n+Hmc*A9z14vC_PV)n3>x zr1A2C<{K9wlh+C&7#1!!Uht-yuA0<-8@F?LCG&c`gmscODJZG=?duaxgC}fs?9dmn z3LoIZ_u+ZJi~3nVPbjuIQN-6U8jEgY`8px0FYu?6UQ)U5vaZ5zScufXLiwx)MwsnR zk?9KzC&PdcVhhqOaku~wNawx5k+kXDo!qFM5roXAbYQ>#L_W+K%+}a!tYOxM>MA(9 zV;?>+^cw5Ql(#ko6;awr`zwNz`#f@26SYd&gcouMF;NQ?F8eWRR#Z4bwW$}BYWm}4 zP;jehg0K;zs(PU5iNnvgLCPd1r(-zt?pVF+I;6a8GJDAz?)xvhDjDP73L=}WrgKL1 zcOmCfs12hSbYYwNc-a+LzL8|isO1Bb|HxXN<+ZQ(5XW$o9UKOB+Ih|MwT<02etckq z?Xws(O0?hp`~*W7SNrns?$61w(-OH?@(SqUl0=iQ{=DygL?bM{L=gc@0klC;z`>Kl zcdMpUzVE2zE|g5{-W|x6$eOHqiUB0e{XZSCNx0lXD7NOA46Ez9E1oZId;gbMNevkX z?gd^yH@2LF3*x8NJTa}BZ^HUfUX%+va(Bw;p99EErtaymAYI=(B5atT-t(oKb->mH zIAOOQW#l@fJb*6Vga8Fo2;iLs5-V3f`~G%6BEef$rw7oC{u97$ov`!(LJW8SMXKD# zulU}Of&qdCEwQV0X95-b3gBS$>yt8nQ*ZpRid1Wt*zXTg|4qGbB&3MZDR!>-dUs?R zrWgQx8b#D65sM456wDu`w~z~;(4U5b4zDE6sIM;ogVK*}fUmbB^y&^bsO8EKc->>~fuiA9j>Bb(~dPk^e2* z01Wf*f*twW4(cHi=mkdl{wCO{zv*fmE-mR(I8+aO(wr-?h| zq>qc06Pb)4(DVB*(9Hi-E(XnORd!F-|-3kLK9`gVeJX1@J}fM)&DMFp#M@kAC(^DTIUDyI}%`^ zBGB=JKFIwLSpT#Mq+%~W9ckG-1+cuuu2hO2KwbCg%MYMzXui_QbG{{Y*ifw8ER-vo zWZyJaV5Xu*UrIH&iw-VWBuYg|ocw>ow_b=*EP~36jJ899{30CgTon#^I(!1tSg&Fj z9N3wA5&sF{i~pc@D_jrTdNG)4lfZ&3=3nAV*BPtP^-<;XvN#xKLb%Tx)O8y4L?v_@ zyY>vN7bg`v`n3KhX`8xR4urkS1 z%8WTT5vRysqxqN;lMIgfUm|%|qdPW>cs3ilAV5@L;&^ew zvM*(ug09Xb=;c8m6g1bs_2x2L#FXDr{D5of_`tjzS*m#KEnk#1u$Pvp{FV3xg$wO4%C2YN$K&5-g<;bG~k*PR-g7>7m4a8!c{Cb zcvKI(FbFbNaGyCx8g0jjprP+shx!8;BiChJf2m4l#zt%l2xW{WE35@t`a?lFadUPv zFmF;sn_@~6cfqoQ77vwFzIM7y0#R-glh*Zc&mmCE@0@pxCXm(agp7s11>(2AaFDAW zZ$kg7f!}a|l;LI{fuf*X|Ca63J%aBEyvl_x_6^DdXbma1eEkYz#ak@f*StBpW#-M- z+S)n^Cod6N1;fD{N#jqKCKL{kKon%sJnLET`cN=5U2&{DyWzeyRh}Fgjgs(UFji^< z^8xhKUR3(_UPfj9KA{cfFLn)A@W0-reC`>R8}-Zp+nQTz`(hwp4tKbeE|4@Yinu?n z?a9GW7bsX@34+*FPp;!Ka2~(g64Zsq2#I zH^2xU1rxUt?R)nClAyl(FwQqy1uHw~Tp0QXUP6>zISH-_@s@00XfLX8{4@}u zN26iJaNz=>|ImK7T2AB1|Cb?%s+{&6mI2h57ZY*?6TV+<&QIPI(yAQ3qsv{|jwtm> z(+JX)y{pA1tc|8V4=<9I1{lNmrdov}YV-5@d0sw_R32gb>9585m>-))O1M3yJ5=55 z`IbE~0!XIR=Wk^Nfb8`wXwd>=ub6)H>>iCdTL+I^t3;p4_G3=K9ikG0*j1eN8+$HG+JWhz4uh|@hZ0v$;Zg$9=RZ(Q6tZxwKppv(t8(oL! zUp{Q!6#JxER(&;TxRuPHey-?i6XPlJU8D{_qT=W^GWEhq`Y&!yk!41ZO?~?j2mn!1OcZ@J#LCqMLx<3cP zasP5HMF9HczF#i?c;pu;{UNbGCd@zS&L8^n%Np^^wo&`LgPz; b)tjW!saY5DPj$%_s)yr#qoGXj4>SJ*kj>l> literal 0 HcmV?d00001 diff --git a/Images/AppleIISd_Test.JPG b/Images/AppleIISd_Test.JPG new file mode 100644 index 0000000000000000000000000000000000000000..45f27fdbe5af1b7f4491ff63d7ae6c78b6d90966 GIT binary patch literal 287229 zcmeFZ2Urx{)+Jm>R8g{IP=X*iN~Q%RG>AyfNrL2zWE)UXksKwf*cr%qLQ^yz2pzK(h$`g@^w1_s`!9{LR4M4E)W&-wgcC!2gR3NSWDL*;~1|I-9sUI0FE7 zIOg$sf)rdgWQJq?`dkwkar_yR_bLEHM*jZ%du@T2fadS-wZ;F7D*7Aq-wgcCz~2n~ z&A{Ib{APfUhgV3Hmq(PB?;;jJm}&VUK{w*&Yu zde`IZMN3y#M^SEWdlxPfGlx6oT&50o+#V*5+`L>o+<-X5!_mal#@zMd9rL?Z_7W_s zwQ!b;R%Q|`T7pVEN{-jfEv@9eoXyp|ZmFAk*_eu$u|Ooxoe}pC^{{iaGj}z)=wWAT z?;`3U!EkI{6#R@n%*`Mv?rdfus(M5AM-T9u1jCP5+}+)|-1)g2obPh;iin7C^YC%= z@o|DZI9)vLT}?bV?Ohmu7`S2XV(M(==xXI)e-UlacM z3&;j9Hv{+gp#M`oL5hAk{ipPU7{NZG*PYExT+MHQzmn(_bMo?V^75(wOgk6vReo{q zzw{I5Mw4+O(En`Y|5I#9ikq2=nxbQOaQ^OI&D`mKFWa_Ol7B3!zrzHNe*Xa42gP^# z^l5SKldk`fi{m4p_P}w!lnRc#_}}pJx08R1-|G6Cu78Vxf2;G~ z>iWNouHUO&b9-=!>kh7Ek4Av&04_E*4mK7p4mJ)RE-u~~qO;%{;|v)AAwCfW85Jc3 z83j2t9m^$ZT4q{u3I;9)X4Wh09PCsVx%s)-_*mH3+0aHXaPjcYoIXQ(_ADtI4FwI` zzx{L601)9}F=E$YVlV)wh%hjTFpk~<^x!>7Z1657`bOrDABt1v^v_ z0;e!AF;8J(Vq;^0w=gk$!FB+P2%Gp4uM`f6iU}@*6Dgm6#7jIz>5{i(svp;w`0qFe zoIZ1boPv^y`7#UZ6*d9Et3tveqStT8$jZq>71Y!Aq?pa!Bky6pd~AibY&DvHw8f0kom^qE_$rRrSWkzTT=2f$eHLpTtU&`t96LtkmsQQB9h?o7Qdwt zI}lVFi8tf=s$=M!gQ@!;!>+(4joXiaYoB22-6)3a5jpDC^c!|BW9(xNH$I+v&p&jJ zk9R2{K#Ap6RV9>*Yxu^}SKi^J3XNAToo)NF+vRZtoZ$$Vi#y~v z{V$A>R>o?s?OnA`afNy__+LKnM7h)|*p1L`_4H7e5m%AVzr-}ph2xY2c)6xs>;D3o zG=~1d6}GE9bAtByrop{yEKYNxH}d6M4wI4a;pwGYeX6~u9T0f-5tD(}XwxaDY0rNq z*Z?in9|5NifAh?G1Uy73@8e$IV?k#yj!LQAakO&p{aQm5ITufJSSJ7OT%PrYc;&u# z$MV;~J&snU!p$uc7sBiL0&3&Rx>30o(HHTr^6_T^y| zIDreLgE#`_jldw5Q@@>myrGO@h(nPKL%z@w%z$;m`Bdz)i`7H0M(6 zd(J)8siZ93*x|M`N<1u2*OKMsQI@%@ZvX2BAS(CEYw$fWS^ z2(Kuh0f5cKur7KU|S@-WL5~ApO|DYBWd*T0aQoy|u@vJusEkUg-Fg z4=z z1JR7MDDQ3jU?dmK2>0P-K3I43Pex2x4)=aALZaWZqzH<30W!%8Vtn}><5RS38h;wO zT%C*7HQ0scL>G{u(~$X&V}=suzMx};uf?^4EZqLV!bdPxpVZCSV_ub9T+y*A?<%6x z|07mQ(9N6QZfbNvV&(oAMZXq@hX2##kjSwD=?|GfStK3f5r8!sfOxm9Q2&TJ!Dpeb*Dpi8e!`oWDSnC(LLc*FJQ8zL~nY@I8F` zwP-Z9pF;-EVP=5AdZUQJDgGlo$Rz7YS8Qlk<7-hTJ)tQP|7FtzEhcmUPf_06`bjJl z&5!mEV)4+GLI^U+0va~?fdQ?^gkJ-SbI_!@popy?^MgOLeECH8d{J~9@U=*E9K}Bn zqvPO6-CQC-9)Q^_Mr+m{)~E<_0IKJHA1IDA6fyMJ;x?GOJLk*6yI^f3ChNHk|Xpwn`+OfG=sVs7Y=$r;vN4%t~b79=BR5Y*PO z!9msq^b8yZ0fs8?Z9hgK(K<#KCDPQ*mG7~ZSb-WygserJw2anK(C-o2{ou)IuKSP) z)X6VL3@05)fI9h*x+`x6a%~A)MI0;XI?Le!sH9`~`A%pwrYK@c$ovm9+=ooWu0|_J)Gh^8;*U@7E#vk&R#D+tAgw2njziJOecjhB*0? zi)&~PHZTWt+SYzBXo>oKvWwEO2K5fSl=pUikR`YMEp>DCJ5{CG#}@4Wv@mwkf(Dug zqZP11fG3ILgj6vd0V#x_zjSoQSkd|ug#2;P3iiiW8@6ar8-M9zi5iVUd7#Mzml{v= zYd{Gmz(>Hm)12)D}K<%2T=_yYdK!$sCmb`1(&xYG7^>SpKN6FOk#c= zi3&DbwijLh%4WASzS+`^+7GM)IfZRt??xN}#!ukiQszp0wAP+A>^nRV%sb#D>8P&g zn@=D=(4V)-w^g5bLvYb8?V5y)Ae3pqtS?Pbt0qV=;^U1QY?aIACH^}U(;|n>I^(`z zzHE&g&M2v+6*_*C$4ykh_&-cn-+U}) z9L$P|A0<@umf~gp=c`;SR_Td5oRQ0;U%Q-z5grXKxCHBJ zD7hT?QZTF|fkeW)S(98S;Zf8`gSq^KxsRRj7_om7A%S3c!u<-esu+yd&xo(+%VC~M z6Q`*Z5mN?CDQMYW4EczePSHv;4RCXn)Pci*z5l40ja%D0J%~mM{tWP!RLcf7UvP57MedUQLQ!Z&{N>{YLQr1{3=5G z*?ZsBinQAwkkjp*_YJHGmWxR7O$zTDrY>9$JM^mb5t)==Y19o_dGpBA*TumJUsY&C zezcHI!x*QzmAy;O`ptgjnAA#{Wg-pBs{OrMGtBVXTVDG^WUen&nr$|!Cfw9~dX$pV zEblYA-*t_TAF|=CR@L^RPt3r*zD{z1D~i_wfB3apq$tUz8`Pbu4} zHOl<>!x9T|t>1)}$`%GwYMT*_YHC^5GCq8KeH}}v^Iqk0AG`f%-xa2V!_i))7fVt0 zMx~xk{HuiNoh8cI*m)K3+5@teiFl43aIs~TNF3Dmh4>elVe9Fa0}jIeyeSfyIbv5q75L@}Jt*TY&Z%_HOmPwD$R z;-o_CRU2alNkh`7r=d7R1EGiCF5ab&g|P)ls50VNMdu~m|0-xj;qxNNuf9}dp)Wzp z#577&=m~=0QmpBPt9uqRcCDF0s#l**krO?JK9_m#`C6@PVbjSVVSGf4E&oNVU7nw( zm^+LCBAOs_K|5ByOQnI$sh`T{Jx3_(g5l-6nwKX^k-heTa(#1IQW?7e)UnkzMxxG- zZbZJ%?@Zy7w67TYtp7|=E-72gQN;HAEfI;w;t55_bOsHfXEY{(>Me8En%FQX44w6k zfFXsIN1;L}qGThKFJyxa7NS%3(JhgmYfMt)g8Igop;Q3>a>I6Esf22mCI+*JFeYo0 zh;S=ozn`yI&(3013sJ1PET5gUHc^!yt|4`Wq{Rg}W@OkD(dkF3<_`nJOB}CGY_}}R zmm3jE5rvW_2uNsfkz(%J7nUk%l5Hq(1T%-fMD_(d#NXgeRqht}vZZ*JHz#V|O>HBO z3@g~bmIC+F#DU7a3vx^~LQhn$eJNwlUB>4kC47_WomIiMK*tExmDwEl1ia^xy(Mb) zwXkO7c4e(&u^2CVojK)og4^=*Yk2}LJSAYsH1+T+X0~Xvn?Szwa4@PL`W#apMkK~A z93QXe9OZZ#cWBkEFn3zzKsb)qp0_P8WK2Cc_7G>aBXEEr)at?=BC?>scIJ|DSImKu zp(7yQ;87^FoYSp`y_u2qP6K7XpR(FcO@CPWZqUuW6z^GuGbC!L|ibxS#7C{+!t^1lUhRCaB4wt!BLs8hL=I2D= zWN=uL|47`$`+&NTmt@I32AoFWSkh0qk@y%%JEltpcZ?9PshM%vf`uh0Cas&omB={$h{TGk#`Rq#$|nn7Xok~x~4|gq6C!Z*a2l;9$ZWo zTnfk|Fm=N4UD+*%y>CZA+fx*{;{9c+@WU3hcEe?9XHvgx?d0JWfF^~2u0IcEyDpx` zr9vp*YBk}qvh1CigYPq0Db82deFf@~V zBr*%v&nqGn385;J<3G#z5L{TfXn@0v(8CnOMRJdT?jqC;qxHgUo2&1zHyJjAKWjzJ zg^a`OorXRqbLE`>IK#_ffZI(CbZDPa4;OM<p@&nJ=cyoE+S_ZyH1ZxS~l@m1D z+X4|iLkWHwvA!^5e*yQ7!m7c?(yvA;;r#usXnm9lJM7B2{p<%K7yIpgE=d19hvWEK z=puY`=?I7*KeU2P(hfl8K@~7??+!W1fS?`0m44_VxI{hfU`hAab|?C^Fza8HuaIsf_Z4Z7>`GA@}-K-Z!>_msxly za1r|*b+iXe4YcoY_yn|HfF9orYlP&0lvbfB{V^W?eSA53`~`t?pvCV;=s!`V9v;AE zWWbcIu%^X73gte){AEuO>Ho`6VONmIbM0wJu^?n`` z(<=TpEgJXJ^l~=Zw1DOBBSt5B-V;EFMT>lp1N#y5!C7#}6~yEVYpJDToii~FLpAop z=SPkJdJxjd)-yj_Ve{iZTUEfZu;a1Et>IJ`(m=M3fL&0WA@rZT!VV9>4bCN$;Lt}< zk2H_B=}xqB|MAW3m~lqr<7|*nI7$*eE%#5_nUPPkVLzKMgI69uow*$oexh0NcTWRz zbh5xD>m6(Q&DA3ytZ27Kz~DLxTokQ?BBok8PKVk7`R$)@!j?}oFMdH&da^n0;KC8` zYxv?Z@<6g{(DV8cT2&DI|>y1at|_j%DW z=~Y3?WTLkSHXrs~0vfA_>))A3I}W&eId6P#`j`lCr^DP3)=hut0Sj?eM+;jF8RH2; zfUQr0h4wFP63Dm{ZJ&RR6F`p>7pUz%HcGIbfqDbOTtQKQnM{abm4rr7V zkl`xWX6JEtIdsPj5a#3E!7Vg<50HuOAP_hjNSabD7?9C%Kx1eQc;ID)pt#~t;*gfG z0ETMtco3v1t3*bke_PaZPBHG0=%}V2BU)=!;*aK0{R1I<0MM31ntli890vu(>2`~Ry_KBpk_0gm~akz z*nBEVob}*b>)|HI*drUXIZAqy*WayW9FYBNK(kB7A!umH z+dA;-{UFIsp&f{d?lFI`VT)s99OIxZ%VS%h<`Y3<83zLBV@Bw-vic@CfVTYY&;zx; zfW;~ZVi9-j5tJS1(F>j653fsx3OVbNiCrr@AIF3gCEdaR2%ZBX5}_UoU7jP{fr!jR zk2o*4H&IqDboZ2SAHR~*4>(V8RSqkxr54=(2|Ep2%7w9Hv(BBR$zH2^^4a$mZoget z!anQ$VbTO+XVX(Mw{N$H6jZk|CckMF8DGo!3j0D0R_IAVg54pRbXeQal+lhY)iy1 zv*t^drmV23_2{?g#u0cIMA1Uea+p_XB|SrD2A+P>hOi*WEx# zPpNLmO?XzD*$KM4dr=xH&Rl8dxzrgdaj{N;EW1M6ia*+c@?f0wJEIg)`wQO?U0PP;zM;? zb2k01X@=M2x-Xratelt3&nR(5`%hc>v_GTq!?`u_&NO8FX&Ap=w=;3qlnMLS^MO2) zUuZ|^KF8QB$HF!nl@Ia3=`$Ve8`+KW0QY+Q{Z9 zIXvx;DE%_dM{lp+V0=wI9WKmCC)J3>NO|Zr7$Uc=2-%xtLE-4b);lEI?}GCcoSqGx zVJH!$MQj7j%PCkP#tF)aVy}ibLLd6P7Y&djGgM+gx)1-N2Gw-hT?KRmnqTBx2hO4Fxw>j>Nplj3r|G-dNX%~ zNj2$>nf5um=9RZyEuY3W`pTSEM+62Fp5*SU7-!r?wCO}gx3z~0w{_p*MUl3CoQ+BM zw2!WfXCWfY5))>0XPjx_@3Oi6uVeL(X6U8DYY5Vgc-L~9_*^z$t^65+t(O9iZ)o4| z#ix{Y+t}lHf$<;(w?p{t{=gAXssonu(~vbj@EjhhaxyVM;92fV1>NzrZ4aAwoNB*< z)jbqdVV(A0s3#r!?CW$pne+qZcR91Rm&EJyJ?GI_>K>jqyEUg+@Gl&JrkCtxSjPnU{Yl?>1&uHLOMV+^u9{>!p&z;k67V1z4#bu z?rQY!-~0UnwEC~{5Rx(U#)=Rf_TFnVqV_7HFs!dEn$=o_{Ob|dWSs28#^fZ*Ken_5 zKZH^`N?qJGSJsRD)b)Ly2MQTUZt#{JvzOl=Xe!&Bca|?m> zafw(Mzkua$F~t3mczqlx`?b+7l(FTk@yNZ6^T1L{l9&1x{U0o{{*kYX{3#-_qa$a< z;?9ahdF^>Pq`Fi3^vb+^V)`lY6E=HA?{gMPFOw{2v^Q-?#Nf|GQ zRaB5Ct6bQh!Tev)u3=YTCmXw?mY!d-w;{jcDU5i^pS{2$3=pWTswOH5rdZzOo|8Uc zI<-BqVv8BPm-0}>xSEsfze*n!2J`=6?QCNIy4+nj)l7!_FG}8DD~~bLf2oJGYyac+ z?8V!9P3dEu_|EB1F-v*sl4361BH+7I&eF%pShEp}+w^{2u4dm+J2w`x(Rc77>D#M@ zt3d%2wk-aagD5GPZg<=tcI3lrI|5>({}SD8tY~%Ee=)f&{|{(av@`2w$R{SDP;FX? zqp=?g+p|J--dBhJ5%!DItbduGD#}*2N;T;&Eb(qwwwfD0D^?oX&hyz!_XV`i3aG2; z4ogu+S|+PYZzhc^?-2U6BJbv4(kk2b{xLV7{l{f_1-l|5u9FZ7H;JOReSPpEn+16{ zk9+2t#!gixXuHVkd5ASZ))usGjC7KD;L8mM;+ymj=rAm$2^lkX`;n@Lc}U zSb!CLV5j1X(dH%r3V#k#A+%Z^ z7(O}zAUsFFM3)0fOvbP0RT=7})xPOOnFRG9O4CR=_0Af{#r(h=LO0m+Dp2kVpo%RM{fTR_0(&s+8O|bpv^@P@w6FUx>RXDx?eB(mJ z5l{))KQo9=zrJa51n3vRzU5ZMp`-`l+u#+oli$L}GX{VmwfGT~NrO3HR zL!2Hw@PgTQj@muOv}Nk`wB&Gmt|-4laRj{H-5ItaHjrpFh3b19I5+XuJ|aI-C~@{H z%OzQtI^HBv@2?TdbF?Ix?&D!lIN=FLJG;Cs|vEPUgK(KN8wp_dTHfJ1G|ET zn(`pGkZEF8<5Dh)yZxH76&YU;D=P^jI*&J)+T%%=+4}?S!`imQ6GjVfmR9JlMbG6I zA@$lCg}tKL6ceG za!%SUg)l>5j8e3i<^jc4q5jw+;clzu<6G7B&s_MQuQ0Rrl3lwtL4(q8X)V!T*r!c* z$Y0b_ay^}JHZf%JHQ*n7-o?m>Msz;wUPWc>21)F^k@X6564yM(#~haasn|Z0)IIvG zS%)LwRcxX;c(wp~?K9oRbbwr~hH)tEGhR(G z==>Yp`CH~@cKI3di+FFd3~g|sW&DhfrKM-Dn|Yl}yPTK#l6hS&QiL?6w$JL&8A=~w zbv5DBlQBMDZq#)(UpvURD|uH5cK3?GEh;VezJ{)@(P3cbJ_7bdP1E*-w#vS!IQya$ zDY__J_SBtkc2mk1Gkx(qDE}b6sHpvl@Zq??D?vW`80XXNhk3+j^R{AHHdneyXhbKe zZH%!Yw^I58UB`0eO+%#|H{1F0S@W?JPK%7Cyx7<9)_L?Ho&OOVvy2bjFlBneby9Mj zr=w89x3{yf?3YrDtO3QC+CKc!@f-I$%uWf7X81uqWA3Xr1Ofqg;sKzNYG;X~=1$eZ z;jO60NVbryLr*DiJ`C-to-%*@A!KHBCg12_2$~9rR!B3>%uEFa{kMwKnx;*U>*E#`5`Mmx6>0N6U zt~Hg_^wr6-=V3LzOQLgQTrYzehkX5s(&{vm{#QB^asyfm*(Rt<}#dcqcCkRsing7L7O{X=381 ze9zTgPopM%eIVu;G}-ZWAqAp~2*_NNLmUeAx4_G{owtq1%K3|YytDN5p|lwVb1%YO z1S%sOzXbNVsN9Mzt7iUfO&n?fE*S951M9I*k3QFnxU8{<+z01{OUAQRg`-y?1_6W zRf@k`9&}FeUP+P0b&3vei`VDN>=8~bR``o&o~r0$jxY-79s#-Fy_BNCBj6)XuddX# z9xLaL{YIMl*ph#6FXCit5ALP>-IT?q=8O4VH5&PAdZUj*a{K4 zKr&{uoAK=CO8S_xM18SkKd_!l)J8e;v?eM!E$hCbRAr*U8dqxiCyBZt_tNqq;?WN6 zP}15e)pzr6B+F}N%Ms*$TN0O%E0eXQ5tqSNPgK*_nhm_j7-`G4@@Dl&?s<*1vs+zJ zRevbVm^~G8$ADe#>0GMzh4q(brsUMhqx>W9e{~rT3R8*d=>3|G&@C)lQCgym=D3$bpIBR6$hLjc z6Q!U*-R3#9siBQrdD=Bf=xn1}=Pe(31l$;KUD$Fczg+H6@WRAv5}{}1g!jcOr1}W( zpx51|T4_?vQ%L26y6~|uv$*DK)r7b3QG`W=(S6Dzi_dAB+$Lo^!y~)&I>~8ks{D?H zM@+g>upE2RRE<2XhIxWUv>$JINFH)INh9||eKgmLr{Sl0ol>_yTomwUmSV*1>&-J9 z_2k8tJ zISgg`<|+}Wh(3C}inlo>X14PU5@ME02A+=1VHUnm-?Yw}t|lMsf9=ln)>y?~Sg|th zdyf}8kFUOsDNJ9qbd8Dtd=9}r4ZMjkWVElk#eLXP5w?$n>~pfQfVTjI!28}sHbuxQ zUU4W>-B#rNROE%|&yBK@MOmFTXABjKtlPLKhmA|ib?yP5_IF4>5NH|+E%Q}oIWEs+ zG|$FAW2Ag5+*;tkv8mJQyv850CVFL4`D^>U^MiBG95O1YtD=hOis!5=RC!d#$Bt}a#44ec%P`Du?QvD)ROs@( zeAb^$Vc5b-9%yMw9(5gAQ%GQhIszK+77l&gVc=n!a zJOUpVY{3;+kwuBE$dcfN=gRJJQnSt!iZFf}+(ft@s1v z>{zVxRk?|+P};^13Rm{Wzp=ruE>tWy^mp_LGQIKFnDMtCfcauMKjuw&c;5}C2tNGT zKdz^YJY)TEhIdm|gQG2G;3A93WxP749KLr>X$!2oJ(>ASvb@=QSGgW$ zuzDZF7sFaVJVrX#9|66&J^8zk>A5CUwc!x}?)a`>F1s9NR)8;)qE?|_s6ntL`JnzT zg-E-M{f8nmjsC5eXX=EVKDJX(>DqO_Q}aooGt<50-+T?nb;~2C`meUlS(Y}fn!=~> zAR#XM?hg}H{0p}h?rvt;p>F#SLJ&LKw4z!{CRvDuSQ|TY!XRdm7H6mgRN{lH);1d( z&zNsx$bLLkR?tehfupW97RULZ8-BixE<=d2iUK3UKx*Wz!NrblrHb1Oj)Y&)4##>ww(F_`ILjw`_q|+e@d;z&q=LN$ zRtd$qSepAW9|sh@MHuCv%xWZ~)Ulxg2)gTsN|7!-P7Qe^?<==g1>zAw+7m7Y+6mFK z>`gaJW%*RflZVf(%v{ynP;xZen8sva;&=~j0DxN(9=W>qCFftPSG;^}Ib)Z9*}u=K zi724q?$=bq0WGE1{cZ-=S3W{#^yI=H20xZ;dOclKq%a=wwQw#}Z|_|Jp`@;CB9Y5m z;r8~O52U=L)+XOVYgTs!M9ZzED~qJc-p6kDDzS`(DAIUg=$Gp@kJTy@JY#-cTz}2{ zMoDnFp)$oC>5@^AF8Nln>Oy@voG8`^gSsBm+YeZnhzZ02-h_r8X4E)&!s8&fYFE!f z)5zgVWtIcFIN~#HA+ap4CGyfR**H7+$|4sA$HCdj$f1xMZBCuOpI}H2ADBL?i+kku^p)p?UlD%!8Dy zstW5}EdyWgn+G=|JUwTnY?JIwx-n}Kl=yGeB>H}Rc`mQ>%ARR$rHokGsD`Zq zZyWWh&mH70NtN&L(jpy69dV!#Rb@35s)%Gs`w+_li-cI9)+rng8}R3yyb zBWw&4xl-Mqe}PPYJwSFk%u6VlI%IVSo=w)&QDt}bBa83HFS=Y?i*)4h zz^PtRhK*kDDn4T&CdN}zK&S_OvaJ%TvJVBfgMV|@63mvf9!v-=;7l5Pbb-JGi)d3H{x9A2WoE3MbgXm!fq zIt<@dBRMfRCFz?8?Rt{w-CKh`*RyRj*R9{+N4AXe3yqk;1B_T##2=~ZrPj6PQ)RB@_yAP^`fA}O09 zs~pq52Sv2bE<;=R#O~5Eqb5lmXrI)(hVvDwlL|w4ILDK`HuVRy?A*QcTC+!Y6>Jtv zo^^&W)jz!WmPHIYv*9)4l5{sggHfY=qDM32-cXs9PiR1&e&1@vm3+>-eEM%|-y3oI z8Kh%w5L=e_D7tU9uCL`+A=d6NUr`gevExI;8idDj zsF5WXIYOa(rESl``&u48=r;7bUr|Eyj6;#hmXZcXRqO#2pLe9OM7mbR#Xo7fUeb?` zWr&}oBBng*BJR#7F}c?l=_Ft0eDjq%knUeS0t|>oF5}|%gcTS?T+l`cQEFlFY~fUo zIZI_NigMQH)e+T=+>@QXet$#q1)T>=QO=8VA$q85Rq(A<7F2+hxJkBB#zIZZY~@D| zd+At8OfGUrpo?N2tnI=Ms(uDM|0=2NK8b`p7IY%!FZO-M+78H{hFrs7`K0;DHCdab zVrb6Pph7{(!NREu(Hg?BY*(0|b5;NAr###I27G7P&-@WVh_wswTpL`Ks=@OMcDj5S zukad#?ePG7pBJJ0?c1%C?(1S&2NDS~tby84lD6!E`SZMQY3K-URTtJa>?hcKW>}{y zvB6qL=vS1=_Fd*{r^FX#GIR9$#yD7?WWaKUcrJ@WX`J|dj)*fI}t0aJ7}noKxS=rYc)tv?1Quj=W^_}8ilb&CUqAc_^4Kv#DP~B zJHZ*%;4wKH#y9!7EOgiF((h`pQ3k{c>!)P(##X1&j4I>A-{DmGY{eZxblWTvTtsVx zP~Go+^=QRKA#&zf_&9~ZqL)d)cAu&xr{2!FGka`4jrV28E{fk1U&HaH>ho~mJY*Kv zjp?bW)zc9l4#37AfldH8xVMsX)8EjI?NC>cA*ha#N8afi<%AKu-_fV-rQ1PkxTdv8JQ#n`gWkV3q9JoT4SE8hJ1G!X2-*(}G^(a%(qG6k$fN^Hx0C5-;jF zxl#$QP%7;ilWt&Zul3YG&VF+;A>|uQW*EH@)nO{@aK)=w^P=|(L?Od;a>WaVB{f-~ zcOZ%wE`REJ5YL$zd6%HXG^D5WV$0a9KP9du)`ksT*ghyzU|4tY0MCRw}=o(tX8)=OeZM6;FGrbQDhQYR#o6@;dxKH6!rc8FTG}pSHa#h?|#~u|`wH}Prd*&^?%ETidk>2LsaR)ygnbC$p zO|5P=Gxab9Z(V@OBFDkPHQsE9T)S$x~P$(~E7Be#^R(NNJ? zUv-b(mm`2+RZ!!af0!dedAq#qU4YjWM8GmGq?X~T*L^b40XgHoOrIYYpb4UZJI zeP1TpN+rrKMuUyf0+o2Ae1le*tG;&ECG)dHW}4lkqT=)QHB(uMKedKLo3Y@ zxo0gYgs*$bS40u)iSaZ_=EVMNdmQS4lpPcE4<4juXs#0k9#T&wYbo~&f~N+3ZOPm5 zam3uhp;D>In6#fHT3;I5ce~rUO^=^OCQMza9P6y@bo}(5bBGM@RaeeESmn2R{tuS1 zs~;kQt`5RDFpKHZ#7dops8jar_nS=V){F#6RLoD#j8iybL`(@?f~bZb*Gm1A zsjNv#68<3M;S|q;YL4IA_;!TJM%hM@@W!3P?bITZoNV^1ajqpf7Cf&tFqx9Gf@X8b zPQOmeBz6w64ID&4zfL}lxfVHaSD0od>Ar?niR+gv1J!}_KpBcr~6EkIS7BW*Vh?$uiYUe$WQhTf&_OL{dX zbl-mPF3;13>I42K9U(&T3NcJ~n!|Wnuk9rR0TGc@!OGqP{kfvjg9fc+*|$`W!I+M4ZbFsd_r)>(n4?!R3cg8#g9C_S#Eo z8{rh^vI5%{-sUyS^4_i}Dp*-~Nui@~ORK)dXq}t;sjI0?RyS9cjeSidJA(6F9?4B= ziZl6AEORv8{WYQ4HNImH`7W}%^UL<~uMj;78F$(`c)l`NeYP8C(LJhFa3DE&aT{tb zQBYanW{RghyrzC5ov+wsgJ;jl??cjHOVySf%`rs=*_(U}(IL+m zCZE%hI;GUJM~t}5gC$~F>(gM#PXH!2{4m8y zj8G4n)-Dc$(s$m7Tp%qoE9^NvGb`Vk%~;{AX-GVj?fM@?Z1n%<2$E z^qUd0$HUGqe!yG^!AZWH;zm|a&MmfAD83b)CpQ}r(S{2XHpI0Xt8 zE^*2Yvm-=gy6`qCIu_hb(}TUG9^c<&^`J+|P{a0Dz{lm%W(otqog+-}t%fuQ@PuCo z`Q{VTb9)DiR7K|E8P->R|FPe-@u1WV2_iOJO6%Exm0eun;mU)!NCXoTsjfP=cP3f;ZnF*^tw3a z`lFUwwfBdwraG00`3%aAq`dC#(Qt8?G#%u;2tI>&r(nSiYJ9D4T-^FSA^CGZ?Ieu$ zMJPbv1skyk?}9rV>{>_9#D+vprF>}*I0ED={7ourN&}e>a%i69imhRvgQW|+F*VSk z5tE;>f{$&MxOK|Ag z&<&{kyzoR9o@Mbb1WX2`bX)rH&$HXK}qmN9w z0Qmwi#^77u@4cS0@KJZ937KZ?^W5~Ar1NHl^n8(zMft@6vzp4#sX^pipaua=#CshB z`L>G>0tgDA+apDLdx~RIp}&Ucp@Bzx%I3!YeJqx_KXazo>8+KrH za0s8)UkPg|!dui0sdyCk)FO6nQ6rFag9~T=&Z*$&hMCX`gm2{%>b?c|)^?yD|E)Z< zduzG6PLx3R3N4Gsfq5$0W_}3C)M}uQOukfx<>yNYbKBGIapX~=_K1uyju+=KBy2Kj z2Ajj8w#RPj`M%N!pckFK8_($5ub-klF>ziAP)7~+!M|Mk4$Jc77whruPvBjZZd4z= z-9{bwCW3F;PKx2Z)sdu?Zpwv(63gZa3d*NU9qCd1o3efuFdHj4_yp)bF?50~nws>r zmR_hE&yAKjK0=3gGybO1R zH6nN8kAUmf!3SYz;YR>)+0THIs%Rb_4~`vd!se@{mZbP9`Jz^T(Hu% zfXl!~2kZQ(z{g10P$l5Bp1a&X2k?rfNQNj{A@-tn*TghWeqR72RIx zOYY70%^LGokDW%^%>8xHKgA^MW*`u74*3!{o1c5P-@)@$n|iyG@vP*+-Ro5gM*QFl zdw(SYMZWs3d!LFPJnOE2Xvb(?x-2}d|CkYD9y!-Mqg=DXKn%aGik>R}Zi13T3lqG? z5j3zqbeSlv#wbHrG(Mnx1h@~rvHTzL zc8{z(>wI1&UyRLxG~Qbr^ZBjJYGRrlnUz&CDvI{$&Bzp9F9@I7tHmwhKUC0;@{ zBVD%ahEwJML08;KsTVzm|HFj*2g7l!U;o21EbZTp;h!nsw;cY70*X|3uS`P-J%-*n z8hWIvdhbn;$WZgKVH<3>VyI*EZ?$-NodKl@Zb6-x4g#INcRHv$tMBx43~Y})@9fA> zypmWc>l6`@GCf$=0t@;qP!gxA&7IE_bk0F7P_aP8d}D>P0M*4vUwtX;!`08h?@9-m zS6hpo#NKB#AhIpjOUy9~xyW-iplr{NVi+3j_%=G&&vOP-|12fB5MFbw(Vmx>#Q4@r zC98X0$clk{wRbyI^$(vBE94SBgw#d7XNsJMpDLu)x@i&!FNf%WC&&)7-KOcS2&T}@ z#xg6Eei2t1{m4xoPZ^B?f4jZT7My{LtNJ8e^z_YPPYFDk%iw_w5t)QKux(b<8bq3z}b(0_WQnGZY#AtK4GQ z!OaoPGImOxV&BD(J;%p)_i5%5{{q~&mn-9`c+Yl@^WwVgCf0+U>XG8Wc1+Ad9xIOf z;yx<8rNR}x(@-cpo=Vu zO4TQ*zbnQ_7yvH)tNjJ^?Qb(7fHcEkaex7RHV6B|jN$%a{=BVfjI+@pFG1@_fERQ_ zTYCdG7JX?^^BNN$GS4G+B}u*CAW9GUF~|=`1Z;4tGGM=xlK_XjDy+lN&5W5U_mCD5 zhA)>C7)@bK;pQqA^eDH4=AKC4UrhOp*)#pNx`YSy9lbjyo7lg&=h5W~oPlH)KO#K< z-e1N3ZT-KGkj7N#Bk_-Y0YGQ|Hu>My_J_q>KM@gR08~qvEjYP2%%i8h4}N_Hk2CNP z7IWc9biS||2(yc7@1RyS6?uvMV?iPIjN(8;VP( zw;722Hp2{n{Ga}@`vz!{TSx{d_M056K$e=!UbnYHZg|2*B)kG=kQy*N<%B_d#crkD zADr{=hq)ZfjfDcbPUnoF{JI}VY38^cjil|aO9EXTBhbFIe{HPKy7h$Yvm5NJL<{X> z?}bo}I*?98P?uR&4gb~E0glTrW{cx?4gws?-zXGlT~D+q^R=q#Tin9qbPH$WcM%dI zLfG96fN%CswFT1(dYXd%+y+!b74XF^b+SH^Gwksyasg_`+{aomX;Id9#)`k##$&4; zJk|gtyKWs#=~ri;=hrX1e^T=V{OSqZbPE!06$ik6k5K>0?>D~O#{7S1t{bdtSz@K5 zHpruDFez+zuO{(32DWljpLfe|I^yr>zubV-M^>IN`6`1gA z$h~uuTZH^eFS`%@sUb4t2W?9u&|a-Qs}yq25*j@-Zh1ji-YWf&RYLv!C64u~{Vn1U z`sg=d|Chy~TH5J`l>ZvOX)wHHZ~qX0|2)eo9<@eo)I4_`!W3n~E zfI~ZHJ#bVa1CHE7e{fS#+Mf~4AJqFFfW{X;Fd_SvfAH-f(El)e?-~T~Buf0;mA>~+ z(Cwo2Za<~3A#EX>ZLhH4Q?e{KkNF}WGnuAQcQGZ}p03!h!hf5*|L2X}AEAc-qW3vm?UZH1@kt-m}5CUcOX3%26`w;_K4u)?ILBNaqRvwPWPDY7jTH z&QlkT%cejZ=&>BrDiz&?-o4#N0zy`jUA}PCo0_sB<|h^^!`otBQmwdTm0S%+u4R1y zo=(0a=)G(BKHx`y{>gq?pN9X$+8u!w!WbU(Lkyo&gSzA6+-e|3{>eKHh7Uw>-_Z1tn!hZ`v+arLswD zm6dAWzq}`#HP^Q)QSQc49cx@{JD>RDTfb9y)v@p_(3Ks0br*06mfo8m!Tk;)^9qeX z^N)<|8m(pTd-m^VLyLA;lBG#6)z2m$9DO|xMZh>e?CeDCF@6_jyP>y|eE+nj_;D;eZD)+5jBLXm=?;jy>L8)IC2eEvNILhNd z?3&=3a5!PeA7nOYl4=&PJ*+RwA{c>BVu_O|XWG|g`)MD1r>Gz>-&<^cJfA>kMi=Qw zXLWQ%%h77n?UNa8Y=qV;>7iPTf3%#hMb@6a2++MN#fQRTxf?~f8EdCUXZ#*kvBgdporiVu_`0dlhzv+@+EA z5&W8;f8DQiexLz=Ea2M$eg$lWEbOQ?Qq`WY^}tG~EhDK5dt6096mHLjnOCjf`;joz z9;yFrpi{DK8(L$zRMHk5oW@z(*wT)SQbJ+MHmZc=-Jb3FBzm)f@Y{38Luk%FdC}w? z^yI>C_0$pOcO~)i>@2A;t#W(z8OqS^j*b``e_nUQ{b}7^gk2nYPoMkR zCq|}GSCs7NFQxd^ETyFsxBp0>#d>Qy_sJ}zrjqN zeqCENI}OEVmS#p4d3Aj`T8(==UfJ)N^`C9a3mb{w`?{Pu3h7Xu?pwIKSo%@PZ+3CQ zG~qdx9c|FG^eUGPatXfUJQa6S!Sp}kqfgkp`}S{_D-NE?XIV{dUr@b|Qo!(>$?tAg zrR-%82=jjwl)Wy4=5!bqrfIVDSUz0387kzG8IDp!ze{syXL=XILu|`b5VPE|cDZL6 zrH7T51<7Zwh6g`EfHKn8JP*maF-F!)J@_YRbJ6ON|lfe+k_1W!f8v8W zoXYkS6l(|-+gcGju7mcv9Iu5!mZ2vD7w6z>4&Eb5Iz9lwz==$tEPmtTHMczqAl8-P zS98FT(VhP0cLuc8I@uiU@yt@&T+*RoHTx;A9v{vTijKm!@jz4PNN6T2 zLqV}+jNl>VMSo~_e?)~6YnLU37mvrpX{Cukt|Vrur>io&r1qdX5DP(g?urr43B>wJ zfj~>s`?)K1>fh!9=7^nTDVp?ggP^KsWsXso!Ry^F`?ka=H)_1v@}DA))C^2)7noK$ zt5>R3#xP9NVQ9tUNO699QToaOA^cBH*AcQHb`W`unY0GS09te{W&>wVAW15A%x9ej znKaz2}YzHM1=S^iW?GYL%rj^qGrDcF(*+CJn9q^QB8ZX_8;l`y`VAlA_)dY^c zH*!1uBZs4P)u?)Q7@j76Z?~mJhr$Tf%q3+7+SkpR23d{q4c#pZ7BF&$gv6gL`^5%x zho!9z)7qTr*u6ir%(Jkz8>`er>*x{JkXVrq_`Y^1d`gMRxu~zYBjnXgCtPwYB5I^B z4Em@K;=*~}6=w=s>q+nj(TY@co>Dto2Yt!Ou*-C|5}dB(3;*0f6YQMlVQv%`!lYJA z0S9@-MkJ>#WnnhFKKfwioTo)Mtwb5p-Fp`ag>+4%2IvQ{nxrdJc$^nGOAuFRenhGp zFKS{t6A*j2)4>?_VzC-APmgENas$b+#WrZ|#iu<)f338RqBUKW=A5=o6IHzV{z835 zMWLOPZzYwN{0YLThVguBXL@k`qhVNapS~g1#t{3uuoy))N#O#82^|F$I^qabOXKtK zGM<$7rKcACd)ueRGHZ|T3$BY+FG0oHbTpIH-_8YQRHrFcRMkXi10)7_UrfV5~oBRuFS-q;Z;dvi{{yM(hu_yYgsf85n;cp?Rypn zx(}i9ih6vy6`5!>d?o1Q&i3hBxd(>%bJnMR$zJm-?>3;Yl^=Gt?8m%XrY%ShZ1{T% zIvGy{mKq*8y=yD=E3;%Pe_JNIGLl51ztU*oKp%}j(^d}2nAOOCvcrOn{?gV#f!CWC06j_iX#XA~A$tYtB4Ek`I`hFHA42opr^Lw90q zZNG+9(V0@Uh)$5Z*gTCb@GzJ3=_yY~ucE$>*1pT#E~O~Y)y~g>kMe2k6io4P$x(PJ zUK|l|l^=-%bS+RsP8l3e$xxHpj*3aPll5cccy)g^hrX{&N00B!;UUA_7!lYc)VA)n@p?OWQzopKhgZ>gy+U&QjNXMq6DO7}#9=krGmNY#TwLONU6kB`W8s#H{@J4wah|w=_lFg` z$PM=+);ls2tp~mW3BRIE5ige|kL!0C;$1vsJ|!{{`T4~d0nwdXn_`Nd#LW?2Qye=? z^Qxy+1|**&m<~VQE1XM6X3)M&&5BJ+^*tPP+3uk|aVeeXJd6FSG6a3k7fFS|tNC6MhL*GMLO&1%vn|gKB@4Evy{!;lJ zS3NHs;k}}BJJ=g@nNnl!zD#R_Wil4wbRk-&LPGw980=^?5fU9s5wK=xZC z4;$+q>%2=8fkICW5p7)OvRA}UkYpo!^kX6#d8LxaE30@}YrSQB z9pa+*m}O(bkqp`rOzKfKPNSdk?juN{g>*@@6&L2x(PvD~#`jojk7r8elWx#c6q)9j zuX)(30s~nFBu$HyY`nGEtkZQtgTi6{+pSs14(=LV=L3RgYl0>UHJ16iYzp}rTUk}* z5(Mp%W_R%sWAd)AOi~j!WraE>XO48*RZsQu;?L-_uLOY(3`v@oQGm~;qUK8hKLmh6RJN`ZVfc*mV}HQJXq(9b1!U#HT^6 zPXkHUkmh5!xP-2) z`(+_A+8I?b8gT*NWm{^I5$QleCnB#ktF#X0C?Q=O2h`W^S&FXlMwfZFW`zLAjp3r$ zC6lJ%1$|zpb$`!K(8?Zc<$_SuPm(}0Q(Re+0Q7(G2cdEv=pRoCfM*K1fojf#qS{|w zR+xMf&@-7EFmrkqKy4z2q3iK!$3o=F#?EksnCpih&j0bv?I_{benl7jSruX!5Du_i zO7Uf5a>KueMpbkJ#?M5obX`htruC<$xMi&$oDU&SlbzjImq)3TI+J5d zb;WuZmBmP}g!Y}r51o?Jwy&wYO4;C*7Cg_}CP^ktRAe`Sm8ID+cO)#%?ZMmtOfDeX z2f4zHL;%Da$RA&<0q9GmTm^QuV^l@VnRIa=>+Ts|&?*!jB5h9){pP3x>D;}8dYjre zc`d1P#Fl4jD?Zv~<`IL|7X@2;PVwG;ar5U(PWX{U3u6E56>2%W#flGi)xBPt4z~rs zN_w?XFi+h-2l6gdV%Q>5%pdG+=|)>kn(CB6A(I;PbZ<@2*Vv^fyLKYNP}kZ81RD%P zA&m=jm)AgWpgsHWO7|K#Y68f(tu#3A)7bd=FX_c1+oE_Qc1epn+hIjLB?eq}B3(_( zC(COUPW@K&@lkl)f_18_gA-+}(e3jHdw{NLUirwnm4 zWp6M+w?yD+JeoFK;g4vF;HrP4?*ATy528&tn`Op;AP4 zSKQobxerhI@=_Cj;JVz}ku_JVR~iPb)PyfM*{wN6opI|dc}6fPyvb8|1GZxW&E$)iYC^=SMY=9txwwLoaC(%DJy z!>rbM*k|p!gBTG(iW9r)tvR;pESwe_PMRo zX*+zFpR~s@&D$T^E=?M~k}3EwK|*Nc|CQgjF`j#8$7Xw%XmP-BaA#F-Cx(UG#jKOo zpI8J-OiZWr#zx%a_2e8W#l0i5_WUpTjdaZQA1X#M+Z!#MoFJrzoGD6(#ZeBT=~-F6 zoLO!;FZoZ!OdG1drBYx7CcEwm%%3cKURG>3{wh0a9=>b<-@k+GoeTgt%3P(8NDc|X zo{vcvVUddaGZ~#}a-;1@t9%jQ(pi>Zf;i#xTv+>Xm`Rb~p0z@(%uqq0iip+YgsOx- zx1^V67FO&iqsn27TS_;FP479dKf8JiNL3*OHj4Ykh)OH(zTB(i>aV+3J#)XN#=#2% zu;Zb|>38S%2FfcBob&`81AVTD;!>RGk)9xZcaw822?%21eQ*@fV zM3r=WCDKKD22)l)D8QIsb-y6R;M|{-K=tc!8IYR|#8NL381K(;QJ|+S$gyc$)&yT% zB>V(PD`=%s*N(20$57)=wb*7q`(Ea7Jnp-(=`p_w?CpO%rrrNBi;?(8c9$$e1aVsf z_lU5_5+)1SAKj9bohC*%D_XZ#oK#sZ%JFso*JbAcxpdnDgUOa=A*q5zd6h_r4TEb% zJhF29q-*3`FJ9{L?VeCB_&7?+cs`c7CeF0SbySMDGWKk^$OH zIac4E(uZjEw-Qr^` zFW%>v@!$+N5jcMM(Tfo2zYyUxAxp9`6axt%W6KC{g^r!MCJ>#?z3`HqB!KYS?KPMl zHZLV7AV%t$dkwr3l02??6Ei&8&XRU8cNJ7qbnOWLk~B@4zCR3qwhq}kZ#@2@6VS$A6aR5R|H0aQ-(oi@-3B_8#=lFm@E?NcLzhJ9#ps87>h$LQp8QNY>Ys=CE zy9-NRsMdpzQYFJ|yK63{vcg>rX5`D!xZ>as%V!jSR6ezqjXO%OU+`;v zW&ey$h>`I=pb4V@Hr5Kka2D|9cKq^`ZS$$fYcPjhK`V?hD@xou?|Cd%U2 z(CV|=O8+fzg0eP!xI?tmlolUJ%EUT~)xcYC$XEB83oj87vxr4Bl~<8;TzA48qXuQ> zaXfQq!Xj8iI~Jtgnd3by;w7Kb%3mptm|T=OrQ_mTRZ=FbIi?RL9DJ!mQA1gyn_{6f zLK8wC!nB9P*n|GPh0dyVDXf^s((T#>lF^7WHU~|(!q*95$|3nTzfBW!e&C!{DDv9n zBLMWREL^R!M}c%1jX<{Y=PoGgRqzFLQ-q*u`&mH`MjmkMK3yu1+gO-ZlV6^YXL=${ z!zq53M1YZmcTLlm;u}<0azV?v6JHvPGxa%!q^CQ6Z%y_tGi4~DsFn?p2w0%~F$aMa zzYh2{@6@%Z31%-fF1t4MOC$K_5;Q?1+$aeo92uIB!>u9$YvQDxiemclh|_@=6<+Qt z1g{yHadD89umwOEF@m$S@2eW#>ZjLU{DP<6?zG83lW4e3BGd1)Q(5$p)b!^Q)y@sw@B{Kz zyI^wpED~IOjqwelRPou)Uhg6nbJ=i3$K*(-WC#v>7Im--)5&3nTEynFy6L8%IIVZ2 z1_Cq@0>_K>j6YI%x!1qscVx}TXMl6)w96`!1n6TO&J848CBl}MpI!O+Ri>uXgp4Rl zf(X=8+y$dp>z^Ek*e zEF9K_YzL5bbq1d!BHD{cSt}tJWc_h>DrQHv`^RU4+dRQ8p(2JVGHfn)?Vp8OqNFls8l0&fqk)z8Q1w9|1x`Lf z*9ni<+fTZgChHAYa3~nNa;h$Er$9=c`s8t4N~+<&S>X10iogaml>u5uYMTzzfNEBZ zGK^rf)9fIBvR1Qr(k>=qY9mvHknSS2MuXdx{uwr9u)k6w@pa}YnIvZ_K3g(34;K!? z%gJZPZ{C7-Xaz|7WPJ+VLv{Ac0#`oSa*bKLGsLjGyzds(!5Bkls&u$u5{n#aLK#d- zH3`vg7PWZ%m*kW7NZDlpt$OXS3tHXBTEW!2OVi{g>4Zj6G6FP*Sj^?X9)CE#%yG^+ zp$p}UKaxf&v7=_`EbQb=P7X?odc=1$Vh%i`tl`2Yx+ODecRcfigJHMd!r%Q2WqiF& z%M=d_O*kL~RG?cyLOlj1BB(JzW<(Yav-!|r|`qmg>&j2jM}hnb|RX)olPxk0z1P=fJS7NE-%1Y*ujk(fai|5xrZmp?E$ksQQn$C|k$YX;}eu`LNM|jcU zDU)At1ey-$9MGXE+Ph}E_5easSy%YEkid#TOMXqZf<8w_qF=eY-RP&xC;X$|2YVG=ENz`o zck_dcF+~$p5=!o#E2Bjp@H5!ErBF)YNi>cVud*uqaS1_}qjA5QXT~Vv!nmEN*?mqm zX`wFRhVqe$4XOAxVPP8NGM_C;iLJ`QLdc#FM4`uch-SXaEjdKnXiVF<_8`}o!(K|$ zt||Vg8#wgXTAn4dx+>JhiXDSy_i=+#gR8vT)ReFptg*!bX02RVS($q1z8280&A#1A z9=NL1sUeH^?7jq6gj&Fk4qpS$!5dG+8C|{atOa!C7E4-6@-tZzy8t+abeR*JeAJ#t z3}MqVGl41aaM)m&K2R!Lj~31To9j0k_=DW6Rt_9VZ>U2>B0&VoNu+d{)^y-BX_RDn zGSyQYEz|k1q_1PCjZgr?gQVZF*?<=~rG4O1Up|cHEk=88l;D^s+1!dCNFw09Mp@&e zGq684$;Pm`QeIuiPE(;9Su!ymoXX4BC3>zVM@if3C;YweQ{+ecJJtGsLKvsU>MWPg zMdVe6c|${;8$z_%3h$Owa5XnQV=wN`c~7qNaQr)kS8}sPc+J!S`&QC5yW{b#w1}4l z3_KuSr8$;oI#PLVnVYyC{H{*I_#IVz9a79KG*J!`%M|KXAf`OdjWd-E)lFFX?-`Nqc11m2XDWc>gNt|FX_?Ry%jx>CAHw(N1{lYhu&@|KnBrUY!XJj;(#}I>Uu3 zZ{F;wsi^@EVW*}w)&y5$%oZmmQx>}52RNVJNi$2F=HD9}1DqMxm!>0B6cW@41RAG< zQ*C6+F+>8RYNj!YsB}l#qs-TGt_^;Yw^=LzZD1}Ux~b3XBm1B)qi(Q?_2awlLzWT@ z3&sbG%NUq-C!_@cQsNfZ;Wdk<^p{CM$esW~#)`Z(u{p+x*4szop30KxOb?dgWRO`l z@#nHmF05_22=9;GKhta|c99QqsTYi@vwrXsl-+_SY%)r|!)S`x@9BJsKGDcK5Jk z1((NsQx^4gghBz&R^R}EL#*XHUa{RcyUy$c`Y`d zqI$(!5h`0#ZEI&88pIaAv|Zu)z#?3s1l*vG_fF=B2K zY}{H^mGbKuF_ZHjSxi`237%|GxA|Y>LrC6ASWp4r)SzZI zgfBELQ4_-K*zg8Z`;V05MWFoDR%T%C9vNRFoV(wMj$Ub5kVg=e)IH5wFLh@JF!L>Z zHP51_IxH!V4@BncLfnG(uu8rK<+#LtXlh4&ek2y*t)IU!D|}$^(Lxxv<+|86k8yl~ zyS<3}o!W=253l_t?tOpdqu?ZTyDsg9A z%R)ArLa72Xn}kZIp!7h-z@6nTW8&C*CL-TKVM*I3b9Z6(Ekcy3bv1;A=}6I2x}nme z4TG!PJ&rZz)*im+G6bM3bL}eQ%qm^#bNZyc>%g+PYg`|tjafcuSuv=rHYlSG9m#RP z5~DM_gp_#MndY0TF-dp}BPyY6fe55%Ywd^rHM(cfo6i+v-um{o&dt==op3F)X>(ybUWqrnrGaO&y<*of~3c|j9Q%28!m1~AFa?kYYYP3a)L&&gZVy27Po`uL`BN;$EkJK zJGCBz2gAqO-lWK9fws;bv=tFUk2e-$_6;N-x4ON9TmgenT=b2G zO-}PgPw8mu^Y*psnkYLMp*qeSzC9|@ZJ3={B33=HE6&?++<*=@+eSx+v{(|b(LUJG zh>m_L^CSl06_{~ktiC8**of-&nFjO`I*TlutyvYH>#7v3)AxuK{!UP(jU64RN|=H; zi`&f2PB?G zx^HI~Rm9QVy;eE~!Z7J!N6}HQL#}PWecAYk<3p~dqvDe_SG1*Qb!S8LS<5qYUU&xb z@Ru3pbH2d)!OPlMPPEQ6a3oLDv0-6+(Ucq=MP8<7eEVM&*>-eD?f9B$?`Y!LMoZJ7 zJkb>9&pw3!8DNBwO0y+fz9q?TBX*w~%H=ypfjczjziPL;i6y)>@IClWn;K`#LA)Mo zz*{oQRZg;TrmY~7l@qGk6~(bhMX zc*p|hkZ5lyq_qW0u@&A^eMAAeYX;_Ul!%T;dMp@HsK7!XZE0(jExm z+Pz`5>XmL_`8aY#>Qg{2dlK451mFB2Bz;;y-1|1#e~q)Ob+6l>Sw()a$5L3*B*U_8l}le1xi!r^p5Ow{K>k*Rm90rjF8z z`wuoQ7&5ZxkG<>*6uxol1dXxQ6-D_K`>oDniS9KL6$o>9*yc{jxyZ~m5A9l4**5e9 z^UF~?Sn|)mHl;I?rl2^<%4jPHoQ4(d4y#vbmu*3|r@Yql4dxA~1?ocH;1VbJbJGkA zB;Rpx4Eg45``O2zysf$_hV;5vHT902DzQ0qOtnGrW5T?~nkVeed znC@k@TgI?%(Z_q|Rv(|9baaF5>#XuqiR%M9G_1;QioT)B70DP?TF zM7fz{)11oNi->U?U0sE28pO7dJ<}AGt#-R}pSzC$PZmQ)(}9CI=h?Z(`3DDyU1n<7 zb7y;+&LRCgRIOIbtZCL=89Ljb%u^B#!F$r()BDzQnh63Fx*(zlI``y&YZKbJ%3BLDGi029i! zIg1^sFi91 zv`J|Xn^mn&_30_ohvl850xF6K$GikoJU{FhIN7$Ox~UygXSMuo^m|EaUT4|&1^8>O zl2VKjuC>@#7*6YnoP6-mdBrYPdgS~pd#ikv%Ph_<3`79>q>-614?tO5DBG6M3JXmW zM@>i(cIx~;#YkUj(@s%tSlTBnqB|KrAImR?@MtHFF0{jT*}nRH7r~k!RK&u>(TLb%sn4wao1_Ft zcXSsH2p>90ZV_9%UCZRj;VWCaG@5WcsT$)&{-|<*fg|B2I^HafrV^Y|5YWl!=6N_5 zsdJi>zHUGq`n(}&QgCfVM=kst1<=uncErxcN5M;6kdzQei{HTBlx6X&*lB!YI&AL% zdUP~fEOK0+>-m~oF##BsfmXv~qN=M7m}cdaH}C#lh%d3_WJDfYL)@z*z303P=dL?% zJ$$A1@3?g#3Uuh~vzfPJa_iKVl#bAheDc?j*_}tC`%YcQ#eFSumtqL9em^t#fV@5F zSxx*~U(<>Z6GT#WLbMmT9Rb_TUq*Naf`rT~vEp2^Wls~Ul*#uKzu(>ABGEckO99hcNsNfN4=Bi2U_pd}o z#;C6te1U`1r}Bo>oCB((mWEi)ERpvn zQmoo`J`{uvvP)TXsX|HpmmWzO)lZh%L?4zVbk{c4`9I0Mq}R`~26a0~KS858 zLsGU~EFzfIm$tRcHUJCf;|Y8rPaHo6A;egZlxm8J7K*^K>cbj`;5?x5x*CO0l z+0t1KtJL*N9Lt~H;jYQtfA_}uQo#gV8_>c!=6bMk#y3kd=ioam9Q%Y$X{xS}zq8iW zj$Du~K;6Fg!OHS%#h!Kh!>@;&d>P&ITiy*yuHttPeRle7uP9xJIwe&}`c z-4F0b`2L+>4$%_IZ-7*hraBb5?%*EZE+3k1jN~g0)C$NxS&4Q7x#1DJ3Jg5Zyi8aG zK!-^7;ClGIHGH6!IW4Ghoz3w)azAIgPJXdZ>Xb!9^z>qP)qIKq=f0cMIsrzketWq| zp%t#B5^PwyW{h|6I*X>FzWR|On+EU8Wmb>z2nI8QFap1(yrimXYP1!9vj!shj0utQ zo~{&j&Do52q>ipvTu*UijTyQ>eJz*0@Z|^ac;$P#7aMShBaoh9JkSTqV-ldy3h1L^ zS))W(p+}qdqN99r0kd-@2{g#Ej_ifJ)q_R<1krOC04cVPLgV-PLod#NwEP110r53* zp%`%6G2}nQn*}e#_~swOm#}e!2z@kMHArZpB&g$z2gRw?Hf!2HfAa13Y|lua5y@EQ zCy8)BsOC4|isAmT5Gf+9+~>XY>kbut@&B2!vO=X<$Cz72)Ik#Ly&};vsWm0jy)iEbNFSFjgClv zz{~iAoOE3}`&Y9vQPMPFzDI11DOnCp8)Yx-pzvCt1FXL7wX*=^AHKKs%ZKpBfAZJY zH@EQS{(`1{RiVni?o+-T6QVsFUkn%WLucuTdck1$Qh1Z!GqsMLW6g4PhHKBR3e(n5 z1X>U8Gdv`u-s=PEM|4$Qgm|9xy223-aAGAZ#**xV_&KGmUs*Ic;WimEJTsno-9>TS z&)L3+eSt3wFmAv(*HyOpP(le{*ZkL$4?OBXo|d&kD+?)NI5nd zjKR9LE7fBeJ`?R$TWl^s{2e349g%R2M>DHO_dH=50AD@e0GD3=(Y>R26SRCWpPe3GdBg$WtPUkSebRss73lco32LZZ zngSRNMyI2vKylb{UCY>eke5qwT?-sB<|!zrk4bJFWzP}y`flo7p#0~m@hVLR&KA!S zNw(!AyTF0ke`tkYD=%8f`bv)BwU;M){qo`fnxnQ4-Ti!Bx*LCK6;P0 zG0_LW{=}dUZv#jm7^>tAp5nZ1FLJD~^EN9z<39tt(dXvDJs_MmRl3a+3~w;gDtD1Jvs` zyO3jR4SKBKX_zjZEv%zLFHXs=vFf9f7=$NS6v~)3~WN{+{~H_!H7pD0Ra$Y^9U~HW^j@C+J&B0z9N9U+#DP z^V@z{+&a-)4axr)bELjrBNb~Q79vV{e|SCyeH>>x=M76WVX8}jH?j*k9orY#%A$I={n%$_|_h!vGBO9D* zp{eEa8bh|WCy~J{r)b6zT|pI8GI!?qi{IYu=!xqj=^)*aZ7;EOVzVDSc7+=kflD`_ zjh*p-hQZd4sK3AjBg#~H%qO3 zt06XUx=kfPE8^+*H8Rll69Sq=KfhePU(ju0Ok0hR*f7}uciS*yuj&e4M6CaH|l@VY=5)1bjJl0|_T_tCC+dj-2g1BVoG1PC@t^c>H zXw^R>Q5wI|BITgyDsmQJ*Ka8U@Nlcv&+Wfa@_&TC3xKq4A-87o;O}OA_QoM5zvFg$ z+;Q6=VYk}rbSs^J&5MHBjBLR0bL-DOKN?^>-43mv3FZ9W00MgBkmQd4s)7EVf2{Ur zM5^nIeJfzudJjE{oYEd-RgKyc4&D&;KbgP^HfA?YGe<^e1dRo%#t!sv%!w{KK zGNo5a$$Tmw7e%6n**n~gKn?~43E0!R%SR&(tpoyV;}( z!&lA?e!u+mYYs1O{YLKa6)XohVvfw6w2Q4M*fADwHPDpUN_S9^l+T_WuTEQY-_l|AZ<-2H1JhKp~bW3b3s4^r3uCWzoq@u+Y&I{TWX2Bx<#U*B@a&D4+6#w=0|PTf+pU=YEA zshGn4GLNFns#~?x9OxnQU>NeFrw}p+c)|#~dM40h&6tl6XwkW^w6zAj4tfr^0EpGh#(#}bvj!Z+BZ1At+B1>d(7f`K}Y zUH??y{k2L@Q!=*@TfJu5QJx)UTMMNa58EAf{T5CX#)pVsGtf|x@TzHP4%F?pfQ=Tb z`v_dC1UaV)a5Bl7&=V$36&PV#70*bIha;O!J5(ccak*1v7C~-K5}LHd>E2KDvg~pX z#|k$y(LBdF9*RS1N=)m)d7?RSR@z08iV5a+pPW-WxM$g-G-@EjPFGfMK*S@%32q!3#nAIqBMKtez=RbW=l z;DcgZ@;Tzou|-P_lDe&`*j}eW;)gv{-<|Z zbx>mz8!+y;(e~0?Z76f3Yz9lqw#W`|Si3T5UfUyMi0bJhEx55XMRw;-h!;;CPz-Ws z>?a5+|IzR_`a5@B3D_1ar>?c>^eVMxEXT-yh*K8tqI*A&Fk2Noe}LH$YB7^*?WrFk zQo8Yq?d{2r7#tM!)2=d3ns-M(Dn)!~VtQJkHEF>-8^g*#;q&(HRp=M!SgJhXV_Htx z3L>Nh>4a1Sy7`gXNjP|)tbAt!mU2u*d8uCJM%2JOX8xB;WTsoYH>D(y7|=w4p0qH@ zOdtT4095BS9{h1PN8bJ{+KxARmTpivnneiO(Bk8h6m5Fk>=$3`LLMWXdoIEav;_xe-K`x-P@R zjfoTQaws0FC!2`vcIk^5{>WLt&n-+n*a|wBdDzpwN$`2Pg@jVz9)#koi19idvW&ez zeX#{hX8okdC4^)_p_oZIIn8O)$GW-k<=iFcpX=VCH+meZ&%6nj$kKTd)n-XLMMc2WgS{vy?$M9s7}8o;stR)|7>C(S z8B~Vb^K2BvEX;B=qM2$uet$|HNh|!2k+AEBsUUp}_lKE;>*0_s8&MiP?F~cYm_9p# zta!L-qAYPLspEj^T=kYgz`jX~0siO}x|iFc0`u(Qs))oO-TR6sXiGE>KC)MvCu=Q@ zSaYzTAF=1E!D{miLR7j%ueeiv@^S^VScOD98!7(_32_&^HVg z=*$jbvOlw!RalL+cAof7!Bbp{&>`*!9jhU44Al85BoZKAak$9^0G|qy;!3Bc+R#E* zJ)Uldtv0>lln@LpYkS-p8az$R>DWgB&%V2-Q<6wKg^#0ROFOml_N?A0r%rqV- z9xa^9h$HuAMtmu(B3(PgE388$D=Qs_r&}Xgi)>i=Qy&Euio+yC(?l}eJGgvu5|2w5i;LdY&-sgT`7*_SDW z>|4lQWH)5rP4=DayRq+M-^YyKyL0NCIQO}obKjru`P{$zbN=v%9y71^>wUeh>v>(T zYt0WZJbJ?4^OH9z3DL9iL=eG45NSJd3nu9%w=`HN%>&1#;6|IgjN#ndn=eN`5-$;%7oo%ye=9KO0pV$PiKFY)* z;6CaJnMJ|n8?1?BWI!*f7#kv8rojuOkLE?w=H!;--1PHbSHTjEtW-0!YL1u3JSZk7 zYo$DC(-K~ClG%$Z`rQ=8R7(<5kEu1AQCQ?qR`S5C`r8;tQPP=!e6gF2c9F>-+M6tJ zlKVlgf%alRiH8`-k$)7q&4YP{EmhnDNjEvvXv^B{Yb2mW7`t_Cj?7MJF5S>7J$WeS z?KxR?zEsb=MHBb81W_WQU}q5iROXTD!~wZSQk^RQ~UmubmlIWOoTnLAl51jb}-E89XSl92rw+xM;? zwb95$*!atTVWsttL${_k&igNQxsM|U>P(5YyTf0Jp9_FFdO0ndJKLQ%R55D+><{`A zGik_e&hW$bX~{QqK16QWEOKO~7(CKd)IrZ#Ib&|8qrhn6q%%3SC@u$Dl4vWi>PjW` zh{l`Y$EBS!15_nO%2In3HvTGG2(j`=q+uj_2M_46&I(vt0ax}NU@RIc2WVAoNkFUS z!FHW|Q6*rkiz5>jI|%659asr=6Np_s1Kie(1esg}kM09QWv1c0{;^SMQAE zck*0+JIi;x^LkIOa&dL-v1TrAB+fJ|?SZ9pg|t)Es>U2G_?9L^xfx@h#!Cc@bNka- zm|vq5`AlF0E6D?jQ|oXB5OTZkYWDAPzLXM3W+;X4ft>Td#^ercGpcP>s*^pS+>utU zU94J1IzK+bmR+K@Q8(xuYR-U{`aHH@s!Bm zo-MR%vcB3xVih8Orzgp8p*|HJ*`qu~`9PHNs52XnJzk)3LYbbmQH-9nwV!+J1@PH< zX?A7TYe(HrO*0QclB5PP{Z6VkqF9&_gERKp31*wf+JAN)aJA=&SF_Qb*!oYU`E4ko zsr$xt`YIB(q{r^kSyIYhK5>TY!d`^)BbfRIm(Sp?Ox?TJNF5>mS@8e1g{pXGRa#PU zH9qgzJh8Iy6tud1%&e}q8W&ec2bd$c4|2Myax)$~5GK_M@y8$66mPosSUNKGp7jl; z&EDFw>a!v`el}Gc#+b!jzupx9gsQ>6L7n~NKZrU95KVd$0VLN00s+K^>keaAaPAt= z43Bgz8jZFF8V^rn``ZCCPPGlTh(ZE(X6)ZSq1XfMlXTK7XvzbE<3`@Vg>v#8DG#d{ zr#rh<2Is(VG*X`qm*S#B&BbLe=zgyFMIXu~VDBBUh4urt`~l#Q*cgfiGG0mp0bkBf z)&K{9BlZBo6Z|7Z{^vN%_n$4*p52AY#;};OS5(t5=C)4_PO0BEB4Xw{!#hrXga5(> zA7kzV40}M4gYCbfr*I2E=5~R>ECU@eD22_tncH#hIW#w?talLEsJRx|;#Nm^zmc8E zd8@cF0%ENPkg>Yl{2U?)vJ)pd`EF2LICC~A^e~dp{$$zEOojZrwEf+MNHa#!FakdB z^$PQ3BSYp6GrVNOjLZ~UZ@*I!y85NKUsS*c&&k9Fp_buUy)zCSY2tb!BR+D^m!Vew zviSJuLoUY`G#$VxLV+k&0B(U(^fssOVa6lvKQ(gOFpHarm7AM@iTLnOSz8C8^Z(j9 za8F|x0j!)b$)%Hro3O*AfwNs_02ssg8K-5Ou!xC{;=%=>NAdpK3FP#}PAttVu4LPJ zyqr#x$}fGV=sh3#SbDooJ{i#Th%&DSamlJ%axC&QOx5k2mOaInFsg&uXP(I)IBvPZ z!uIF=!I^GS86C$c#0vu+_=XKTOi0~LRzGnUn_}Vsxl+51p2{lKMdBN^Ee-_lQ(^lJ zr=De}3>|Xs^;%>_m~La3cX=p{v|sZb@4Cv*x@eGa%E4=2_(mRDd;220^z(n;ijDi1 z@8$n*>j8=JV#tdUqYo7wI8t-L!fr`| z^8gacptS+t%8P=3SMAI=ZK9Fe7wx08x33*AhYoD>H|Ed*z4(7|A(a|W)UMDFUpIbr zQqso+`F!@I`09H2B=1c|bN{F!reZJlh?)j#)iJ#5f?9(SGqwF>6t!n<3 z5g<miWgOX(Ek%x)9^ArF3-L9%$xLT47iZr7;S))?0$M*PF9w$E zLBcI@kYoWb_cOVQjkhXD#%rfyUQwmV<=&`zUK*G6CV6(b=+Q%< z2|V4gDGEUe+Db<-fZHZeh;dk>Ps4K?=e_)+& z;7Wp-;b0CEszhEn68r9qm+7O33sjE6sYf!4+^U#$+0(sdj5Zs!o`hUGH~nnlUBiW> z-u&G?5HD-Jy(aKP?d}iL>sc#;D6m}X#EZG}&kc_68gdVO6s6JYUkM&2eqqxhm7z}^ z^+D(OL_|76Nu}{sIWIF6O59_*PwhLk@kOuG;IzS~T<^yF%DU4qDNw43A2~+zENtZZ zJInq@ai1@Djds$ZPxp!6nbXaUxl&nv6a1V?#LA&1TF?sUYNZkLHVg&r-dQVP&ZCO* z=gEmH#LbVcSJAtvS?=8UG&XA7540d7iHRoPjQ(2x0MRo~+5;KQJcY=Na-dXP`FZXx zo}U)iC07}#KY#0^n^#4`OJ+lS#XhyK^~Sd^a4^17$PHu6`xG!Sg2xi_C_^p1 zmMV(7Jz_L-_ns@1wEnp<-p7vg>#QldB{Bl{ON~vgr8H$3RCl3kCKkO`nOPly;aYnj zYL7v{;SPtzTas}bo{w!VG>bbv2D$q<0@55n5n-uPGbmCWpW{)zGiY`-EqIcQ{?sLZ zO-Wo_|C(cjTdL$A4g0zhK57{3Jc+f1#*2}hqMAE@)7_Qt6kDbF8*Z9b+Qwpwn@>=P zVL?0$U&V=j#dE2iwifc2a*QpNxcMm*n#yMRr*BSC^3)^J=XQ1{=liiOp6C{!swoyN z!m$VjirwJyQnl84+VfioAiDhyNYwymjbc}^I=d{LBoB`Fs($~R@2{W85hD||QvL@k ziTP>z^{Z8em|xSc&!_XBQ~otfYfu4r)d=@M#o$PNSR)KO1luqQcJ-R#euWNK4TTkF z-N_euXN|k|@yCHg@^x~gEh z2P)lkDl!fRx`oqvzf-Pk|A=CQG8YU8HSl@c7etZaEU7ZBkdA2YtANZwsmrF2!HwE3 zQ^a}aN)yw_BNFNSjH_V#0=F>{Zv)<1JxP`_SMY2Nfb2eLb6{9nyx0ExO^AG#s;m#+ z+3*i8#X0C2FrVV*78a$kJoh<))Sby3>trqZQ^EuAtDeVhT|XAF6#H@)9T8;|M4CuV z?zXF!xCN85r;_jzrH@y^rx^Fs1V|CCi^LLdWtqHllnr}om-1Pe0!Xd0@jB0nUR-Uu-${FK zIXHzqd8aybq?51$N*y#)7+EexbkTJA=I2*=r36|6#I+qUT_bRsnTLM;v2Xewx;@S~ z=EC%zp829Zt(2H%lp9B7ilC`f=R{lJ{cy1$24Fx}I?avZO6Mzi0>z?{r(7VQ8k9}KeQDZpwzqS$}HDV6EY$85@|TD??dK61BBVs)ud&75b}uD zxVBwpt4&Xv=V}D{hB|@Tn#0lH(39Ag4m zER?5d`Q6R>`Gs)hb6i=Zvs_2VnE2B~JgmF0rkEX%KFGKoukUEd@x}LQ%Fprn(lJTVFg*4F`p&Sy$xu?IGn6ruKO~KxZ#Q=RjS&f#Y_WgN} z@I)5Tkb}UoN@&OfOIjLD$Y7*@Ra0 z^aR4EpJ%{Z$wro4t=fPCas1Ah%sR|^mpCh=rtd_;s0_Gr=dE2(Zd|KY-S(p*VmQv|LF-d9zBO{%b^nyLDyXLUg^@Uzz0YXV8)1BYi$b$of*CrmEKE=LLOJ!epZ{ z3CXvO+O|1!r@~B&iW7sR?{2jS%0KwTf8+CH+JU!7G~1O-)9x$D*~m)N_`HQA>6ZOE zxcb=e%8^}WKFyQ|9D+kgR($*Gi&j|eCFw9s-&}5mnk(NM2|-8$UcD}-t9}4o{yIJR zu`&8;O`jS5^cdQVxUSSakX09$okz%>!T*8s-34B^$0u3JPDht0ndIO#3Fz=fTMK_o zEs`#~BuAxy z6X?Q`0^8B_GqWNza%WoD;U~fksS&-4ZO#0Q{$qxo(AV{(qG-`-dU_LTYx5elxxVTU z9MO2Aye@NYC};mbu@MBM5Au16E7GaIUj`JEV@Zz%N;j?b?LfC9Tm17_vymZ=J0X@3 zPZ)(w4^KjG8;G(GD zt|J_<3&3+W571$+c^=VTw9HrlGur6xYyR*IXUsuSQ!w`7xGwRlTHK}NTy>YpNX~G% zqNp|%&A4H|Si){f+ysDF&u68iVspCsW~Lm;uwjt#N9ugiy$g}+Xn1$Rm{}F-9LAeH zM<1P=v2C6-E_v0XK3T#%TkxEy%1I^6tQ=uwf->Jy2=7h+CX;AE^Tm@(Z?mWyl`G=M z8cYVicqBO{&z_HJL9wF45gdXdt=y7%H=4O@nsFx-dVZg39rgkdL#^s)^&Lpp;Cg?G zs2JF`W3C?pSy*)hA9XPWg6OrcfQwC!ED*I9(^LfS2PBoMJdfgALnk|?Ozjo9;$xq9 zUMdc>Nb&))WbBAi{O5&PI#OUPXdq~K+0@7p30SGe#-`!Ehlp=%Sl&uH;7nM#^zAz} zF}VjG3@F-t!gx^F{)oi$f8W}_3W|Tln-F4o08Bzx(ryqJ0IBeBwV)mwM3vU1yyen@ zoL9&HK^tL;Zg(IotoBR+?s5-gud`6)3kX5=Rqf znq(NzK(E5PR71}_Y0G%Com@p$x9_QU`MBlhu|pWmjb(8@y<`V=%^V?nwSSV@sr}c)qzG7 zVNdyrnHw^8Bb*l0iq70RA zy5lPg&0LaW^vjD<@=5X*9a4jquyOYY!~Fc&#RTLkr==k6JW3q$h$)<+qKbX6RM!ND zZY)$*FH`l0{rc9~B?3l&mDhWpBAUjoRv& z{Ft0n)uOjGWBR;Z96dK}Ex_lIjR)J3uw=V4E1hIx$K|hH2BoU7%vBA-lhVbf<#_el z>4b#F@sO%QyawLfv$2>AUVlu4Nofm3o(k{iK;4vWct*Ba|HS&(jmZL_;l)2SI8!Xr z78l?SNKI@DB+^h(7O)^q3xlsy1$|lD=5cQwUyX;TJ$i7@>7j}wgK5Qw`*b*TudY}M z#*Q&5W?-8;^m2)8GrWWpQ2mqQpSeT?^`s(b#Gl%JEbU0ok?eAm-56a_)^wJ3R~N?% zFb|NUWb(C(>elWufd>yYsFdcpaOYl0(S{F_U0fegOfa`K z(60)$*S1O1ky%?ca0&%T&Kg7Zg9BlLLbw^Pb?0EecEOIVT3dirnAcDtmVFNtIsZq{ zNcFp*5=;M!=;C{zbT6%<3d^#Lj{w3+Z0ji5E3qABgmlt%r1E#XKp+|rbqekNH#n>O z&Eo${;q5N~YWg9H&TKSA4g_C!phiDg9nU(diy`=~RBZt3u_yN{n4S5~7PL?ZlTLXQ zb0==u3ULb+W}i3x2skPA5z;rt!z8@p*-DA8DC44VDg69DSq|249ESV+Dn`v;Z(MH} zWGn%#NK5yuQlP4|;DfPhuzVeLCeko?$vva^qe?en3h#|$uYulJ&0KcfhVzkNH0FFq%K?y5&H$#~lG?Z;fgBLBos9$%`B}b` z{&C|Ti810J5CQ0H$9`=c;rM6uyYCWg*Xs*V@+0*p-K_4HM-gBd*Uk91uhMiBYpC}+8g&FwL&NP`=dcMmrOEENIfA0deldgZ_c@0qyGKj~aJN`hj zY|1pdtGz6v_*GTXWA=4|YzadKGtG#y{@YpR+s*T;Vf_<@tGnQI#O*J+ zxod)kLbSszgymrq&F=9=w8rjF$W_TWi)vlO+oTy6i+u1+)FgCZ@%>tAcUz2wr0K7o z_;TMXsSM=9S*5g;Q{1%&B6#j;I1<1-)_zICL+n!L>zkw(S0k1^R#7wr=hVF!kB}9S z!O44wnt2~|m6;ynbg%pX`#D}RWY(LvWh@@>HYQhl36?G$kT&|UMKl|T$2kbKwdm;S z#Dyo3>IG25x;0z$+y{#C19~VI9A_QnD$a}N7_sGq<2l|s{&bWzUqSiI5zxp>%JJ1j ztw%b~fP1X*4DUvkO$hqYu#b#7YgZ9rsMuh>UrgRYE=Z5^jcK1FYwpq|#WSv}Xlt}V zHKGsPcX|5ukJQkm2R`UU&GcQRAoH{$fMn&+c)LGg9)8*B*aEIs5d~4vq$SWkF6?C+TzL4){b) z&MI6t%X!DLFvOgZ>!SZ(7(2#dll09>5jO`q0QA5p(EzeyAoptyXFNEh_GkN$bqmTwERj_gL zdjw;Jnz+K+eWYm4lVq7K66NY*^hvy3v$}c#ENJnfhU-Po5fOtW@e?Q0^)*nGb3R7n z0d_>8ka@zNV|FCpB?~UEz?I%}`KMsW74$LfAs}wyq8{^t1@lexe7`ZTa`Kg<|LB9P z@V5pduS7&!GF?ul-`c4lotD%kMZo7dDjk-;nn7WdnK*$bxVe@=>tfZAV$|Lr%T}-wtC71H zYE4F2bK^|T1Y&P%})+qKX4w36Oko z#D?B);B2uMPS~<&S(9ZS%y%lI&8fUgQsC`Mk5Dwdd&`;psieh$jvIpm!D$Qf%W*F8 z(P{K5RQEWJ)>2Yg@Jf~`4iNfwrz`fU*)XuDU5D7yo|dqTlQE`lmQ$&?JeGZs*Z?_3BSU?!&y|a@i?)K~qI1F!i5QtjgwU8t&W7iD?piR)@zWxVZ*B z3>z$bFc=clFaJhNgz@UU@=FSSZ)GQ)nVPrq3hQz$SR4$PC^&cpaHzj?{%O#|*I^I8 z{tzSx0dDMH`{yxl*~arshMIKkToni^?n?-S%dx%5M>9lKRzNRqUtD;%cwF|r1*aDL zH!l8)WE`&uA_)@@;7P|xnP|8K^V<;&f>0ec`INY{I-5OBn>Y2DUp z8tuq}xt7wOf4y2pzIzof_4>I}YS9e&WtL;<{JX3Tr7a_iJEx6cTWkPF`*sJuOrk(5 zEiO02Iu^U9s9ZC=zA=v+_ey3}oyXDu6)EvR36eA5sNTZR>Mw0#j`M(b$pE>inQPr> zoySgL#ldQB`al=AhTX%zGyU^dMAzR~!M4sIYU^Wioc8UebpuE{{GzA`)HIoVE!8ol zX<2}c)BcrD=-+R@yB(y0$yPc3$*nO>?pXn6DN8Ev+2E7)1n+u5p{^38xNYBd42GU< z*#jL3*}mXSnsVTsvbOB8&SBA5Jiz5Lwq|dz0ec4YkaT=Z4J6ZXtZ*g5wfBF*F}eb| zMgk}zQXO`mY|0O02}DyEhAiM@Qp|L}X3W_%+*rIJeeL1KvP=Qvbs zFQ#Sp)!eyxfWnk8{~$3V%mIoYWP$Hn6Zy}74BwA^np7AphpxT4C32(t-j2`vfJpH% zyEtdAS6?%t9{Df6TuX)S=RAR*1pKz;a3Og2g!Z_eygFhZ1WfNgQi)t&{Y~%+`F}oV z{%IMzY^=R~&QcqFsuwn$l3bZq*oTjYJ?D52U*bMcAJOuR>ev85$g>x?k+pNpHlK&5 zybe(EyOg7C{uJ)h5&VS@Fx~3LN-Um(^~g>E#cDaX z_rqeY!=n?g9n8~0*QrGr1iY))rH|?1el0($phM!!d}A%zyl<-JZ!Dlx&I7X8FP}~M zde7#-kXqh9fLicygX#7{*un?W?zbm{zKIIp4zepaqLS_H8_ z@t6eNA4fjzzfvO~W{LduRz-LK2SSnUZPM& zDf%_N{95hi=N%QkgBpnXo6av>(xm+}Iv?-9R7vst*r)Zes;21)7odtvB>qHfB85+^ zeu1~M8SdBGljd>DDWQi?pH=^cqTdZ1O!1$BzyC&6MaOb5MdYC6CGyW~fN$m{L4U>1 zn&)3a1@~gWQl+~NUay8>h}Jiuawbj`Sgoi*L7hz)zK!|S_9{Po5!)%x`PGSp7k55y zbD6(D)yO{EQXTlwS2wtj<~_#1@SOTb|J}s0B32>^G3N!VFZKSh+13?0OpR$a~yXSv!)Rwzvk7 zxOqX9ZxX8wW)`I-!ZT54f(?1f^SH=^*e0&x>85E35tzAoEF_89 zvl~a;Pwv!$nAtJ)Phe-ABzBFitOtdi2&23d%yTvF%K|9rk{fQ~MQ35Lry`8lFEj$} zeLb_?gpZFyAm-1`Fr(YF6tCO5m)^&bxJF6QS8?M8?~c71j-EAvheEBUHf3Aq;=AG| z_J^0gOf_%@^D6>=H>}A)zuQc<@2M?+VvXXZNzcTb0qHA61?~Rh{=D8FgEf)X)W&8t z52ObLhGuITj15O0TzcptdW-9Z5a4!uWMg#d{Sr+ORESILy4~};%L0D&<=0LEPPgt; zA1l)O@!5}%<&h=P_NcURF+mt|2*nwRj-cQ7u4YX-Z1?}->y3ACcOaaQ{$zr>O}~zgSM!S`ohZpoTz&ty$*# zhbQq}Ynx9^4HZY#k11k1+lF()E4BOJXDp2Thj_kt)w07Y-r}Q6UQnKROl1`Y+lTG$K|rxz$S>3KF}`|k*6x-ax^31J$s4GbV8FWw{V*fo6poj> z>C@c%NX0~lp8d!ToMzq&|fbUxC%s{lV+AlutIE@o!3e&~VnlM|Xf zy2r!^60WKW>D)-|T|=26Wo2%$4%!L!E?Az7*E7^7yzSm7_K*pBT!-c6v85y93Q%dE zzMi$!6qf>smCc>zxGpZIC--mCpP2&rx8x^@d}DJho+HaAbM$h2wIK|u58_uj&P&Fw zvb`!cys@fd5oOiUaBVx6bGQ>u)GYH%qa-i4Wcl*+7oJ)#X>0p4FU!?~R18nPy%2ix znAi9^$@6W#O_=)0bwpkRreoK4tJA7mo`+)ofuBYL@jdsP0x=efsf6R*tLcpGAyvf2 zeGY}W2}!+;L|>{X+c_9~#5kGWrM1>xyrtcEM$gw`ivP2Q;TG?Z)v}m(XNSO;R$3^R z5x3E;u?F%Jciq)CvGZqKYu@tuo#6IJF@|c_?Sby8wl4?^elI7u?pUh5kT4FbPHt7; z>i#AZ251Mwpj<6b?Vudo%d}IK^WxzjSf@;@X2#z{WWG!dPu|)uJ9YwtcGgCc$s=neqdzU;Z@dGYVr)?U%>nMtLY&Rc6`y7DbyV zFA|-4j~3oF(DeY#aJdY+m?l^WzEINd33F|)vI@?X&%%4`%~Hqu(ZC})fzFT`(_}-@ zm#J*4$3*&Nh4YzHZ?$a02mOk|?84WI{evhz-niQWUbrgFT{UK}Y0b4n(c-{Gn6@0e z-VJz0TIaM9M(}JUi#s$aFBh(~ovSz=#OKhn#^59DVylm$+5=sLodlvEn!xp60&bVj zFRS!;*36E=nqS^CYRW}kjI_rDYNK<%)CZDsGM$~M4Z>OzFsS#4DmqKDPGdOwt<$YS zYL*sAaqIO4V@T*&dvlOQ=A9?3#N5K4DJjeNYsOi<+c?@~I)t_#8Tx++CKq#j?M`RV zeD>@~{HVy3J|XbvU6ca>y}&uww&^}wvTi=Lphu>SO@S|t2)dr-thw!VAr7wrI;U{K ztc9#&ts#Mi$f79I5P4CiIb( z$JOYyFP6h6Z11?@<$*;`;l7OG^9I~y>fo*8f}tYUmQt~lU1noF4LTcj!&!!La=c1D zx5DeaF6a^#87SO7^5tcVs{EK7MS?d+w0v>9mq4J#U76ZwtF2Rw=J|bmT#jG1DeoV- zfTK~OPt~swnf@N2@vYya;$De)Ari3+-3`M6t{83RJy6_aF|O#6cNfBVO3YEuKrec} z%YRVNwK&?$A%7zYv{GE4+>kERjX2OmiF72Lw9g>8tHD0L#a1NReglo`F@Gzg$pyDj zk&0ZS+6|O6yxPQ&bv9)Oo5SyMg+4%%KCmN<`Nj8P^xywg-8ZyC)p`Hlzz~Za~r4O;#&XmCAwJERRGV*@_O8*j4Sud-q}W)W8R;u?8n9tM(dkI)Z4nErXbvAapv% zlUl?x@=ze8fv_ZB2zQV{OhUsk`pb)eg5I_xWjY@e03CxRtYaQ*_3Y%(ska8IbIk1k zexcQxLv|oUL-Q$65EUo0!W23#hNjuY~_1U)iiY=%)(fs;lSwmw2lv#84> z@^@fdeBlibQ3GGObE)bu=9A5H*J<&}QR(MsfYIAyTS9yt{IMwVI>A^+prEd752R)T zu<8t(auU@2=Y7Ii)@_}b+EV~BB8)@-GgvU_heM8rs%}REiHzxUaLoMj$lNLJ(mo~R zW!tFRwOB}A$ZOA&{bM3fczko+(QrueCtZagwtF@Zzjh;)ugRpar&SbIvz<3 z-437J13lQa2PSmhJrsh3bL1vruyu?zS|Tq65M@~RdKY%X<}mDdbr=(TI21bQ<#p;Y z-62`J+6A7CE7pK0dv3~PuJ!BX+PwnArYK00t3rJ9995p~H}) z>@doHITSbo4kxXgsp57t62KE|>wgn2V~n*4dL)MjCAFyDZO&SWJcexhyqV;`mRQa^ z;MRoh1>5L25jVRYP9Muuo&Mqe&i<8&e5(|U@VROrg-6=44%p#Ux9q4NDjP4K)iy}A-#GPIr%3=P8fq2O;;-UE8bjp8g z-yO*xfcd{j`~EdzVQ&=O2uSVR&fs#p)sU18zSBrC^R6I!DAQG?}* z@1*#utqFBe;8)w7{xAUFffCh3QyRf@wS%#DH!;y1G~0BTK8^ruaWq!v7539oKcLzy z9v@~rGt|SS5w<^>1^}QarF2d@t$wwhVZ#p&vtj-kD*g>FzjyEX+qD0Gd8_6O2?Pm zuIO&Ql00pr_vA{Cpfw}l_i#8&C+3+c-x=sr3MEbr9#_GiI``lx>=ACzG)oDX+hywX~^~0fFjYwmPjV<1Fs|KM5on6Nms|plq_Crt~h*R>gBXvb7Xw6gIpEN)-ZPR%;RO z4)r!$q$n&7eYFf=~E9)|7x`8Z<8JfMgPMf%vx6|?We%9!hm8v z&c%*-hswNFYQjc~NAj;t3kbqDGo6;IcbOss4vkb|c)aW#sO*aLJoPYd?Jwr75(&2= z7RI0qdE!I*Ol;9S`GM9u;`a{qmFKC%g%p2v`mJxTCuqTe-#0N%FmTR)NF_2E$AN!`0S^yz{mWs%ogwBW%RWx z4%U5*rJp%eEaz;3g|-@$O*41%RwNMf+cukZv=LN9KDcNoNvGj1!@HJns^m4)8DuS$t#Cw{BZ7q}9EU(s4>vOcgqgfv_Gq1Ewx zyLAHjxbMgJ{c&XIi@%rbtGu=^znI@a3203<3*8x`U4fHLrt9?bT&YA57BhO?Jsvj$ z%%Anv?EY3E%=!i}ivog1I5y*fI0bLIXngJo)BAO||#ttmiyKUocQ4OERg zqcZ*ejHzI`9gXkR6+;0%xaWZ16Js?ZI%dkU$M5x%=4K6dqFt|h8+Aa8dC7KOpB|}H zeflw(;^>izqug~&-~M3@WpSm09wZ$iMIXFV?<`5uKhVgrOg{?8NeFrd+X!F zb`v3R{EUjEeq3Lbo-vJ;DD-@_XJDWU=tv#YGMwcf7gGP>itB&)!NOi~9}4dA3+tpn z8RfUuv$L!~wl>i176UZBo$us2f2EUlUYNdR3Z~aCQdEd7v{uunaQ z-5vEMYKoF|+FCVwS_B8PF6&}u&j$^Mv1v;iQ+_yo8~04Wl#rM1QO?@BSz+U9Ag}7j z@u5Z*3aaA%0TH(TBTDjOMkk_z5*`>`YYrIFxNYOtbln`DS!_c0rmkV}7;(05p}i9s zUF%hdOm2Y8tq~}@DP!SXmCkz)#dWEqw_l8JOKjPD=)1%k<|KGr*SF3nR#v9GTHSXO zL{pHixCGq#v*%!^Z>QKe+oUQ~$XLD`WZu3La1Vr6M(;XoIsWiMmx9G)Camit@1kxC zNlYQZc{O#zol&tz+2u=DU;5|K=|+$Wmr-Es)`ce$TpgGajWP|o&)-vbuFoNC3U8- z5zD5H5SzYzj9T9u_FRZmSm*jF)OA)HGsb|4R}glGynIP|K|EeEvF5?g=S~V$mR?w@ zER2d76Dw^8($FCd{la2eeGg2-&N*ay(~;0vB(qcGGHC>e8$siC)0Crud&#|(9ahB( zD?cL|)^;{_lzw4e)B-TXx!1Ywaxf@{?4lXn%K-jGts>eN*(6b;qf_PW(iWd?El+px zss;>Pm81U<7u>m^6U;}YqnImsPM_}SLotZ72Re$02VPbDw0KF9NH$9M-t3-O5- zc|UQ9r$#KOQU+0|G5f3IZ^^B?S`Am|?+?Y-#mZYhJz6Vx?E>MPhK!UoB4xL_6DS#v zzZm0j1@!F)XQqV=m<^FW7rnj`y`yD3DX{ab3bA6mGlg+Tz=nh^BaTnorR9wf5Q!$( zXs$D$1+uL(3{gTUTuo$j5@mf>+yUgroS*rfVBC=LwF-IW7UO)9{+uYaXiTE$pPYax zq@18(1jFBz#!m<;ak{9vFmCzB4sX2Ac@T>1zp4!x9}BeN=Zx#s*heq#+tce$fm1-Zgu3|pU$-jT>mpr*ni02e{4r+Rz3d|O1^S4azRZ1%VjmKm#Hku&BP65xomfc{hZH+(y>(3W2| z$Gr#o@sLaoK=q(> z(-B7*U=U(CFmANe6%qd{@A`|0T|;7Fu+M4_>2yK>Z~v=`(~`4a~65?!pD+JGcn+v#E|b^;1%>ke%Ex9sBh81a?C2>yegK)h8{z7tvF zy4Q?Ms00$j=9YLO)ZE2no!q%8ay9j0qna5bs$o;4fwKjRAl&7Q^T{q5``8ehmuv6tUw*Z@z`(MP0-+<)5k9bl2TJC4*SHVd2wDrEKnby^q_duLDri$H0Whs6Bzw6* z?I(CSAi-A-EC4^v{Efw!=G3BohOk4aFaMqKMRMF1X$t^R5{K<$b=rN>_(6 zRs3&0LIvSj%#k!;gVYGSq@M}QuIsk?a5RFF!pnJ^_I8t~`T@>V+*pTy)kZ<<5n|~- zBQ<~YK72Z;zO;XS$-4h4;XVLRe;!$xlh@OKqT>bGOC=gVf!+m`xH!!jZVA#AarjlI z#}t#2q#+Czl&7ebd`gmb%-kJQ#I6TR65c(X>J5zD06}h0T2VH4&CIBau%g5GFgCQ9 zD`9arUtPBnAffNlN8>MpOs7#jRwvXm^W26v2vg2kPBU}cvY=OPkFqPADTC@pIbv?At!$>uY6 zI-jR>T9W7)gPHm}!&)o5g4C+5vgX64kyiSKg$x^TlC}r*Vi#F5ujdg~9x)l#>(Lj4 zB*bYP5X%%TY5*sV6#<+q(#9OM3k$j@rmP_Eomw2Niw;yJ)l`n4GiK`DkSkm`R9clV zKkE>>-+jB^!0T@X{0^3VsWibHn2v4W5>>}T<)+#9`t(!X$6R>hjs!eFiR6!ZPm|9B zF>cX?n8U&_6rF*x%5#kKKPk$j$L6Q9BmS7?`;DP=D3V?IrW9z^D>r{u;o$vttnWVg z{SxE*vr+r#_spH&g5|&e2&UYn()iMo9V3@p>}Pq+z@v{&T%#+%johNOG4ELQan0Nh zEAfC8>CXooP&!%;LvH@y`k-Bx`d70$RF3#fX4fCGtN(8R)951JtThc^H{+WJ^MUgD zmV=2m6HbWJT`ZOOvU*=7?+1?Tz?2x9ebthV`h>mjpMi16nMG!x5pS}iw=bXouYMN{ zL(1S-^rKVFl6QdEJ_>$fLWVE@Uzzd+Z_=vCLc;2#mO{|&uo)`V_ubLjKmOSc!TG&T zXh(nOiuTLzzqZTM6tma^tp5(OE=wv5mF==+PH!sh;A<@sNP zRJp6?MjP~O+&QxhrmId-&^zqvsw&GoApB_1*-@xt@v43*UQDdskW%18WLl47EE=Ze ztBTC4>wTPyRpBm*rS7fW(HSa+Z2-j!e&E-zxwM2mP;6JpXff@9|4J5gk0KQ#Q4YX) z6*iX*wA5Hyt9m=`!JKs%W2mX|Ga)!Qz`je2|`%>F|9 zn;ZlRBMCi^gLl&T8kgCCsL&ed>CI|EYkr;}RUTokDaj%<�-z2e*7-{O2ms_(Brb zyATFhc%)@7f}AIZB-{8iCJ;fBuBWX*c%~W=M69AG&dg$tL$FWMm8*H1qkdq?JaW{Li<-PDEH}icO^8f2pp5FS=;P!GQF;*f%bD9q zEkyS$weXojpa%9}UF^Y{*ixPJ1HJX4o&#MwWfG^)2d7Y@-Wn&c6!l9ouf{3NevElx zbG)0u!^fuX*s)v_`)seUf7Gt8WO2g?;EBXNkP{Shy04h%z<&fDdt#G3!zMzt6)cH! z4seMOyoI%srE_6>^RVLxKcIGhUGq64+O3oktr?*TT=q__cM+*rg0>~1{oi8qCjoz? zSs*ZC3FC{w00#G1&g#~KGTCHvDAFF%&^TPJlyKf%3V%8`Z?-iElLf`p!7gF1!%TqO zcnj@Xn70wC4K}Tvu?Irr(e7G#7s_GqHo%)3W58v;jJ1 z04~HYPxnQI!xp5s36|lSKkmMD-+IDv;PH?V>?4%H9;l@NHjdc!|C7{cMKJ^35d^_1 zfw2VE5t#hJ@YN%f{>#IC$&J29jF|$RCbQ00a)HfwLxnB-dtD~!d!TF{ey4_~fhO1%FSEYSVWX39k01Mn+zhGeXNCav8c2&QEmVFEt^TcMV zl%KzaB2FO^iwm5gd5RP;BpP5?eb(;+^2cPh?Yq1M{y*dNSo9MlIskin*I0Ew+4pgb z@6TuZ&R!k7x}d$y0mzQtuc$-&%PFh>vxBskg4J{j%(?t!8KOYgNK2_=jR&Sjq;3~dN2G6TZwgA^xav?{cf;?j;|tQDeaK{yB)d2ObK4GL$u5Xq zm;es{PXg25M-E`?VMk8z4zo({{&Q+*c7QagQ<-DGbMvM z%#M7f{s^_(kEyEvMA%3$ae@v6UyrH;&aOSu0Q|-s^y|6*Asfc<8w}O`D*ZoT{vTT6 z|Be~tX{wGh94RXk@W_(trVaI2!RAK%KE;q@`p-qpHCYh>IHCwvS$O_G_P#r=scdT- zMa6<52uKwb5CH)}5GfK7=>pO_h|~}P=}m|gr3(m1S9%Lb2^}Ix@4X~6>Ae$5ir+!U z8SpyZx$XVtz3=?Nk8?s!$liPHy`Q!Avz`Uk-?RbX=fq-WuAJIn%{;$4x*etcM$<4y zngZdp`092V4r@0rdnh4px=6eU7P-;GWi709iX-(F`T1HQslMjr>GsS$|9lU=?X>+E z8q%JJ-f$f%-ME5&H9j^T!?9@PSc(~fUinA2nbdC^Z}5*cUOv8cv}Y=+7neALM`}ZC zk6m&ApU+>UUpW#CMfgRf;&+1tv%R|+i_&R13F*uwe7ZbLD2Ym%56{q*?L!mdpE!N` zYM$+oPHE+`)a|^KlXtw&&NG?{)g;M0{kBK`bc=qj6os-5vciHUj>6arWyk4%e2N8P0H_w`77tTMQ^kN}! zv8q*?>h8hZ(FumNY8j0G?ueu(Z@Hx~*a9tk4R&fSX#BkCU4>v4kPtV{y2>qocX;yM zp~zD*HJPGGa=hvPxR|FZ#8y3PZewUgse~E7Hnc6#HN`jCv-_5}`$NTdgqv@~n;-tp z(8mK20rV=66{)VMgZNboFy&QS%M98jYB88H!aYy%HR{U-3l1JMsPOr}KdOFLk2f*5lNi!fB4gnX$Bsiyjw zBdwN?Pa$uA0Ehy&-#}S1G!=cu;!#Dbms4K&N~h#4L~YOxqtbA)H@%fRxtdyw@6<88 zPCj3L_koISwBWXS5WWquLbT+Mv+o3syIp$nr;+v-%M5N2S&zmr*`#=!&k%(&aT{Vf{w3dBH9Pu>AcqP9lafc)gioLqxnonfe zlBkdrCMo~Zz3XIExhODGCnx2~L^~w@xrIvg0Ta<&#G4Zeuc0pr(otTHMpuIhp(CUw z%XGIiE#MqD_m!5MHc&3_p#IR3{Y|S{LhIgJgP> zILAkvgQQLeRGVmUO==Wj2^xQ#l8Q_djzvo85H|f1y zzxS<8dPB#0)?2o>6mVR8!_jozYjW)Jov?KamOEvEc!r$Z5Tuv(Gk+J*(bh6;JHyCP zBC18bjqeMIn7`RhB%;1{;6z`n7wgN5v0enbf7l0sGB%l8NBuV@fPfe*t^B(eQZ9>g zeaH2Jj<~WH1AycVvsd}3CXxTbo6rI&3E_~1$`hkrtNNRge2ZI)0AhKn0oojYh(F!8 z+BFfbhZ)$WqiQjpKh1Y5Dr7ESTm^9IZ1p}9M5Bi``JMtXO-10KZvr3zU7trRL5KjR zSVjv#fN814O^xDeusHugZ#z|my&ul7vvA9K#Uu2|BP?9hmB-z z(ZMqTX+nU>Bf)1owD-IBEL%Q?$&Kn-YMA7cmc0`?EBKo22G7-NoQJn*phfx1rw6@o zHC)Sv8?jLo%6QTySG+3+j0R4nj=Na-sZ6Dp>A}) zcmluZ(~UPYr}Rm}$k5%Dw2gKgwx@H%9_O3O#ENgk#?5hIHE>f&f57MxzIMkcjQQPfj-goASO%m@yGA(aPZtG@$Qm~qW`2V^2hOj*$1Xe|!$mjdUtoQz?CMr4;DmKQgcXJGz+uI0EZg! zkZkS1bs=7st*I{vK)o4C$^YK$M10*4Vxho!7wGSI^bWCOXTJgcDc=qOnAf!tz+q(% zE(z~lkm0(=q_ogKvp;aAF?58hl!CzkI#RPJF36U&chm6SyRUNn(h)KJ(3ajmHmTzP zCg}>uh2+2MiK&NsN!jV)g(CxmS`pPn{qLJB8QpF=+_1l>^2q4^10B+}FI1&H2quZk z*b!5;5t}e45H$nzd(r>RexCxs;Fw$|bQa(7nLxZ>O-?7TmG9j!OoovU@do)kjuqej zf{L_%GHR1v65ys0YJP|7tok(Fm))k4<}M*z|G=L7-!*e+ z%CUVi|9np2Y-@gwPR7*k0=iobBS~0)WoQr8XM$ii+TB5cW_=3Km`en{paz@Ty_2T5 zh!{(7lEqCU)_l`iAuXA^Z^5v~&&d^U|4pvT_qi|M?sqx$-_`CE7!7VVERvGk(CV#= zdAQ}hbuXwk2LFT`$gkK3AiXYT=jk4<&>HV^-b%eaXEZuW+KSJ}4;3Oz_#gH)bFZ3G z_$0;|3U2aE?r*GOZIX)?_yH{4x$7|0^bGa8+Qu)Q6kClzcV}U zMQtM0Uv$yg@Oif~6|s#Wlh0Flme<()q^ovv#(9mtkq0x(zD7!-Eo>jsNbViJKC7&< zERN4KMMH|+*sg6HYDx@%+}~oDucFDjp5q0P=p9VTo)Wb7mk(0tDB*x4Cj<}XTaHv~ zhgF=iEIv<6_24sso#mZM7AlL%EmQy8wCg}R!EFuR^0+~TyMaT~kK;i`Z;E{j0>XMO zlA^PiEVtF!#bvt()o{>8FyO_5s(xgML>y zVljoQuPd(9TLC<-8Df@2BXXi6EAmwV)2YZu+;e-MYqS?ie37H@{-o&HCx>T_lPjw1 z&LaB~%*u4dg(Jq;KtmA#=(~^J2?8o|oG)8rb9P1fe`WV%3>k~mu)I&{ z{hhzZ^(nk}JsRbElpXYg_~Z507@~jmS=e4o8TQ*TV(+p8?11~#9!8@e_T+xTM?zAA zYq;0*?{U7e_tk&goP@cgUcHJW!<$|$zkSYcXNmsCBIR>z4!jou9^kjW`ZYFhhE|?C z^RGS&2j3j5ee6MY2%a{#D2%lLbGEG{F2dioxc|sDB?gdl1Dc2MrKW&~prno}-G#rg0-rGP z#mjbN5>)#vu5G=*aR-)-?$*mFI+D!PqUL3Oa{lO90rRoU3`;E==vdF!pCqjuhF9rh$58d^qX#?RaI4bDp;uRMMFgwQm} z9PLlC87QPrSa;&tx!j_w(cu#t&Tnb;HAd~)-!yV9EDzgVYvY|I z-C)TUANV$J)c@gXWb|vSblV!kN%0gj8jGrNR9`P@pkL1M<-mC<&Gu{9w~G0dX$3hx zgpX?(jUnUEfLQLt}gj&^8tr*@Gn<-kWXM_QSpleW?FH);gKi7LU^>z~Ft=6TnhM+*5# zb%YTYtpo=ZU)P+aeP~ZTfTA1U^sc-r81+0lDt6jtI`3+G(*q^fcMldX6+6GQB{@I)=Mo}$vI^rC}rq$j0%(|f4*y&?1;#Pl4~9efO= z#B&0y9er!))V%_k*$Vy^CX@>Y$_*2#aheOvi*k{47+Q4{lD6QDkb)WFD|5rb+Xp2A zrA_-Aml?SM0&Tj>(WYg3m=~DFtt zv|#h{X&}kc6z4VoojR$`N5ln|E4==2$i&7V0R)jQyzbcbnSg!Tm7;_j0=DLiV_uJU zgjj(mm~4bn7kH`*g*49ixOSt%z;SvrXou`6N&}WC#(OzBMu+a60J$z|l#ye?TX0S! zxQiZnYb0CLb}ic&@6QBcDfBV1dQxxjZU0uEu%CYP{o@WpH|F#rk-w~V<`7d93ammT}-c|tog9bJ(d zj+^sk7+NjkL|@mmK4imN&1cbezFtk%uc#kg!!4HHb=p%;+m40^>r({ubQO@q;Wdec z0OP#z5;VsG1jpmF(hd&^2U$$zTWl=o9c)0#T^$S<$j1&eh5a?k|HLT2cCzWNTJya* zgRWq&@p5AHky*KTr-2n@9g6Ypj@dKFaUT&Y9OlsV4PZRpDrnlu&P0@Aim|#ImnT9y ztr{1bN(b;lR%OW3Bf0ICBSrogvUW;sSx-u-@fe`$;#|DRO~K?DcJB<70%ilc{>c%j zwzQ&tO6wEtM4(0K&Tv{iwh9O5p$GDZ3J1&`!udinogtKuO_Yud@^SE0OR1eT-6-p9 za_DX!`j9Pc2&Rwi*U^+(u3l&;pdGL6uUu0cjPC*bP-B2yGP|IUBW@dE*kWk|Ru@?i zj^s{;4tpry)v*CAYO47Xc~X;HA;0@PA{&!K`!OVsE8hIHdLF5suyQ%wJ@tT_9-7h^ zA4I<%Jb@xH$dRHG+8gpJ8>u6eEqMtO9LQqK$V1vCsi-0>1QagsP6C!72gLS)^|&M) z2C=J!ICFWWX zpI7NOS{B{Xo@XrT1JEc`8OU%ggsxrDy_UB%5(JUlnYEd8NUGfen^qh(-wVDO;yLe^*ljFjBKu%RbZ6$!7Aq478o>J$eXb6w6 zvBX=fnNl8Ao=EJEKsXHbx%Dod(CRGTs6?a-zIAHCmCw`RLm}PmnH;1z% zA`#=dT!yFi6i|mM=eDK8T^lrVkMX>cUrqsM@Z8KI1eK!GNoat>Fx&_U<_K_9HPxN* z^iMa^mj;mFpee1$_tTW!9oioPC7F~Or7oCtE;UO^Tu&pq0r%LbEHX}LxAJf;h}+SU zG9~cwbD^1{#f#5d;Y&|_CXhLou5c7+=4c#nR?4u=Z5GGM#%J%MpN3ZZIs*jLao#2BMaO)%_}bqXp;*2;05y zQ4K8aTR_bedmkRlI%0b@eZyki-$Azx#yh^$sqUy{bUH}Zk=HmeQ1Q9S%SZbK{W7}v z1`@+Wo~JaN2(Yl=D>=esBYvs!iHWZQ@tB<=7OWv40-E7zum0JBcp|dDn zAG1292evuf74{@FH|lE-nCJO|lej!$i;FtWmV|2;#&Pfl`mn{{A|UOwcSsv#1hPRX zf#91y4G13~r^?&1bqWTYT8#pyR^>8EK_5H1W-T-Gt>u-RWCTks+;s7$d#pDc!i}~m zlP(F0!*jcrm;&m^bI6W_^9f%GX!AEOZ{;v3UFHk}+eLheUbr}>6Huu6fsrxVqv#N@ zGPwdLx|z4WV70w%yI3#dtE(3}1DuTQs#@lQ!J~_oHE-lC z<(`ycR5LlMM{f9}GCJKQybFMVr=hhUfeh3T#I^$cCB81B476+BcE(7Bz@?iyO=;Ir zZiWM)b`Uv%hkO(R-C+mE+6S7b!SSyRRL`F!@QX`>%Q=D*72cWKe2mxDmb2O~O&1`` zeKssk`J(_m6*>_dv%&&cQ4g*L@BJjfYU?x{vAw1M;H~VS&|Jio&0@sFoB^u>awrVz zHc-ZH;d@x}93?#M zq1=GB?p&UQ;C?m`QgtzuA1DbI*`tz z^0Z4*Olp^ymK+EhjDzCK_&~V*<|^aNFVfQ5ar!HVtC`hzZd$l^?U`~DYuGWXyu zv*`DIPNB+xOxLS&$4k~3(#X`YeL*dv+V(*^j57O}?OzyWYBV#jogv0JavdH^)0*EI zVReW5NG9;x%!L*W@dl)R7*=;^)j=SR6{Ech z@-NP>vdFaX$s|Ui^dKn|bH}WYmJ5IBigOOsY@#!9?_hfpwYt@xLB|Y$?0?xz97|v> zyN=udWM`1=-F%lV6;AeCk!djL(R47mfPfqi^|Tj~Rp}!>Z3D=ZMWtrkpcY=ECJkfsYjNMrIvJ31Or-0AD4o0515280)Shd z#lK(Y1J>;M{&TFCm@V`|^8mus(b>YiIn~~(aE}IwW$}d6`yR(#2Cwxn&8up^KcsN| zAO$DlGr<`>AW1XJi(%YZ;@3ewLt3W>N2%>h={bvp#IQrp6J@UkSlIGak}w6zUiuJt zAwcrzu$?0EUAG1A#8PLzLy-}st+*qx!Mi}^V58Ts#EMTO!wq(Kj5mOk0t9CP#V4Sj zbk?8glqPJ&R1JJ4;5Q8CiGc%?8dLmG4T~oyMz>nH};$q4wSx>vtKG)oR0l*o1}U5PKa8t z<(C75yq;Yan+jtUAA>64j>x~04SMrDLx5v%xMGmj?+Ch zhOC!(ag)oOz(AX3OmzRAkE+Ar=(5{0`^0{m@!^VGe(Vln&)DUQHMeWaU1(?508D`6 zf=Od=&nQSxAm%n=V1vo zl_07*H!wXC)vqHJP`i*;Fu*o8f7gY3QK5r_7c!vN+^xI6k!dylz8b{mQRBXvMYm~7 z#F*=H3(gJ@J818nY6XoMcYtOWxNyXZUN#fSo#FW=J-4X(6vES#%u$+fw7SWIV5BTK z9w`pl0mO~nAuGIrvLX|vp46U|kL(RwcL4Fbjd{>)MF)U~M>Ep3AGS%u%bGF<{Q7Ml zwtl_zL69PQ69bY4G&RIcR$7E@4o6E6Q`}=*%z?TUsfhe+L|a}W#hFfmet=Hj;v;=smR3AejHnv2y;!fWR64K-85kPP!^~NLL&EtMGEE_zk4?y&+pn_o zRo^fn7~Lpaujp}n3$1NPg!c67Z&PKE{f=k-KR%jOR>WFJ9ogisgNbzZr1P68_Q}eS z?uw*>m5`m4TIe3^Xp|arRP8tRwQ+cVooXXZ+$F}J8I-mt)h4)`sN!@Q?yo_EbZQ}-udlB5bAyYntY$$gY-<1`E`{u9qr zmE;8AXuwl|4Rat9|MWNt9J z7t4(;yXc}{8)?TAi%)M+#n~rz{S9xk35*3}=g@jJXk1@nxAysJXqCd& znZ(Zo8Qh-<*rv3jtp2AMQamFwgYDeR{mVC=4fAG@pX#9oiD`s&CUzOEcs?0^$E@S~ zZXXrF@MNaq;#yp_b-#3+1jpGB$JIiOS6Su0)el7Att#ZI*{PM$L zq3g4i&^;MSgX{qC-~EbtTtV25ShnVc{}p1{BA&6E+7;n3FRMMdUc}zYgLiQ1jdM<} znt*-wiwn5DlM2pyet@)xPOXl7z@Ij@)U*OgQCvo3jJzKRNf)^((t+_@8nGj<}s*m5)g2(?JPk4_uh=I`?3O z9Oc1OZ1W;^Ox;hV-=SlBE@tLOCw2_-PWgB_s1C5;y#_Y;RekQBf0o_EpACpI6-Qnt z|Ao&)ar19ZZZ;5oY51FQxGlsQyZMsLp4;1`%DTF$WoI_un1xzEtiK2^O=`pQ>$fBs zt&Ll*jb1NJYo5$me%^Wfcyoq{XW5E0tg`-rZ7omFJEy03=}Aw-oI8Ne+ayX>%4~_p zQdPlRz6%-K&e0}(b*gd~=kk4rhV0b*Z!8llr3dJ0$Gsy?FUloIp+78Qtha)-`b;3& z2VHUlJR|z#mnrk@3B_#F^_xz)jRj8k$)9jO(511MF%oR#HDhOYE;!`o(SX*ccNDeQ9(ZL- zb@QfFX>m)t&>)tAonGLd7RBHXN#ptC-DI|B9Kt8iBVg@C;lLbjAXhOQCVsI!>9kW7 z*Q>ajujxn0%cKw%nGi}vs=0OTSvwYMjSO8;kpiM#wXu*g?$R9b!Y*ScQ(q?1eh8^= z{Rf^v*aer9&J^|Pd(KPzPrhb8Bb8RXP^{fLT$LbiJ?3M%u+W9h4_`2@dF$lCswR~I zYispT)72tS@q5O=Qv@Qf86_M&ZiIyJNLrz{u<*$xiw{f!L*QQ$3vjcBHZPEGvv_hQfitYmg{xs&E@Tq!G_g)u8;s}3epzFh>b=t- zc(Tf=*~eV(6Jmo>6w~-rlFasB~|%_Rmb1MJzIf_uoz;5`M~| z`a|Q;jD4;YuNvr&2b_WfjB4qsv9Y0>P|Q7ju4-T>r3d7(hyWjS8JQ&FIU<{aghbSu z1edMWrG038z+K0qvZmxSdDa2lVKr*1VNbTn&{632i3T8H51?so&WnqaMUE{pp9VrlKuXyW z`S^jcw>!Ow?}c4>JVI>@W-iKiw9yQMn;p6568Nxw=zZ?8YUUHJ;ioFxf~F-klG?uivC5)#uq{CE_-qlX)g{|NGjS1JcTEN~tO`brM56O_WJf zfWSTxZ$}Ht|x799{aQ zo0Z~4C@8+P6jfzEI*)a`Yo39VxTU_lriX za%9xlS0iP7JtH?LLxKlj$Glg&*_m@8YJu8mj*iS>5o(V@SW;LT)9z?)R&*cqK&fA@ zMA$QSkEl`$3?BhsOq@{(%u)PI@BupPr)plOCByN>V96#GmM!LP3N@+doE3V~0K55t zL5JF{N$e0{bDG@{NUcGz`)p0xhjbq9ax#^*JHPehQJ6}hk}m>i5sFri)xVmknx-zd z+sJQdesxQVFS)~f%lC0^?bT%zAw{z^Fl{xpq%C0s{UIh6TKhGk<70U8v8n)U`Ax(= zJ^bOSPYjzs(6$5a?eR=oD(YX>NsUWb1IYf57HMzp=@$jeTC@2?E*;24{m=w>b5&O5 zH*&3Zde^oY+y5T12^|j5Hp8=IzpgWd(iaEh=4w^4Nv+ie^{QrmH_^Pjm|5!vk`X&I zO2#&*B#!8-S+ng;BV=21!1H=B`*DEVP99X%bvR3Y;t|Q!FmVBu6fRG|X+|NIWP-JF zzAf#4_t@o>1ls4+V_g`$YoZMXH zMMW%PXBzhAM~;sk)si zO0Sbx9KPBwQ~iHQzdrnt4ZQoEp%(FyQI?02I4+|K zX=TOJZ^rxI_PqYwax-tJD#s~D+mBxNdE8)g%8_nE)x)~)i;15S(%tnpui3kwrAAJ4 zA%7W?e8E6+Sohdv^>1%0cDE-IBxx7V{mu{@p z1@(8p><|wV9Ql8ct(} zWS#u5e!v+n`MuThu}OYX)JHF3Px@-T-~2k`QDj?tET~I6ilK5N+mnlHwBW&2k5G;0 zFVBSWxQ2&#DZUQ&ic++g{=yMma`AtTSAAeJ2X{%A`duHR^QElaFYCZSPJ)Swd z3VwZO{OUsI&Vq4v^6FNk0TkF8%D^JuuUv&C18!N830W%i5< z)pC?PsHv^{(t{|Ou_+a_H}yDQoHRuVbku7H6AV8y@A|eLgf=WAa74yH8`q#%ht&Qb zj&*0Ql26iUyPXweld0O)yTtI|*OibOlCYUt1MP(~=MDJ|%I6%lthqfR?-CyPL)gPi zF3?nF68EJ?X`>`LP2TNXqN~i-NKRI<)lqa637)KPNV~CvJ||Qc|8_MNXc$ohbQ-ZI zcbVr&g;=M+9U!_wonTe6V!m{Rj@agQFkfEG2x$U+dN7pz=D~f7=J__rjZx>#p=tM_wL=Pwo}neMNx@` zB_2DdIlP3phLA3ZSwZ}k>9yi2aVW4=Ncqf&4zeBlvZFuC>%eLollrx&QclT_WI^sE zv-IrOH;|8RsXl#&mtU|j`~s%#LjEb%@|Lp=(&BU-rSrg^03gu9Nq0*PePDT(X`4E8 z&Pz3rJ#7crhpIiIV0=f^m^Qc<=}?f%*oIdAf++sIeQRL)BTuSJW8ToX_k z7zQofWVE#T93smK2as6k&ZQVYd-|* z+JLaZ?s6*Z7s4Y)O}}l6G*METJDpzU9{XcZpQHd_x?~#KD4iWwDM;J%_3-2?&zOTSDUi|{@UX7T}Bo-eWf*w6&q%^5Q+aGZkafrSqzfy!jWpf6 zlI+QG=$()lad?U79V?3hiJ@+)7K2~rvR3yaKOK^yv`9RxCKMRSW!S`FgKvB1R1srGP!Rp3&(bF=H@YG(en ztKm}3${Kna#ZbKIEe*IFze9`ORzW?Gi*7nJz&kYjPv{QC_V>-XUf;mJ&O8Dw<;MrW zU5-1i@44lBfB8pZE4zhPc3s&JRKY`}BlE zX4wz-As!a;F3)?O6O->Oy$p#A~V%p%P z$;xJOoL}D+^L*cl8OrJL3e?qFOgt_5miLq18MhO>mnJS|_~{ckh0{JI1V4{FqEIJn zdDxFP;N`iOj7Bd-8C)NrBDp_6oQA5E084fJ}9nTXTE!F$V5z;J`TM?m_im^vw1DoqFcGzTTf+ z!|!LrsrH}*rH7F)u$#qrU)e;iE)D#847+?!_W(y<*%;#2ys=-~*d@2Wn|6q7IwVxO zjCx_jPrQycIC4Laz!c=|ULu5GS$$-ov-Vp4OzW&Gjq=#IRYnL-YnP;F-VgExzZ=!R zBh`3Cm33$s(5nushOvJpI02|L0t(kn|A3nRU*gBRmG%EqI_ZC@r;Y+Kr2pX}5Wi+< z|5SJP2Sfgsdg}ku+97{Z_0&I0m4rW`VD8`F0sFFZJ2Vhf6pUP-FCL28Si3HIg0J~QjKxCpmI&rS^)TK%ALF+XdX>t1$oI$M(v^>F=LpjGFpfKdWgOi{0nz#t z)r7{+1df1lH2zv6=(n->S>=?_U#cC&{GIXq*=PJUZd8$8VdjEN|ff!aHb4fQ*I=+FoGvXec zAed)#?YO$zDc36d$z)5KXGQHeAHnlrfUx-Qaz4ZrNCudPyx#_1{%{Oj(+HaO3Ep5( zxbIGd*NYwyGTeZYfuHm{wWH=jthHGBSn6*ze~4j|cXu${9`(Xl?dIX#Jbvv$u)p3@ z`EantpHGSGuA`IZ>-{qQme+^~tZMXOfY^bG@)-f038DCBPYYCwYqoFpiQ}2}uyy`PNJp8C{8d)0m z5zpk;^I=8o-C+w(-y|T}z}{1hvcIwK8o{Z8yQ6e=*UFa%*yXc34WgJ!3Ott2g`16P z8$0z%k_Lqi9%cbE*rBnPBx5!5A}pA~pH~d(Am!{{!BXFR@Tt8Ty1K7!#eZpoBEnjJ z&ZFIt3w!g@Afso5Rnz?!7mLXw^F~so*^XHP zt++#rn;%9;8agWbIeW3+-0ojw+>En5VB>8epdYgQ%e;Y^)~c#^Fi6 zddPNi_xdbM^e#r&_A;p`0vb&7C^_syGx@&A6Hy0E6~(%Sw(+?KW8d$RG3@ zYd{-q(DT}q-6m`lALHx9|AMddluQSl-A!A%lPezaVs4%}FE->8)|0SXU#F}@cL{-xV}=wDX_|8Ldp z26Cfz=LgDuFTS4EhT3eu7;+7sbn6C%s(tUHJAwqlNJcihi6rW(sc!WAZ1008HdP9# zPVcr%FBGaL%lDK17M-0HkdF2g5IE<6sC>u8iuwLuB(YY+ZzWuV2@N6M$|nfI>Bg1V zZF^?{*@9%3(l*7QpG<0vL*hfHvz-gy?}~ zYOhwaa%grjZUob&gr;j=|N8>V|6SVjc0du(y(iNd+GaGZOKJ(E;X{oUH`@S~G+4~% z@B+YEI}r!4K^~`nr?bpImyQ6$)0NSb`lWxMzJY!rkm?zLX#)ZIRWVtZHcKzyv~F+B zgt$Fq9LcY>02uzP?}wM{r3-$qX^rP-^gVwhSwN>Bh%>Oi7PE49ziPMU=_cXDg(q57+?Ent)U?pQomv{wX!KI?kOZ(Q#L;JgfArk4%I;y_cA<|Ff=N-3&I5^~k?^z&#K92;Yk^a47j)wv^J(gS4pMJL7=0&E=DlYXnJ zrw^YP&Yr#Vo~Y-LzO5>BPgR59(@Cr{^6{!zY#{EiIR5oGC&3Hma!zaW3?~*WSP*S(4%znV}rv$mM{vDHN{aQ5T z7Jd}(4)l2`3tmzPy1fqILvd++%iXzdOX%mujs~O0oH7TmzCy`=NT|5YJytg$P47>! zL9#0f;QoxGHhsZmjbpBdz389Hqk|Ww^`c!Dw^;SaJ`=cD;TX-=XjW(U-b3yh{Kz5u z-a-3zuYQFZI$tOH<~;4=mmdUdC)_pm7nx7oQ?lRcv-o(=cz~PXfpg@(7A13ywg7up z&$ss@ou84;2P}p%H&_Z^u_?*uX=IX{=L&mIuAp!ik?jQ|o`ds2h)rWIe z*cQ&-cYn$Vic&AhZx5_K{XQzu_Q2z4G5BYKF8Vktssn`00!RVAgQxGCLi}@!Pg)BQ zT3Y*hcbuiOpC2rd{9ceeN%EDf)q~N}kI*T0J&x}p2&(jzEnkX;T@~h_m4gW@#tD`B zj-)2nnOtorAXsm5iFtvgPtx90qSvlGRdp|f29jPqtx(Stc$Yx$2opbHCL_T_$(;wb zx(+d;TXS|X@8N;+3Bq|KEOqB?KXMApxNh*B;CEzBD}MbDYyeK0^`!9VIQAj?kVL<4 z-~*M71BUCfo!i%AJRUN0R0Y3|rtu70uxsFtnGf9;F|U>=8AQ8WsOf&^(4f4SwLb@t z9fd=raE#tCFgPi3NDlRqCHm2c!&EhvlNYj6w>2EPC*iuA{I`ocxL5=)^^i%L(n_bA zll7OJjP0%XaH)1o@~la%^s6{xw(McTW~}H^Mns&Ma*I_g)W}&d5CzUZV;2a8XuatT zTWM6ydf7Zxk-2E?7d)}1$l<^$qyZ4S4gl$M1mFL-@r}R<(RX`#Z>sZy!wzF6=LbL} zvwA|qr6j7|*mEONyo<-m;t{55erS&CNx>$Xv6W_>v@%O|!S1(-YF(~JL{c|L_ zP9XP`hB$Cp_=TaK_n3RDjZKL{uQ6}Qyvn^JQwzZkZ_fqUL z9a=AhYqQ5ko5s|Y22%{=(;azp!Uh`S9tQ$F+gsVUOMFOiaAtCckpI?qk=XonTZ6p; z#dw95tg+LIc6vIaEgCGq9(iiTzgAr=L37qI{6d%o#8%kFxjjQo%BSj{iD>~L<29CR z*yq+o;@jY&7crMOczAg#rZV3LFj-KSmzVF$>43XRzQ9V;XFeW#aq}cnmsa6Ca$=S& zoue=;`vC#VgYxQYQ`S#kzjL<0nTz@MjUc1LY~u%T;mcPG?|ke(~;g7q{Ev zJFPB#(m3xWkf5=6E>L4Mh?YK1oio*utMLY%*JN7hGJ{X9?eTthhTH$E zlZd2Qy@PKzC1&;EtFdL-xjZdsh}1&VM?Hmn5gk{RN6vaWspCD-kU^}9GM?JIa-VGI zY3X8?$M~oZ43ni!Rvsnl;8<;Kj)jQpW7}?vsJS!jSx0NGk~47MzOQRbL$^QaX$x;i zqhRLjcIU*!w}H}3feK1yiTl1)u=@5}Zv(?;iSLnnneNyUSotsxN6QkuJ{-IV$^na} z)l8h8(0orm%5t^0B_CShafrnaF&4V%kFTfb!F!so(5x@+kr^N3n*j0$S2nOVxMMLl zV!hZq&hE}n*|}!7+9SNyf1FE^!cqS3 zPM`8`0HWYtcN7N|u8}@*c)KbX(7}>mPtjPdkFmL9a@b?|-sKZITQMO7KqYrE3C)O` zMcV38li-B|meYk|&OZAX3+kp9{WkAwYftmJG0MHq?uZvrZP#hC$#(V{&nMG;S?8c8 zDa=rINRZH_nkb}R*3(|hB*rX^;$ia5rTP9u=_v)}o8*Rk^J}@cv4_200|d=t@E(AFk{1t7=c9&$3ni?Pd z%+I{iPK12Za73R5Og5uMep1?ky!sH2e6rX zpvO)?iMQ=XilNW&*Rq#-o-E8+av3}UTSco%)3Khdp=!Yk={<&|Xe7i(GZvQRNX^|l z#Ro9&1c`&-H1siDq81VMCBL-cQmyO!x_%cI zn4ZbU3K04xgh?_E$Qe~Ztc8_jiOHntj-D~S#Uv5`{Gh)<;2{MY_JYliFkjbd%6zBW z-iJGLtqK_?9f*@!J&0E6)~{Ozec~0NDbDJ!6LPu)r{`BVlBp{#(okny@TiE4;jZev zdezf5bL9fFHB6Zca}J`#oEAV1Yc#t|s^*hqX3q}@qU|$R%R&w?k5PuQ5>j8d zagVG)=m@pi?aLaW5KewNTW)Fiq*YU9Yrb9BxJ#mc%2epR9<7dywb>_+EBPPr%B!qh zyL;lAFh*5yJ&i+KtDjJv5+%7WU z1Fh+Kg?G2c>Y3mMRwGx#S7zMw*IQ|TY`Mp~3%&r-QNPP~DGOiLdW^XYnLEcGk=yvp zZ56!`^I|=dXA_(N2^FC$E$t}bf~2Eh&&ekK#~icE$t z`p~*$n4(@xtQm4?Q`Oogni5{}#L1E|zkueJ63=-`a0YXcG4oM^S}!Ux3F{C2;d%ZU zC@iywcFZwkx-v=>goh~Bn znq_J)TfP|4*1k@L94!wa9iYFte<7S#tu?oua9oS=xiZf%w~eO9$RVeL@jb$z5#>>< z6Vpph%JSN8S3d-{ysNS}K2|O!Gbkm#dfrp2S0)N2Jr?zL)=KDz-Vl4VsJP2=>-ubq zXUf6+=N9vfGzL~{F(hIW@FJ0(BFss-GRU>NsmgH|r&rsjkZPqMzlUND_#^pVg9CR9 z3OYi?JSLn*+20sQIq+1k5L;P1wWL~-Xb)1@#v@?-XNrK2*Y9~-oVQFFcOn5y5scK8 zVu3%u8P8R)AWryb-|)T<`;+fPbyaso0RhCsvpeMjWqoxY<{w#YDTrKa%BOQ#!$Qj0 z2cafa$I}uGcmkNl2t*dKuGpa$T2$0!mk^~Lcv`1G-K#Sr-D;ap?Vit%8Qml1sFu9{ zxCZX*k7aTPvQXdRJ`>=Hfed%AOzGtm)DogHV;?gRR3x3pgizxkHINrv?>xp**jkHi zLyZ%?Q{600wR{EY1jMfkrbU330ssi}6v(&RyljPM^WT)h0=g-pn9l@QDj?ICLZeeX zZtgv3bs9Gd-~gU&7{i!a51svg?0t7!Q`y#b6crm_qXBGi$?|19h1WdOvdh_=I3K$*25b+Q6`UoGvO;rHf2ewN-f-`ARo6 z=7aO_r@QDn%cOyWuUowsQ|mwOVBS+ztG9ZNXdU9boQM-y88NX}4#?TL#4=Vrmz1{- z!GAEUhZYQyAp~XP)3>^?@)kbUk8*nq6_x8#?1{NK#7;lFmZV10#86>6`_@IE=OmGH zzI`vDkcPq^TW>6b5({R2#X=1+(G`Y0n8gGg@KS$?Mj}3Pqkos=38PF#jZ1|=u))HS)c|0xEoz7&t zDXH8&$cT8$EyPe$FB(G=v9Z^1Q(Owm7TSaHkJ*X&efFkjI5L}GO9=N!lVSWBo>D=G z+DY{Lka#w2?CLpk>G8p&(k^d8^2U39J{k*96PpeuVH(o#ql1;a$&*CX{f9&~-JBf@oIkzNa^6jDY)X3$SxVX; zlVBs1Cpgw5HHQIwuwzc|T1cHkmEh!xIW7UGZbgwpH$%lNoE_t6Ym{RWRvC-H=b5|K zr2T0PEJl-B$&><*G*y-|>n0{W3%Qjd=6sw=X{jSg%M51y=97)9Oj#NB2-zAXeI~ds%#;OsaM^o1A`NxeYqBc? z79k(AKytL4qLDE4PLxAB{_9IrrtZHpgU5 z4o{}RE;f;Lq18w@-K577wwUla2@$|zeSCE9k&!rmz4-Adosl?kdqnrRNpAq}9_0`< zEIM&)(mHum@O+w!-kSyv=_C6|+toAF?_JqWH3)w$sNpjg4PzT zm2ZZ8vd_`Po93cu2`#O=6YoQ1s~a(O+5pEomc;+g)pM!gkKlB-Ppgx41>P&b4h43- z@YE?_&Q}R-D%aI~uVrwASy)T%lua&Uy7$c|-N~#|s&Y2^!{JBPU!mF-vPyEpu6*1mX%kPL&lg)7p^sq7XLiGI}cO(({}yz4uZR&7KXjlUzidM!O_C zF95(NFIapg9CN?|zPhIcF^r5GRkAbxfoY59{)lPl@`(khGl*{Jv}9t{jMduyFwDI|kXh2jyL8}8 z#AxRigtEsC{J@8BMC9_K`3k${*$@NypV-t*65u0gg-_Ii&I6kC5a?$;0$W-yvKJ- z^L^IlXD4s*d6Uj&33N<+etaj{6oHM=v!yuPY0mwK$-zMGy=9b{osyBO;pf>E^jf0VAl7$KcJ1jM zr<$X?MOAk@!WfSpOXqV?cUBH-K%pW&!&HGv!d&tO_U!PcaZ3yq-F&(P#gCG<#on`tlmZ~^$q02 zJxNPHIn9|p5A#|RP_eoz$L{I-O6&^I{2-7Z|7>93=6<`pt5o}3Rttz-^P)#r)N24F zp2K7|qGucyJ7#cY9RgWhnO}#vWRPZco(0O9OPZ;7f92w;gfGIVz%nt&B;9E4-dE5k zZJSPJfFSI*4q>K1FHcySdPrxT)rz{L7bVs!#=$5OytC$Q?HMv99oERWlY1-TqMFnB zuJk!k#{I$fP?#=XMFY$i=vY+#Xqw6fuTQQa5>j~(oB105RD?Ao7RA0*>xz%h4sNfg z-DjtpCvt%PguDoZI6x*`W4__*i6J3O08aczSocf#Zxg&sTIwHtB=LFHK(SEPI?}pa znJnk{Y&iKG#sr{N719*WQ4#3*lYRt9pmQr*f<*|$+Qu(O3?cvR)BjcR_lZ1*A#K`l z#9m>=CaQkC*Gb%9POw)iy^N9eV90pc5X{O`=w3j_@<}LaSwzXx;Kj3uRCID6>w(V9 z4jp&oX$qg?Coeb~XJshd5V|@NCvB$Bi?G7&yAUfR{V?`SVbogJGE1V5uQ9(aSKp0B z=xsOX!MFy1DY>rtoNMYta*I9y5+gx8U{`5RG(L9Ab?*mR(Zu9!M z_p|xrl712*<4^3cvEvk4eU?>CZxyf_gEnvL1s?c?`(0x&FT{$3c*-i%i>{&RI(K#Fq_8-ypT3O6}ub+q)Anx12_$UcqHVDHS;P)9wpg4S!lSz>%+L zmapFs0n`|fZ~`2UY8Ss~sNqQt7IGDd9U?dDPaC+j989ghJ~~lcwwGsT29yQ<%%mim zs+y8$xt`;3%gN%^%ryJLB#(5hbn1~gW|688Y`I?^;RKtM4!dupiDoYkt;B%p`=T2O z6yyHf!rQ3m@5sgZsF(%vRo+b7;qS6>EK*`fvD)>TB+^>XywHUCeebD zq9X&qQ)R>~#0mR%z;wu(f)-YAVso>joh&W`$L*z**u?0AD8yqat~JYSKOlPdu?%a7 ziwyV4cnqG{Q_hrn-;#~hOYO~Tf1)Y0){)8WY$d!Z+z;n6eP?Mn%LvIge{Uj>qKK>c z;I3GXBQdbzJ#(3(&pMBxt0M<(J7P4X_!EMg`YUvO(}Tk+(f+V$l4bgzUm(3;eC9K# z*p<=H8=r+-=!4$aEE#_7_|Vr zK(JYjnI%pyWn(!oSXGhspVrwHWH~1SNnARQH=?Ks#8f0?R!i!ROK`T+$((r8 zYEDQ0>KOclNl<0dA`Mwz#T7}M&6g)QSvdUWx|_}lLBAbL!G8ghqmaLMMs3y%@sA}8 zIb!hDo`J=E|EP;Ev48hqju6G5-6yIN?H5~e$^}~lo71@A43b=o=Z_7!FD)geZO&mV zi|2ye*9AZwRUObR$pW|BT!UIeFqf5Vh)GuE@b*zwe! zB(}+CUg`W*kfB(PI>jI4#RJ(jTif!@B87+wwwv1vBfLv$oUFv!&5G>TA^Yb@76qTO z_)0n-oy%o>fw3-5B(=@kLoRV~rv0o+OH_d<7wNfW+Iz(_#2J0u#diB$Z3~gd%=2<( zq{AYb^%_@#E2H(wb(K$2JndA=uegN0;u+duyC_J%HKnm+XzaG&b0SlI_a&|qypuAl zDKsC4xN!m9VR-O#DZQs{m}C2>%@k{y%#ldUu9tdyuaHLS+_kGIeWi_ZUN#TAC`y} z$g1sREN7ofNjBCwm$(?CuA4Rd$+sr96Pf*FzZ`K$=rT74v+SD-GG44jvOcXmWLLSh zk3Sq1vb25th#eV5Y0xU{WyKsu>_JqwGsnAK-24+Ey@qIw;t4_`ce8RDhU{XelWv!M zbuGAp6w;E@a?+DHbG4Lo&K!7~iMvB{_o|cYuD;S@R)#PbwW6%F;+6&jsJ zm?)U=Fc+&0NJ&OB@#pPAxPtD`m%Edk<&Oez1qk0v;#^d zll1AX=P>hw%~>q=>qC~Zbyt{Sdp|Dp48J~?X7PWd?& zz8e*V)PbA*pggyD@x4({InC{k2kmBt52~}RIqbT|8!}hbI8P>Z35p+_^_ssMYFB$p z)4FBx{)L&tTC_Ma(ngV(S*nv+UUy-0wNk6y2oF2h({mBo%l5q|%qs%Du@^Ig2R?Pb zHQ0C9$$G!FeD%)fBDS+(6K>EIa`x&~3~0V7Mpl=bsJg}rO4>@Ee4xUd>8Lzd(JtW8ffu{t;Zpii?;=Yzg3()haeM0fs+^gd)< z{&4Y(05OKhsZvPt0lwQS4J3FJiNQ4|fdGKn^>qk32!^%wiciE$=Pa#5N*||$&4NBz z@Iq`YGl@?n{2O%&m3vad5HCpD=vq$CichL7^F5^-N|4kSqlkC7=T&k)@0*5#P=tj7>fnOD@9b~@Z0sGKG+4!Jbtoc_GHnl+lUog>?ygInB!!c zgm=S~3o_EV(o8$l)!J26uMZ3aOJ{UEE!7mi?Q0jD@zed1{$;z)FQQ<+a10CPd7H*M zB-9ttMSwLhC~|@>OIctuAFf54g&YUp$4|+lQ_mrWRqJ3=1Jz(SVSE^ceyYiGpPI-g zbIeT(D#zP4%v?N&xabc(@EeCjjEN&OmeIKD0+AEbFl-REO7T0tGCYeg9ImS1-Tum~ zc_IGi`w(2jjXG14tim_3RFykH|CKKEjhbQCz$|nl3lEvIO5v>Al26=w2zj4LV-`48 z5qi66r82t^)&7nSX&-xcRqH&J@NS*9YQftNRHrj7Cywr@hv6}=^cGUtv_Dm@@9NCT zT8&(Xytx%y$f0j6VWlpG$&AFQr>W5wd?<3E<7Gz0>PeiDW@$E}Vc`P#!`6Im5L-PR zVQ+lHS20jR>xK>WfKNx1=FKRz_ep#i%vr3s6SxHUS_rv3b`b@Si`FDBBiwROY>6jfz#y ztnq7Hg0?h6>d>36Dj3#>qxgw}3eO3bx)L*Jz~P9*$pg+rl-P{s=KKA=R%?^D*;o_U zv#(LvaPYa68^nYw9m~D@{%tt7@ZiS56>pO{$7%OgwO}l5-kUKH4bDD<#+p3JTSkURvA90mG6N1K~ToWuaScy*9Okp1ruX1Z2~`*-^nJFfAD1v4xZFYs9q__jy=AFVzib z*Bfo~=}&L(7i|x@woB^W_9T2M_hu~$*Mz&qHDSC6cIEGE2RwTNIF{v~OGNdyf<&E2 zYKFR^Q2K|G3?+Aa-R_=J;d99~Nh74EJ=WjwoZld3L*K$?>^9U}+f$F!fHE*ndHP<# zZA&_<1TgXFw`JSwh0jNXa0(6b<-XLAnbcQlQl{tw{OX^zvk^cd3y^WB^WApmxMk@= zOto8r2hg;|>WrLqQ*#=vmSS1Ht3eaoUo@=&$t^c59i@Nn@(z*EbD0 zsNg3DC5n{x37PJen)f7tm|lXK@26?eDr$A=5Gcc5oUsnQs?;f+X~y6yFSaTvy7SqC zHu}a_sSS6lA1rWD5{HsnP@UI0q-v;wfoX#JZJn62Uo^MjL3)Ao?Gr z(Fu0Rw98eaA6E#EQOF{gzq$cM;3aVMc z1DmdXqR;U$>_|xoomLe(Hcm!=x8(5to7}{r#E}oG&R9F;wOw*)>|yUB(YFkepwlzc z;uyI^cbo7sb;EnEvsLG>>_2>E5sCROLVpd>{I8P6DuU-9UKZqTy1wH1%J-#kpKX*A z+rvT5i>EbwrXI|{HOA8zNSz|e_z(x~Ch2)-9VtiuveYsb<52ju?WlE*($kBuEsgJIFjr$^?K%~;(OQ}o^hkev>o zr1I8m2~xeqMbFcDNrsR+49|#Es7yuPxlagkA8PoER!ne=hFm=_QwMo@>n=W|4u&{gXDoV$}`bVUrS`(<-D6$oaDD8jeXIpRuks)4nvgw66^Pz zzZuEiV6!I+l}lBfY;V(8ZV2=!IiJ4zR-ARZfHb(`I*}GtZSB&HxM?Z=Y(e+76)}5@U6Zrt^mH5 zD=TfXObZ-AM_l~U*-ORC_x$a75DKgB@I~35v(gZs%>vlJ*eoClqqr0fJ!bI6UgUZ`GwOi+? zfW3sWAoB-K*_&b1)^FRS(3Ow%K8FP0DczHFexVsWZ((>R@>gL7eA}9L&(BS)SJrJe zRH8HBPiR;Um$*@taT#gLT#JS2eT``}!G6lV(yLuli2etwQ=lZQ|FH()(;>w6(UMNK z8OFS&Pm#{v{ZD0jBGu5kxr($*)u)6njb7-VNeI7?H@mzJDV+Qyxa?+hnzL1r5$E?c z#Pa8DqUg^yk>Q48k{t(TKP{BCkA>1VEu5|4JeL;u`i^`l-z5Vl6JP74I2gXU@KZq- z>oE8Kb>81|2^*{3kAL#==UuxMSn*;;(1Lh)+Xob3cZO0ZHC;&=LVu3uYSa^nK(`qOPVb!w&S(0aP*Wv zOUSxOkgL5j*v%%_bC-9l;PnvfcrnbAJ27K(9%$GD16qfm%aqh9Q)P+EVBszg>e`?# zu%PHP$>z1LDmW>u-}VJAy%>ue-aN@0Z(6rp8q>eHyX6OcjUSW;`ewm^*OUH7to*8W z+AreP%$E&#foIj((v>?!m&${3>N$r_c>& z!TOj)@>lGdTlqEjoML;YyL8N(aOtr&>6o&j`y~BzKzWOp!LD$Qcdq+lBP#BtN{%~8 z)?QMV6d`!%4kfEi8DkPYTB}n2#Q4zBH=Z1+iXM+lj`G-dJ6ZZHNg3xA*q(CJORW`) zQDqvajBFCy+ZyY>yW*i9;{35NP!T|4B7g2`h7DDX`FXh!VIw_*_D{<$v#=-OI>)`< zzp0Irm#S!UjiuLRO7F`tt1>m}w4N>MZPiY$)k(?9Nli)4&Iq2DIOX=1H?NH`_im)&+)-W+&df_t> zu6+hcaZ$d+^>}6a!xZlbe$yo7cBvz^`8#|?wm*Jev*%#!iA!3|w;%YmySj!m(q$sx zv5Fuz7LB3Jtj#$-5`1;_EmlAvOCi{;Ya~fU|E|-ak|TwzXRenz6U1@u+uxI3KBGPG zsFmyS-EoV3b|Z#N+T=urN1pg(R?Y69s?<6>Uo*N2zowR}Ob%+5)SzqQ5}VgEH)hg}4ag z{gtT|n-F`_X8a+ZH3Q3CxQFjSpl<~R`llW@Hd%wu@ag>y+0enqI6_b*&^Mfrk2mwt zYYQZrU}`3aFK!3+DOBBS4kWe-z(VCa$+(Jz ztjiyl^KWtnSNOE8JI!-_;4KbiLvk)xWHRWGo5wZSnl0uH*c86LxjC zyvvwD7Gj!ZdHc#dA{e?1#S(bE&Lr7F%Pn_UX`O;%_u(M+wy;VPED3H~agEwzd_vOT zO$$u1H?;D??F8E<`1dzZeXP&iMGTReK&|N!sX>$C*(OJ(c|=s~1Y6x`XjpB(OM{_17U~=xKV;%llkTA#uxkp__-( zvzsYw+GL0S-QJ5RmV`9>=JNJCt|;Bu%Yk!6_^S5JOuxga?V(*tC7Y=-EW<9*|NvEl-ME zhwM>&f*9u8$Sd3q>l4}XoMR2>0Q)KI!~cqF=o-WOfPV=I(`aoCzw|83;;6u&utjJmevf(wK{D# z6{pTwL&NxS!#k@@&i zK0J?8Z$0`4k2?J(HrrAZ2E-HIdxMDU%;`)*PmbnWji}wdUef7uS3lf~+u;s@(Cj2f zeik-@83NIEV4ThGag80V0+GNaQf^SzB5eji=%edGfu`72;9E@=^Nbno5-~;)iF` zB@%sb3^eP~Iz)pnY>l$vsaj(;qSp;Lsn#w{*BYkenG%gCV(a&xrQGA{dDD^L+$$1W zB%Y0TQ|e$T^G)u=n~0CBp^Po%fs$u2WnJOFXSAST-;6=pUyQUr$jaLmhSwqNuxZ#b zAymk6i!rpt)?Gk#ZX6lNf7$>mLu~;HnOCvKY5>erhu2g$z1!_`ngDAX3g637E9%Lt z=%Y72Iz&BFq=;|lksSGEWpjDBre*(0YY_h5VVVDhO;>T?0MuPAfG!>e1zR=o%3B5j zAbX!-Z{62;`$FQ5=mf3{=KoOHgER42Gu8En#?)qwZO#P6)O1G+((Ac+cKoP~5^|*Cu>fd)6R! zIW&{xitLbUVDO?9+}eDxxW{W?WTGw=W;GX7D21VU13)=g!C_zF+B|h8B!>Y}vcR=w z;)RiCDz~Glwg<^Q#yt2Gz}Jj4RDY;$zvT;wyZZr}jpoL<;Xm}>pcczo#+eH{uH0j# zAY{H@T@F!{P_4KoiRNQUzk5CN4ecb!1xKDy3318`!>?T{UL_K4!J)F=`pviD&*7(w zog?&6(XQ+|R!Ld%@<-1VwO)kKWF*Q?gAorYV~D+1kk(GtZ)Nf&ES`0o#a#8h4%wGW z=hAJ_f9qJ2D>1P_LLC+%;OVzW_Fk+$Ixx2%LnryA>~=7`XtEz=W$NW|j){HE%y;u1; z@t&8rWz#4qPGlhpl)Dyjk!c>=oF84HILc*oJk%D#eO!w=GbFeSxynS^I27M8Y@!Dx zUMQ+FCt;C#A@ttIqFC={BAv}Ey#v}=ZyCvC;xdDD=y|GrrG-c=i)(WM%n}HUoPlil zk6%+Zeeplipzx^2gy-N!v& z)d(Fa*oq%Z3ZR`dT^j1nKNs~ig+Q%v0yyLRcu*)>J>KFqal3;hkiRsOAbqfnAk6mq z-cV4g=WEkzyb4V~&r2urCoB~zis?;v6}%UvcsR3t_Km5DbDx|rh`%N3sV>rYbMFRko`F{7i)|m=UFe-!1$e<2omdy@D zQK^hIKYX29fJw`uA)6E~q_gQpn`RoY+q@OZUY3(1DNKm=~L;G10$F%+H=IvE~LMug=V9|@}ZEr$4S z-7_mzO!d-Pg3a>DTMK%D9@HSrF<>NbsXxKH=e(WnA_9~QpRm`Y4#N?!%x*YG_`ld% z0oEpx46yJC8&9+V_mXWLLd)RV@H;60ffPF!P#WI<@Q0i-n@@1S3ja_&F?#h!%kR?=qHaj#%E0E-8DB+LC?VvJ-|h z)su*RmbX`ufi0LcztFaoiB@%Hs4maI=mgd;)~@ z*R~WOZmpf6X%z?qBeqK5N{_)=cPz)cgRsfgA3^cS^yM*^7M@uCnT>+}C!esT{rU0M zH@dr=N3@E zsQJ8hIwmf=2o-(mrE}B^wQGUi6cxUCNr9&o5g(}0IfUvB@NeTv4V>8DOipAXUht>a z#7tt;{z^F1ho zniGFRC5X33z03Za8qNj8Xir)+ts&X~re4OFe}cPyt9+-2iH6;&ft=MRZxmfRv{&7Z zA9ot_%7HON;2(BWILn>L$k4Sg?G~z@sByUn_NDPIp_Yv}%U1P)U+vo@^5vZK<|KXH z?%%(rX5zD~dN_9A>q)CqLzFD_g#qlLbx2fFqdk0v2EoL_i1h&)-fLrrRrd+Y1h z(3Hn8*fTNc34(#6)%qWQL5uJ8KgH|os|=qP3F9?;d9&snTj*>590=lxCX`*$=q zHOFSQDMgaCrV!@xhP7;yfu_kSWnA! z$if&5=jxl&F*4zm4=t~2i@xhH)l>cR;hpxMBrct{O_9AJ_IQ)Ch2Xg_2mHrT zY+}Ub3_Yg2HyZ0Di)f+%5nAQ;hJSIQJqXT%J4D{aXgpU`@{wW{>(>P^BrC7prW{|r zkGjG;N#{|yS_PS!k|}Z@J@Y#G3}fy(Wb+hFgU+qr=trbK?~#)h(iO|@@wmLgWAyf9 zR=T*@-9#F3i-1Q)4wdw$%$yvgF?)y2kpf0@SL>MKjdZ-Ec;(AV4?cT)y*rR&;L1WbNqnlYGhdH}~TZpAC&+-@+%K6HXwVO!L8;^WJ=$-3TDnS%%HAEa=-R8_ zdCYZ>h3Ld1vn-#8HuZc{t~Xq*`g?8wkAk_HlxV8B6myT*J zPMUSqEhL75ws{hXm|@C5B=v;9K{P{Vo7ma8D4Eg7*N)aZn@+iUT9@u1dG%xDUf3U+ z>{;7bl|P!8R4sj}NOBuNs_vU#d6660=QM=Dn*s&Cp;ApjX`F{&we9q^rLdNiR}Fo0 z`{vFy?=|szaaX4e-E(Do>IbIk{I0|H2~~_>@sC!<_Av4~-jAp*DMP55sUyj^L!m_EwDDDaJMtf!&b=05mOVz8-Pvt z6U0f60JrJ_NGv&r&547Ya}egIcbC?|R!<=Y$qMGDY#ky^vC+ia$#OV+q>^>nRM24sUMdlhmUUfYDNWycFL~uzS z9JVw?w+*#Voa;`SqoV7*$g>DhV8R!Sl2u)Y!7KUu4LHnye)7wot@BznCJf|_Dqg?_ zgK#@p=Ac%3Z=}NYP4gpFR3wbIiyiY3XFdKrLN=d=nqu)S_4Y=pu0tb%L1H;aCwo1G zN~r=uwzKgJ8@w{ckfYEm^HOVPT$1=1fxG1C0csnj5yOS?F=f|0)YOAUf!L#FKXvMH zJhFB%(|9~#u=A%R(fUGdvmaAXKPOo)n#(0B6IFLSIhZkBi)g=Z2$C++iMrWBD7psZ z1WR68fE6sRLspn=O$KG$2JI3O(XrBl?!EP)V-td76=lH1Jm6Ptcg%kKa)yp9pG=7E-p_8Quk&k&(!Bu3j^gY+|>>d9C`vauU4pYWNPL! z@4CyP3qLcM4&FfVEvzn+jMG^{&z-KuM1e$xIJVSs^R#Q>&7{?5IP>Ml`@U}SDg3vi z+I&P}VqSk`iF50aVEFP8bVU%}sY$_G)$7-8ut5aK7MxGU=^?shK!2&)<}+D?$9%4? z;HJ+(-DKWhSvF^u3>d(EnzH&ucuUzj#5l|>CE2OC;;!FQ$WZgPn<|@MBYw*;x)8t2 zrO%8WOJA`z@&^{G@cBgEZuu9S-~U0WgZeWg>r;SZ(JxVt7{k?pac1ob5}YrCvVd69 zW*^V~ze6%SK@A|h7~dfBG~Qx9P=_QgVwU*CxzF7%D&_Vjws$0T+d6tqHaV?egJ5(R zc=rF%BdnY{1_%a?>ARA=XK__E`h_3`#)<+qcA;2crnJhsh{-?&$mSQI`=V(r8t0ZC z{Y~siORhtXd{$VM)}f8Hooc+|RH74Py>%7VeOB7-r+iGgSOtz)#b)ssXs!r{Ti9uk z_7(CUEIMU#yuAiJ1}eEmt~RjX&(B2pM=hvzu65v;S6`ILtOQI> z*-uW*wAn8*{mi0J;QTBHbU1!&A-u;*8R!`^zdYp;Y3XL7H^^_2`F`?HNe0!kP*=W& zmDpZ%Mg*ei0ZorwG602AQnGRlnehVZW61HW()fz8`lZk@BwX9_ z=LQeym)E&t`Il@MRBu2Xtmk~={SM+P#nt<(FQY=p1hsC5h!TSKiZXnqqPx7=Tf52L z|FY||ED;mbnfe2^*8dXqR*AF#6eFoO32%9{YM&rm8Mgm^raN@ud0*&q{}8_xBmNFY z7=y@)QN)Jb61KwJ`(7_)JbMUe`Log5|A&Z%Z^|g=oCS8&VIrN%PvZlKwCar3o4Hzp zoMHuzX5)~z%J}1kUcSts-=G(L*;gfhfe(+uTaA~1ul@q(*Ym)4ovg1YuE zZ2Hq*$h0cBndu~rFLlkzWSzpzcdZCF408-MZT^$J@nhZw$oZD+-qvj2_rDX=VIVYc z_L7JVf4A_S)`u0NBG*F$>VGie^UW1u`yLq2T{^~}_xdYd>J#550aF!y%lS-wr#BmC|6M<)=yY0WqIz^D zYP#uB;({2v-Ae{0UPcuGwm~&9qk%b!ipE~Gw&tR^tCd*(gCTvcEsW!`mi)f1i!b2Y zhke@%nUj0;=Hum5I`|!^)5Ioe2DAb>#I8#5ieeOloPDm?s5pMSb-%hO(9)8IDJ!kc zd~A)a!}6eBj7kby!L{ulBlrSTLA*gL#oe#*&8kg+A=aJYM{_O#untKyzY-jG)JkoC zcXVr${{DVMw5gO4BIX9VpgrB@>iNXmszefSfF=X`x5cx zO~tabG(=>(N$CyT4Af1c6eO-o3h_>-I~?M8KJj+*lAaZ}?U}EGHh&#?U<6L!9p64h zI8)yJxk-9~3x>rs*)Yn_u6@!K{gG$aBgJ?iBp?+?vku|vX>{31a=1mU3(GxoPJx87 zgdbsEkezp#wLi`BxYaSmV1PZ&lZdFcGsaQ^kEfWi?pNNufOD+Og}4Y~kI8KQs{Pu^ zNiqJ0227xOQ4R#q@P~p+Z=L6hG*{F~jbH1^0M115g6le@1Ni!I5I*=Lmr73{5mu9k zL8wyVS{HUFC?*w>S{C7$!b}14_n{JOc>p@?Y0x#-38LG(-XUhn(u8PQ)0V*8UyXmt zqZH6?=0y6&lw+p(9O)hlds?>Dhs$j9Ny@z^@~MFrUm}L3B8|d8>XR)y+X0@u1jC6A zq45TW`{R$|X6QJQjWV7D8NSk$LIjw%+RXxz@h4Mjuu|G*eXDSMRTHxEsl6kaXbV!VVjh2;NE*hNCD0Xs2Tm!6J7@D7+OUB?Ya`pw7H-3riTZ z=~8~0R){(n+*M(CxyFATqIbo8_oP`BmN>!__}_6B@%XAcUIFQ_LG}xxO^JxVOm+tR zy#Xyl_$NdD!{2R#d3_7TntI_gx5{fTLzPuD zSlanb`}@y+(9mzQ`_`H0AGZ4aNcqe*aN6aNO){1PtDuze4+#mGuVkek0}KI}*%6Hs z1u>=FgCMvlFoB*nAY6wWi`c?T5p4>%DUhVC5KMvtoa}wTn|2MumnAT~qZeT0D)8m3 z<^K;nC&TcS!IfNPe_;azD{7yx>{=A@dD@I>zG+@hKHRSNTbB9>h{H{8+uL9xlnPVM z5KfzSVLS&4B=PTyQI@Za$L8=~L7#ucemBh+J&2d+Q!`S!lXfsCobNcVKf^^fPHyJi zE0oc{iXJRB7}fz^RZL4PUvvOjuF_*mzjKy@zNtajf3G(CfqMUa9sQ>Ta&WxDnjm0T z;cUCLunl$Pk`#ZQd0cG{c96o?Tc6{ z`|UOVFP1_(UNPj` z9<=9tu^+t!oh83wyTBh0KJ4b~ej#8>R2FR7{ucnB8wV4$TBgwbQP$#XBj|Y#g_wSDiy3HHP6N5lTbKg`z8m8~|t^M{mv8mc9k0ZK&vdsH9 zH%~&Q)C_i!SE^aLlpX*i6Z-Z0@bm)zd}c_Q%o`4yvo3icD4XI`yF}j|+Ck z&lez`oxwVr^U8;&*}T>ZY)rdQ9Q~4E;P#UWh0}NJ9N8{7nR%5cn7)u~JW(2*RJQD< zg6paeoOyNr-4j}Z(-Q@Ut1lAn*sqml=66%xY)ef-(5wc3w3v7h8?dJLWNW=QIUmo`=>^uj|em6E^2#w`BhnQ=+lHSXf1vfe6mN{4+*xzkA}hw~Y8+cBuH1 zNT!#b6z0@2;+dT?Y;F?3-~uB-`IKpMbb7|x?VCI4s)?xg?TdFl0vJXzz zr&)fuO=c!!wO=FLS2p0bzxS0);uutU<9xVeoZ;#C_Tlio9Ism?R3s4Hs%^g%Q^LMe zKIu$+P9gy!t`0P8eE;(McD%iIW+jVYG^G;#ZG(O}6Ng*{6Co?{7mUBLsqQcLF97q{ zJ_;hQ|HdODMyE;Q>iA&f`kzGl3{5%3*gklC*rOHzwsG_62!t)=CBawz~sQeoce*E4H^2>=;@|Rw)CT!4qf%t(~ zId#NdecOVvj*cPn;{C(1rSGpZN9d9eWLXK~8oa)5m@@F(AI7aink9jB5mHk)MUzLc zg8FTm!T-)$ek4=>?A!mS6{Zh=(0R<_0cb;PYwq`#{6*Sng25r(EWg1_@qFSEY()F6 z2O6Gi9W;1CBSsf^^8ua2Nl(&`!x1R_WDzQFR3=l^OSnlg*ouEh!K3v?phv<>>dZea z;c03C_&O+bJinNN7-~n)!f~QyzwqfV=K%HFH9WeDAm-=`#OC9FoBaDxIr(!uf&6y@ zD1%-f>Ag!zV5fn2i(C*3qk8HZ73b^WhA?_YfbcNf@?){}!6A_#@#pd`dB!q+hXZF5 z?QK8Cn05k3KGDvF?+2bE!_Gj@WQL@O4X!L=nYu)Nh|ZKRZj$R-2A>j*yInoi=0d52 zYrauO(`VC+rRKQfs@xg4XSs|T7e;ITq*kl{x^ES0|I2mjFOvDs+EOPLe_nyFCjVh1 zZ2Ivi_owkZ(8PUqu-ZjXt%zi$Vy5~&Kwf1Hz&ndZQG6^WIOw1(@>=GlzH{?b&fEuE6D-4F2Nx^ot<9KTIdgLtA4jVEG63b z0oW`>R8%>Z2UxM7iWk@r_|2(5brM*<82HZx8@;frK{)Y^ARa%=Jjj9BNi-kA6b(HO zhCHw-w&N8kh`rfo(kE{G{$`N@CXw=gyFoD zmt41g6Ek_*TKxkmO6`ae8 zVXALq+n|dc^UzDWP)qk~`>vH9(G(Fh?xM9SFV!?tH5BKFFU-1^H7#~XGW7QK;fp?| z!UNUP-ohgHbZwEau~;#mt*-qyH-AN^PzVGZvMwIUFfo7e-Wod6z71#B1Xyx735|~7rwHMcj)M4k*Y?Y4DM|o0<>@#ts z-3?QSWHA$DVqlM;&C=n}=YY2AVIHS<@TLrQeH5fOA3fN-0ZMy{&O0PwgH((kg`&R1lgJ+N5D=K>z}a zRr!+O2CWOx!m@EgbYXm*ox<;nWx{nO^*hf&jm!50c$SR*g|Ki>h^L23}4 zAL{*9c>vq;_t+x0@sL-y$YKH%=fHy9gLocpVZt=f-^4|dqZg@1cXwMLJzck5J;zV; z?J3t>7367`A__ucu1Tsl@?u_hkpA?7ut~&Fzr(!LBy4EhVP55R-0x(ir9tB~2AWOy z7k?jbOo}KOh(|PGLG3;(GIVRw`)Ab;b!!diCpq(qlROAFX!eoexS!@<{WsF!FxsWp z2ovzH*3$10!V55Bb;;T=>>v5_ErqoSc6jM&<;B)rDg30dSMQ|FQQb(I+YKM)iyFVP)ibYog4f$gQxA!&%Seka=Eg?%j1(%keeL)k(jL+mrYWT#@L1 z2w~|z02jGGkrH_0o15?F;YW)52>OU_w;HgC7%yV5k(Bj%sEsM^x2debjOemiEGR9x z)Pk6{2W`sK;Q*@ZGw>sUb#t`QR|v{K2z2w`gBAIw@v+$AH^V|A4`q}6E(n-O03O=Z zl|tqjP)3ICChF6SpStoVaYxDj9){>2+`#`hJXK>CUi>Q&|Fr8bzkyLeDGW~6-Sp)H zrMUyg8zUr;gPgnSj<*%x-4DjI8GMGw+O}rNiT;AB^-}teB93mtnA!)bq8FcnT3avB zwS8Dlp0vuV*RJJiP|!>}H`NvKx0ee@u0I#31bc>Gpp&4q%A^uYtzx%i#oZe-+MzVs z)?s1AR3rcM^!GQdfd0>j`G29@=4JSK@fXWi1s2whsBT^xP80h5g2@O_Ts8}{CHc!& z+!SO09nr-%0f-D{9Wt*akH#-Z)5V8Xt8?#>yk8Td{&J$N{$8wPfDm`RTTP;CN4DMX zVe_i2eFLi<`8$woMq@Y;^fAz$BJQ=uqY^@Gc6xb@>;t^!Sl=Yn)jRP(Q zCRC$`-xI`MjwGPfa_aU9k6uM04%!bVwgdN)3}$=wcVymKP~PkyGIbT#hjRcl&!)$T z@F7+fK)Ixl7~E*{Na^WCXKgEuzJ1n-ot)lnk{_e;uCw-+c8F1XkuH&J3J^FvVk&t% zX*Z^dS$`!|mKsPj9HSmcIh?DLPOo%J-ih;fj5) zTd&p*c~4wHxWl&Tu#k9TCpv;*B^4mTvHl9<{)qHN=-+E?U>#RyEXH0SMz3~ME=HE! zx*su73=QL$V`8ei(h}Xg`?&X|9Ts3}@vqxuHev-wI1`>fD!c0E4OvCcOwBn^8{{H? zP@zzJOKye`>sNgk(Yyu(Om^;p3$$sW7fNy<)m=wp5%zRIP z>H-8fn!kH3DoY+T><6Mi+u0mwW-3b1`Ib#J_)*e-Q8qPyk%K8o-L?8h$(^A0VH$RO zUwZ6ignhfsrlhQZ|0?0T*yc~KlYf-zjR5FGfBV`-;I#U1NdtRhRcejgWD`(KVQT!g zY02o*rRP6*yy7RGXz5*Fm>XVs6}9%?_0_hZa=b_Q|JZx$u&CFyZ5X9gN_1cvv(3(ibYD(k75*ODgiFT5mz0a`Kh{S4O6eBPnZvB8yr~t9OGg$t63sVM z6^qf4978IWsD2tZlhQ|Jowi92*Tnw7fd4NW#K5T2EF%VeZ!9#BCt~I0LZ3L0-F2pz zF4=`=fqy!dj|&QNXkU9jcm9EaP5}r~wf|Xv^J~5NwV(Uf_$sVl#JlsptD$T4ozld* zsF3M7s_h1u!=O_v$(3JkdryBRuR zw|ZI-Q)l4a!tSFS&LKo`S%q>UM5{k`gBu6OIMgJLv}@jr2+x09JG)^vDzuM(wHrih*0%mfe61b>GGbdh}GjH(Bo$wCZMGQM5UH^VT$P=VSb}G zwAc0wSKJsgpl0{4N~j%|#q4e$o7LEZ(J1sfWMUmwSo?M~`#*bKu5Z{0aXelL;qcsQ zY+_gol4vY-PD?%FBzko*l9e^gQ33f_;5i83_!`IF%V?C$hscRZM;v%?@8o9D`K^nl z-@F_`vuv^SE{PWv2R+VN_UTQXg!W&df`1<1Jt(|6d;lZ_XMyC}yN6tshyl4*Sxz0H zb?!;WWDIdT6X5`Rw24S&;~deP`loBt4BUeqMc^7AG=@OvOyph=qJ>}p@!E8gbXQBm z&T4`Rzu0f=@g98HqPR#p@>p@+%!_lazZiV>w5=TT2946J3KP%nxQ+sC`oo9PMaLfV zsNzo0Gv|I(XP!BE*n*oXzai}*!*KxuYsBShMU6@(A7(I#*=@~+5OIp5uOW%vN{X~d zNEApEnM`Hr9dTDY`r1t-Bjkm={1`Loex8z^MYCn%oo^!boc-rJPyc5=)Au6p?9(3~ zVJ_`mxnstnz1h!l@k=s(xv7Ske{j~_jx~==@0>N@_E1RVBOo*`0dI3^KVzwMP9E-B z9$p#T%xl-~zRV4YXv>{lRyqr9Q2hnW6LP=>+Q-iU_^ii)T0zI-jo+EJS}Bd&S)^Ah zdsfTaANn!o>h88LJ%V&)6}YvkK`=Oi@;C6e={W*Dptz?03TZOO!FzAe--)tTc|hxA0(J{%oh2v%<+7-9GEk(O5ueJFyx z%%3>Grlp;FmZmgU^3wRNFsFf>3fv`K&6!Y(GU1$KB0H-F=qAYd1)|0YbikDf{-Ysg z;ha6V$$4>RE!C%B^L}#Klx;bq{x<*8rG(tUP_uHE{ivaJW6!9}kB^AXQpNgG(|khK zLEn1rQ6N9hF&MaWpF~)}-wjnRDtfhI z{z$54H7koI-^D174@H3%?Mfc*?hv$clsPshvQB4{#Uy@b7s!b}h&=9dnk2JV9^C;) z3*E=C^eU^&^?25^YHUpSX>2d@KBQD`y~F96EvwW>)&y=yhnN=HX-vEsehqY!_v<*2 z%b+9tHTrYhvD2;$^_+)}{iva>iY?Gn@Xhci&$$(+>LC%3l(DxEKYI}0qX z1kp;#15aJw`Sg(#3?U?bO>pPp_=8&L%}>@pLo3|j!H*%Sqv~qnPd7URZ7;=1U=rxj zuH}P0N>v54*j_EIRmPdeAtmZnw6t+PrC6tl+4>p0!80}hSrP*0n7APO@m_RkVcC%R@Y>*P zeF=YmnFdR=md-gwyQC=r&1>Cv4l|iAWsRxN2a4b~ReNRK^MG5e70Kj3*n5Kz&*nr_ zu0ppjF*B(uCuEM7EgyX`-eTH5o-bP*AMzM#Q`Pq{vvAq4((;3&7JFN9UB)#3lHhk) z%^)2;BhaUKaRpG?P-retZfgJ@eUQ`kxn3Y);Rp^{)Pt$H1_%g%ntXpP#<1VSxbb%} z_IEYJNIQ_ENLp+6 zXlO|n28i?S(V(I@L8k+7=jrbE`jy4-c{ug?S013z|9tbwfBSxZLw_iaRqjlIN_n8< zkT4uowBi#o39MUn@$$mL<2FZ9r=w7S2e1@CbtT=(3?jNIF4{h}$;;mTR#{lpto=qr z!iTSUW}n0fBk{z@5Bx2NBOcOU6(tp_t8|UCmN4$wrXbXEbWZ7>ayE#U576gmK@oM9 zm4^6sC^ksfYxe?a^%JU{U7*0Rz~A)|+*AWzS_3BB?U;)(+y_^k2je&$0Z+F(_j9c@ z2X*3f(4Bya0*l9PVO$i6S%L|kcv9nWJSim7)xb{bb91S398EWJL{&3Y4H^?@xq@~D zWQ=HjVFZ^Pe%3M9mjC5YC%jBx*JEs`-lTeWTL;jNF7S42M(Pnzj3~xI%rGlIOc^LY zaPW`|*T~NG*j_xyb`9GbknJh&xcd0m2UM_M!~-(fqH4^(X=`a0Vn2M=y^7cp8bL{6Gy4LA%tBig?k4&%7jKIKY$_K|{b7~q>|b@z zIlMds{+gLlmwoo>nYaG_vGX1|@2tyCL&gJs01Ju^NJAUWu?L(7{j^6D`N|(q z%ltm8Q;Tx3#Q6xiCzXOqF|*}K%wUScnNGpss;c<-iy;8~phwevWr;?MG~4lhU-!y; zERyYixHm6nOUEhV@m*Debl)Z2 zjK&wsHA%g~6lMoci?G8TFu^9#-ne--aU-4`lkxK9s#fdqjfC2y>sq4$^~<;AShiZy zX}#%)r%3=V8naFo2j**#usSE6eiI6R(WfQx^CF>+X;*9;sV9v1XpzFS76a(T<*kl7 zK(IcCSl&j3s{Q%;XSjXtM46Hwm-6Z<>VjYQN>bZE@)>8`(zD)WTQc~WJn@pI^%VQ^ z_2sMH;17Ar9Cw>n#hs{#9rALWYx>tNhdd#T2-u}PTCIM2aJ34dAD5cl?S8|zR@IT; z($@+#BI)D8B-c_p!;s6ZqN_xrV#`;u)MXM^wcarZInRV2j|MjJ7`8!=@av6p9fN9J zpT5p9=~;*aFn-yR3Vjyx(QYPorbhP9ufgyiH{Z~)(W&IXxq^-L#HjtKsIMJAPun!|6&Zq;oDdS}+$+cSf>GI83z2YK zNtT^}HVANplbe95d z@JfMtylJrf_+7I>u2F0jWr&-WPK}oZ?_={`*6?|?ZD&NiNlB4!%}O=j+fM@Q9e2iF zypL<7?R0%RCcpQxj^DY^vvnE4b%t_&rj6 zSS?osxiwnG6+V{~Y~Y%`fWM!Kz@?eDeiN`-#%)Q)$A=cts?rD1@uR%mm(b@xCPXIF z%gV+^U052*xSF!1{^Ye+F!pX0XxT?7y@G0dPxGiTJEt6q-)uVBRluGUptdleFJ~f{ z;Hqmy2iJM%l2u3`!InsW5Jh~OL6(zr5c5G&~v`H$x2+bziM__I) zGA4R{cP}SLBJ$3E2!gDP+R*Skylu98ojDrv<)z0Kd^O&8CzoTLrD8Q9Y0r7^YatQ5 z(6u*yxJNk5iqB{8)hkYc>(_7hOyo6EJX3sGX#UVqu*GjD`m$B-E&CN)798nFDPQSI zo}xDi8ZPW3$e;)cprz1;6@*e=0{x3EteI`azC!G=2ab#!jT~O0CH)ESntV7|^JQd~_S8WlZ?`RQwNx-}&#j&UC{%d@r$E&WrjhDtZfnO9>U9~MGR@jF_ zu@@Y-ZrlCgmzIGrjrq;v3CmSYTv9X&QYw?kAJnpZem6Y|CiPaPMP`yhazF_T51Ei7 zZC|Q+M(sAreK`kDTHiC}IcFwIc+bjX{}}?6s;_s2yhUq^u-zohjzH@&2Zi|2kwe)l zJxBh6d$kB30N+v*ih~f6aDVa*NsI|RDGkh-GCP)2`g7Ps_R93ay3kkI_jqJxdzgET4>Y<(hCmI3n+1o$8qgT+dFD<)}%N%h@f@*XPxJJ&@D4eQnmqln3JK z0iaXaC2?MYH&+~1dS>*E8mP88QW4jJ!5fR5r{``@DcEJcV*d>Z>kS3RCBx9k>+#J+ zSH3iKw-pwmGq5(Im*J1}$5kYlyxEAXB`hpe{*YH(5^m@t;INsD;lUrQgn5 zIy?vg1kNbJxi0}|vl(#Zi#E9wy5W)BdGDY-fxXxwI9f|;ujWmmbdql1(XyBYx2EiF@-B6ykmjYzrX<3oM3T_$!HM1=2zFpQGGMqH(BycK0)ZU&EB$pBG~LK_QEYu@|gA~ zq#Npk$T7=7%R0^PlrePA<@K$ml(-qXB!pfz!nJtkwMf+b(gO3sh%NTwn3|>LsHE(1 zT+3RnRh=2Sn+bNj5%jp?*q%4&^guFpNh9B6fApfwTEyq7HGc&&z2V?EJ&Q{2H4+q= z`-OK#l~0T9CC;!xT)PRq)Kq<)tweN7iJ^bOWA-6NYyXSf@?X-GY4S7W9=?e7*Mb7I z6V!JqiD}inHg9AP3y*bXzjBX1+A!?xX(TLjM!_nSHt2J_hr@eO!a6wy@3sq4NHCV# zR=F0SH4KQy>;OT3#{l}^vc;{*K*s5Kj}agAk-*uZi)+yREtjK>WaBsTfY=2jXnw$L(DeHS;(GA+eIl>n^y-mhv#yQKFvSS5M2uy(1 z#dj1K!4p*L^0}wqZt5SUl7B0kt~4OJEc8oT7A&-Ghi*UITU^Pw1%v~D)r!Cq;pBn| z>ze>A9AF^<%zj>h)jk5qUaIS%0Pj~OVc{4}-Z-4)DTc+~=*&{^jb;0G`uX%6TR+RU zGg*NBt^&S+iHk0qfe=~?^jM+LiJe+IAOUSozB#eS^Uh@mABCf0J%GTZphZ#f=Wy>M z(r0mwkP(;5ZiHvGGE1{2Jzbs2=QQJ<$rF+mHsm=ZQvq~riRy@46L1kNb%_wTgFtQ> z;&MicvcvZUf>BUp7Xh`?<2UMF-*MVAmrrooE&xvZ^auuSii>@iS=|S(Q|;Zbt>qJF z4Tl1nTP=iK|HwJnxmAO(l6o_NXlvgFV8g4YtluI-HhD`>1%Q#~>ADNv8=BDfnvae| zJhgZ_62Slvs(;)&+Ynrti9@dVWc3i2WnL!2f_|)NG(vuefXfL~exqrH ztG0GXn2-9M(60&qgwSuRcYseE`}cJWR5F#;kY@q=0z1JEJ*^>URrPIR(&Cun_oaV- z{HTR$5B&%q=*4kn#Xx8GF-wM7TPAj36fj={Lw##hM?fI3DJg3~^x8a;eP}5}GeN+w zKBwwK{Nu|W-g0Ml4VtK~UgQH=5rV+@EPytT51?gbZU!bvaW|r$sJ|NXbWii?yerTy zGOBf<+a4C6s#X*&-qX*9vuInLVxBL4D4LQ|_bT-2g?JVemaSEmVz?fr0(Ot(;|c_o zfYaJ;$c`j~W0KSHIP)$q&gnS=j(pid69LWwuiIFi5NlTcqZVqo&t8r}zSj~$M#0g% zU9wzY_TEx^NZZ)JOTEtRDRrs$)f#Req*Xq2hldHg+>uP6_A=tu3Y(3geE(5LKQl5nZ~V!-DbZI$5~n7;m+noXkt0d@BG;^(Z|)E^lPw zqNj2T2lT8WelJk+w5p%A3|}@p0&?C@TUa(KJSZ$2*b=|uCEu$`jTz^ROc10diSs24 za-tD*f)ugY-}SKQI3N?;u@i*AW&vvcvsCBgSB0LSh+cjPAW`u$Szg=?`cA({n^O3u zu27M9d8aA6GqzrDK=*+{4oYRs$8$z1YmB1EF$rK61oV#6SrypsACJ$<_}}a|o}Wz; zoGv5e#2D!fgqVW|jv091MD#*S9~$3KZMJz9F#^L-$rp_DafS8QwTF zB@QTCXdFzc5cW^qz1mcDQ;e~Z+=4dnT@Kp$`bf%?mG8D0kC3nxAjbgAuh^fepZss? z*YjWMr+~B}o`r!`2qpi{%Jx0LE@o0#wHI6C~pCp1rLI+=cV3Ylk?Sn#yd zDUrG9q1kfsuB!*BZ$axgdkm_l6&m7lX8N`O>B4V5L~Jqc0bo@?6S2QE;*x#kzil8+ zhKm>wPXpWI@XYBA^sB))q*fm`gn&ljmUAlN>gc_qSDZYs6>jO{GThDXR0(QDtW!u8_dL&2@i7O)U|X^?cS|G)(Xbpx`qW)X?<7$ushitWmA5W}8H?_BxmO*j+Z z0MDK2iwx=HaPmWVVDN98XK->CAeCzJu?F$;yN4ny>K6kQJ zmgMq3RfZmH_C<8Ju|!BEZTf}2LTbq`ohRX)iBVa@vJ6>*yP1qE9mK!o_lN`P;%%@E z>N7Ht_9u%M_pGe!A6Or6LsCLJe+{dmBgz3jvj$>IRo?oKE9glrlBi5;Na>&l%anUQr$sTay+r#$Mzj8@a`4`3*)%3H1l}dv3k?$PV|zfGELQ|v zP84C59zt`a5Ph z_wa1nm;xLzr8Lu@%x%T(CnRn#i(^{k++zer|E2yj9u&PjvF_LZZcKRAQOq*_ z9!vQ42_^vR1g<`7m(Ru?g+MuEV^!Rblkf5pyxTo3zr^D zLTYnjq-+%tf&p(!L0N~y1#2@xMIDxM>5nzRrOEwiBp+aTEtls=3>juo=8bEe&2*%8 z4uvY8kW+DGC1h82c&I)KJtN9z%v%MDiH1jISyC;wck1nd9mWrRx^8#C`AI{trX@-V z-C6}sL+<#u`WNmJ*vH~X^|C$bWV-_cEx$6~j(%INX)hfmJ^Ng?)EfDd75@{jI;Q3Rdp=K5W12xKY50#}7P-)hp}#g43h2#~>b-N0B)@Vo6p$ zf*D(G6ywxLqJ7%zX$Kg6o}XAPni7b`k@Z#1FE{kM({o))O#(&`g;hqL*umR+6U4|M zAVEW_5^t6Bb-gyhZnev+Gn!o4yDj^F}$FEuFQQ5B-F*XTR&n-a+j(@Xi_OIzI*-mCYZnSLgRJZ~OG>G&s(y zRvwy<8^)W*n`_3fg)@+azr(g`a624;ok2j+PyC{{{a1e%y~r8Io}gPwU>2egia&r( zRR;VaPl2rM*#Gc3$-B3)&m1+a2j4s0mmHxqLbB_PTFCr64Hsoe8N0*@-iv7C90KQS zO;k0YodKYt*0rR)@i#9iQ`B|P_X+Cfm>!7dggbz#d$O-f=|TKgg05UM^xYu;AUKcC zTd$|8o_@sFzwFLqk*nEI6lLGvY`sP_{*r^4qQwoXF(mFNgv>ghVLF@DxI#UxERUp9G{+t{rt#B-Ml^|# z8(`z4gJQMI_nanSKp&pr$HUcDsSAEKOfe{pVyzCV5_TO04w4u*#bU{A>ub1#qDMBY zaLvH5gV3=%XoR>Zx;l`@wrqxgTFm-InNY#4|Q-8-td@&6&D?9+%?Bqh8ERZ))LN* z4B6tX1x-5&*K`));HPCp-AO^#=EEk0g&iS?5yeb>7;l#AwgSo#Yow-OWF6TGbu3HV z7_ZfJBFxVnTFyHL>h!CJ$q28HYixganh9S06#B z!%;XdVlb{U7R2v#;+JxE_&F#n8+1>bNwFw>T+1J_jrSvO!zLlqf^jc4lmi1&psTS)!7L?W5hOV|PcaF?5Ym>}4lL zxjSTeoCXGXR2?HC4!q&fm}Zv_1?xrkolq(tyX4_vI+F!nZse_G>$ZSU5idq*y^-nf zkHjLEc#1hIc|RD5;VUYR9Mz7l=HrG$KgOReOOO|G38oPwzB&5GMw%Ux`Uu;ND?j(guxEmOWHnYlUs zLG3i^>g4fXBd&CM&4shvabc-QjwqJ&NVH5kVYI02b@r&i{pr~e|JIPEc1f;TsNs6Y zG3t)h5do03H4`jWQs?;rnFb{dk@Wt_GwFtCZ7X;BP3GAvJ701dm53PVQ zeu9qlN&idm(X;Yf6#GlI+DGm1qE>L+xUjG4(BuB1SO6b#$mRq7%9aV9$N^eDBrR70 zN1q=m0ffC5Pz~Ov30kQDA-p{p5GQ%$#sR2s8{k?X*p5FusdzOmbsn$nLN`S{I@pfh z3AawhA7Ctw?=c4f&c(gYQ)yx(l*tzr&C1|J_ek* zi&g?DE7%f9&yRJw>5BSuJ{A?M_xlB5K?ZIaM6F-UlhArz*BEoN5t>3(IX<=qM;?L6 zj~nMRyz1A|W6{tdb57r3eAF7alfA{k24VykSR#itxJ6yxAcZY%nHD0rHOt*zd1Hgr*-3=ZdTqqVZT$AKbMS;q@e;q&vPZs{V(9q& zf}R`(U@XmPFprPaVcK|^bNo;8c^)S$#IZ}RMQ)ueh4A=3k7#}5tFZe~ixvV6V>clY z8@nUrgomV#^N}?3bAoXS>1iumWoB4R795QY!Z!*(6_3tqh?(Nu!pDCl55^ zJSP?Bch?Q*xETCwJ`!=C>a5J=O&x-9cmj56Z8A(vZuVMYWSHcA&U6U~z8qs8@cc>DUN?$O7f9sKk z#(Q#pVHC@R_b`FYEL${fYBaSj2ZxUfMJ$Hc;reNpZU;EfwC7to>$>{)=m2QQfP!RRG>;$ORyK<+nG)&9RA`2i=nvw{e=Z<8U`@{u`(Ug$E~{+Pw>pnU(VoWL zIB!XNi?3mfe;#Rk%>oYH6pM8;JBA(wdvXczj#3CJjat$@3 zBRe9-REs9(I51#j(R{ZGa9OfwCPp+1vb3#2)t+=R^U__#53LKj1$K@)+xNY9Kvlys z+P43}f(rlh7ncSe%Cc`g#)(OnCXlgg@A2GR>EKH{JGKNnA>CxaEZ{7q4G8o{g(~Re zA1vkWqKhVOcC_&wwmp%Z+gucP>i~ej7jt}TVXbx~N+;S}zG>ta zaqQ4DQ_haIQ2DY)^~mPK!lbHVJ{miHL;nqd82rPL8QpDOpqrasBm`*wDDw~w9RmzH zrd9r)8^S9p9)Y2MVhqpydeWg@Bf`6l6M=XPSl~s_nV(AeZYjNvcXxq`^xZ>{FZAn8 z;52rk5QG3p4jl;rd?0dwA3z0;Bu5V!6z29{oK zfGY`Fc|&zTbf@ER-wtpAX^27K%M0SZ+V877kUckWVdde& z<6*$_3Q;JqE9%oDfvn`jRN)dgVZv1SwbAIV3(U6^fk z&C@d>3JQ;3A$zqii2|n#*JgRxO+n+qM1{Y!u`qx$CwDR&W}7Vzk0g|%q)-7I8Q|Je z<>&{n$&Ikdy;(OG%MYJI&8mg!*zxE>ZqMH4hGJI520V9eF&ifCyff`295#6vhE-Ki z?ltCCOaHcB_R`GA1b!`M!jreOLL4B|qB%gkK|sVsbz6Jr|LLO*?9;zs=Io`o(9857#x?d4b(x{3(6OW9I$!!REj*TW85f z@OW!HLP+jV2Z+294q+aE&^Ip=>P{Rk)Bz@Udsz1GsPn_ zQX?@kXbJ$gN(0`p zzh>pmV;`A_ADgE|PiSP|o5pfe0JJwrdjJTBuZMYLSG!?#lc7q4Klf}_F{aV-3-MB= zL-ofD3j=yM)P5D8qWgw)KZ?aoqdU2`6H9cgL9o$!@cJ8E4ogf~?(Sl;CURx5Zacit~@ZunG-kY|4>V2x5ea5q zb9sJpa!-ZfofEsu!{TNc10=fFRm`%vr_g-BSC?$-5NZZ)Tufsc;X%|R;47g-o#a=% z4mG{tWXarD0+=r#hh}x&Dri3u zYri37_=h~#bQJTZ_9QRn(~wJHHI0PI!diiRe2cy~ok3Y<$qd#i-a0M^G={V2J>br? z9T*sJ>K}MCIWLvft!%=+Rjki+JlCpkjO>VhG2vXWcjotd&sufSM>1UxWf7yK0aBUb z()0+VbD#Z7fg-p9h+U;X|HI)ORy?st0u{O0M-Ryd((*|*6%VUPM7GGN@ozWnRvoDD zoFC%QhEJvuRUlWd2f9Ldq+&6eWazYA2(7IRBMH!A`n+L`hDRRi>@$uRKmp9nKNm`- z|425W|FMBGMz^VBnDK@Q-d?-`y}{ zJk&&(Dp0j3ViDu=jdJ`EFFdK$hpRTM(uyxjllR*~Btm z&Y^x^=~JLw`vt+n{^vTZVX;#CUD}XJ222*(R&!s{C ze~R}{r+Avew_k_~^A6lU2>cKlD>3bw;>Gb|j{EM7Cn0N|+vhT`Orr+U?3ib~1B%-e z!YW!46C{+f0qyq!n(ICo93tIBY@UQq^i)5pCS-pT6aR&*^sFhVn5@fJAiR5Le?E>a zzat{<0zUFga|3b_1z*Rcj_qmotMvh2R=v^<102-1gr+R>XuPDN$@?5Y_i=Ir?^NaN z>GQ5co>|o+@Px5r50nWyZH6F4KgGNS=5tp9z!-~G2h&uWxk;{W`sB`~BSrtijmAxBsRxW;vl%1+ zFUJpC{2@IIDSz>(teFMDX(Eib=k(E}^qy?m5_75~nXg=D&6vjVbbNIBgi$z?4Xkb_ zR>i|kqpXh&4<&9@B|_ZmI_X7uXT>UnN4o--j9+J1B7k!AFQCF%SkpJ&ct!WNr8;TE z_Ab>J#aq>E6K&4o_!?CSn&#=hDV9kJWMcYNF4CPXkGUDGC5j>Rd)U;`IpO2Z@<^~ZP z^w_^*G2e!L>TERi8r`AVrZc9KWQ|xN9&UfIO8>ewENY8Cs=s>UE`)L*xE#;j6&e{xGpD_XE9tVM!esp(XQ=XpaR_>JL_6*_0MN!>zvTkVxUe525 zL!?sFiOH{u%v^O-vJX);N*QvO?zzLFG%A@CD{-s`DU5<+z@=F6hNhXi8rFS8Tk&2L z$GITcr$NBPU!AxECf~D2L{2vAzH5Uo&AUXYI>+0#5#(D```jjKp}p|gR)o?{oQMzx zRuQ7AJDB$^s9>%mEVWFh_?%MzMxDM}rp-PA-f?-jm5@W4cnqI<#``OKZn@$l4CZm; zrXY_K(?TJh`bxYKssT$yghD7ibyg^0gA_HATUZNBQ^Cc7q9B<=K`;xCH&OJ1Y)}p4!jRK!)Tl>9^AL(W(lipu6KhiQn3%Syf zBFma>W@KY%;av1YPi=0Chv?0dTeakh6)m z*oZ&I0_Nj=P3Oq9T=3fHO%;>ur@M|TGr)D+IW3J|y~GTe_A#BgKncI4O4u~$fK&&# zq{0Z@+o3x1*$SMj$_?QB3_3x4tbZ9OxDWwdt7yd?#?QPfsBo_X;S&j53-Mz^2ub$q zI0I!>opt0T;Lge((3FdhbZGr!u0`UKkK17 znAZ=q@^yN!QeW4C!>gEFANd;M(~28V!v%VhKc`Zw0U%`cKtr+lx2js_zA5d{${(Pe zGXWnlCIZQ3Z{_F1|N0pC`==yDO0;kk!qj3Z75EJcdEFs*LaxT)fS}%i;T| zRG7m-fNFU~Lh}hjG;wV`>u9BcIWp~Zp;CJFj1hWNZ)HzG+e3%=M|~`8^vZ*D#r@ec zx+M%G9B~{9lsiIM&u)A!)O2HUWOjZep!Y`Go50rA8l`wqIxRq|LEcocY*a^qt*l4$ zDA2Un@A0DjJVkb<%(2yg85G*|qMAQ0Hqp6NuFv5;Yv^!`VayvfLRH(;>(=ehk(c6x zuyne)sIb%)#^@n&-;h*j6}@#K_B}~_7)(+m)iD-yA4e{Z+J*T)a{oi9WHu#3J7|yS z=prF&hckG>qf+vwW+_3-*NA}3F98hE9I$%4Cg56-G@XF2C|VT<2c~E5syy3Sw4Gu_ z_fEFN6Yhj`_0g70h~Pn6*(VB{Fa~*r`A1B7X(dLCDgD6SK7SQ!tnxUVo`AA2a`H3K z9g>slSIe5I#qx(smwpEO8C9pQ8O4SGiigi1)}K7=h#J_}6nlI2Dt6}?h+AJ#bUkR@ zXOX^KfOc%9Wf`Wd|?O53!VV4V?Xr!1v)EfN!aGQ{Xy?Pn*4_3 z2|VVLVg3cUEGTRLr=V+Y`6AGPY0NU_oVlxV7MCcTKTmapfk66i4Ic9w66tyBc^m?{ zsgP+FV~xEREiZLCW*d*ouDKC?F~|D&Koz+VjhXp0?AoMUJT~|H?~gIH5Bym6UyoNu zee1{Dbp8$V`^%fdvha+ho8=CfG%Mcjp^kiyC1go0=`|+atvQ7;fk@1hdzf|tnMaXj3bPGIL0taHqbv?;dS zvgUByh_e|dH$1D^Jg6ta~0YGj3GUtJc!ZS zaSAV38uwV~Eby3WqXA=<&Mg0CF+K@E@8yf_8sn*&$z8K(AP}o=$*jby$bj8 zp~MEwEI?8f*ywD-d$s;#n&8vY+i#$Oor2PQhsf34wxK$tN2L%m+4d5RJl4GoiIkWW z0t=O*0U%3#VaM0!t;r|PG@L1Ou)nfkS;YSJSFQp2yDu^Mw>hhhja-0!p86Zor`Qfe zfN5Rs-9LdJe?GIe(RJ#>Ka>t@Dr@CO9R6z~p7K-kQU7go{I!ES=a4UsMNW;<^oT%0 zC)vOS+bi5l@&$gp!PPYF2FKGS)-6g33@umZO*c8L#|idwrTXkgB@b`1JsZjf0?l!j zI;jxY=S;*Z2ZjF&`*x?RF&7#IRn7!Nf~S?j_x0T9iK1;mEe`-Ij_O~e2E-_=4Zsoa zEB@T$m&?#?BXb*&tFa|FHS6ELQt)CEp{$ zjofnnKdJ%f z?h~8h+};2@nCMN>(!Nv4cBj3R^7`u*_Z&Vv!3BeySV_OvR3kl0T%dV0>fA3n;qcVEEv}ImxeW#$@N!E%bxxZ8zjkqvmPS%mX{N;<;d|^J` zpi4Nhib^|2pbIP@s=6HuCvk{s;T!d?gdThE0T!0+*5uEGbF1~?M*~}Tv3M}>i3DD; zRFl+mFG$?_(1$*?u3J|03@n^OO`({ChBP>*K-fizFYkR265(o$#ox4ZgBZeFwOHd@@0-; ztj7ku66DGl=YErG#?w!9HF4N@i<*PZbDz3ynfvV`vXM10m20tI4`c&7K|evuc-`ae zkXCW1azqOW`6rZ%pE-ygUNEy0Q(@b3C|Co!~0k7|?h+P|+ zjvJ!8^ukz=Qfg(+zciGf=#D9SY#pUw>~rk9UvYB48ZtLEAAALfjBj7rg$`vRM3av9 zq1()+>oRAa-p5s~!|n$Ppn^}_u9nt0FKF|@wS>OGleX{k}6(x;{wBig7<=ou8_&a~m?OzhMCEf2DNlVel; zhuZB)whBrG($I*=o&9|hC6(Os$JJ-?C2{O-tGS7@~+szmbwgdW+{QG|3tT$8wote&(QNvYZ$wtzJ zc*S14M`NOXs;hSJA(Hxp-nT!**a(Ea}G+G1e44MIv`;z zU0nu>nIzzlaBRKpHKahur~C*F^pU`_%Pgn_m3ef970@g>zn< zh6-PZ0?9wsBV@&1Oi9K3k%np>xPXQpQ2(=eJS_;syesQ^A%09}vs` zhLYiHoUdFt^miKTtiM>)HRbnwwaD%EZt%v0dsz&U;HspyT?0D0;CbBiZ_17XtNm-n z@4AN`MLYtTZIdH2ZT*&`6RA%sDm>o8DfY&uRGf8P)dKP-!wX*Xw0oY7iZ5QM$Dg%W zV$yvp+m{U@MK@Gm**DW`eHM!S^s;!H-V#lma+uJx!>9|sjguw1B>7$X1CzwM-MN6{ zrg1el*_9jLkRHhr=X~TL96)n6+?u|4?YO_Qm|m6{uYUi!gy~F}7-hHzcV@D2>r^bj zurQuqn^F}roY{(Ea_!U0!PSiJN^P z9bb^`Mvyh~om=2jQ)6yHlzWBh+3(8nc%K@-^GZ(V;d>}T8(7!5RG)of@~}X;(U+#E z<4(+8L}e*hhqmM&yh4pGl&Ln9>cb_+FU0>veb6|{jKt;|Jlf4MUkvh!%VvC2`Nf^T4=|LqQR8VF= zdM)p^78L@Sb+>ZV@tKYdG{u>xw&>tlqzMB&W6?D*$R_PL-N+wSrN{Z?zP9$%C0~-U z+iDJ3Yvg-o5?vPAmhSwP^ANY!*=;ksj8iIi-=ndy(0NwtzYS5)%c_lXnD^^mt1WcJ zIif2aA=S#FR2ZCuz^*~dO?UvhNHgxarJzY2nWRip_`w!%qU={LmVSpxY1;+vI zKIOa-CR0sl@q%0RaF@-VDjHq)_qPFAjLg;Fkc`Lok@o_ zdsykBMD)o@!aS-(4PeDo;YjnJvMBK27+6xR4s(~{#+=m}65hE4m+JyV3>Zdfxr*yf z0e38Ts^yX!X&ajC;68bNj*E3K$SRQpe7{_77`OAfrmPW@4Lf+sb?2+|u|@U7vFX}< zKSTqDTT_a?2u1cX=lv9zDVjHVQ;8n>m!wd18zl#q#CYW>DVcCR4%GzS8HB_5;L(#X zxo+x${2t3%F^UWfr(SSp$cGT}Qq3%VGd{862nJSp(k_lAG)@tMax&oj@=nptbsto8lc%XZ_&UQkajAsHr*$!ZLsGPE>I z5Xo8S9Wq?-ko5D{*c?wf+NhG!1&GBUwEv3U-(zwZ(OKtjXTFNZ~e6M&+hck+} z<#Q!oUBfFrfBLxXddYO9h=JS+TX*SJK8an|+jokMy@h@P+8A=7C$eWdNAi6QXrhL( zDd?Xp)Gsa1N+vGV;hO5xN7|WM2j}Jb&}-DvmtGE;3zrYhqo%wQP!T7U)xrVG_%hXG zWS2x6&TB<6!&bmyX=;se{!)#rcKzsp>3E!$pC`M?vB;!;mzb;6;qvW5O^kO9^}7pd zZV@@~KydNC%vLAJOsDf9v=*Rg>|yR7!!0&s6xy?F)GRTdDsctj3UxorE^P|ce^OgTd+o(&RRawLqi1^{VSoi-i2x)i&mY5Y+@a8R;P%$GTfnXhXwehHX{^yXYs(($vR z3MRH>vG??b?bJc8>VCK0AOe3eG{HkV$UoAKvz9QoB=MPczKC#ZPr2-)^zjX`(yJF~ z7awKcSr;V}KHRHmcuwwAyR1ZGttFE0oiwHzc%Hpi!s zPJ0(|`yu~x6XO2a<0Ukhl4PS_9;)!*svV1efT#L?(e!nUH#zH@`mNBc*L9&O&6*fK zJPG{;)|x7Jwa2R63vEcS$-{-1G9$~D)kG82#glrzT+z0)HAnM)=^dEb`RGov&iN9$ zs)5A@Jit*B0LO0x9nldEr)mwjwICFy6Ud~bXqO{nLlLzWR$rl}=8blNDDvqAT~Der zca8>1aO6-P&X>Mk(cW;oh4XM4Z5W%KDdXnF%*!Np;i5dEXS^W~k6q!kU9Yq#thIYR z`JtFHXn9tO+zcqY4FvWv_$jVgua-%V17R9YA*j#bpB=0$g6l2m5{0OTA5LSr78yj~k!t zO71L6P?a{&)izyovQNk*tO5yeI`t>e=;F{UVJR!y75rCsy3B)vFaQR`Z)l~1AbgZvBEnmT#BG>iy7r8!jUU77W-n6-F{y} z+sxkO#jF5jv1i8P-*{t-PTkx{z)OvDadC*Nv*fJn@1pTlzuffc(P1q89KigF%N`fU zolcU*caAm?vPO|)Z1HFOm$5@q=jUCi>>q2e)5QxPXBo@0=3yi)Egy(Mrvx}3cLB~v z0&>;?pb+LP*svOk7G|O=UL0*b9(o@;a&%6Vn*8|Zm%IZf@!*_;ZNvJD^3nSAE0I$j z4KmYLNrMy^IO$iU?shbYFKv?kIG0vmRx=?zz-}G3d~Rw1K*TLvfId$~$x}m49#K>v zayq>Z1@r9URv|wOepGAh9w3DTL0EswIu%DsUTO;!rK}L_2N7VOh0>1AgOkhf&4{11 zO8mD*;U|{?K#`BH5qHy&o{5qWrE*(#MzS^C)Cs?_Mp>L zTjo^-ixU7=hxWgKpK_Kzf+I=PmUOAoOPVN=s>K++AE9DZh6&?0C+t=T((VSXS5DSJEImI&c5ci*Ju>Xdy6Jm(QRi zxFSOjBs2I3`Z~ctcKfs9|2skX%iZ$7AngVk!+47I!sNz@TgPGh~k1L!Ko_rO+e z0k9Qf)I?I$t=`o*%K3Td7`F(1@?V)epH}UE6ZW=0J4`N`6#aYS$_f1u6h+Oe0Nt+* zqEhk#C_`>i@H#Cda0FD$iHyX!&dLIi^y<|%*b9t&Il%v>^rt4E_8*@xosy--KHwq^ z=yp6b#6F)c4<}Q8HfJF-Zx!U;xJMY~i;U0k))==b*rbYA^S%g=XvFh_t}TYpH( zBS%UGuTx!$Pp!Mmj(O3^o9Y3PScAXCrEYPWnB3vyqy^3NxCtewc`Iv{lhfl7wAq;# z{u1VQ1~Lief-F*e$-OWrZx4qhmL>14zia{mj@-ZXr`sUobEY^a7s9gjQ!~^CEi}1> z+t(!dF}>^cP6U1mnaiW*3&!TLjv@Bblhnys$lNjydUZbz1h~dqiFY&SK z5fz&Sz)N!<;tJ4q}tg2lYfoeqq)ntfbAjJe_^!f+G4%)iDjLJbx@KrSyPU&9u>0SaM78T$f9d z^VT|?vo%5(vwl6JtNM-oJrY&(vz4FoiYi`>aRwfixX&x@J0|VE?sM$e<(D=uT|&wl z-Usnf3#RnOJ#!O0* z%ckd@D8aq{fnd0Htc$B=e*5!9iz8L7&KmK(hNptd!(rX3o{`3HWC*W4?4x~D`J8w? zOsDiPLe3o{=Nvjs+%dnEscpyg{`X7*d^vl)3`;XrvRfw#E|U!rH`Nq|Bpbag=vuu~ zbkU>!l2%=}?_zsg>x=xRS2-cd3j&HqtP5TkC#Ibx@aL6l_A3i&X;qTJ_u?Aqdc+usqx1JAk5dHaw>4N#7->vU zF-`PkfA95EfA^C2&+d}{ja9qwM}QaUFeELLgnGJn-ukNzSTS*B^{dVHr#s|7%i4&x z$xgoOjGvU-XuXh}_{IHn@USrq zy#4vT8&bf*x5R#R7orZ1@0(b)Z1*P^+h$~N``%Uvv|g(m3;_AWE!o0$%i*77HYwli zLQ>gwAq-pG-(e+`S9|)8XDSCfK`5dRx^{1hF&r5CyO5niKyT4@=`W(_9tD(-BGMH6 zk{Z|h3<7Rt`Pjz=UooW)aehL2O6Rah5!zH)Li3w|vY9OtZV*%8%A}Y>_=)3v32o5Fmt)o<*X~K&QeGpW z)>}Ut3pF$`*L#p_k>H7*IIH<7enT4HGj+zg_gEhev$%9isd0y#OvaLm88yozuTEm+ zj8M^@f{QmDCu`aRik{>nip71JG-s(iSLz7WTM}9X*qFFg{bS#DMRTn+kG0lMLM~*} z=LO#=9)daRQ4*1pmUcMfXzMO?A=aj^Ix$~w@4|^MeAqlntxKDTE23hcO~X*_i9;}} zUoq^jUBI{tQG+dApznpHdWFcU^&M|Xu}M*MIqL+yG`00*_`S>Mb@hMS2(x?^U7R$zf%cWPKbfb)7SsC( z9K5v%rC6@oa5ZDhA)ZdQuGBe^<8_&Ofm!2JM6yGRBTdyNVgA{Q+9{2}=_ia&)o>Ho zPgbAvf8!PRzBwPZ+5)c^+0T9nuJIED)OI2CuvBPA4Rq2W?b-Asva7L10O#esbs@ag zED5-vDKx&K`4f#FZC{Uijn1a7oRFa`dv4WhwvbwiWJ!}w8>zhU+e&eUjw<0F)2s5C z*o_E`I+~~WB9*-iSpp?T5@N)3x8G}{L;AF+ zY!)9&>$*tNP{;En_rY;(SM?T0s3vR#M{BwT-oX2+NKK>3lz8@4yS1Tp?-`p~DVIx= zOdfd!>H1Jkcq6)WY5Ax6Ash=aOX>V(0~f0+AeiV$neCQq8r`P_ubUd2reT8woW{3w zZgIO`(8GABj!R&9wW)h+0iESN%aHdL-Z)%-qk7a! zz&*4-l(EVs;RSG~4c&P$Rg;mC5!tJi6Z^73^H}DrzJ9NkR*~AvA7xjmzb2meooa;e zdsHAu=}dr(iRuqPb)nx0pnm)UqW^O$lVXO*Pc#*(jaiG>p@(6ywE^3<=hs-Db&}n9 zk&11Cs}$Rg6htq-RUGz}T8CyU^|uRpq_BDvuzp#oKbV}J{G-TM{}{z4@kry)Iai@<$#_VG<5@~xjG>uN$#JykMOTdKcJ&VZ@>->?4Lm&Sh( z-55$DHIlwje@#^UI~`5&zlY)SQ+@Fl4X+pWKh7&xL83xZK_JJGDnIR`ocoG$>N2dVGCR{T#?>VF67!MD%}++M%45}D&<|7IQiw`pqR@BLY>DluRiM2Zb z`ARN@NdE2O2oeauHynxI>gjl{R|xhTzM}Q+yW33LdfPfZgU(4jJ?}nR#jyAH75DDP zup4B>2C*1*_&b)dyO3)|F5UZcP_OCM62WM)qa1YNHVumh_SYL{^@&{)1Zb-2x(J08 z^X~!Qy;TeQ*Y;RRGS3-gMjK>BNYJUz26Ho%(O_dZ5imlf7TXJ6Uz;>f)JW!`uBNDs z3v?)L+>bfrDIU8e(8l@YCF?3LyDObE*qwnmSxhK z64Tdr{+bqh4V9k3rQ>!yBZ(hr4G1gva5c#m$&3Oe^p2RC({M)wA8I(tuN^YV7{)^* zpc~R2T4@|K6>}ceHiM|MGk+~kIq)U3nb|a!W+yjJu`=6Id9}+JhPSH5s}nAkZCtCu zJTr2bMaRjnrQ+F6O*G&m$t1{~xFk(0e2h~fh4W0J8hdZ0S-_oaZZ`G!rO0Q4hU;R= zC&@N%T|J~E=)j!va9s(J#Z$wWuz1dWWTC@zr8fD?S?&%crHeIT>w!ID57{WObePcf zy8*=}55=YrtHmEEZh!nM6t!p$k3fLb04)IB@_MXTHx0$F6k`p@D5Mi7NW+pTW}g}- zFcfr4URZuDHx>WtfjwpiosYkLH3mkuiBqO;1fx+QU%I^}#ockG_YZo^mzbjOve%|R z3_eps-G@_O)aDYs%DC10eL3P%!#-K9=OSl5|{Vn>6yYd>IQSe(wLkc5!xTr^A# z`74dl{nEa zhH1$iTiX%Di+Fv>LgB_QrmUt;mRYZXjO6BWp3y+uG}%@=A_ufkQAta4!RXIn9TwFX zi)@l-L$7CC9C(ON9dO3e;98sZTLqHh%)=jb5hL5N1yYix;M@vT_vRN8Qp?d!`!xj;AO`o|^PW z;j4M(u69mpqj`0YVVUc!PK@2*_5G(B%wzvYJRK{-Ux_*@|8?mckaJ!C60rO~jXcC; zqZIEjpyFVk;(K`AhRkm&>~IbKvoDb6p5n#W%_s+lKPK)-*scXg8c(4`IRK>T7n#d< zh-!XEBX zj8pS47+_?e`kBX%JO36udvNrL@RY^L~cd^Onr=uYx%_-px0KMnx>hBrkD(DXbt@9GDj z-~WT*fPG!uwf(@K(yhbB%) zp7a>TCzuSXDT$ZjaSj}@J7b0=t&co=ZwB1{5O&+ong2DG?NJ!~6 z?Roec8RJg<2h6#Me30h~7q!$2eje7PX3@m-C|LbMZpDy_zh#U}iZrV?sf#9_qB+S% zjI>y9{3e=rdsdxUY?!4L4?<6RbB1)fNz~y%` zh}(O0lcQ7Xvrl!(6;H15vD@2Xjjnh1$U^cj2$1nf5y?)vl0}F{b@wxdD?_*u>6UGz+L4Ozm)ao zXOF#09@BH1Vx%8J;7C0;O@%XSV=UwW8#XmG$gKo}B;&APqH9}`18nKCW>>#CU=E-` zUON9RpzKi;8S(@~j^RcP3j3#7fG7&;@3uJpVJv$;&1yc~k7Qja*8L=G>te@OmO;+h zul#SP`;j&^6UbtPVih1SI#Rz8@nQZlHjsJckR$*9H!QL&>+>ojzb+h}lW;f~3&vl|HBa4r`Yx6Dy#PQLQt>pl2od2Qi_sg^eX$N0PH~+k8 zOZL;f3W`1cQ(n<;IcWC6{=o?6JO3JCl-Y{9yZWbWUVcItioERpcsk3hhwV{X{XwJr z4_n?n=8w@u*k_<6GzcuZ#5iXz9DYVcfAbS}iMCV=%I(^_c!R{@#4|Npb!^L>B~ zI3~OcF&EzEyy5A?AuD?KmXbT73ATj25U^td7=c$DI|@;tQ$0}$Gd%1`4hj5&K19Y2u0Z# z#;|!_Wk8eCb=P|5wLAhZHan$=3#%&ekCGH==o|_CWyUpj0xC#2de7ph33APFuywu2 zaS`7+LVDyx?d$vst`AT7y>;%7j1|`V+>|bW*GN)K{KNQMf?mZZe<%zXA~Y;!C;~~@ zv-79BzjTYAtwPAVJb%f*Q1$MrgWzE~ZYL|9%AwF=FLR0nFY8m;A3S9QY4VGfyX)A? z7zs)_Yi8Y!v!Icjv*aANmM>_Gq={QR^*FOmO6+~}ipJUDo7o?7W1M?5pLp3mr5C$q z2%%K2q}YWJ*2{|0zLU2DK6JOgagPwmUZ%QfpM#Kws_#P5fbX?=S@XzXo%yxq%-QSx zip@4SldOZ={F0`LuX82V;}%kIMsvh?>n~dRug<$N??OVfI)tZ3g;xqYK3j(+1-v!T zkGEej(j*8MlXAH+?`iLx)fR0sx_pIfG@Icn-`qelbxjg=pq1kIr?3!vS7XD>>Bp=5 zk>{CMSn3AYIM^-`cOUm9HW58dn@3K`I~@KhvaLr-W_oMJR;ifZV@$1xRXV19&Gb5+ z+?r%+c@op2S$8^yak>>v6hW`JfK~Vqo^6@z`>d7~4Zg9`nm&ir>*{?toYV_wsy#cK$@Qtj^QR*Q@@x*pHl)l4$0ujCv1T!@-$;>5Cmz*L2j{~JP2W_7Op5_xz}G)yp9la2EPo24uB^IT>^+I zsBaP;WLU)C!L|{GR; zbKrFLIl#Px(`lXoc)D{5Ao(pA>PNK@YVU<`&yPi5Ng_C`6!#v!bnM@uib4)@e#2D$pSZU@-R4cF4G`^7>~blE}+`P_VMze!$ifjMi{9)AcGi|3Z>i)CB@SgZ>=? zEgyGS{|fu13S~2Wjq+n7xW2I_J^(uJhl{uWJ=osbeWmV?xs(?BNt23_$y8o)k;*4C zu>H82%d47Zp4XoxNr{2GbX1#izVM=DnJy>S>qTslRXi1AQZM*=Hmy zlybCoJx4RVM`|8X2lA5e3?Y9jN`n&W)H0^qRyXmk=WjD6bh!x6w&a*U=EG3x$Wd&Z zV(D5P?wRsg6noXCdE}M;qj{mbc%OZ7OzPV(?n}Imo8G#z@noPiJvV}aQOr<#TYo8@ zslV7rQ|#7EBDch4vH(ER4raf^?xCw)ESZ=@n4; zQ-HQcYA@KdEYcTX`*U{QY0o-56d;>>`&z!mTMSq@>i|;b($4}2UkV;5rYK` z=>g&>YJ|KEwzr&m?1=yZV{bkExz6|hP338M=-Agr#wZP&JzRWve8&Kt_$c-YpzpolX zd#2;pRpZYZoB!$J-HlAE4fYTgrKdT1&#=ai?|XM-=`(TPug)ie!+b)-<~Blh3r7-}Iswh!MF zaeo8YtTe6ts};hdT4MY01dy=Bj~92&3jO+bRA@Nj$2rCz=is4B@n=f%rc3ZS^Axd;9+Da z7`@7&$Ta zGT$1eT1t!Cj}Nk0JCpzqkcW1h22NADT)_Z?Vi2_xI>@>X5I~x_(ypWlq1Tf7w6Qd5P!*s5SP=s5%b0{GCdmA|8qg2g(`Qnk+|d-S6+lD?oz1LndWDxXPjb z?hnI*{UCd(RP(#jI1?ZdW((s&S!K+k)3;$!#SGA@Rqh?jfK8>Q{iZW~bWt85o&=lr zh1LZ3Q2wi;giD~1ut5$eqY$$#Q@HRB^>1?SSRsnRVUARtbEPDn2a)WJJ=|v`4XwGp z%?Yi#4TW(dJWMr@AQdGaUMvK*FDaeXaIG{dW7{N}wVZ5-f%y9jeAd{{G+JjMa<8=&6WYJg~}t z`FCOUy94LfT`xp#1K1vE7SHa_AN*0?VVCZe{aJ|nm@tzkkZ3sf8^w}l__|-_VI!~Y zrFJG^D}Kq1#tmuvi$*DK2g>(BlC^hF9R{!5h0KocLhh!%%KIClzx-m}!_{G0u#>|Zr= zn$u1MY=l9$KXMl$lAVTuT#hd}t;q-M3#}pH?ej`nTXe})#vR|x+DL%?RolNiffhGw$66Rw%BXaS;DvW)B+Pjykh0xuFtS#?CJ}AlVo3KfNO=3Rh-_;ylfOc^sE+fE}FBP1X2dJ+5px#NG zqqkQel2s)D1~%kpf8Xd#EtE(ZEw<*X+M-1A$5Az~QW2s~Yl)a@zHS%tQcdY0a#`+< zFDnJKnst$C=P6^_4nf-p=X4+Hq^$!x@6q{<(XCTLZlXj=Z!V+019>0%jb4N3pjOx` zqbM@u;=xrwH8j)>=r=0->48QF%Hcmy^uQN|gm!sQHOPPWm!ahVU9(B@5(qIn@UMiY z73|+l{t#`__F3cK;r5kNbMIVpn%}&jNw5oXG~c1Qw?eu+ja)XqbD*3bBw~B_lz#C`Mjc9mhAD3PK*roHei86Z`K9tPwZ8Zx^O@f4>|-`=}zM<~GHb3WzB ze&6Kxu792r{%rEh`&T{}9;51JMi5+bH*NE1!1@>ncS=bvLAjB1QS1~_bk!2+>O9H} z9s_Q(rFHoI9h}(9035T0`kR;hM9V#3L#?q_Mp0|X#e=KtRYTo@eyjKnG*D2y%HOz| z-(5ej8smTar*$tt;l7PPlu1BXt5ioX(yo&3NAvlH;a?BlO=2#YaS~jIKTSIVE#gK5 zWjW$GtRfXT-(NgP&JPl>uR^vT3bu9s-iy=x34ukOH8}77Y;Je zzk>#s0_bk4P!Nv_;uEy6z!HZ)qy<0JvJ2UWXbh>G_;bI6EN(G&3J)@DaPLAcL&3r| za58omQvNmOqXf2vz5zZ7k_pmh=^qHV`mEt^Trb80bDOFhwt)%SzsLcCz!uCA4-j-9 zD1%ve7vlW_pXybSJ2?1rhpu2RvLeuob|G+SaMo~aUK7_Q}uf*$kYxk}XrPD( z+VJW;^n()ILwtJ~I-%I+{cWWp^g)VUh{r0`sVm&>%vof{SBR1r`e_eKZHA3AyicS! zJQ6JPqVj_8azBcy=-mjZaBTM*N+Xy&d0(YEA-llF+BA)0+9`fnDzpzHv6EknXML43 z2)uGEZ5JZsN(BO&tbp(h6IXk@WDtP&-;;(v8=14RR+9YoH$>1D)#vgAA|PV`gax$<$n1Euth5V>6~^C%4D#+xe;>%$#J|B0r;#?b?|>Mf4lNJp z4Gihy?pIH+V;NR@%^YIKeQq`$2U*Sj zT62aEFvuWQ|FktH@8h_zajb1GFC@WvR zj&7HI;e~HFDE5{1pO_Z=ea}aHJ8a-z8x~UJpl|?`d;eQ;g(E_Ho?mZpTywM+I??`) zp%+9vJe2`H>`ujLkerxxcNd~JMO%vL(QbCe_%ZZ;f1$UXS&;pxSVc8CUP5Dw8k(F> zVE5Yy(KatX$l9!Oxnq`oxb6rdU|(~_2DJTa4*%>Sf2&uX;9lu(jpKyUCi zfjtI-2u;-|Y^;5#W=>75iY_PD34h=E+H{-J<%<4PgBn{8qmUN9>W~_xm zi6ZDzjs=`dV|i{LVKP7Z$+3OzOiaTDlH+)X=4O)u1JmbR1_eU#fK`U#da1#}p+)Cu|sogspF>e0}SN_jj{r_tJ zZ@Tf_P(cL251dWErswx)W&X~vUzF*Y8YuR!kv=H5v5mrHkWi}ll; z;eKaC26=?3sg^p3KW|JUuAkcrWMTvn6LG5Vljctr0I<@I9t!yxM}>s0RA;(@IIE-y zC1!)9+U$O%)*(Q#2t9WvjCr)3DS5Rd z!-0uGRSK9VKGHt8kL@^m{^c|@b_hqxL2bcKkaTVM=93|5ug5GlYGh9|7?yA36nWnf4I;W1` zu=tGs8LeV4_S~yTJ2>=2!rh2T^U^i=E=0Z?D>T0 z0j=+%5Ke3$z%%oTjfBTqE1mb>AegKsy^#Kx?tF zT`Ko^n%s!-13x+Dq0W(zPDLtzXWqdyiV3kY*iA(3OCL9*rP+o z>%-;RS=A~iPiOiMDc{M>e|jV6^MC|~^n?43==FjYPDj5WS|hh%n5$i%%aY`!vnZ!JhdH*{%2pF}=PfD#es|EL41DWB4>s@`iT zX=;P}nKSv4A}{)Jfwpm<)qA-!Qs9g(@yfnf8w_p}#R^-wD<{%1Y{->P4F!-X+h13+ zZKvm2>)?jzO7^L6iG5N}vVwVRvc)_Iioy;00?yzoJ)fmzMw3%K`-HE`n? z?pM0^w^}wvbnIiitC+HyEGZN;dQ)pWTJ}!)?Nzhd$BoxtK;mAIADw~yfm|YUW%YcM zC^5~^(Ruih*BcpK{L!v!Q`bC)#`x_q3khgx>gclPMZ_dAmK=|LL~Fh62!*>TAz)^( z6@3ouQ@fC(Rwep1N6z&?Xk9#8s3~JhW}pm7gXWK1PjwUupL{96OjgZNo5Am70twDQ zL&PsXV4rhzY3wZy*X0bEG8gEx$PJ?x*Wo^n@f^cR&&hgA4$eRRvE4mwK2)MI~aIAXa}1D);ah>2Y(--T$#rPUTvp$pJe)?V0!<^7igK2K=4DP?S z5EyXAT?nUQNAl|{{Lq1yeSRRxwq zB|5Q8Ndsomt>&9JprU)QDTet5`b$)i1G<6v_^EexN!{qYe z8#mA>^LQwWgb!`Gl}^SUfioxC$11cGCM=ogJB4b#eJSVzvyWG6hx z8*tF^{X)S!Xfpq;pLreAzz`nVN`gT^N1PgY3c{`RaO1$1pTan*dmf^l>$DBuq8zk z;Th8YEg?Qhk0;zh#}=aA<($$aYBDop;g(`*QK8{aw*{v@LRp``sKJF6PkO)8*npPi zV?yW-P%e*vnXfFrnvFxvH z2w#nW9x)856N)7YmSXlfd6y>mrr=v}WPE1tB=IF{88~=@WEwh#`U>GUo()^;<0ZWD z=n}`M0mpN_!o+{G7JzCIfm$C&MqQJKyx4P4HJB6?l9X$M{P)nfYy1N2m#kd0QBY# z+jgx*~vxf^WnDZ`#dCc!Z2s&LrFd!u&dP{d*djmSqXEn%-(~qEgzYS8V z1$X#YD(AK+_vOIW@4NoUJN%vg@fzHPxH~jF%4CIZgq6)Bs@7NLTc`>q_J>UF53qv# z>ZV`a^h=t4O}bxe)2}7`m!|t=5d1O-{@Hd@v@pK&>3W|&g2sjB^TLZPk0{k*2Ppyg zFu68w^v#CB_JGt$$D473toS8D$zwQ*P8f&hB85bp*!tL}AMyp_#|Z>|JSiEi<3}OI zPVw@xcGSwp;g!h>!n#7K!3I409F3;5)#PcFf#$+?HL;-UH|9TJZjOqTEv;8IKpvub zES?i-%q%d*Zd$=(l@2Oy%9oN7^^06*t{7nVmm!|PvkoFwp*8JR@bAnef$T!;p;3-3 z0r3j{Nb}f&T8qxl%pJrNq^nPJ9-r(QlX3{7Jzq6?W%HD~e}C;}z?~`n z^5Qdm=RD1`$S%`I^f5QZ2bs#*qw|;yPtN*kODy8=qtl!c;>z)C8Jv4_W1swwT(F<=L2Hg$gKLdc^+H z`!Zwh+~$4s<2>~;g6ALJ5B9-oRyvavUb3E}WstM5_ORtdpw|tBbCaPY$-r{w!v>HI z_z0Xh;OrSE7@p~9&r$X8k2N~}^z`fOEKhoi+8nw^@w1!N)aW0vG6f_PwYrRK*GZYy ze3p8z9&vh1s>ew4sOso+i-n+*Zn40ySu@SnULA9YIgy73?(+is_yXD!0SL%`;C&S!#e6$rZx+PXlkjpb2p=)0{ zr5jhR|FSPrX`TKo$$*aT(hZ-9TCP%jZ0Z#41 z3#od}V$H?9cKU0^p7~ipx);ls3+qQ}&JKt(nr=R~cS(qeB3F|+O$*EQ5^Ifb#YiKY z9Ihs)%u;xA@{)s-#bi@MAGyuP$a}*XMSifEXmpT0kG%`Q1pW;VDx|&}?H)4sYLU;& z2<;{Pa<{KkS$)o1N}^b`N)H3m>^dgDJ?)9;UK&->;;@cdT`QAkJx|!L_1z1_B_W{* zOu|l`*Lp|0H1w&w(O7xJu-jQ2AB9yV4Er%QOp-h)Kc2)rpyW8%!K(#*fFd7uvmX zn=aaq_?Hj|xm8iS1zwp~qv~GQTCS(s9@&NXSx{bBq}>vFt!old9P(ORFf-$M*7+yi zBu61W7~a)=GFFw>Z4&%~HH1{=GF`;5bCkpMIuq8HnDn*P-|LjuU`ask%rT0XCckK$ zhvv+|#-oJStAX>VYLUu!RfD0TcLp$y#tcm{>Z`b8)~MpREE-|LPXx?OM>pGJC=ZEu z;ztXil?cF#TWigUoNpFoc+Pc{M(DklA+05Q@}8R!*ZtvuKb}V3dAjW7+m}cVQ?FEp zwaIlUJio@?dBxJ4U^b^9uQ|Tp4B9S4@#ydaD}VdRN%h<87|YlXpR!s<7;&4e=Zq<+ z;&;AH4^5d&>r-lm+YB=++&!*hc%shyjK3jm#GS?Wv{nzUkdq&m;DjOwgeks;?L+5* z%Ns7OpeA+RS=89d*ce0U6jukcC9PtLnVyh|G94MMji8IG zeg^?!>b*hc1@gOfR5squOa~fnr9LN4nMr+qT!SP?*M7vt^wX(x7c)XD$;l%;sYq*? z)@Q8WXFFQUNn3Gu-S1YE48)LzvC)$+T_($4Y~VK~`$G0%I)*DRCm1K1zfArr!_#11 z+UKFIzQ?bq9~Zw@iKuIZO-L`WBG9=3k-^)o*e9!gv}#xFUX-rqFf57Vy<0zZG(EK^ zjIEm;tM&m_bCIj|M?q1Krs9^AIbVYA=R+#E>}Qk$X!w;WA6cJ$QlQS{uQ}%;E)rHh zVSlwGsAk>rDsG_Kd&7~tXlnde7cK7d61QpeY0y3GNYLZf;d;7h;21Y7K)?&x@^@h* z{M5fV8xO*+R69V})zOYt*a)#5U_7P*XT2Pexy=kya}gZDoPFt5M2r{wRDv%_j#JRA zV7(t%4D%p7an5^Gjt?;k-RQMd%C=RCfXm)f4ASV{d826f+N%!xM3w8@F`2;#H|uD; zHINmFzcRX=!U~rvGZ9iXS2x%EDA>o7Fy_R=b=YuGMp~`f&U}He08=Qg(-+y%=F8t2w`LRo~O7I0y21 zIL#@ll}ppmb%ZeDY<8vu?7g*pX1C&{PbcnEnw-@6{MpL)SgL)6Fnc7KVYjkI#K~g` zybaY4u{tg;J0RgBS&uJjT$t8*n-CmUz<1O**P61Qy#J@R z2scGZD!Z3^&}Z-DaxpNVYHzVy?w z8#XB`_8im9TC!O_^tJ{b!%9y-oaFbfe-QHEqEIgJDWrkQXWsjA++G|hKD@foQcd-~ zI{Wl@0roZJ7`?ux=-cC|E_`|vaesH8>boOX%|IT|h;74e(at|33U`!|M}s5lZhjk6 zaea8sNfOfvHL;^3R!gI91K?=7_O3=&b>Fn=Xl8yJTqdD7GG5x7S+%#kSuCB_|61`~ zbZvjEdJ8PE`p)*1%w$aQVShYF$NJ%wRyBbPx$jM zt9XwD-%yV*fHUGzAzWFY^Sv;j$Wri}KCyLgs5;eB@$rswU+m5k<)`)5qkBy2>pC%< zX2vl0B)NA=H9N(~GNBe%k^9j3)FaXvc#k^=YYoQE&AC0~ACXPuKdrU!jsv>60cfvb zfL#K9FJMEFR2U9d2l7(@MR2MSY`|`M#H;A!$&;$HA98ocxyaa&Y;wQ&rOfr@cN?i= zc!Wv?%^Xg(Zu+>z8ec9xR=qahOM)Jl5(UrYzjb@4~z^Y^K8FN4UeF5Xz6=k zSd9;jBX+uhv-_>^pt2#Lo>>IZM6R=79<|wil}VLf4tbK>b?(uHFG>#b zv@<48=5JYs~#Lw-mkpeAqd@zeMaMcDIxCCr;d<2?0q{K;x51wml%bI)^2 z%GmsbvGm9*UW4M>Q#T=pXm(0AkJ>kKru*8vE1sSN8PjJfWwx|ugwLp*941|z0C6?P zcOkqCJ2o0I`u=weNjob9t|a4H1B)(jIAQ1F8dczngKnnA_yC&tiyU|+x|Gyyo_x^q zDoYTKwtSoGNPxnb)S)9WwQkwzgI>fJfz11+HynCYvlYvHyIONJ$ax084q3U zbme1CZU5NCOXQ$QZ$O_B9dwhprAf3?c?`=f#aFkS^nInw#`9x*&=!2{&7%k1o1Azx z!(@eixxIpI2v1oz0e-`aLU_{aJ>27L0sO*6Av8 z(7k-e^94BM9}nvB%Lm=m5G2y@_|@^hI{uf$|5|E(Ej2$|<3~~p8I!bBi&Ey&6V=sn z(OyODP>^Aq2)t9WoxF*Ey$;x!`lb`m`59q&fW{73T7y19OEYr1KAzI3xg8oo$3uf1 zWIn07WbdRLCNiITPc*W52LgwG$L<|%o?Z~qOccMqw$@f6kK41g6Y+l7cmjAb#O@4JV`5q5GtJ(J;qlmipyVJ4X# z`KUPx7e-xWw|VCHEUBc~Yw4oTO{Utth{>$qGb-dT=OA<8O&xE!#w~29 zmCje4LvTv8mx1dtztbVhNokOku4=wTV$%S2*uWJ*7Kb=t1e?(B5T1ua;by6C&c0SK z63W>OIV$U(uX(Lu@X6f_&p!Tw+#7@qmgG(OUP@0TL!xts>vVW#*kh~|sW4Tq;Lpv^ zV>T6Wr`aYS6$mCe|3$&~9D{@~i;VhsLM=^LZ65**~1@`xqV2c4v8RV}_fL^4+_oV!ida^~MjXEc)s-hQ{ zU$&nvTDd})Sa>L{(wE8~Bs21U$ zye0n77ke=r4Mpbb!*a0V{KrX3QRP^~w3oSFoku6gxy*;}Tw*m~%sU*zlSLuY&L1Dl z|I}l+d}>MHnQ4_oNzj54Ha0dVH}c3+Ej$5Zc9jL}(e^f@!`AaD<;Gmmg!dZnVaC>Z zV!J8P7%4GG3fOF7Pv4$%<~Oo2h2ltAcy0}v-3ZFXBl0=Uc&1X9H0C~g)QF`5^e12N zddC&^tTzAd<9ziSG{yOV%@6O>=cUK%J?R>`Ce#qfDKe3rlKH4KqVo1^NBJJjS_b;K zyYKEE#!|egJ}X<@Aeeg^J*xAY-v2ZxWp$n}dS@+#V2OFYm-dOB^d}MDpayk+E8hoK zb5Ewut<;tf6u&k}CXcKlWUVVXJw1QBMIq2A+qSvxPJ^jgphM7fMg^5&#n9UadI(`v zux&hC>;|BE1i5!1Xf$9;C_H!yc=)k_cn#1(2NlF*<9KhfDcKgRuqR8mNpj7=Li~C3wvNI1 zyq=GP;K-LSn3O2N6Zijdh zw2EOKkt>XNXcXqi6`pY8Zp%uylnRM$_(M!Fn$%0!H?c<*zbG`uCF*NGJY01)1z z($#m&<;IK-N(6)>Gkur*U!($DU+VV)h%Cv$maujnW{zmZmXmeHOkvZ8q}F#{%+vYH z)VAJPaxreWyFoVSZ4fLm&ncnsEYzFc_w6A^ysDenHzkF}o`bPxEQ79`0DO@1Gg@%7 zg2}c7X;pT(@Ichkqxe;=062OR!Nv7<0Lk_tKP$`4>|(gkt)u$(-S-YxZ;G`~x6q^+ zM;h0HB}CNT9PyA3wzKmDI0t}30QtEeVlyJgte|#qg181^Js8ynb|MIGcYwmrr{Mu4 zC~+mQrlms{^M#S0(|Z3F!fqW}!WiN5r|UFTU)|hG;KATc_(!dSZIXf1X8~k)Ess!T%giN6UobEvJ2knShD=(K2TT|FY%wYeUX1;=#*7 zvK`71#~f4p$YZbbGn-ht!{EyrbQmv0PxC*uuLtfeUGRT+CMnX^SzhM*R&|wWJF%m;aQIZubb;2vo{$ zrJHC^eOCru>05Z0kGok^1X_P;u8&u(C-<3Yb&<8Z^yfID&VHZA>f1aql_`1q1+rGo zc_7BUEA;#>p0eF~uBC?G#VyveAFtzOjid1&UK3RTk_3Weo&N;}{|ABp_y7El1u#U= zr3lEn7nD{$-0(a?>GBQ6SR$UcjNwH#=$&$@lS1V zm}~cnLd2KGNhhiEiNQ8!Y|P19JS5G#|9Jll7v%ndXXuGftBOr?0pFOmMuWF;$Az2k z3VpglCIk{QH_h~h+9wCmu2_k7 z;n?kVUm}@htvpQ*O8leNxA}LQ`OjW9)BBD28>z3WRy^OlFIpCCi+K7x+ZyxYqOaPU zU*R3(>mi;t;CiJ7Si!32+k{y2j>)O@YCSiFY>apN^~|sLzroOdS>Og4vH+Vf;2Mql zyG?EO24cgN!2>M&`02|*)_E=mDwQ#g<|4|@djzuK7?E{1FW!HCKNJTP?J4FCowwk(IDD%Q=Zarq_6RS1Tsk)Yk%iNcel z+@Z9K5?#XS3SeP#LtF3w+fVWw6Pg_+sRt>5=WA<8^uNH3QnGK|T~bd{{BAy-{B&DK zUju@x!`g1!Ju2n3!{K%S zj3RC*GYZ07%rUhFA(~7H7NRdE?Bt~MWTQS^&j2wE<*B7Si!LWlR-#+%(or?t{O!2E z>)S#^W$RD0Wsu^1W?J-2h!G`&$O+V+V&d)~$1+5j@I^L6;*22TPr7SQK+_g6C^w7b zuF67$G=@FOO(-DDh7tntAs>htU3h{h$s5SbnE$#sw{@HJ0BKCHeF$J@fYeryHyb85 z)8M-lU2@v{=v8jqKSixEU%d#Thg;Gh=7`jc@X`wo69A^|$@~)cApfWNAaUK|A+EWC z*#yycY(U`H|ED(N1DIeon?TGc%r}UNfcsNJ9E|dG)5fW=azW(9h6RE*F9#8%-1JJh zlQ-dR+z|C70)f^e$_Fe;^Hq7qZK5ZcW8>pxXcG+kil$IG}C9U>GL)F^iY ze>RF#iI~)`eK7u*MSTFf9w&ouY{`HN!O+;#bX`uoov zS%2Lv0p5N+7QWjM?#j*$_Y?l>D*7)fihjm<R;BQ10ny0yg#QNG1 zUa{U{Y9qY7R|B9(-`}=?V<_F;2Z@K!7kH71; z-}>qwZP{;p{Km)s4}5%e8mQ&HrXQ$5OlIVy2?@6-igu^CrS#Dzbm}&OfmZv=H&Edu zdB13WJ8W07dT{%``dEXhrTBX#%sMu}43O@DzwT2dME(cB&{dbmDUJ3NxZ}G9sO)HULOTHTP!e+;?pH@%Y3|cqI%V~Rt>2ukCusSu77U#z#aEg zfIUfytRa%VVB|P)5pQmc=O^i)%pLZ#vZLwGPKJ#*L<4KUi z>X$hexL{giWpx~%je!xwj>SI!ZKr|Pm4O>102QshbI%EYT!0AjrrQev%f@4vFE%2Ash#4BZ% zb?(NKUUeY)kx;JZ{5|-_eZgK+NGM1-&u4t^^`p=E56%*L9f|%|tlAmIoTmENf;FUz zVDa7@3OZK-U!Qla#l7o5CjPp{^Nc7UGkLX>4jZ~ghRR(OuSltsU>!H1J?%2nKP(6- zV9y|U^%1_rfFyd%Er-{EBwuFE$^|G)DBXcWOKiP`BARA&kx#$uVxX_mz2>fWk(Kmd zE$Wr&T(J?CWUP43Y%0o18Icq|KK#eIr8~RFIcFo&dce$>;E~WFOPcr)~K1FWwC(*?YK@&ZM&% zH>fZgtHZE4>yl*@;R@Ku4%AuZlc%gHh|lHfq+ie_aglg7e$i@cgSA0_Us-tL!({v3 z)gJ&d;d_;*o^zen>pAQ;#M<^5iUupk>bmK&fZ;lh*mNAa98AeZhT%bgacl-ZGF<0f zs7(?v)>J7ojha(sb5%WtZ)9!M>;Ne`uad+F@3!KQ{~kYNaDZGSq_{Hc0pZ`WR??23 z2S^9L0r^*~>K_aDB+?hKDf!y>da~??pTF6pI#N+|Y`OJ`Z*_F=athvtu8;CP@>^9P zS%kNNt+F^QCNUAQS31(29y*~3eZ4-|m*_oCxVTh~|DEmsz$FbJvg0GnK=ZpR@QSNfsfs5msSLGdFDAc>4C#)#68>h3^Bmi)yMNDJY=z*Cl3bMFG9 zI$C_thCdBHHS=HPPd-s8boBa0jez731xG>p9u;%D=H7~8rPTQq)49ryXyR)f^-3rh z>kmMaJ$z@s07L+ed;SM{EttRJ6sEyuA8cS%HN^BH4`O%mYCEaee0zFViyeLb=w+%y zj?CY!WT{a8zz~P%z{X7Y9om*K%5GV4h_L^E60I2$6jwrRc$CPE3)Z9ow3kHWKH0Qe zBfORB-F|I{sh^C&-7Yih(}~kvqh9v@l8m36U#)+Nk|nUl!NY8$%-Cl)v$X#W6^j2T z#Z8R-68Ao(GIqrAMXr_)Ct4<5OQImMz{U;#fSPkxp`gYL0*!mSA1`gEd-CTxiAf-P zpg}|2=GsVXH{0{R0t|9xijXry%eq2t^681a{C~rN@|+glbw>@nYY^(sUb?PraK{^d zBTHf)qeff4jPAJ~T3}C(X05{7`t$rF6OuH0BN@~8)a;EHcjCN*n^9lst1y&?Py487(WAU)*Y}tM~t!c4xlhr_!%RfhX*8URH7cv4tKo{&5Ua9_@?f zbHQN`$J+ll8TkjKISZc$x~jbfW&}p^0$(qL;HhAli+B94=*YC3kx{SNgi4vXld{3paks( zA=QRux*8YCuM$7nk0N}AQeppL9JiGfFy*yH zSFDcGw6li~x{#sO?w}V6%l3-l^*3V1+km;XCB-wGJC#> zgzML4*jC~40@r7Z(v^cp0i4IGg<%k9@5wRPJ+CH-%@~ktz9{`Rwok*M>&1#~dc)~) zQ3iRpP!p2d05afe4;iMAB`SqGz=PxHDa1?Rh5P+^IO~7jm#9U{w8ww0uWe|FSK`vt zwx7=P!m>*ok?Al1dKLjb3% z8eG)g7X4UWFt5i4wpd<%NllosKJT@R=_GSj*jJC|d8Gopk^e$;f}v}*Uvr--=_KZY zROMrFV9_-2%{JR+c}b(*wh`2{oUW`^_1YwSFU)EIsq~tv4BV7|a|k{y_;ILk6sIZo ziDdD1veXn^U&z>0)jXyDOvcOzHHpYwwLe@y*rj4|fieGACkHo4JChtfoOqN94AOQ9 zI-h+W=eVBhkwX22*KHbXUf$5$-GydhR7_=C9Cs9#MK^-vyR^k9qrMpmieg!u&A#a7 z&r?n^S=`D^{b4z&_}toN;` zpqTrvb>LE|t+O;}Vc~pC;i~FjM{ZOO)qp-3!-)+f8%jRI^M|>iL1Ir=Sn1JQNX<=h&Bbr{ekxhCI9jTg-#0eilglhA2JK@BJvyeBB+(4}rR?$eKigiDC?MRXX&v1kPk4 z654xr0fg@J+`tQAi6)Cxen>8)N>j67c4tvN3{2m-$DHgh&;EVC^Id$0p@ZDr{Nu@^ zy5i+)v$wH(V!$Q{!~9}@m9lzLsHaL~dhqQ`sg3`ah_z-`KU}(s%TsSjygsQ`?%jyJYaMfdXkntGAgHyl9-g4xRCoIN-I zxUsnvnDUkc8*bRG7&e5#fIe_~%re!Cg4SrE_UEEQq!=6xru>yWr^fg z>0ck3bv*x^M1;7D0JI6X&O)*R!xmAEe%AyZuDZo8yUp8woZGiCNzh~?2lkXM|5zG| zlDGDv-$=MAtIa6bDada(uyWmMiPvmyMDHcMknGTsF6426_v@+z`0_cZJqur8Thug5 zGI6|!Y0PBKnmSe0hY4ZB6AhXrsFkim8fDqS;qlwLUdcAL*4FsX-JCKT26^z@l5(>Q zG_p}^oo0_cuSfClCpl4WF$zNtz7BIq%$mRXf!(;i^6^f$ZKmcZ+%;T0 z9$XR!-~1NJluQoCSh5k1$8@W}bj=l2D@nCFiXbF^y0oaqLp5HxAwmq3pIhSgs~+PZ z-JVCKFbko+;cD82mH6yHxJ+eBYm3DkR!vRjoHJGIiroFK`3xFHbV?_TJ%Ds}ST6+Z zby{Fm1hz>1o}|>jJC03;Z0>Iwm@+ef_|{3kk7eD6ay=rk{^shnrDj2P#YZ=5ex2E` zg}=o7jq44X70CS8=eGqJtxGE*A8p2{;y7YHFm|!pwuTU@eDI_yTSU|<<6@+{J;W#$ zpV0ks(Z91ix(ka!VblP~E?*|hN;XPs!@5t%cI{S8lAki6R{1uLceNfr8o~0muAhR# z$IV*N>claGnRsoWp)PhegFGfbdKXTSgl@|u#x@WY6_}%jy4wa)#Y@fRX$3~f z5KT&??XRkY2v`!va7*Elb#W0AI`z4Pv{?LHSz6FEPc^MBT9GYoE!dB=25&AI!&)<^ zP<)a>F5)$)x83;l;fnLj^Yug+5i)|RZ2Ix+eqnjy`V4JRS6h3PItg!vlfuCXQ)!Sn z33YMyO&*qZ+qHHaU@7xvdLGN<0)3^_I_rsFxh*ttjy~fftw9v+Zi>Rss+#8NryfdD zTW%u({ARbU6RxrDFHKx7SIA0>OpIGWoMzoEl0U^y8&d=u1Rf(DPl`5*)|uIha~oyW zLin6hHU+sq&oa_fH_gC!YYsbEG~$c-7P7L&Ja=1|h(nHiV?$A}%il+{M&{2srZp=! z9gzF;7&$i?H>y*7rDrz?byC6wNYT*cCA8lx_{^`m%&0+<@Q5g|X~!|<_&wk_w^b*9 z24Z7kwL~osyicB`#oZ|Os1=x*R2K=oL7@<{O3Avcxi&zRVj5YWW`H=-3!p%)S6A_~On6ubB!cI5u;_Ch9Cld5@9mhil1nlhRk4{?-EqNc`&nSwN6?6W*hFC*Py z|4ALbX_1>1(a)9TaT_w@x`^f+hE*E^i_lAowHUVMK!7vk_1rF)#im6V zq#`oCTGA3Iu24_&Vhi=YqjQTl_W!`E@VJnWozhRJ9i>;8Dini{LOcLcu)F1cY;X`x zZ27c(X0i`Q=_{86U7yRSO9!H4vKjjOe$OxM)Eg82 zYN_Fh7d2q{Fiv{JR`OFTdMzunFb_Kdj<}K2aAG9ixDhP19l-nE5L^yUGkv~8dhGsQ zE;UWg*Blq*zO(JzTRUwOw9A)lj`8;kf5|e4Q<(iwLurpBTRh9j}*N21!3{+!?-GjQl54*=@7O?J2scmE3`>6 z%{m^**J}3+G*9owY6`bT9!@j}Y!xC9PW>Vh#2VixCT*Rq9kn0YlSy4g<~tTc9%McK z?q!gNyZARmCq~PvaWD(Q6B@x9wE(+Afyk+LP7j^BgVDw zO7T9x%EW{t_F$YPa3hXm&_t`(+l`0u4cfQ}pK+Ll4$U%g(k1^PefXTG=q5k1l~&jw zBU4%F39!H)_n11)gk4fGqfYXR-y8npuSm-PQ9RD|i;F5rp*1&PSxrH#aAoO;_4sZ# zuDAv@HH~T-QiS{udjA4O9lw2-?`pi+u2b`R?bxvgO@ZGdG2_UZBLNPW{=8p-&O3y@ z4xM9Pf9+OYM-xdG9(7BD$zwfTbCP1-K&bo0zzx47>7<4ONUt!buvR#=6sW^)7 zYeNkq*lBewH&#os$2QuoA6XIsq+@hIPrQR1%lq55_RQIvaFT(!?9`eACvLvhS=%cnJf~ zd%_w#8pEVQ(M);rnAv7-S2bFt z9)T6+Goftq_ggWBcetnz#}1u2nqbKOVG1(Ft$ZyqvgY0`TgsqN!!mJCt!`RLlu$Dc zvJD;(wa~`u7S{Cv+wkUB-Mi(gFX%3Qz6}66hJ_QKx0@m|#P#oB0dmA+PI!k-v>nU! zwC8tQ&aYnLm1c4wx-mdG>3G`WV%&}r`@DQ*vQ%1~oo!!WslO>}U(XD7TGxS$ z!0CRBiZ5PST|t)qoa&L}DAm&YNp?u_V?aosIE#HZE>F>-D;uJ$y{w$9nnIIvEB7$c zs-)yLOAQYJg=$|H9A(yb!kck|O=KgL$@PnZ7+&&z9eEA^5Dg2S{AC~Ch z#_4QQbl)1>^3gfs=!%s(t0MpaQ-FguRbr!XXv=3i69=c_ZX?dwVXrvwMNUdl*y_>? zmWi6uH})^mLD~ttJjj_P-S{QTOet^E3kkTQPK;}3%RN^_1e9Sq^pA-!Pv3^K?(p(* zP~~2~2v>}Ne2yBME%H0fnDQv)$@n5(J)1)ww1(BsTO7Mk8uvIOi(H8riPhVyoXV7@ z7AU0zbCY%Xvly{iM%&1Tq{#y+lX%AAQv2qPK{OZgAx6_qTH#iq49B0Ognn=+m`bHf zhCvx%@b$gtW7?siALJb(rfi+O!Mz?OCMdR2Pu5U#cJprye|_q4H)MWypQJP6lw8J{Q&i(1 z=BNPvw6Aiq_r8)pql(0NT{swb*y(3dCf{rs2*l_;XnCnwbIyf!vBw$%4j6}uV{LF@ zV}d8rY)ia?RB4W%HDr$c0hoX0UUvk~2TiND)HO#dwN01nM{ZnMkGRFr&}rcEv2@ZF zk;3AxM_FOD;U2KR#L+*Ue0&@mT3A}r9Gf)6VhK?%4aZGzp&f*}-QFW&9X7wKT6>nQ zO0|U!?~4w0-Vm<&Zr_s`P-x2sfCU^fwaAx={y3D+5OjQ!)tr};1mm!^r|J(UtpYj{ zd_Pz~S7=&H;=pFFhpZ8*2-&F?$ni^n<@EDd6fwH6BpnHfW$?!6^`Ki|&MSgT@j$CL6 z%_G)KFsLUR9}zPGtX~&ynSu1WASHmJ+&)LcuTVuM8)p8SV^%LdUuQM@KwN7lhRfDM zT7BY1p$IU%NAWSdN2zKgsMbtHbr^@-ml{heFTd0q0(05jDrjxLm`nta;fZVeLXGo6 zD)PTo_e>o+n8z-g*F6R{FE_8s0?C3TH=`i^zumHfUhaoMq%bsu>!z!&C0{SZ(=3Xa z7fLx6Y8e>>BVuZjlmvnh8oUmR;gSIaxH0+LW`V6~m(MyCFFu{fiJ3lr%lpIxAXGmj z{JA_Q143_=*2wX=$)#dpT2-`A6gX&ydLnzbVu^3)L~>f;$Yx)wYBIM@*bgQ+bU#(0 zBNEn_%&_9=M`g#!2^pp9!QOZG;P=p|T%e?(WMz+<+HAHOAz1*{Pneh}nYiLyzK0X= zDyAdq3cID$z>@~3G)HZ|B+3A(@4=&N;cn{4o9g#4(2-k3?)LH(H-|*?H`6aZG@FLRj_;0kU4MdjdrCR6>!SQ-{HUfRER#V(eD<`0B zwCTcCFNZZkwM^=YO?f2CGRwqKmy)%9E8x441KaaH&C5?}%nTpZ&~kHx^aqDc+QVhk zFV@0pTA4nqNq=gos(X?vGoP#c6(!7?Krs5Gu73B;5XyWV<0SAh92=KvwgRE{GnURv-kFcup3?!o=$Q=|l8Z=>C$D(dZg=eT9vAvhtH7i8w8X(=!_9R=D%}gOtq#17bCHyvI zL*sLQ#*XmX@TWc;IKaB+O(RK&fUFs0_M7^w(ArWUu$G8{;nZ9L2_ zm!+A%`&J>u549$kDOU0RVv*Ol&AM`pB8J%jfptD;$>>OW+|f%yjvgK}%I* z<5W3gB37Lh?Q@a7d$_Qnk0CXYP!aXCTxh=(s-?_daQR96Z;?R!Y zJ6H$y+kWV14Gvi?x*qWJ%*h)i2n-qgZ#B-GdZ{%B@JU^}G+BT$l+&$|AzfnRx}d3>4Ku`!tx)F^qgF11 zmwP!9H#uX>To!Z1m#J-4a&34Ad6tBOpH()!K)q~GxqjJYE4?Lh*rW!m?6iiE6Fy8m zRYqoU4QqEH+BNtqk=w5yE|A=9O6-7kR2dcX6jvVpO^D@+-N>n zxBLOf$Jcv&$k0v5LFT%&8mxuPY&dnL@Ygu~r2k9YSvh3#E6FNa$39#m$4wTTrWIer ze4O4IxaGW;psYIkZLR~Q67rCwqba5S`n7&{*k*qyv!j=|AiG4+Awf;@k_>UR7w2I& z#i)6s+Lcr+*Jz^*QAfIGdYPjT)&)~@@e!-0m6*KwZqR;CP3T0)(ioYc3%{|@Nrw*# zYvPeC{o>sV=W9EZ?)(D*mo8RS-6ZJxsIi=r?Y3wqIBYc!Zd(H`_Av~-Id;W}F%z>~sMKxmCJFTN|U$zDBjs!@R@3yd6zB(i z|81acfeT@d_)ztSxA44U?4!oR=hO059~gl*K-D?=G$WALc8f;q+l*mJP4*y0hHu{& zP>ziNPyt1*M}75=U-45Wf%;W5nrp}LBb6bWY@_%hGpNCN_icv*Shvyzk{NiwQGKQMp$|x zHN}>o)1AB->6W>2g&sok9LQ+)H!7HD&4k7P2aQKK|FUOc51@c%VUBa1bD5dd+x|ET ze{_WtMM6tCE@lR)8|sEKvA$RG*2&t1da8U3^Jz%Cgq&OX>dH_&5n%4lT7s<@ONhVF z!8S7Ov(zT%9MiRx$0#}fcASNAYZD{{Jx9y9@bjbT(DrD2a91FW1!by?tYo)ucI&B4X7JOU+%-|KWwJaKd8yI{F-tsX_YwUo-DBB3hy;@fxym%$TA3MTY zsg&y!#oMTv(AHhi438f4!gAkU(XcSx!fH~e*X;sRT@zC_RO}2xB4j|n2VD(-tJl6M zoj!k(mojOnp5a84sY{k6nvutIB^gN>R2cZWcY^)_30FUAi!&B-@Ww2(U}krcqG1wv zgXq%e(vk`mLyGMsWWqAM5=DNxNL&?xm*efI!091!-|OaneCAROwTZR@S(zG98&Gv= z#f+VhQ)7;ZuO&IdjbvCbD%Dr4UO$W7@Rd@R=vYMBR)^dU}K{KRa&+5PI*yms+L@po9 zw{jO!vsS4G7Gn)D7Tcr!64b*!V6>gEsr}qIF!ojkgyEXHaZ=#sSbS1(dS(A1C3&z5Lwusr^E=$dQ&v602s3F zqY5njD*cg$KMOr_#Is->2%RY>PN#cvw)f(-Uh6Y|Q@M|+mfE@1{(ihD-&-Zk(q%$Z z``{eB$gzk)88yk`wxy(EXw=dK{j$7Hc(jJ?8mv%RJBz&wp0)`uZk>&l0whXOOAe}r z`NZtul4aSb!&>{JsErOm2*89RXcKlNE58ZbT(r1ssYso|SsJ&d2?oP&vvpHdNs0|q zKVwl-B)}KARE*e-E9V@hc0#Dct?fY{Ts6HV^qs zwi928ezi2Xm6hexi+YMU6umf+FMBqc zD&Y2;+DX;9wYgitwy?_+9OVn@@SlU(`$8nsdS9l>Dg2KQZdo;ZTyh7dd~NC_{7Csd z8@Yirwkv^|5PS0QYOPxN+_L*635f+jfV!GD{dn|X z1?f^LeSH0seth!+6ect1=4Mr-sikGfRmY9*7MY*LPRUjBXWpm4@B zj@dcKCmm|oOQnjldPWDg+xfL*N0=zG-SFd zj}RsU7b{<4hn+n7VsQ#mbdorpB7H%Wu&WpLUR{Q{oA6FxJ|!RDsFNj=CQtMtnDLM( zS^Lbh3aKdWo6Xa*N%eIFu4}N&`B+3!mBwNG%0b0HLZF^aEHRczyN zeD7rRx-Z%v^d|5QG1by^T=#li+>2|@SHrRHk`S87uqpPsriWr9T1QL;@*XDwc|Z+_B`Uh5Ivm-OKGR!(zJ#>>f9 zmF-TVE$wy_M!Xtn)6*)_Aa1v=4Z#6{9*Qm-FjUCWBEa*KK>^8~pDTbnKd% z1!=VB7@uj1Ep^$d@Qv$qjm|E=o`rtpPT7&*XxO6wB}*+qHe=x0XFn?tdr@x7u>3_) z1XFFuHGPQ|0i(E9$c(I$DJG>n(ilP*{|`3N;Fj>16&yT{n>9=;@ZLA4VXiExYHU91 zzK7LS32vIPRFW0uWPxnYIQu?1Z6reD#JP@ILDR5pPcyC(qCWsZn^R8k)^i?>=q9Py zG19qURq)4wl&7L{H?U7ITzusPD5QmXeFdM_aQaO3NV49Fb|WNDq)(CzYHu`TI`D3HfrGR445kyurPB2|xJJNETg zR+4}jR1?c=3-8Z^x%oM}j?}XG7@2$jD`iRs^uf!A!#0f}l#~G)!MFUDM9eSdE{zC6_mu7m;DT#cn2CH5CD}jVQaZ z&CdK#%o`TAi*2VNXRTnhZ6{2%q=Qwyi?Y`Q*g{7wb%t8(`X|aO21A~RYVkCws2#9g z!ouky&WE8ZKjzRDtxZ94*^jF)rAl)=#Iz=1bNb9wT1FHJi627i$=Y|)e5E5wD)%9s z&nu1|uZf~%a$BaA@BPXTZe8%dOBKpmQP$7Z)%o)x{Tj56dw)6D{#Ghv=i>*Rqbov( zsRR7g9N5ZYi&vWFX&?KWb%*vS=j3E9t>3Q+%-d|+@I zpD5k}5>2!*{Dz@kyk3JUy=U=`IA{djd=A_8uJ(9=OKLucYOhU@j^#OF+jNvepXN1> zox!(}MaLRhij8zcxSN7u+VPEUgD~Edd*x?v_dossP|U2|^a=0iR(nCdpM7gTIt9I; z&u;(2SkJ|?_U!^!nU{n1>&O!Kv)(p@y15i)rx#d3B~oAtRm(Ry-`M1Hxs5ou;X^pA z0LV&I^j&&qvXD(BPzN>-$bY{&=IAdT=vdMK7Wr0e8i$UVnZm%E#51Yw?OF%X>4ux2TzRziN?T#YQVi*Q3l55rKSNQ>r|d)JE+m7f+UD zb)@)UgUoi;8_vBp?AK*t`YDuLr=v#vRW!b3#AeqrKrKN%Mw&=;3ToAMiOTR0rEy)-IIDYrt?19_ZuD14!YVGm0%`31*ui#H` z)H^h^Ow}MuF04nrQ$BL3NS?F+k1b36<)c``%*W7b3B|US{Plmgj$XG zHTsT4YGAScG|zgRkf?1o)UFtreG@jHrglcM?N@Ess+E_JTOJd!bpScp*S?#vP1f{z zET^KQUm_p_S$9F*BeCfKx!h9~GQ7YEV+qAw7+%DLm^f*o?bL)llPSfAQL8Rv=cis5Mm8X#t}|Yg--*5Wii%C-uPq$p6@$Vbio=be$LmncCP8>R=kn zUUGPd#1l#B#lW6iKB;9{xI0(f@X3Q`Z2+0F$v@5mVuAFagjvtZV205^ zn|%CXNQU5BjK)A+tZS%s0;Prt`YK0!A5|^7fQ7xletJ{$MV4q*PlvhE~7dSfyT8A3fryp}HqgS52QT5k~Mi<+-k?E_vvME2=Gf4Bww5mkk^U12=9n@1P14`XU z2_SDI%|M3l3e;VqRKH|am94H(?uE3w3wu;MkEh|CG$quu7j5}m&hWkb0r0Ci+K7H9 zZ)OE5)LI;MYNB^_eBo)vdVtQTB%@0g$BV)=uyJVQZePS#WF@_``$VT(Y zxDt(p?VP#TL~yMgIfw3jL|WP|P=jj)O*r*$=Wq-^P&PG0ely%?O6l^H+}X@a`UQR z{}k2yW!4c{SH5Qd?h$yk+^05Lo63vy1FsWL$sV(Pqj@#05F(RJ9DO<@B2&kd41}#^ z>2^dN?@_*@*p9I8_s`5{$-Pp~R*=GTN*?btz}uWy@BK>LWEPshqY?{}SfEx~(EgdI zP|`6qp{#a(Gp)N)5yA7c=gU4%s5HKD zf_?NgTDfuZn7Tbw#i%)M5&!Eu`)po30I`Gs{$#E%o6&hzut7shL$JuyR_cQW)3z2* zw>CZDFNcUVCnKe($sOC*JDNJca7TDN{?C2)7 z{)^}|1D!)q0Wknxth~z+ExO2NTf+fs<~F`i(8-bDI5`;yr`1SeyVDY zg`I^@p~i~V3Xj`H>WU7vK?M5;Ttlu3nhAH6L)tf)8F>e(0v{P^iW>GUZ!iU2-sWh@Pg^^N z;~v+P(0dR_UR5EQC-O|w(7elrij#V_Y^@Kj(W3VVVYv1{bhYurLF=^)t9~Oz=8J2i z(e#+5Ihh;H!miexihpFLp+g{#pR>M(`1NX>z-P|LMUL-!Gq3TyC>X?aT3*3H#O4t` z(Br}`V@4pb&l+eiuI^dV`MQ5yW=RXpwbY$Tl+f~CV;}6*!nK`j6gG=xI1Kk7Lg+bE z)^CfdsG2ipw@~VGprPlBfUtu@nQf4K-EH6kC}4voOo@XE=WGds=|F11_PX z^3bDm$#(_VFT|MVC$-P>b6}Jd%mhoI%?TzG+=_{Hi$ zq6-4$ucH;pj%9RR397P=FNJeh6ypdO6#A3PGLSTo(9c=G4BhDlOsnN~*hl;fD|H``^jm=W=mh0!j&>zAt{>#VLPmr^ww zk-3s=0PjVq1GS0s%&&jogW(!9R^h=W;*rL*h;I!M6x`R>jXmBah5<&WpZRkw&?wzi zql*@DrA<}pY9+e{)GTZxk$u*ZsBwZB$3)CuMw9woN=exlr zA14l*xUxJjhG>i%Yo)OA5o>snP)BQ^G8;Ba2MZx*C>%hH3C-OuSv7r=A2h0R2S+UF)%WiQVv_at)i5V4fqZXM+&f~>pG~Tt^B#DRU9TB z$3m_byTqSt*U6to)3$Y_YMyfl3+xYelqssTDkG6ksX6uv8MKT5Rz|qFkx+9*CGQK; z4NRA+7$KV3z$kG*S^zyqEiQLQAuKNn-jQflLvX0$YW1U6FzyHEfS-t|%GiXw66}`W63tvb zuwx1nCk9|yu6DW+stMm1MRuw#EsrdU zR5%9({&d@mY(HXcE+TTb)BLIc>ogwhsI{WVNk2;5wfTZcw<2R1N#|=1uxA4ZM7hs& zOHQl8`8hr*BE^(7_mSz8p>NZnMSV41cf{kgfrw7a`D$>nrR|~GBU6@>7vK%Sk21xb z2hT7NPZu=0DWKS)^EYA6Dri_K0(^LaObCST`vJTyL}Y5aRjz(p6$FV z_2 zYtRr}8h1(KA-IGl!QI{6U4sM&?(VLg+3%fa&dGabzI*Q6k!SAx-aq#9>LD=D>Z=YQ&B*t~=1th^SrWq)@1QDb$IXf^teg za@js6*Cq)vHFVeNnoH)Xu+qW)=0+ZSP$M74w}Hz>Mn%0zhMnpkYlrUcx~>+dTL0a7 zz%EBMR$n+7%v7MCO+-xtUMJb@u~rd#QqqiOe~pG|%@vw|h6MLbOHf=`hBOkzCQYZv zF4I!8?tEEi7izz_U^3!B-pCxgO|sB#vTZTygOXzaY=+qWo|6Vtm9PFCoP zEsb0T2(hPIuroPT#)PwiK%cR#Qk9N0#bhuEsaQuBP@&n6QcZF>=mO*Fb6K@8&t^L7 z2TmQSEskwgE$WEwScjPwD-|&UyNe+#&7a^)c~a|HjcLiqHG-JeY*KK3B;*l13Y2f5 zv${ihIzkw5wNJRv)g>Iho`%~9N@?XGOq8npQyl`9mz%6fdTLrUtFt^;f!N`KJ{TDJ z$r_%o$XWSv0iAG;FvjGxB{jj+cHD_n(l%7>*tvcExb5+3DYt~Xq)9(#Qk!=n!a$h**n~boOk^L#Gv=7@p%CM)qUdPnPRm|lA0XZTrg1R z>8MfSusA{PvoTHZ>sa^GG@G=srLkmXF4=Tthnj!Zjw7{=iWLotl+M`DNTdg4hW>}S z;4#`RfvQ^iK)tK)J&pu3i@!hxhIjjvuwbU>{1};D;4B?;-D!Av%?tZ%b!PV)s&b!q z`ApaF;M+Y=EG z(E(T{wc#^&8?iE%(p_lO21|F0f)A=ibP&G{Kk;osw9Ll)bgjHu@pi;srlqPb4Ny;`NGY1ckCg^9df zKCk7bz*tj+cR}Smj{RMF&9%4#m@0XMJGl(yA22b%bQwEXmZl@Ni4emVo)iH*Wx4Zv z?7QcCJTV4?oa9xbnbO2`&t(TzwE>idQjV$;@r{`RqrRf(6H68&On(N}={ssX{+*ob z_Y~43$LicsXtG6%HxY^2M*4=b3L^Xxv8OTHwlofBuv2%GWQzEaGC!A~8loq=nlXD7 zBTxGrml)epW<*|ng${b5c z(1b@^pa1I@+sxVUj&NkpY$Mi~SaiFtJd;L{+UBSOo6THA)MFVddzC18N9&0ZHylPB zPTh$NB?&nda^>wDTr7Q@J_dFP$ihqI5OPNuX|m%aIldRfYQ{`7_ivWX-MyMqO<|Q6 zt(jdMakQnuWAJ;g6=8gK(tb-5%q*~yzpp^+24TAqok{q{HgpGTGo;R(X!cq?G<_L6 zrc(229&MyM!Udb^iO4i7!UjT0`#Px%O}H<3V4V`PCr>h8evO5eIS;YNab_HK1Fklu zqzMJn-eEa(gS8o@bU|iNSDt-N0ob~4^@BRR`b*eGKq9Au|u`gsf{;sY+R_Q zjvZ?xo`6&n8>1HHo3Zy!#MB-0v4q2qg#V~6ouJcwaTQngZvW*k&_S33ad`vJL{i8z zJZ;7bs#hq)Mgs`KQc`HaI7IHYTTBHk&98G5$Yar169s2y#qzTFvCg8L)SZPzb*o!W zF%wHl!tIwANW+}0UC%}DvZJh--uOBY3q8HAn5T;@KhbO`zA=9jr#5~uZ$8OYUvgO7 zo8f>lDBty*Lnel)ePF{M{?ly~U8Je?HCvA$jOjz$@Wnlr#E?csCXi_@KY8|0Np0AuZp<13e)sfWB)V8qoKTeu1XS9$kR4iLVLz)n&4c4I%yat891o z0A&45jR)`VJtQuHENx0}S^%K#1lfU>z5LCat4K9w4(tZ8@vCgtxxYXYJSBh^|EU!e z#va=#Un2UpDpGshkL_S{G@ax%d+@qvU$d!i7-ruP%?erg{qMQoJ2g1ySW2pvrI#fN z#fcW%U`}T#tljDY#9k8+N6~ve5^{d3Pyj5we|HoUW_~KLz?jKgdv*Fk zp54!!J|p*53EF+;8j)>FM=}-G_YA!Ci8aR};pGztq{=vA#OfhZ>i4N>t$N$3I4BLd zH$-nIGHN21ylM%n(BixbhA@o4qxcTx=KF^|YGJD_fls>Zkjo1DOiAYlr;XOmHe+!^ zBfIvgSN%;DA4uFAKrkNmVUxy#4jpFh6b;16VZ`*?t!)CZ&$QN8Y%N3_<+n<+@M=-Ou$G0U8~a$rYzLi4JksJaK`K2*_l#Brx6o{le=E8Fg4bkk5|wc z2w0cyOoy5``Ro&7uRf|dHT@9aW!Yw#+nYx-jK8KnZ428TcRrMxk*;WIoMJh6wz*xW z-Q4ub4bhE5AYia!E+Z2Ebdr6K@(GybebbB4JK`+eki$!~_fr0A78+rhY+p-dW*;S( zY;1Vn?zjhZK$oZ*QZ=V8N#WeASXkGq+&dR~F$l0)i2X6@7}b+kHr{Kr zWZi`9$*zXo15WOeg>|0H%lc3TTXXFVAZ07>-D{>-dVcp?9SGE*^GNF(&aTF-B$xbU z_ssjl1YUH={C6LZ6&E-dK~cfM=&QO6n@t{}v8Wj|d($Qbq9 zQtY<20zD@Qv?;z;Ab+wov96>qvaRzYw>(f_ZmvnZ_NNYSlvQ~MKb#4botY^8^=NIz zYK2EpZkBc7vgM?QJXYu2t)#87s$9`$Y8zuG$0ns=k6pi8h(X&4D9dHtn!9d16)^?7 zjEu9>0QV+hGl-`g`_*!*fjE_;M=$0xw{SMwW}>2ff#4*b01ddchI&sbW?eu{G^DHQG1smwA;n`4d<&Qi-7$0&ot2}wRnX+x>``M0XoIyH+j zt>)wnmV}|Xlk5@SM8_W{hSxZ&_?WvNdMZ-+)>5JO0G=1cunC41<8}ICj+E(h!rQ5m zRm18&tc&T>W)69f&mOKE*(oWm;t#&w70c;X?v{k^YaQ@t0vB#ieoZ##K}hk6&h6}2 zO1v%FfZqH3m5s2OR-{R`3-!sDsfR|grDm9=O?8SiI1ha7=SgI)BxC$xYBxT)Rx@?6 zi%W*YY$VyS--SMEUe)m&tTWW(1v)g5fYwX3Za-2v)rk_aaFeDNj{i8(Yjo2`X4raEYz(tn>YBO7=+N ztZBj0NL$T`*p0tbFxO~J)b3VbE`g8E4dNN0W!Ol?F6Mwl4<##k4+T+ZhnE8E`O(9Ix4?8R6IQCQ6lHg$_7jx&`xv zDlo%K?4-*W(!ALqRK^zA@Y79n3qH6Q;Og_2o!x~Zcak4q?~El3y?0!0GWbfC%9IkY zTHjPrUw4XJ=H-I1pg)9^ZT1`}pS;nP@dSkxPl{6T6;dRM1ZmC1`NPG7D6RoyI&J%g zsLb2TE-xIg5-U;DiFor&_$qvx7zfyn1#pY!)to@s>J;>CH-1KWI?IMY0!EfxmD7`{ zq4sEbdIw5qQx4Yk=;Cl;03sA*R=l5zSv6xv;bB)+qPm7u+v2}CIn)xQ9Yf|91Nf)H zGc>!Nq<#&CAc%7;wkM7jAVqZNt}U*d^lECsmKCLU$_pkKhDH`~pJozMa2n9LW|50M=|1XRak?6PJJyTiJFOX{6<+SJ{rq*+n7cmjQ zA^m?Co-dlVYuoTSNqF=b`31_`aJ(R*SC)^)mL?#whWq;AOBouZg%=i6E(M^+n=*(ZDouvqq7P&dnxhO( z@Fmuj!Zc3SFwD+vS)f~Ro5bb39NU`=6;euza{?dAx>g~Wvb^($7c8{di3_i<0;@w3 zK3OO?`EK&~vQL7r<8!84A)7Eayzd(>cEs*QlC1)~KM%OEq}Fs@YGbG8KVknn^ya-ZZ7u4m{_KLD8dL!Y%AWci`j{A82=j9wA1mYtX{im`s zVAXluiGxe}Cw0YI&|StNV-h$&&`PS$p1eMrQaLuW4Y;JPbmq)ReSxD71tA7%d}+LA zWiU+i#;I0kw9dR(_osEjoW|V3?)g$N)U^zx#M})UlDq$Oj7Ar^D0u$N{4@8Vrw{tp z#NBkqk&EP0YMO~YdN98kBmVF!4qcE#N{PSt;yjz*!%OIpZ7R_?6e(d$J2(wjB#lZbqf6_T2Nzq@hcXDYB zEGshnPLti~1;e>%qiRy1U696?aG&oy{)@dHN_9CUCv3g&}ULC%X zCr;$X>`_NXbX$x@7Tj%qzFHIqSATL9&rpY1eq0&RoZbz^h+n4xoVzbmJXQ^HtZ=z_ z@36nQJmqtZwB}oshwsKi-A`~z)3Q^0J=Za{L3^6;*~TSBWa$V)_bcAobf1QomnbWr zt?7KctiiTJ-`7C6r{VV6WQRkQKpHAneoX0caam4%;uWcf>ANf$(t8O$xM+`Ia`k>0 zVpR}2>HyvMxXzDck!Pg!7g#K|;Bo3;)5>rmtFN<#v;7!;z5?$*62EWx~MRbgcytn5}-iA-P1Pr;=wvyT@(G@ap3WihtqdJiwFi&KHtD)u7W#KO+ z__^!|to?!Qk#uuEo%|cFN@O1-iw$;C36o?AdFphwmf1@`c*Np@m(+r^Bo^|?rI8yk z$e+qhJ`W}5eOpx(is-&3@8uj}tSR_S9j=`QUTjZN?Z*RcrZsfVr!+5be#|s)XzR7v zNtT2IDHRfWj4vq_=6gT+oEA~t$2*iiAQtU>_c#4R9qDuOEbWo}a82$T z^-=Ua{Si3PC9!0_GTSA(h6FdEEXo#7$Fk+~$7tK)3M8$mY9dS{wdTgZ$qRm5_3bM4 z?h!IZsjZo`VOFM%k8f!Vi3L@>$w#zIDQLgXqC-d@C}h8p?~2}iYN_H5k&jYLc^I&n z9%RRi=o=o0$UfnxE>8ze(37Y977r@xD5oZK;MxQ`h-L1=YcNDexeu>)XM9fCVBh;a zO1~28+r#^1-XS^4XE&|7P8jpExtSyQvGXMX{nPdW{XJ7?S4)^LRytQ3L|;k`9zs@e zPiE%8tfH$F6V3Mo>NN=mDo1r1{K}tf)!}79#sowURIsOnIDkhPwKw8QmiDP|+m*M0 zt_&R9;9-Xi9_LJ)G;qp-l{oAITl+Ljan!H4qiB~WHf%^YtbpZ3ef)dOWIjG{#Cc(C ziSNRRw6e8ox_|G)oH0vHF}sYhOjsYWstRX~qaaovK!?kM-6lf`Wx!_?jISaI`s@0i zqk-~t*&$cUOZh{oRhGkaXG_}X75b&NZSwt5(N)2dzDHy*;0rn_Q))pfarKwlmZb3{ z1kDIvT^z+x7Kb|h0!8{jIQN`5Dr8nyPm$wGyv0r`ciqPIs zrj^wd&B1$H^NRJce8c@2$QKeE_MT58Z%Tu`n2ZbN_Ntg89Ny=%T=6OA%G6fyqlnT| zpNh;jJ=W0`FJI3=PVq|{Z($5&Q;-u;-{u|y+NgY; zlJTr(A+;NqNw9k**o&yc24S;bpw`J>ppZf}t){aFa^OJfiMO5uO@hfkM550qPH9ed zC%RT;-{fieFB|lRR2aOC>lI3azG;Y+eDTT+tEYdU7u!KU&DTaq*C_XGDtnuJL=RRr z+lw(FR9yJV;fmf$o`VY|!*5kRWxu^G>)uxBUE6Ur(EEfzN|wp@FF(1|wZwFLhBz*8rm3JgF7tq;s;EQra$*61 z-w#o)wRBs5<7)SH16%cL%tI)x6YQC0z{??082Fh!|){QAD;6Y8T-=54S@fm)rA1=PIbUR*%4HB16Yjz@CRo?VdT*B z;~Rx$X`qKp&NU2^1=1Py=f|-0iXASkG)O0SP4td{t?k6f8U}PB`u#~CDN6jG4=Zzr z*%OOk+sXa78&~XHe${$YW~z$v5X0CPS;s4S6TO*YG}ZXTmMB>KZEy_dytl@k&9G-mB0$pP`r+jgH2@P<1-5Er!nRdqod@w5HbU3hla})kI%^Nn$;05E_zcuBW0=hs zTVX*_GMUW>?)!$8%{eD6t8w=MDm7zoFZyysVNVU8_=}(9TuG}uW?1*_s^$?@VQc z-QZ4!cCiAsY{OX-N`X4Iq$-N;{88wXrh+-folVEtp5&crNH9tUim9r?!Dleb9}>0s z;IYQnZs|=@{3gf$DAqzloDP8|7lj5r;U7dRdr~(eH}&5}E7eBw{|T}9w@mWi#c*$v z`aV+mqa+$)7&1!bd<7Dhb;&>+UMhg>MySPPs&w``hQ2n z^?K`{q;)N^pJGAFK1N}V^WAF)9^RY0w=ebd$3|WqT`0SjyUFVo;}y@>(fX^f>#OKAppMPI?JF3wZX+LCn%mCjlO2NCA74IvQS@Jxc$ z_dVo`jis}SuK)Z6I{X9+qzLf|ncKiw5fnyy_eMx#<}fE2eqj z6?Jn3xial`PFXSB8gjwo_h3hWX;V7I+FG!94;xJWhz%VL#Kg&7$C_d5$8N?NPw6%hPHN%4 zCV^lvQ;c$3%=!V6F@k3|Gq1f|Q&?HiktM~L^A<%O4%u0U2eGI5#kxSL@knU_FUrS9 zQ>i@;^*R`3!DNKY(3t4b;&T?lYBe#;I@dbRPqHD+6;H*^j=m*V zOE##Q+ko0jiQ@D%!}74`WPYvgCOykWpFzC=r`(?lgV#v%BQ~)V)L~?myg)9fFb7xJEk(2VFOVKs^h!U41C}OTkm_QDV@hPe z;0^n?(wASUapgY6d`0k=vhU)1S(v@CYAJVj-R2;{t~#!rRl3KkDQgMNqfzjHh{j+j z68BLKj*hlFifPcc%9LF(K(vytlGb@qG5= zc|>P$lwJJh;Vu421ERT5@;MN3AA?YXSwjKQN}C;`*CppwZH?%cG4toUdb{=fmBzwK zK>0QeTnEW0oW|*9c;U-1rKx8_!=W!*&n5>Wa@0-wSt--lktL$hw#ltF^8%~qpQ2WC zN|QbH81E$pt8I9|d76`=%n09W+NP|C+nkF#6)ei;eqM`Z!Zn^<zu?eRyccEO$ThOY6-Mj~cZRIbLB`O95LsU(`Z=YUt;mY!N_B2=w}{Hix;?w7 z5CwGb0rmNplg0aIq08-8uVm3;@9tK?-Zj;-DX29OH180tB;dvAeWA>=DkByP?jNVO z`ZQ}S&D4K{k$jUok}%eW>4jtCyNq#F!&)^T*dXkeq(%GtIYPKOL0cJ4976sW+zK5} zNxvqTD#Mu)kID)mjHpuZR3=(PM8qF%CdZ1C8osFrF}AGzg5ne`B=EFGk=JtrWn@*B zmHKneDR!~#8un-R%tezY_4?y0Z*WW1$qpA#S3(C9IufGyHODQ^SR#YtbVsO$kwfRB~YRZ6RT^xJf?&BTQ(9sgwC-&2CzUbB-Co` zZsC`J(wWU^cWAjghG$F`ZC99pZ)6-zKK(9L_Y|Y2G0{DEt#j$fTHZ?)pP5y;p`G?K z+4b&Z=njnVCiUKMvW(@DhhR# zze%ddk2N`~$Jjv5^yJ6BT&WJ(g){yk{>zsQ&*d@;H0yW3%7>3L{0qfnC$3xs~!gX11lPrH<`S*(WMkYd!0=>?P;CL3sz1GLj{JU z)?tAS&~D;DmKR9qKYzCQhdQ*8khAx=umIW1)SWxZO*c_Fv%9WZGp-4m`yX@VEskjG z{Bpt>V^;7Q?(jucE!vnm9a~Q#o*>yb;1G z-3{0(cPnQ1c&DFQe)mZw{fcQiCq&QKF&@4BA+0G|ns_Tcp(9$!^<1`akCZl%>GLXu z%)6X$dhBlLCb|zB@I>FyZRgqc?;aj%C0Qu@Fq|?h9mALoRlV}pLlmrH5ECKvO@&Yw zO4iA^&VaLRDnHQ&x@qL6jFxu++2{s0A>Vy`nIlL^93NI=ZWOlBmEM{$G^4*ydJF6R zVS8~?qW`w_T}WIlQMgP-mg$nX^1^$+WV1X7+$@)Yj-g?9-1Ep=l}VzNCP9ye*Zx3b z8Xhu!GE6vyp24;0<(W%I_VxT1x+&8{GuD=^@}Cb^C1s59`jaAeIUF{V4+n%L!dEzy z$he^yK6a2CeF6n>8=E=aAjz(3t5wG{U*e6Jlyv`e)A|zBhv#{H)&;u7b%NaVi3}f2 z2ZKGx4sYGJH1|_M54v8fG);+@89y#DWRCTOPTo+tFWi27H#k6QLV!TE;Si0&pThR& zfjM83y!ft*KUf_kk{dr~L#%x_4jMdZ6U0`%@dQqp{!IEWIBRCa zV|@K<^*)qq8j%HS!Y?~)N=tH5x^=>P^)UF)R-eD8R-XTfZywdkR~pc}L@?!2&zwDO z7BE)#<3*dh9a%QGl1JP>zfY)Oo4Ul&Goce~eov^)H6no#)}lF8T56Zu4Y62=qzSWm z2f|%PAp;+wi71fOrd7LGJ?M>;csdKv>c~3wSyR;MwS{s&zJ5E+Y*S1tqq>G>>L#Gj zb8kulf_wg6-FrK(556(9@XlM+>ST{LZ8Vt3PyI=CQDt1J01#y;@eFe|{=WVGG>I7~ zWy#x^vQ_}Dg??BLihTJ&(^A~lS92av{S&OnIG1t`aI5H3j^AARtA zmYtT&=Lg>cKMLQ^PhGXq`)-h{ql(3?9d7Iag1FQyToJrd&`D zGu>&dl}`_#G7^Zcx4h<0@~LpKIm6G@OI#pqV}|VsUVpgE`c{=H!EA2JGE3HQ-lqFkr$rfrII0P|PFuiXRK{Tp$W0`o}Pt3pK-#kFnV~4GPHC53+ zTrNecP}RO~8Vv7+*)<>q2+WNv+n}#zv2T`S*+&ATn99a{1;wXO>0mTTlXOr{#T?j635Uzy zF{@%Ctxl?p$B7qS!4iJH6l7g={UBO|w$vl2L@AnpuQf+|J#Wj(s=9>wmgL)WN8(b` z4ZrYw!OsKeVk53%8wmURdOh2IC1qgqsyNLl$)N5^S=ty}A!)B;)7v#V(n|5s)l}4V za*q5r=q;f3fe{vFkzCP$whj5owxtFDt6orKC_O)A?GBrf+YJxyrHPKRK+gK~7N@;=ln=vg>F$zNbf%0m$~tBvyN3+qkw~@;6~5Wq$tFjTm=e_L@^@AMZIs* zX}CtDEcFU~khgtwpkz-cgOPWNdedCtHclc`GcH(y+L247VJrfZ1Mfs;@^xiShBrgb zntzyTM_~+^)Smh}4C;D|joUuRz6`V7JR;cmER#x^D$~K-Bs9kUao=&U&|xMf1kWs;MX_6=HIoCt?2jsWM zR9}Z8wQG5e>2mpsrv9qL2SxSsWscS`d6-akzGLDu)PbFQ3$uR{gZ99i!>s)@0$weKV zL*CrN(!v-`er@fDaP=xb}r9t&FB29T`EtDO|9UFgsSC??I_X z22kDq<3Ou<L0`@lv`>qOtw12)uH?RagGYrfwuSOIH zn~eXl_2!FZ=q%d@0L8J-=q-MMF4s|DVLDUAZ2+>fKO+mUHp40JSgci}J45OJb7M9Q zNdKPt*fQA6`x{tN-R-IHq&AV`oUEOZBeY7EjMmh>xjm5aypCGP#N}A;_)6rC{ z*(dt1zf(*6-F4$;3czvU#~jV5{b7T*V-?tn$Q;=APJI($erf;g>yktmWP3L}gL~4A zGqkZV*jqHZh^0jtGR8ou9h3q(n)7hb@s0Qe) zrN+$zDO{d*D(T6F%$Jqqs&Wl7&sZb{$yx1f0C3HWQOvF9C#p-{_`}P3D6D1X)kImUz!Ku$v#C1 z`p}{1=~UCk2+Wx6&maBA88hLu;0{Q`C3qyr5sYWiR(N`TLI z{2zZ@9|>PSC=&9giIt6{TUPBpv5Z0}NoFRejx<;LY96cZuQc2pt0+rzuEV9`itMwkdBf) zK!lL+Rb=2p>C^wCUg&j=@ujKwi~Y*4XmG<9;Nj>%>rl!Rz(5bolLB=0*h3(o$EC`C zfheyapI}k`DWV}4^FC_#BC>SWzJuY$HBgy#v)&&b1aj15;mA~H!ji%uy9ZhU-v3eO zdd+N(;uD&DGN%0Z)j? zk^~@WiV;9sdD<-+pb(;N2s87g$t3N)+M)pUq5q&|Ji*sO zsEI@&n&y4CGnWM-|3GQI`1~NAJ8yNm8>hJM^jw43Z`f)irEmgiw%DOF7OlU1Lz%oEpee(6+x9t- zohbS*sJq{$_>D4*%ktxt=5BkrYu^BCugsk8x8h4Z}o`kq*gUAA?rct z7Vo`?HP%_fd)Be9l{x+&7k*m#$$$3R5BW7s6KVyn#aXhxIg=C$f zDHg>6+7@Fy}Nn9#msf`dR!p?Z1gbzLM z&VBi$5Z{5ilxPEyVDUPNgzPc_vY!BJ0Dz0>=>E`Q9~|x}Z-&B!F>jV?2;e5!PgvUC!_vqrTj@wl?iIj7C2D zeS&s&UlLO?Bfk&QnAUFHI%IXJcoW6bzyU>tr-YOXFUj&O5Hmc-8Wr#K~;Unxoy?*=5uPoEi~sz^NqQ}-okOhr0boe_{#il0^_MT z=x!_4R60=TIYMqmm7^2dsxXpKXfd>4Sg!wb*0cU0Y$b zwx<5X+0hDj%#LO148t5Y$w1HX%JKed`2xhF?$|ies#pYTsy8cNfxI-w8p5#<=2bs8 zK!v`yq-V1yG9HAaWh+-89tkQ_%#81KG{75=Oe?VBoV)Sx76l7eqee&3G4GiybiWw} z7?NgVhCl0uQ?+W=U`$p1l(wNERjLwK4?mU1l2?lG9Vz-SW|k|4o6ubl{&7hUnAyYZ z+lp>?h@@BE9-I9!s3lgjlJMHdJR;?s_?-!#CeUR6g$?lnS&g|pY0kU0z zE*hiU5dEw^qLFy&0qnwJbYItGc`O6n+)pBO__KirG@qxQ6s5Z435F?o; zb}|8JS%w+e{ZYn%Ix0YN3dax3ER|$uX`fIra;0mk9m_=oH#XD~uec;v^dvPk{);#A z>5T^q*g450bzMAm?f@^XwV~Tgcsr#V_r^HcGxS1-!4S}(0R7hYBPj9RU42x~VCEB9Q}18&OrQx>ZF{H* z%B1u6y7GwFAS9cYxT>oFY1$Wvk#wDNSiLE0O+_{1Snm-2BgQ9!~518r%jt?)k(%q*VZf5;buM!Qh|#yrOeRTg*7a-(gj6XmVDtHiWl3$U~6uAtDIFL+Z|i31Y#|c@cS$`k56Gzgyzh z9yzPPXwHRWiC9D{GN2ome1xUYq!NJ*Mg>VS?&)8F{bqaBo-bg-mk(}}7gc5^T;6CC zeoC9$Nqme5-Q}E>_dfP8b$Xdz_avl0!U!j2n9|kQ_*zk%Y&*1VMHqWq%BzF*i_Hh; za5Ppz%IeW|2V?5aO_TctehuS?cS1A9k|O3nEX#(;@_l9~qtsui96&*YQq3uJx+ z*|}k&RILQ+s;<6(Do`r6)x>(Nb1tz=DOft-q7w_fy^p{0PtQb%ZsS=9-QAp2h25dO zY?OCjBa03Cn#RFPjPTegaDA-PW(rgQOOJwyS-IBho%wLKb8xwWi!5;msNz-EhfToW z!)O)qQnf}@&Mx|~A}=RLa;@+lI0bZj$MSigR3?^iFO}F32}cF4M_fh!_ERE50Cq&2 z^1=6qLfy?rcpa`Wkr^Qto{F{pt;X`meMJV`XqiEc+8#$#MkPMWr*#nHaE1q*g3@n@ z492|CjQ3dk-ZLVtgZ<29tKI-qMJ7W+^`8 zGe`J)%FBqpZz>6$W7%HW-OBr&@h@Y0pzrE~J(Ud;3M^B(9G|1A< z$tzbb<_01gJB}8Q+8X`!>efge7w3z}2_g|0g;meew<3iCJ>3ZYhY~5+^yATl$=P9` zJmCfZ^C2@bc>I87lSu2fdzMd+h>$Y`l`CDGJJesG4dl$j<40@AF!;#B^Tz94VxLki zSU@H+$dZhHgv-6vB$Y%INKr==?|rOi74Dy#EVU~xGmwwqkTILSe9<{4tL9QI4`1L) z|IX>Yc;)GJpRjf9fB%k*+8=3`Zmw7hXO|~eRoszjHbC=Ja`Xv4l|+kE?*So>kH?ty zr~wi8_Ih41R)Q>M^V$jB@|k*a*EsfRKRYeFXMao}X?`#?*L9S^R2mgHL&@@icES8b zZ{hO)+uM}qAyMmXOM-hItyMW;EI6G4`mnEaGe0-AO{=4ZjM#N8;Q*~Pm~CPnH&+Gr zrp}+Y^0euC!$G`>g||RV>)-j*{tQvAS(Yp$N6L8V6P&#mqUX%t7UJ)#F4(k?^27gk zLyJ4(c}-}~TJVmXjA*<18DQyu=8kvZiq6Dv_rBc($54||i7vUq2q?ep2*yy8y189} zE4n|kOoi(3rPLPY@6&v`F(%u%?nQAFQv1zi=UMl2D~Uf?zN}gf?tH56YJezfXmZGp zZ=Moy#o=+8;kR@q%^2p9xW12cP>JIbeL_1a&_@t9N)0dUDFhEN1 literal 0 HcmV?d00001 diff --git a/VHDL/AddressDecoder.vhd b/VHDL/AddressDecoder.vhd index 9418c3e..6a0bb53 100644 --- a/VHDL/AddressDecoder.vhd +++ b/VHDL/AddressDecoder.vhd @@ -54,7 +54,9 @@ begin DATA_EN <= RNW and not NDEV_SEL; NG <= NDEV_SEL and noe_int; NOE <= noe_int; - noe_int <= not RNW or not NDEV_SEL or NIO_STB or ncs; + noe_int <= not RNW or not NDEV_SEL + or (NIO_SEL and NIO_STB) + or (NIO_SEL and ncs); cfxx <= A(8) and A(9) and A(10) and not NIO_STB; diff --git a/VHDL/AddressDecoder_Test.vhd b/VHDL/AddressDecoder_Test.vhd index 137d09c..b036e8d 100644 --- a/VHDL/AddressDecoder_Test.vhd +++ b/VHDL/AddressDecoder_Test.vhd @@ -1,79 +1,136 @@ --- Vhdl test bench created from schematic U:\AppleIISd\VHDL\AddressDecoder.sch - Mon Oct 09 20:12:16 2017 +-------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 23:42:22 10/10/2017 +-- Design Name: +-- Module Name: C:/Git/AppleIISd/VHDL/AddressDecoder_Test.vhd +-- Project Name: AppleIISd +-- Target Device: +-- Tool versions: +-- Description: +-- +-- VHDL Test Bench Created by ISE for module: AddressDecoder +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: -- -- Notes: --- 1) This testbench template has been automatically generated using types --- std_logic and std_logic_vector for the ports of the unit under test. --- Xilinx recommends that these types always be used for the top-level --- I/O of a design in order to guarantee that the testbench will bind --- correctly to the timing (post-route) simulation model. --- 2) To use this template as your testbench, change the filename to any --- name of your choice with the extension .vhd, and use the "Source->Add" --- menu in Project Navigator to import the testbench. Then --- edit the user defined section below, adding code to generate the --- stimulus for your design. --- +-- This testbench has been automatically generated using types std_logic and +-- std_logic_vector for the ports of the unit under test. Xilinx recommends +-- that these types always be used for the top-level I/O of a design in order +-- to guarantee that the testbench will bind correctly to the post-implementation +-- simulation model. +-------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -USE ieee.numeric_std.ALL; -LIBRARY UNISIM; -USE UNISIM.Vcomponents.ALL; -ENTITY AddressDecoder_AddressDecoder_sch_tb IS -END AddressDecoder_AddressDecoder_sch_tb; -ARCHITECTURE behavioral OF AddressDecoder_AddressDecoder_sch_tb IS + +-- Uncomment the following library declaration if using +-- arithmetic functions with Signed or Unsigned values +--USE ieee.numeric_std.ALL; + +ENTITY AddressDecoder_Test IS +END AddressDecoder_Test; + +ARCHITECTURE behavior OF AddressDecoder_Test IS + + -- Component Declaration for the Unit Under Test (UUT) + + COMPONENT AddressDecoder + PORT( + A : IN std_logic_vector(10 downto 8); + B : OUT std_logic_vector(10 downto 8); + RNW : IN std_logic; + NDEV_SEL : IN std_logic; + NIO_SEL : IN std_logic; + NIO_STB : IN std_logic; + NRESET : IN std_logic; + DATA_EN : OUT std_logic; + NG : OUT std_logic; + NOE : OUT std_logic + ); + END COMPONENT; + - COMPONENT AddressDecoder - PORT( A10 : IN STD_LOGIC; - A9 : IN STD_LOGIC; - A8 : IN STD_LOGIC; - B10 : OUT STD_LOGIC; - B9 : OUT STD_LOGIC; - B8 : OUT STD_LOGIC; - NIO_SEL : IN STD_LOGIC; - NDEV_SEL : IN STD_LOGIC; - NOE : OUT STD_LOGIC; - RNW : IN STD_LOGIC; - NG : OUT STD_LOGIC; - DATA_EN : OUT STD_LOGIC; - NIO_STB : IN STD_LOGIC); - END COMPONENT; - - SIGNAL A10 : STD_LOGIC := '0'; - SIGNAL A9 : STD_LOGIC := '0'; - SIGNAL A8 : STD_LOGIC := '0'; - SIGNAL B10 : STD_LOGIC; - SIGNAL B9 : STD_LOGIC; - SIGNAL B8 : STD_LOGIC; - SIGNAL NIO_SEL : STD_LOGIC := '1'; - SIGNAL NDEV_SEL : STD_LOGIC := '1'; - SIGNAL NOE : STD_LOGIC; - SIGNAL RNW : STD_LOGIC := '1'; - SIGNAL NG : STD_LOGIC; - SIGNAL DATA_EN : STD_LOGIC; - SIGNAL NIO_STB : STD_LOGIC := '1'; + --Inputs + signal A : std_logic_vector(10 downto 8) := "101"; + signal RNW : std_logic := '1'; + signal NDEV_SEL : std_logic := '1'; + signal NIO_SEL : std_logic := '1'; + signal NIO_STB : std_logic := '1'; + signal NRESET : std_logic := '1'; + --Outputs + signal B : std_logic_vector(10 downto 8); + signal DATA_EN : std_logic; + signal NG : std_logic; + signal NOE : std_logic; + BEGIN + + -- Instantiate the Unit Under Test (UUT) + uut: AddressDecoder PORT MAP ( + A => A, + B => B, + RNW => RNW, + NDEV_SEL => NDEV_SEL, + NIO_SEL => NIO_SEL, + NIO_STB => NIO_STB, + NRESET => NRESET, + DATA_EN => DATA_EN, + NG => NG, + NOE => NOE + ); + - UUT: AddressDecoder PORT MAP( - A10 => A10, - A9 => A9, - A8 => A8, - B10 => B10, - B9 => B9, - B8 => B8, - NIO_SEL => NIO_SEL, - NDEV_SEL => NDEV_SEL, - NOE => NOE, - RNW => RNW, - NG => NG, - DATA_EN => DATA_EN, - NIO_STB => NIO_STB - ); + -- Stimulus process + stim_proc: process + begin + -- hold reset state for 100 ns. + wait for 50 ns; + NRESET <= '0'; + wait for 50 ns; + NRESET <= '1'; + wait for 50 ns; --- *** Test Bench - User Defined Section *** - tb : PROCESS - BEGIN - WAIT; -- will wait forever - END PROCESS; --- *** End Test Bench - User Defined Section *** + -- insert stimulus here + -- CPLD access + NDEV_SEL <= '0'; + wait for 10 ns; + NDEV_SEL <= '1'; + wait for 20 ns; + -- CnXX access + NIO_SEL <= '0'; + wait for 10 ns; + NIO_SEL <= '1'; + wait for 20 ns; + -- C8xx access, selected + NIO_STB <= '0'; + wait for 10 ns; + NIO_STB <= '1'; + wait for 20 ns; + -- CPLD access + NDEV_SEL <= '0'; + wait for 10 ns; + NDEV_SEL <= '1'; + wait for 20 ns; + -- CFFF access + A <= "111"; + NIO_STB <= '0'; + wait for 10 ns; + A <= "000"; + NIO_STB <= '1'; + wait for 20 ns; + -- C8xx access, unselected + NIO_STB <= '0'; + wait for 10 ns; + NIO_STB <= '1'; + wait for 20 ns; + + wait; + end process; END; diff --git a/VHDL/AppleIISd.ipf b/VHDL/AppleIISd.ipf index 0b1056b0c45765f7cdef4701eba9db62678af180..60150cb8b8c598ecc2842b23be5e2ff52f804864 100644 GIT binary patch delta 1087 zcmYLJNlX(_7;Z5$U8d7^D4l7iL-*+du~w+4NW*3VJ;(tPNdT1)IJg{YG~u8a4#Xu$ z+VI7LClBI56O7t}Q4e5Zgai|b2aS->t0&rUGhUo|uW1i2-}3+e_ukCQ%*LAW>zeV+ zd86f1|Fi0z!C=_K1>*uc*gZ9L^>*o-apV|3Ke6(0Of?wv)sCCze5;HNBTS#AKcBxb zm&=XKqR5DRU8Szg&Eh@t1Dj?MyR2OLz~+pakl;0sX&At=xkB)%Wsu-M%V~lED}zOC zOum7%~pJAP7|JT@sM0A zgqK}*Y`ZdqPq#3TS~_d|4ne&SyN`x-Knw()vpmaoNk`mv@Hnyv$=trib2?O?W9EulWo*!mX&t z4BnbmO}<1iRl$e7m2;pg%?U13#T{h8XwFm4~ zjUPr>tVh~O=3#_p6rv{dMCm?-D7`$3%Hb$V>sM36X_f{EIu34y%mLW0(MlN@$ywcezFM3R0%70q8v(wU0p b?{E?-Njj5j<1yrwO1ZXboK25v{|du@lA~sd delta 962 zcmX|=O-$2p7{<5BzS_Y$*xGe%*L|`L0&{}80iwu9Sc0-ZP!1XaH*p3BL1H4t!~@2Y z9C*FR!EoSYFsLKOWQre&gp(pBpos?#Mo((wU?e8Qf8Q<~n&0z0@7p*3wr^MFwJ+zj zkJ_~6@AuwjH#8c}2At5|(DgOs*R&`1l}>Gaji!PcjdE2(%K-s8^j(o;ckQK2nz}-@ zj^-|8hdZ((nbhFKMXIkM+A=mal1V0e)6r9%ZC$XeUx#fI3!4U4{*@uAC58i!sh3cO z8PhN1oVgXLqYfkcDb+J{2`p1}m@%}Pjnit+DtZ=p!Qda3I!M!6UY@Y zj^w2%^1P(fC3q|;vjo_Y4kD9owGM>qvM?hX;hN}zUAI%Q5R>D`DOoAI;e%X@-sQn| zc*=-y)5Bx7RLph>x4i;%dK1VOUK2iI!OIgb!EY~F3Q|w_V#r&*DERy$toiB*CGh&y zde*NTje|wM^8OU+;h(=6zg1J94Os}3L86L-LO?>#1Zmg_khx?Bjs?}8* ADD_HIGH, B => B, RNW => RNW, - NDEV_SEL => NDEV_SEL, + NDEV_SEL => ndev_sel_int, NIO_SEL => NIO_SEL, NIO_STB => NIO_STB, NRESET => NRESET, @@ -155,6 +156,15 @@ begin end if; end process; + process(CLK, NRESET) + begin + if(NRESET = '0') then + ndev_sel_int <= '1'; + elsif rising_edge(CLK) then + ndev_sel_int <= NDEV_SEL; + end if; + end process; + DATA <= data_out when (data_en = '1') else (others => 'Z'); -- data bus tristate -- synthesis translate_off diff --git a/VHDL/AppleIISd.xise b/VHDL/AppleIISd.xise index fe0899d..48b60df 100644 --- a/VHDL/AppleIISd.xise +++ b/VHDL/AppleIISd.xise @@ -34,6 +34,10 @@ + + + +