diff --git a/README.md b/README.md index 382aa96..ddb35ce 100644 --- a/README.md +++ b/README.md @@ -6,6 +6,8 @@ The source code is copied from Stephen A. Edwards, sedwards@cs.columbia.edu http://www1.cs.columbia.edu/~sedwards +http://www1.cs.columbia.edu/~sedwards/apple2fpga/ + Build ToDO @@ -14,3 +16,11 @@ Build ToDO - Download the Apple II roms from the web - + + + +F12 Switch debug info screen on/off +F2 Track + 1 +F3 Track - 1 + +F6 Switch b/w mode / colormode diff --git a/src/Debouncer.vhd b/src/Debouncer.vhd deleted file mode 100644 index 6ef0d4d..0000000 --- a/src/Debouncer.vhd +++ /dev/null @@ -1,45 +0,0 @@ --- (C) Rui T. Sousa from http://sweet.ua.pt/~a16360 - -library IEEE; -use IEEE.STD_LOGIC_1164.ALL; -use IEEE.STD_LOGIC_ARITH.ALL; -use IEEE.STD_LOGIC_UNSIGNED.ALL; - -entity Debouncer is - generic (Delay : positive); - port ( - Clock : in STD_LOGIC; - Reset : in STD_LOGIC; - Input : in STD_LOGIC; - Output : out STD_LOGIC - ); -end Debouncer; - -architecture Behavioral of Debouncer is - - signal DelayCounter : natural range 0 to Delay; - signal Internal : STD_LOGIC; - -begin - - process(Clock, Reset) - begin - if rising_edge(Clock) then - if Reset = '1' then - Output <= '0'; - Internal <= '0'; - DelayCounter <= 0; - else - if Input /= Internal then - Internal <= Input; - DelayCounter <= 0; - elsif DelayCounter = Delay then - Output <= Internal; - else - DelayCounter <= DelayCounter + 1; - end if; - end if; - end if; - end process; - -end Behavioral; \ No newline at end of file