From 0c8bf7ebe59263be58a7fa73a6e512eee8b5b47c Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 7 Jun 2023 18:52:44 -0400 Subject: [PATCH] SPI? --- cpld/GR8RAM.qsf | 6 +- cpld/GR8RAM.v | 208 +++-- cpld/db/.cmp.kpt | Bin 205 -> 204 bytes cpld/db/GR8RAM.(0).cnf.cdb | Bin 26072 -> 26817 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 5124 -> 4872 bytes cpld/db/GR8RAM.asm.qmsg | 14 +- cpld/db/GR8RAM.asm.rdb | Bin 787 -> 787 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3158 -> 3209 bytes cpld/db/GR8RAM.cbx.xml | 5 + cpld/db/GR8RAM.cmp.cdb | Bin 60744 -> 61079 bytes cpld/db/GR8RAM.cmp.hdb | Bin 22808 -> 22469 bytes cpld/db/GR8RAM.cmp.idb | Bin 2988 -> 3039 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15775 -> 14949 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 103149 -> 102936 bytes cpld/db/GR8RAM.fit.qmsg | 85 +-- cpld/db/GR8RAM.hier_info | 291 ++++--- cpld/db/GR8RAM.hif | Bin 427 -> 426 bytes cpld/db/GR8RAM.lpc.rdb | Bin 408 -> 405 bytes cpld/db/GR8RAM.map.cdb | Bin 23492 -> 23985 bytes cpld/db/GR8RAM.map.hdb | Bin 21398 -> 21024 bytes cpld/db/GR8RAM.map.qmsg | 38 +- cpld/db/GR8RAM.map.rdb | Bin 1260 -> 1253 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 19076 -> 18457 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 396 -> 449 bytes cpld/db/GR8RAM.routing.rdb | Bin 1545 -> 1559 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 19020 -> 18436 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 30258 -> 29869 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 207 -> 204 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 226 -> 223 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 226 -> 223 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 9880 -> 10385 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 69378 -> 68475 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 241 -> 238 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 1048 -> 1047 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 97 +++ .../compiled_partitions/GR8RAM.db_info | 6 +- .../GR8RAM.root_partition.map.kpt | Bin 3623 -> 3286 bytes cpld/output_files/GR8RAM.asm.rpt | 26 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 447 ++++++----- cpld/output_files/GR8RAM.fit.summary | 6 +- cpld/output_files/GR8RAM.flow.rpt | 58 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 175 ++--- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 6 +- cpld/output_files/GR8RAM.pin | 12 +- cpld/output_files/GR8RAM.pof | Bin 7864 -> 7861 bytes cpld/output_files/GR8RAM.sta.rpt | 720 ++++++++++-------- cpld/output_files/GR8RAM.sta.summary | 24 +- 51 files changed, 1244 insertions(+), 1032 deletions(-) create mode 100644 cpld/db/GR8RAM.cbx.xml create mode 100644 cpld/db/prev_cmp_GR8RAM.qmsg diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 60eacac..e7f0683 100644 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -252,7 +252,6 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SD set_instance_assignment -name SLOW_SLEW_RATE ON -to SD set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SD -set_global_assignment -name SDC_FILE GR8RAM.sdc set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[0] set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[1] set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[2] @@ -261,4 +260,7 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RA[4] set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[5] set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[6] set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[7] -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to PU \ No newline at end of file +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to PU +set_global_assignment -name VERILOG_FILE GR8RAM.v +set_global_assignment -name SDC_FILE GR8RAM.sdc +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR" \ No newline at end of file diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index faf523b..b4430ad 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -7,8 +7,10 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* Clock signals */ input C25M, PHI0; - reg PHI0r1, PHI0r2; - always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end + + /* PHI0 synchronization to 25 MHz clock */ + reg [2:0] PHI0r; + always @(posedge C25M) PHI0r <= { PHI0r[1:0], PHI0 }; /* Reset synchronization */ input nRES; reg nRESr = 0; @@ -24,7 +26,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* State counter from PHI0 rising edge */ reg [3:0] PS = 0; - wire PSStart = PS==0 && PHI0r1 && !PHI0r2; + wire PSStart = PS==0 && PHI0r[0] && !PHI0r[1]; always @(posedge C25M) begin if (PSStart) PS <= 1; else if (PS==0) PS <= 0; @@ -61,8 +63,8 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* Apple select signals */ wire RAMExists = !SetEN24bit || !Addr[23] ; wire BankSEL = REGEN && !nDEVSEL && RA[3:0]==4'hF; - wire SPITX1SEL = REGEN && !nDEVSEL && RA[3:0]==4'hD; - wire SPITX0SEL = REGEN && !nDEVSEL && RA[3:0]==4'hC; + wire SPITXSEL = REGEN && !nDEVSEL && (RA[3:0]==4'hC || RA[3:0]==4'hD) && + !RestoreDone && SetENRestore; wire RAMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h3; wire AddrHSEL = REGEN && !nDEVSEL && RA[3:0]==4'h2; wire AddrMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h1; @@ -102,10 +104,11 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, end /* Apple data bus */ - inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; + wire RDOEbase = PHI0 && nWE && + ((!nDEVSEL && RAMExists) || !nIOSEL || (!nIOSTRB && IOROMEN)); reg [7:0] RDD; - output RDdir = !(PHI0r2 && nWE && PHI0 && - ((!nDEVSEL && RAMExists) || !nIOSEL || (!nIOSTRB && IOROMEN))); + inout [7:0] RD = (RDOEbase && PHI0r[2]) ? RDD[7:0] : 8'bZ; + output RDdir = !(RDOEbase && PHI0r[1]); /* Slinky address registers */ reg [23:0] Addr = 0; @@ -145,138 +148,101 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* ROM bank register */ reg Bank; - always @(posedge C25M, negedge nRESr) begin + always @(negedge PHI0, negedge nRESr) begin if (!nRESr) Bank <= 0; - else if (PS==8 && BankSEL && !nWE) begin - Bank <= RD[0]; - end + else if (BankSEL && !nWE) Bank <= RD[0]; end /* Restore state */ reg RestoreDone = 0; - always @(posedge C25M) begin + always @(negedge PHI0, negedge SetENRestore) begin if (!SetENRestore) RestoreDone <= 1; - else if (PS==8 && BankSEL && !nWE) begin - if (RD[1:0]==2'b11) RestoreDone <= 1; - end + else if (BankSEL && !nWE && RD[1]) RestoreDone <= 1; + end + + /* Flash chip select register */ + reg FCS = 0; + always @(negedge PHI0, posedge RestoreDone) begin + if (RestoreDone) FCS <= 0; + else if (BankSEL && !nWE) FCS <= RD[0]; end /* SPI flash control signals */ output reg nFCS = 1; - output FCK = FCKout; - reg FCKout = 0; + reg FCKout; output FCK = FCKout; inout MOSI = MOSIOE ? MOSIout : 1'bZ; - reg MOSIOE = 0; + reg MOSIOE; input MISO; always @(posedge C25M) begin case (PS[3:0]) - 0: begin // NOP CKE - FCKout <= 1'b1; - end 1: begin // ACT - FCKout <= !(IS==5 || IS==6); - end 2: begin // RD - FCKout <= 1'b1; - end 3: begin // NOP CKE - FCKout <= !(IS==5 || IS==6); - end 4: begin // NOP CKE - FCKout <= 1'b1; - end 5: begin // NOP CKE - FCKout <= !(IS==5 || IS==6); - end 6: begin // NOP CKE - FCKout <= 1'b1; - end 7: begin // NOP CKE - FCKout <= !(IS==5 || IS==6 || (!RestoreDone && SetENRestore && (SPITX0SEL || SPITX1SEL))); - end 8: begin // WR AP - FCKout <= 1'b1; - end 9: begin // NOP CKE - FCKout <= !(IS==5); - end 10: begin // PC all - FCKout <= 1'b1; - end 11: begin // AREF - FCKout <= !(IS==5); - end 12: begin // NOP CKE - FCKout <= 1'b1; - end 13: begin // NOP CKE - FCKout <= !(IS==5); - end 14: begin // NOP CKE - FCKout <= 1'b1; - end 15: begin // NOP CKE - FCKout <= !(IS==5); - end + 0: FCKout <= 1'b1; // NOP CKE + 1: FCKout <= !(IS==5 || IS==6); // ACT + 2: FCKout <= 1'b1; // RD + 3: FCKout <= !(IS==5 || IS==6); // NOP CKE + 4: FCKout <= 1'b1; // NOP CKE + 5: FCKout <= !(IS==5 || IS==6); // NOP CKE + 6: FCKout <= 1'b1; // NOP CKE + 7: FCKout <= !(IS==5 || IS==6 || SPITXSEL); // NOP CKE + 8: FCKout <= 1'b1; // WR AP + 9: FCKout <= !(IS==5); // NOP CKE + 10: FCKout <= 1'b1; // PC all + 11: FCKout <= !(IS==5); // AREF + 12: FCKout <= 1'b1; // NOP CKE + 13: FCKout <= !(IS==5); // NOP CKE + 14: FCKout <= 1'b1; // NOP CKE + 15: FCKout <= !(IS==5); // NOP CKE endcase - nFCS <= !(IS==4 || IS==5 || IS==6); - MOSIOE <= IS==5; + nFCS <= !(IS==4 || IS==5 || IS==6 || FCS); + MOSIOE <= IS==5 || (IS==7 && SetENRestore && !RestoreDone); end /* SPI flash MOSI control */ reg MOSIout = 0; always @(posedge C25M) begin case (PS[3:0]) - 1: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 7 - 3'h4: MOSIout <= 1'b0; // Address bit 23 - 3'h5: MOSIout <= 1'b0; // Address bit 15 - 3'h6: MOSIout <= 1'b0; // Address bit 7 - default MOSIout <= 1'b0; - endcase - end 3: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 6 - 3'h4: MOSIout <= 1'b0; // Address bit 22 - 3'h5: MOSIout <= SetROM[1]; // Address bit 14 - 3'h6: MOSIout <= 1'b0; // Address bit 6 - default MOSIout <= 1'b0; - endcase - end 5: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 5 - 3'h4: MOSIout <= 1'b0; // Address bit 21 - 3'h5: MOSIout <= SetROM[0]; // Address bit 13 - 3'h6: MOSIout <= 1'b0; // Address bit 5 - default MOSIout <= 1'b0; - endcase - end 7: begin - if (nRESout) case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 4 - 3'h4: MOSIout <= 1'b0; // Address bit 20 - 3'h5: MOSIout <= 1'b0; // Address bit 12 - 3'h6: MOSIout <= 1'b0; // Address bit 4 - default MOSIout <= 1'b0; + 1: case (LS[1:0]) + 2'h3: MOSIout <= 1'b0; // Command bit 7 + 2'h0: MOSIout <= 1'b0; // Address bit 23 + 2'h1: MOSIout <= 1'b0; // Address bit 15 + 2'h2: MOSIout <= 1'b0; // Address bit 7 + endcase 3: case (LS[1:0]) + 2'h3: MOSIout <= 1'b0; // Command bit 6 + 2'h0: MOSIout <= 1'b0; // Address bit 22 + 2'h1: MOSIout <= SetROM[1]; // Address bit 14 + 2'h2: MOSIout <= 1'b0; // Address bit 6 + endcase 5: case (LS[1:0]) + 2'h3: MOSIout <= 1'b1; // Command bit 5 + 2'h0: MOSIout <= 1'b0; // Address bit 21 + 2'h1: MOSIout <= SetROM[0]; // Address bit 13 + 2'h2: MOSIout <= 1'b0; // Address bit 5 + endcase 7: begin + if (!nRESout) case (LS[1:0]) + 2'h3: MOSIout <= 1'b1; // Command bit 4 + 2'h0: MOSIout <= 1'b0; // Address bit 20 + 2'h1: MOSIout <= 1'b0; // Address bit 12 + 2'h2: MOSIout <= 1'b0; // Address bit 4 endcase else MOSIout <= RA[0]; - end 9: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 3 - 3'h4: MOSIout <= 1'b0; // Address bit 19 - 3'h5: MOSIout <= 1'b0; // Address bit 11 - 3'h6: MOSIout <= 1'b0; // Address bit 3 - default MOSIout <= 1'b0; - endcase - end 11: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 2 - 3'h4: MOSIout <= 1'b0; // Address bit 18 - 3'h5: MOSIout <= 1'b0; // Address bit 10 - 3'h6: MOSIout <= 1'b0; // Address bit 2 - default MOSIout <= 1'b0; - endcase - end 13: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 1 - 3'h4: MOSIout <= 1'b0; // Address bit 16 - 3'h5: MOSIout <= 1'b0; // Address bit 9 - 3'h6: MOSIout <= 1'b0; // Address bit 1 - default MOSIout <= 1'b0; - endcase - end 15: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 0 - 3'h4: MOSIout <= 1'b0; // Address bit 15 - 3'h5: MOSIout <= 1'b0; // Address bit 7 - 3'h6: MOSIout <= 1'b0; // Address bit 0 - default MOSIout <= 1'b0; - endcase - end + end 9: case (LS[1:0]) + 2'h3: MOSIout <= 1'b1; // Command bit 3 + 2'h0: MOSIout <= 1'b0; // Address bit 19 + 2'h1: MOSIout <= 1'b0; // Address bit 11 + 2'h2: MOSIout <= 1'b0; // Address bit 3 + endcase 11: case (LS[1:0]) + 2'h3: MOSIout <= 1'b0; // Command bit 2 + 2'h0: MOSIout <= 1'b0; // Address bit 18 + 2'h1: MOSIout <= 1'b0; // Address bit 10 + 2'h2: MOSIout <= 1'b0; // Address bit 2 + endcase 13: case (LS[1:0]) + 2'h3: MOSIout <= 1'b1; // Command bit 1 + 2'h0: MOSIout <= 1'b0; // Address bit 16 + 2'h1: MOSIout <= 1'b0; // Address bit 9 + 2'h2: MOSIout <= 1'b0; // Address bit 1 + endcase 15: case (LS[1:0]) + 2'h3: MOSIout <= 1'b1; // Command bit 0 + 2'h0: MOSIout <= 1'b0; // Address bit 15 + 2'h1: MOSIout <= 1'b0; // Address bit 7 + 2'h2: MOSIout <= 1'b0; // Address bit 0 + endcase endcase end @@ -460,7 +426,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, SA[12:0] <= { 10'b0011000100, LS[12:10] }; end else if (nIOSEL && nIOSTRB) begin SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 }; - SA[12:10] <= SetEN24bit ? { Addr[23], Addr[21:20] } : 3'b000; + SA[12:10] <= SetEN24bit ? { 1'b0, Addr[21:20] } : 3'b000; SA[9:0] <= Addr[19:10]; end else if (!nIOSTRB) begin SBA[1:0] <= 2'b10; @@ -510,12 +476,12 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, if (IS==6) begin SBA[1:0] <= 2'b10; SA[12:0] <= { 4'b0011, LS[9:1] }; - DQML <= LS[0]; + DQML <= LS[0]; DQMH <= !LS[0]; end else begin SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 }; SA[12:0] <= { 4'b0011, Addr[9:1] }; - DQML <= Addr[0]; + DQML <= Addr[0]; DQMH <= !Addr[0]; end end 9: begin // NOP CKE diff --git a/cpld/db/.cmp.kpt b/cpld/db/.cmp.kpt index eaedafd34f7f1fed787f63c3e4d2a1b47c73ee7d..888a8c7b3d54e27a7363f50215610b81d61ee7ac 100644 GIT binary patch literal 204 zcmV;-05kur4*>uG0001ZoTZW93W6{c#_xTK!yZ7L7nPtwK?G4y2HlFeBMoz|Z3aRQ zpEf9>f}q>)&+j{MqDq>K9YEwY#$=IB(&^eQYDr))E~qqbL`+FT_zhYjLtL~FrDmA zQCOlvQ6PaMN7XwrCRbbVUK`&bM&&}=rGF`9qiSS7Vjhir*tV?q;)hh?^t}5=66XdE Gc8odd;aZ>o literal 205 zcmV;;05boq4*>uG0001ZoTZUX3c@fDh4($hkOQ<$Ku~B4D&j^#E4VGyOfhKFm`nx1 z!&?m~qJrS|{d{j=lD(lqZa|kcrfiz`^Wi+))`r2&IH7f_(JA90XK-vwORamVOE18} zsv2SKWe_6dj$|0as8t6E1KtB3N1_*rSO{2+VWtRSA{BLUmIVOG9?ykolqOd=B%rhD zuCZ95MZHA^JB7A$Ov*045WP0OL&%oe`K5m;Rby+EKVlw@^0w_+@5K+PEa-Umr)0qu H^Gc0w+JaqO diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 2b5b8696b3b477c8cca6ffd81ef893ec245b9b02..40783eafb534f89a6b371e1bad382d19eb870688 100644 GIT binary patch literal 26817 zcmYg%1x#Gs6EE&owAkYAQlwb%;>C)4ad%(b-6`%AcXxMpr?}f<3k$q{@1MN9++=1l znR_O`lRIZ_X6E2RK|xiaLjR*sP}ct*?tlEJsgtv%y&X9RKPv|-JGq#vrOhvL9$t2G zc78TaPBu<<4t{b~S#o(x7gKV{UzRTaY`3Oh{_hg8X8)H#wZQ(5|3~{Q{wI4tUvy#C z;%NbQo)E?=@U&unekWpB9j>5@s!RwD_-$%F8)~uLyAeWYc%N=cLu>rS9zi$CedoKq znHF-IOe7z*q>XM!1ubg{V)S#zOH6pQbG_*vLUg~sH6=^{%4s{v*HBq zgIE4izbDAc=2iFB)7A)-^Grpz?+ax5`r{fheJWD(N=!#fD_n9ZX}iO*jsFYwca283 z?bzU;$;<0WFe77}sC z7TjZJ0cm655;49LFGBT;<^_cq)rlW`Jx^)FjNR;gTQeGH?$!S`IW72l*Z!AEe^rSU z>9q{r$j?f2^_(F1?G)Zfq>KE*Jam9|V${SsibM-TJ$A3REK>)~chrLGo?>8XHY}P~ zoQ!k#pCa7E3wR6a>o+^x4q#L`O@RnKg-mr_F*Ko1Ur-3y)F1SpQ5Z=FDrBKvP;BWL zVxC^Ycz-u1SQUS1?*+^+!9R*6PL!k(BWdnsArpUTJ5!#5f^;|ufdaxv|t@%r!;s7)bYNJHm7SSjD#-}ft|bF z^{`P9GCi-r*F2y|MXjf!J$ zFNw-ubA2%n%mH43KdTr2And&Jzinm_aECkK-0uSczirn!%YBPoS)rBHn7b;&!lK6G z8CSA+?IxqlvRvbS7_yytKOu1Uc`qgq`Isj#*yI5Hqc3#?yw1pKd7WBS)xFyWP80mu z_)qTvI5hVf8))_#9K+rELC2a({`;sIKX1=ET4|~G&GBV4XoZF%B7!reoMI>Dt0LLn zWYZQcS|RUU-bW?MjL4TR$O?$u%u8mI1x6s;5{zp9eYtE&-&_*9{`OnX&yKS?1XS}) zNJJ3w*T+2d9txDf7Y~(SwPDAAblQ+ClWaoNL2fb^3HgZ)l3Vu-Nd=}25=Z|(mdYES zII7H@2iu=Zb0O)e_58+qR`gg4j6Bkn3v<;&i(%8`lUH>ng6Bb{c9q0tPbVCcFE@lm z=1u}-e$6JnW(m;=vJk^Yv?l+3Fal6=`Fyfi2JF+mXR zwD_bwoM$=QZM*4}rFl&-_he~3!4cc?4X}?uywR>8%wt{rwrYo&xHTHfgmNq~gZ7BY zcc{xbY`MQ+bdfqdWpO+)4n^QwcR;R$SUr6!nzzdj+6deP zpM0h=sB2#rUb;S2)33I}5jj>PN+EE(bPRaWOdsc}bsQ4!+V`l&J+X+cgd-{ps5Xrn zBtj5quXwN<7rNv%wbyi=@pN2Uy4!eN4^FrfLll;ZlpNh=%$lvh3eZb7Gpkw2$v7H zN^pM1t;ra`HICguD&W}k*6HYx8>myLgL0*ePh#s7sDV}hH5t_T*$4V@Fy3R76RpSU ztw5|dFBAWsWC(iqp#xjd(SJxq$br(P&5hPv@KDyH(fJqf37B^Y+|zS~6dcG*pJSvN z7uYo1eU9Ss9zV@l@WC}4jLMceb{jK!KNIk1;46+TZ5V=u@w#yYIkTxB_gH+%h23pn z%vnUp8?s4x!z>RQ`oU^|1L$7E`Lm%E84|W+FYfv1ID>lorvg!>3Y0!EOjX%oZLpIF};_;hHu_8C?2@UX|6rVyU-I^^Yj3Y#ssa(@-<1W0B=7gnKf zXXq~~$nR49G7Q>Tn)Ev&JCXV*Movu8-%CpjHTVI|rr-jvh?g@2=XBulqqGVoj)%=W z!hqvIXh-mo}gS74iZeg}k;PtbzD5p9?u8w-j1(AeyU6Y6$ zYGCVU%{w*eVo^@UBVmcTNb;)rl0#uRU_PRje_O;S?yr}ac@5O6P3e?L{ExZ*3 zV!@hl|8c+^Txm4HH=Koa@U!kkYj@@?NSO13nxCw(Rgt4r$t&L5-5T^Y>&Fen7Y>h~ zI(qVhpCuMFGwueR>U?#H`38LtR>;`CNqu5#VAVI?rtupN94y)4f2fE`3ty=rbAz6V zLv+G#%h(35{?fm>J+&3m+Ex4OJL}2*BJ_f^bG7p5q0|HHAi=({Fe7jZ0Fy?a=%}lu z$EELwhgmed@J}1$53&+BC8Rm)kp0lft~!lF!;Gp@_(^7th~h09lP8@blmy(m_efJoZWrZ;WEJ0>8XrM?EAxwr-|9CX21D13mGi<+kqCM|Gtxjs9z zpAd)e*A{OZ{-L5CwRM#ymP1`FXZh%1Vx=QmWPmB#9oJ)F-2k_TWai+PfjcV~(j($u zyYJ*!PDqbXbYPyoP7Jc&-&f$K$1F-H^Ag$o+<>?8P5RKvi z!ZCg{K$~kcZ`MaSguU6E=vuvQg0Ig`y|zwh#0@z=Klhf8D6UYNLaBDRV%)WIX!WSc zS4{}0&=MMfet{lKQT_f^Bw zD)u-6-gyy+Fd>rjlO^*XreTkJh?d=e^dY|#1azL#MvB%1revf8ecv~xhA?4@-N8YF z*-#fV!6cVlG<7Y37$GB7BpBlj3TZ7r# zf@~A)awckH2*F>A^vy@a4|dCwroU1q$wGX)Cf{LO&bcmwsr$dUafUuK zYc-Ky^|v6Z2EKB$6eEneNZ$|4H|Q{A$1oU$%^3sd@#5z+782LlN_C4fJ@l~%p@*aBDH+D?wIJNgGM*T%WoHa#Io(Ys|^RMMo7Q$Y;YLOnv=%L&kp*q2s=1Cn76;e!kgH zm&-arQzcIu=%*+2@NEc?(b*xPHijBbMrhc;o=mS?savwWcKybSBJU0aKk)90l&0Ab z>~<)uIpBJ3seG;`fG+gj^c-0y@+|(4Vf{0#H_UiISv^zH3PYnI;+>^hk&Q-BH4+G5sed9ryGKU1Be7#ci7 zHFA{5>iWjNZx)(sXU0d^DoTmZwJS^N)xR9X@jRZ^^Ef`!c^e(de@K9a8@u-_0Q?DO zcBx2)tIH=!RjYFCYf{{9Nf3o(+dt@IIO(`B@e*Lj=DC51=6tEHep>H!NNuDw z`w^h<`!~;W9>=-rhw6hjJEITf-dHu;ZwKkaymH@naO%8zLbsi++Kqpq!sHDQf}y9Z z3ZJ3toS}ncTE#JG|6&NG2MgRAVqeYve+puRg&wu!<0wX-b6*=eC1IW(c5$0`hLCT7 zOt@4@#A7JjC=6rgOnq*e#gB&2TWHBu$0{nM>MV{T(G~A<$-e|H_ua&w4G)6E6!Lwd zfF`jk6+%zlFGq0bT`(nVEc6WDr6pu21Sn-uc0Vbr@Wq8E26{^*CVat+E!$)XrW}XmDB`NPV^ZIeT+rp_mWRB z_{v0c7N3g$pO7(k=PvMkWpYM54^-#tVkMWmfvjhu3q-y7DAnGOBc}7%L`5Z{wCol9 zV)${&-{)!k2Uo0N~W{k>p?eQlYNQKYZg zjdD^q$8@9VJfq?A$rJ^9H)l$el$dx!qYjo$U&p%~-1s{R2K;3(3A-S&wQ8S;EfySp zo7g1Q{DpjzIKkfBjS@?n>O+;{xI&4q{_I}2Ots%(Dbokjmy+aXwsc_Zk^0G~diT78 z7K|E8PQ{<}t-N~Eu;JUI%fx-MlTiXuX#@1+27+H8u|%+omj2M2dU15FC#aTH zvM%-_VehW4GfnoSUp^Sab5w4{hS@lAJ~Sjiojd?cq5LUgHnN@>?l^-`DIm>~Pk*;v z5Dib>Dzmb@tajVtHiHg}C6x+!RzR0&UhpBT$ps#*AOB@0bN-igrYeb_p(ktT zPr_)n5%mifXy^QUpQ%*%d(HaYqS-KER%)r3MBaDiph5RZ(PtK0*GXqoO}@vHJAplg zQlBqVSr$ZUmvdkD9%xvz>6fZYR!rTRp)txBd6p3bbhM2CC}xIVvh^Q`GA@sc7+p;S zb8n}Z!#|ad&wT!Ed`+kAD;rXw(`RW{HE2Aac0}k`T-XI#~Xd)7P#h~ICb#L(lGT8~` z$w1eEpw@?cQx0qK1Jx<Skg}*c{C^mVPie{SzRoikT)uV7-+R z{&^($C*Cd^b5g!loG+FB4oM!WY7=xfUBe|kOy|)N#2_K~-Lk|8=eAb4xto8yTc`m} z*{qUQy@%Gr?zucqF~UQ^nezRKQk%e35aA$Vqq6yrW5GmhljEI~S+??^%oHq@u0EK2 z|6pOt@$PmKTUc>aVo)}d&u9p@8bfG-gtjLisBYe{Sw9MX-VY7VtLSfv1!`aT5QY>k zxMK(&A_Ev+$w}W_8VY+%7h?f8!CO74j#3vVrNks}=D6fN$E>=Voto=)V@1cDl=&Jt zDUN#*X@DrG0m|7w{)S^w;m2HtUzKs2O-R#{fT0y)*DM<4@rbto^g9EBbBnar$V*PX z$(&|E`f6sOh1~*>=1dCf#T^c}!~S7T#wnQVw_NmZ_bAVmYZkls1s9V~I6bo(xfbuj zNY$T3u4QIM&V;$64OFVl6tzan?5_A!S-1W;Y2&KvQ8Ep+FtlKq@aP5UB8e!*f7*y9 zyhkO&u5#ZNnO5U@3v~qWv0X)z?GCmb-kDo7uZ5}>ANTVrDl{Y}!R$-UGNZN5Sv7aD zCxW9}QIwLyJiBsN1_vomitCo`dmIz^vE5IDpU2BQWCqR*Sx@)<1<4#om62KImF4-E^9ptBP7*Fu z`Zs62${8D5po)>gLd_yE;nOF2Ji8F{U&V`l%}BB#GW7;!AA1Fin)MCWR7Klo24kdn)_IzMJ9>G4ACdsKS3y^6(T$4AHQ zqVmHFvV&81R>IdudLdnV~PWwv6+78#~(CS zHOq=|KlqQBl2OfR-T>aV!IiZpw8q`rjkT$asK3l~d%Cd%BXW8EW#8h`N% z*{J3(;FTIQKBgq+Dv!Fcc~gD0!p(k_W4(Oolmgqsj1qcODdgflc?FvX`V4%>tw4_3 z(MF4_QXtcM@+uYBb>X9dWd)v^Pq_1g8e6g zA(JnT_NY{j%Mn!0$)h{2ckkIf}(-D)xU~ z`BfA6UY7ROGnSwL-X_;+b5ThdBR}q2dGwf(#2hw`n>4n4Bs*$j{m|h2SMCpHWksu~ z!fV6>!w|*)`cL$IgAqm#=u`Nsy$tiUf<@)nBIVCI(%;rx##vp5*aYPE<}#vf`kyMh z`&L;DaL34du3x1hnm71k=#ey{5Uj~%jB+yg@-8bsryGKS+9h>7uIRXCRd=6p0ck*6 z8ty^hz=K>^OS2W%dT3_r!hSke<-oDf%PxC!%dg{!-=vFKr>M(q{o=G5 z-Mp1Rh8OYJ+0{etUKHG43SRT)#=}cq56)$%eHCK2^F!Kf z-r}S`k&+On=D#!Od+*L&mJMjjFKC4hqD1A%*&{A=L;pQ$%Y%i3R`A!OBeFh zWHTJsUa)}mOEVPHiM9saHcx~FG7?vWun3cqj>!i*tkwLq5YppLs z(Gg5Rc{F5o-zbi$~gU!;fg;oq#szA~#xVLZvn6YJB1tzI2*!v4%Uz~k|70i)Q zpDAXANp1Pkt2 ztaFsxz74sMe?a7F>Q)i8GU(>Y!m67;@x1~|Ze^}*KDRAojW)h+I%9yCD~y%`(TAg~ z4!GYm7&vw%Jr{Aiakjbirr3xIGR&%T`TrKsKJ+>L1QADTutcrIvELU*0h#G90pGHL z32yZc<%AmAQWZNpK4E#W@E_p$CFv7)iq8x(IaX4h_g^J;hHEEW!)uLf@hvHDIp0>3 z_C1;No$xBPQ!T=1JN!qqHe~c_<-3xABU_&*OxvpXV2`6&2M^di5*WdyRd70P0Y6ofR({Pb8=^K&Rk{W4VXAB2)ZEyRv5s=*;SvUldWj*wDPzp|WONzrv}%&ic-~x(TJBejk5Lt z$ii7pQe;C|6sh4NInVw>-fqfjij{rkILDP_@pd<9K28OW`aH3Y4g%*;AJ?GoPqqE`T$75e0 zP|IG5&~yHchKz|{VIZojZIsBw*wp6aO$s=7uer5<2y($>P)m$cnfedXD|=tYutEL9 zPuG2YQDHzW;k&60(&TZDdcony+}ad0V~&gQZCd7FOB>Yy zmDeZfXBoa}6cJlBFs}V$dx@mCic0pXr-i#_bGCRyC(u=^L1~<3p&0c3?Y(=@T-aV} z`j$7BH#a^j+ST}SyDPaS!rGwxuBi{?(&@!>@8;jHV zn1Is1JHF@SJ}H&G6(Fho)l>V}_k!||`rzOG`FBfMzp6h7^K#+~z^U`HKeMb)fUZ-= z?XtkQ3vNnNqKN+F%GtU*wH|l@a`e`_nm4^S9OSbzv9|y$lYiCgb?O{jWq}->ANX>> z(LN7nJ&|z}rk(Wqse#XVdLOL?Sewc(Jo9UhD<3^`uriodX;9*sf9)f z({={34*!Id2bLJ(i(I^PzZX8M7v4{*8THhBEqTzI1Tj33H%(!B<{0c53zPXd!$*5l z@}p%;!tOt45y3C6uhP8xSrf+blkC(VHXpsiUT!p%gtNQ;jA#>5i^}Cxz#OxD98l@J zA25~^M)b%CGCUqwjdZzdni4%7K}=)-6PXJ0gzX5r?ROFgNMww$Rz4`=xlN1=&`5(a z=T#T`a^hY{+&52!%lYlnCZ|DL=0RY@A;Wv+GgFx2|Dx?YQG^9RE7>gfPCc@8IAc1$ zQFOl#P1=lKyH=~Dt!zSkti-&s#mXc)abw3&d3HzlfvX!j^qJZ`MNTUfsHqxj6L}tf z>`Z-k;OWo>vnTb5%M&1Y7(6y}YZmNWizPIogFS?@O4h9FUR6so9>=(18!xnCc}e)M zG&v-vDlZX6i*m~sXKih|L$l&ItrYF}zD=`|aD3)OO6D#a%jZWsR#q1SB3wSTODp#o z{_^289q3jhTr5<}KV&q0ZT0u5#iKxY6x+n1u?=HA$60V1w1#k}6<_D4M_@v~cL=uW zaxu(OzVmUT`?pP)I>HT(5qE{-=2@?R_}o^^6QPWPbT<^1IfkX$*)d5!L4Z8hRLnJA zos;JK%rI@lO>-;bc={(0E!?Q7az+K1wW_0lFV|cn^tw@1P)|`vGxJ)JU;4)Mk%~aHjxi-yb?|J zVEy%)fFJ8px`{PCVaNXQgch|_yV14reJ}P&V8}y+RFf9@PaO;Ok;vpqO`FoCG-h+> zGW)icH2Zdq#%_#VXH1j0b_I$P)m&GLsV zLCC|J3dLQ|o4QA3S4|n_$`r_)^jV}#wLm9iWL5A_dRe~?tKiAczqgf_rd@U>+Pu8L zDPLNEI7YmXD znD5^{8OHWdY3Hu_2yf&wlCI;r@OwqGoL!fP;J;Ane3rKm zj6F}Y(m7t4p$vVaJ^g1;Zpmr`48Ipadm@`mya3(0%RUK%ciN~vuC}{a&u1>@Xy8u| zn$)QXoG4S$`5`~rx1j3Ww1z042aWItX2^f9 zjFVM<>`n`GkGv0HpF-lOAWwNUH3=XI3iGt*e@W#>#J>{H#6X(JoQbycxPov3*gvjE zdd=@S!1a#7HqO|mV5g{A`itM=J@Km&{&!FihHjs1T~UTTc=zsj)o%_Ha~o{X0Sc@8 z7=HJjU13Xdn)7%!DH0w84N!T#3s2|nL-_xZ2RhF@-GBcFLx7-b5o+(py9ufs5p&ZF z=?BPLsL#k_?Fj)$OV`>*1^AbgSl6V@Tj#ppgYIqQnW(9&3JRX5Y|3w757EWuS`P8Z znVLO6gk^jGfF8da7E?s_AlZ<_TkzwZ>(^Q_y4S)wQY4{kkG0Nt9jJVoy zN6Z}nzJ|bMwDXDz+QjhF=SGC+%W8Vm0 zcc%oBO=C;1j|589L1mtG#YybIjQY4p=qVsJFs6$lUgpCIKIMXiUZ`#d{7T&MqTMq$ zI%+9hDgNB(eYrtf8OYnlPkqgHFQEERw#C7DX2ZYVC`VsyY-Z&!;;f&sENB>#$3x>2 z%rx|r&tiL*h6lEhJvS>R!)9nQPGN55@@P>p$81D}Oi-QF&DT@&k zzV#Rk_T>$HRcVV0jUni2E={8=V4jm+eF^s&I4Rub0q9To5b{cUy2m?R>NM4z#{T@U77RaM==5&q<{@EA0>n)8SYu4 zn5}+0MC?7wH;~B|+Nc$KQzI^mpQq-0>g>xz2v6MC_>JX;X2;d+HbONqi4*-VKx?>% zKDYf`07f=nw405MLmaQ_Y9$H+d>nzZXI9+JCu{U0$w-5RdVDAX5q5L$ zkNl3Q>jd*eP^V#|Iyg?>*2pt!4T8~4COvuW(~}UGv(36fu#0$v_lM?<62~}=U$A)r zw#ryPLoLRhRs~yMP(PfRH=7x(dtsY#yet<&^4z}x&;NVCa_TTxztKbljkM&O%9t%W z>5-)&zrypwMpBNK!4XtO8j*#R@Eas=j6|v|F$s*XnUZzzQx+rWXdLNG0_W?fA~r}D+e7{HOO&77rsZ3wq!9hTAls*a$YK;o|Zr0_f+pw zeSF$8E$QVA2t?avUT=ZvgrB^GJ1rUZTVNYoq`^5Qt3(HbDtBp$RFF;9Z(z!I5&!7Y zN$;Ki=d(qz#j$YtL{+E3=7FIB4XPUe&N@>^=@XOFLwRq-Bxa8RqsL(#Ty$IXqou9B zJH+lbBCGAn_15#7?=1@-Me3$&%u=Fr_Js@y{AyPtLIWQT{cfyrOd#;4V9-NuKc6Ah zu1w@E6GQ#o8W!}J1F-NM8+77^iA-s`oKrSEb_5Hx_-?OJhKN++6f#O zM%@W7iy}A@-hY+e=Yh`w4M^W39Jz*(U8=JeuHZ?r8g3;W1gkLT5V*2M-NM>qRZe5>9=3y~ z^2?u#rZ(0m#HzV;#JP@-O{c)VKbog)hdFeJy}h=&?9|(JCSfOBN0u8$5+TCPt^y(l zjz_knD+#hUj1#}iuiOn+G}B+j+kdwfu?o9^+IC)fpA7Ua?66!RtA`vrTibEPqSJ*DPVqe2M2gyT z9Z}ho=B-x{Sua1})@ey`Ry&UnL|DN)UuWfMXxnnR0rKuN2dIx3d)QubrWOPB z{8`qF`?$)M@s|&%l(N&qU~d5<%6mQ<`^$TjVV31YC~n2jan`M_kk%t*#C?Hk*IJ`P zn|__#TM$Q2du^%Kt+y-Wft?Cs^ej0|cD=WmBR#CHP) zRfmYB5q}A$QU!VF=sqDWheKEZs;)EO3-alnqFpf6NTx?1s9N5DI&b$>!{B4Qa#9jp z@t60_ANY+p?O&%=mEP<`VvEV(eVs`JG`!vfk6eJ0Q1yei0*70@ec?Uiw?YAwxIyo~ zEkU8PW=^Z^sRjtA`A7#?LTqPb<5mx>W*F-H18tW}?cyMCWJ6Mvu{)1Tx%vbuCjR6t zF=CI&J^1cj27LXdEmxr9O+$xD_GU*4x{J-%!>*cc@C&4U&nhvaTodmOnMf|1!l_w( zBuh#^mEMf}u{4VB*Vo{n2qZM|O55f^cT|=c8E@SDVZbfRhL(yW|0yqOwL^&^G#4#3 zLLP51cXz_ikZt1iV06K%-b~5wa&tZWplAYL;Os8`x$w3pi<0`<@ea!ttUr_mj^R6RaiY%mhOr6dq6Y;viD^*iI_t3bX%e^QcDV#{a~ zm}nqV6$sSI$9PHRDPd9SzgR=G0t$ zHi5_+#blyfyzxf3c`X;ocqKIP6aA`KrL_3uL(U;O9d{@YX27$1kwNQ+kAi~d5C8SV zSD1#q9)`{sLtJW#D;=i~t~2eX8-KW$`oP(0qwo1=FpIo}{lPG07|XA1{>i{^(yrep zBX|x#3}pnoA2K1AiuAdSje04k0^!?@YLbDBb6ft_^I1Ac>L)Q7GlD1?JET(1)i)yd z!Uihxf!PIbR8I<^;=w{Dp6Rv@A1Orjzp{5ybcE+Ws|G->xOS@$TA< zAAoJ4?o@Z7FyMLegUot+b+2q}Xl}C_z1bXJo+gMziR;L3nMpY**e&ejmXTI$Mw%|66W^m|Yjf-A4rq z^t2pu@M;drvpm!$pxWN?lul& zYtHKtk_EU>nO5D0#IxoxkrQfs;*F`xz3-cK6Az3 zgkm@{KS+Bd^U;GMly59d9%GQ)vr7Dd)1Q_uvCD<@UG)+;mecw1#iaXy>XMwdnS+aAElVr|6>4LUmKAO=eov)t z#-j%QpjT(DJwSOn6@x=1=jDI|_jZ39M+>9Y|0G^2q=+{2XPKMhhC8wS9%eQKGg!v2 zTl1cx!(2C<48Pu4pr=Qi5|lhDJYJ8eGDt_0(_jviJ>^MB6Am-knOaz?f>Og{xCyy|do1*SSb* zHLx2Pg5jAyp4t1XnFO;W8^j*DRsfG3)&s_kz8w{VYC)%w-*-LgQ`S4QtO%B=Bk1dn z(^YzGtnvP}zUBPv+mnJDQk_|EP|0z*fS;PrGmG~d%ck$?Pqx+x+`al-EmQ3%+J5>Kc^+o+h*1W)`G%`w=^(!H2dh zv?UoAyO)-3k`09+K~p@vNMNNeh)X{B1h#`*&CO)gtb3%(QXIFD@nqzBdZS$ad{2*} za|Cx91fQF>=Y!NLgS?>?9`qN1?fh1Lbf3}w`OqSpRuCD%2_}D&w1sO=;Sr6~=;wcU zi_|VLhU4DQT*UYuBI21_@Th4dh*J~34!!VQZ&CXjIPWBC{Tg@i7}f8qx$+Tmy2UBX zg+Irnt{jzzdkqAG_bIcf$3{3@^KT;O515)NHY`8AuD7{x!M zQw{@i&&I2~n?qA))NHIW_-JsJ!H)ri>W`BJWfu5=o7$_KNEg_0trk`k#b4^psJj9W$75H^173bR-@I?Pi`w@ZPCb=kVSOBJq-o8#ROE5Qsih zZCxW!4#}qrg7;?92BJrM)?eq%zS6|*FYzx6)&MQ5rJ;U4LVcneu7S$G<)~->fEgF_ zQA8y}AG?84&lHl#FAe#c zOh#q4jgwGuRxA5(zOH07gKh)(XsZ(17NJ*#SIn{eWHBy(nNb}oStswMcNd&HFfDTISy~(g)01uoTO#68>X#qA=B#6ZJC9}Q6lPfVAGadmV zX2)G+-RAmq4orxyDcYao=4+O7we-eZm1k1D$r+-hh0HU{KMcCtngvN#kWA^RZE7AWE_Pig5AfY(JHG z-z_lVDez}KMgDdoT6mvSkr(yZi9=Vx)(f&OmoZXw`D_M)q-jL6#viP{zWGw>#u)sh zp)i8%zKkZ>UNP7r?uffC*DG)-ukmAq=Jp2JO6bp&q0)72pR(zd_^0*;yR>~_UkfCu z0}=V6#0JKw+lp2Khx)d#h`sHfG_o28ZG4}gASmlo%uU3E6 zgTz~L>9~XWbhMHfF&ptNuL{6k1{5x9D;21H%hqn@zGjR(mmJ9OIFs5mXq%!S3sQBe zd14Q4xFA5)?E_1fNxy2L-wy7`uBTiihtpa)M5yfX96b_McmI6E+Q&D)%EFvFqmInX zfQ=}Qanxb0HHQhVgQ|PmP2pjQT{&RAQa!LHj6W(k>C@@cgCj>7(F)TBk;_kaQv8vX zgD1v0JEo#e@Q-RvG)$RB8R(h`n1PG)DmP7XLRQd2gjg-=-Z?yp8n1A}PvmY|ceMV> zK;i_TL2mr0I>Oe%avoDkFTuzPueDECd6k7KwyjFJ0a;s3T!huy3W?qlqFZgZmiIDa z9P}2IrC{R@LFr=EI6)dp`;pe@PlTsBNzhOVk%6ua)B5Q@5m~En+$wA%(#?CWvRSF` z2hDnZa{U%eOM7iC>jAFTGO#9aZT&8L&TK|mCCDkb2q}Tsj=4OBq9G}ymeRb$@H1P1% zv?{0zanutR7`g(dQ;zmCO!{%$NIN5J9xl_e*W|a3v@@o=J|}53*;Dno;ppB7ET3w? zPpqbU7KIBZX)UBtNs1SH%=9iw{6dKpk|-D(J=iI0cm=bK?_9AQNjoPJ83DetE}pev zq7x9lguM-kPM<_?sxBTFNujtalx zjJVjcb$2nipmMkkjbVd_rrU&^KO|8eQPX}Ab9cj+x@ zW4=!&8fsA*_rRoNeqk8nA6@Qzvo2Zb(m>{DRSDw!U4^#aR8^L2G>Cl*K&>@;%b~{| zjMV$R^Vtl)m({XzwSwFKMew`)D%Vmkgx5RIp?1jT=5BMqhg0vMorE?Sz)7CX;#IQV$*XN};Q;r5(^x2Yp?C!-{O*UWman9K4)1 z5f^dPQP5T|mKua!Pl5;F$L(ab{;Q78ZI4@@XJ9u|;tKAVX64`3h3%p0AMhjg`ieFU zB2(U3Lg%iDI$8=eW1Vn;-KL?Fi{;(%cVGSMO2`y6Epz6wx=ubJNheo55P%?9eYK1D zyLrKbtdZ3)MrL~4bZwh_0)9*kWAZj{h)=5;`P8PDE!UX?zZsQ4Q}`*#V6R;V&pk($ z8Jv|efWb&|elv~t7-*bjk2u2GFk^&_f;Y7|KI=^0 zhcDVz`fX6duQtp+8DD9*oKMppy>7%S^1R*qXo&%P{tYQ!B7$fKS(b)WZDhVM^LQUZ zaQXW@C$1;S>nCyMkoyu{rm~6m>Wop`_>>^DGZBs6fl%~I!u6ft^-8~Iw|==#SKVhh zuxFu&#>9$TX|gJqa<@3+!I0hbS>{$OYm0f9HcwYkM|ybXzW9L-H)Gkn1^$>=SNdVq z{7S@Q`uu%X$GyVnwehpCy0d)*|IM#-)I^NMJbtFt4LtVc)* zQB}Ee-}qYEw*uWIC)UubAXAFr*m04DE>Bh&#OOkSm%qR7_+ksPdSl`JDhd+go+=^{ zod$(%!7mpqx8*vyj@43a)xuzi1IwUS@awZgs*LSyv`Z4kAX#qg0xLwWa-@(TvlsKB zX-*4_;C<`zcc7Z=eFEgV(CH-OILt5T0q)#$ZfilkbyDYB4@m?yfQ^0w;3sFu1g8Xr zbAMcfet4sEWEsa338pd3UTxETb~G5NK^}%cZNK6<1-7Ww(ug+I0||c3#34QE!vjDA z`+-~K{-Vy3$oOB|mJ+@O!}JV|CPa8uuGwpeSWkJE>i>79W`un?O;dgHcv5=u5oC7v zIecnJx@q}>lYmTlREV`*DVQBaqyS(mBe*q}aSH4km2-t4^lhhQ z$uYS=)gZgcc`z~Fv|f95An>qcbgEGNZ6a}BOosSv5QEm+t+o(u1TaN442&}a*%1p-L{z)|2^cTn7Pc`c;;4h>vrHP%XRtO3# zWjvu@z&vEqD<~h56*NpL8($APEv*a6BE4~D)%k=Lk7HKw7U+rxeAuYx%o zeGb2)-{y}zvQOn6MzL}$9uscJAOnf{>%D<~5{-dt(ZB}@w3K?iVfKdJz4egQ`xOxo z*j~7fY{n!+E=%S``-+UY3Q;39y#&_kJTz-$oAGGa#K@pzY;Hg}&avn7aq;mMU*sCt zXraam(6L+XcXYmtcXx_%`q8~iU#RJqC|>)UH6^UcDM$PQ$oDv9nm;v8|4SypO6_0B z#TbFV{_-5qem1<#(rwhPr)+BfAfTaT#pCt_*-v9`h6#n~0tcN(_y1jB5w@!t{XYRI zDAw11v(lg3lb`#(r)xoK4kgF4cJ||I#?cySM45F`OR9|mmevdx8^-&5!+784Vj|D; z=N!%4@0TV16M|R83-^^wyNDMF<+_jOhiIkTE_rS>TjssHJ&_VliW^snnK3C{l!gBSl#KiVbtdwfShinEzw4Y^u2%kRzezJN&2_$6CgJNt2- zh}KAvW7bJ6sWu8&^52=7@eaQ`4dVs{)F#n|(Jk=0`w_3(v{(m7RJ^TEJxKEZ!HS3XDQf&--xa^tcD)Zc8`8}V<_+J_;<7+d~660}X5y3uLBh?I^T2gHc z8`~_#z&IvsEU-`3NHv3}mQ)+VnrxO|x8dHjSu8&f=YP$w>s<-wbTX89cS5+Jg#fIv=aPA26)$`8>9|VII3CbMY?A z@jR9yDAgX+Sc-Cu}zPRr_z6NDoKE2(y-0L82A;IA0v`9Dfzi)DdjP+Kdau-0Ir&}L>=)lD>-WB%;pE-=l;69@ zwk5A+z4lNdQIeDMs6eudWAMWZN zyV=^`e@D(`g$d!8>#(cPfp?(fI@r5}a(mLgzea~25X^MIHn|Q@NQWb8r{p@!H0W@? z(1D%5c7c9}3my1>ygaV?Uqs`V`*x|*A*Xq$fhPa+O8muAjwO7+*rjayw0Ux30^eR! z%s-)hm0i{;29JWI;_;?+d|i0Z1usQMq=`>wv{k|86oX*Q@zaZ{h)2v`m;UQDp8WVb zD;#?-3^DIx0^2Vy#@{W;NB;R2Xr3%|M;8Cx9bo8%ocJTpJ^KWt9p8IDY}FC% zcf}V-gvE0w_O7v0eM*rQ~jGxz!{`d$S>bYIaN0F{kpP1m8t~wv>7ae?5MN>mI z{2wf`K+Vg7UGPyA9w*|>D;Cn^855&-_N6RV3TLl1XY)2fqpfOiw&BkeFf+BOik-G#r@sY;D`qDMkk24& z@4YZ&XQyv>s8l`r86AUuR%C!xp#L>BdozQ6K;3T^rUo6eYu8gTnQu*K`z%0Lm`U*P zuuAMgtF6Kd9JNdVKu0u}AwRr^tG zHozctu;|NLo5J|rx%bO^Uw!lS`87p`R})fv#%-!joC=PDNGy5!*;kOx9QC9*>Su+P zu&W(4S#&T>oukNrUny9a2#9GKpDf7NItpw0VwT=7qGttwwN$LL^k)Q0c8+Ofms+qc zsJE)q%2TF(Q(AeupwU_OSqWgWFUxG_g6xM7y%!_>vU3I~5%MwiDU-d7-JeqN0VQ+& zdc*$%!QRjKlUG==AoZ#OvCtq)-*eN?kx*&+Ms;h-=)6=#-E#JyHuf_jlCvl9r?f%e z%IQA_#*4r!(Er~W zbjBG=c+jucqZ>u2Q%rcc@6rZ3t4E6UZ6W+Qab(dxKzQ}4)cv&D_YFpWQC<9GY+gSl zbU52QPMt#7ux8z1arbKtet$xpLA1~{?R$Rz-vso+4pdvO6GD^@ z=tTM|p&bZ5X^E398@I8xCYd%p}aQa%Rq9c}yMjT42hu<~oK zy!ncD;Z!7a68zpx{n)Dj@b~K=Kp_+k)~lDl$TqEGE;LzL8tj5eH%)zU%Zoc^Ui#vW z&%gBI=U@8b=Izf;y|9@TB9QGg1@KEh_TuJz~%jib+rJlaWUcf-ZX$QV3d z;svc}LbSNcPf_9p<#u>J_xKAN@S7}_b&$<3Zr`zaM6jld)KQiwCp5Rq`p~1~FP~ak z*qDJ6ofay=eWrihCuOO9*kM-{D-5~6^G-RIPHWEPA|VUVuZN52)iII#$bUR&VuFDb z3wFL)#3wDe|2Bv`r_icia_C)(B~Sj&TB-#3^nR zrCdPGU|>D(Zd?F6+?)M?Cg$kyUUV0LzByozcm;rdy37H)oz3!C!d^x*hb>P4)si#w z80-RLg==KLEIG`Nu^i@g$YJrt^HIYlIpf9jXbLWvACoNP zaxN*QEEF%MTZCYP%g#n{@#{GH0k-vyEkg0!&SwvOqIW8<<4`;@P6gS*xDVN{(CF+- z)HJprh5xj7m(`J-^%-r1nVZ?XGoCjVtsAsVy78-eCaqZ3MT=y1F$%^0xcY9FWUEU< zp&17yuc^xzXYp;oeGW^TxJ;u!!=T?koDlAudN$pL&@tboAU)eKQB|cLw|7-rz2J$T z2jPYb;$^lM#I^&f=lZyW>wwz3t}8)jx(VF7(kL{pO?2SeyGkdtmEBN#7s-S+*$uUK zeN1Samvj)gcWp~(#6t(vr|q&>chqMbDidZKt|5w_eR*x~W}5KYMxx>RcfhX^f>5sKwS(c!p1nGvRfE6 z@v41c_SKqdd^E{Pm0qHcu9&A_YCFgaK4Hge)aK;PlSN2G$M(AyF=sYydim2oZrIx; z7VFXNKNwr;#I*kG5g8ozsq}OEn1C~T-_Y%~y_;U2+iP7xd;6d3Tgl%VTUeb|`GI~c z3g-h{KQ!!V2^)P{C54@$TQOE z=ZV*5p53Zn{RkzzOZA=<(R~3c$M5~I1$#*Ft*2EaL#;Z;?ovqkJjOX z_;5XP=Gmtr$td2;5Ukc~J{!c!c(6yMd6}y#4sm&gRa~zOHeH)o4hzFCzy79Hq(&JL zkNwlZBKA<%mQV?XLE80D*L6lUDcK|GGM(rRVwdA!nE8+U*1^U+)WRa3BC_q)#-0*6 z4CZs;`{E4+a7b&08LAaOE7f-CH}BnBUy%N`(5Igd19_(${ruePU*jTRCemaH74$hOFI_A$uh_KWbLmfOsq@>BPg|T5 znu=~{{b#%f1rxHZlC3xVyKXpF^iaoA{kwPdwtClva1wGzJI76IVH>UYYyz1&fgPw*JOoJnPS%@yjdN2l48| z(WQ#6IFtWhcRpBhwI;Y!`YoAYQJ<5_5nW|lD*txHc~5cJ73Z`TGF@@bD)_qM9Az#G zF*`BA+NsSLdEdp{@72V6ZtLws#9NzrJ?9hRfJs_KX0IHJ5gLDq>nY{eu6A3e+s}h= zY|MYEZ_K~-C%p{)k;-c2#m{Y7GoLMNOZ= zyLim~F7|4_01LL}K|7VU3%ynUyt}P0iGElA9MtnbgK#WppXv+RQytH1jt`;L9ygT+ z6SkPLFX$X)kXDga{IwCW=X-tY;Aois*WLMC8)Aw%CS?ZcV_tf)&r5s0r<+z=|3!DL zRxBGVp_c-S-*$`T2pep1#u6JP_8yFLNJ+%3*EXMQ6sqVp-GkMsD>y z%jWTzk#jv}**-uuSJY zAYSRfvK+G0l5h{1Rb>Fnv}%Rr`3@}0m^>UeOHxxAz_KjsnGP(=_Sq`W&&5;lK`hH2 zdAkG4aul4Fc8?dipee(WMM|N*Ua9$bv`g*6%p$fN>zC!ngZM^83RlKDV6iNZE?C-k zHtS@?di+z29*ToL1zJ2gdZHMZO(`$_KTSZ>4*|DMn2{MTY^jzOrs2-Q=Iw?G(W}unZrb!KvuVN}iu&T9Y z?y)UfivNgUZA7UHNAu9jYtxhn+v~FI?SgIH`024N2L}I3JsFs`VOvfWH^r9A{-6(J zo5leWbC+dZYqsU2sP)l3`xn=NY|FF8HL-lVHQRFfKQFe+v=!*u6=N|qgbTDGq{^s= z)#dmA)a2W;$XE%BQADD01oeDdHWX-=3${_#eu)#?vV-;sw>8^xSR54U?t<;$NG^xt zlw&*4x8*^=zj3fy*RFQTOWKwPA2+V-IWm!GHgb8$AC*ntYR$HsYG%duKrw*o*rJY= zT{7ntrKV`r`V?dR*-vU)t!=hgM#NozAkf#!C9UT!YC1JOZ7!4Y+4bY!p=TYwHJ35Pkk zt86SQ2%E`{IY^J*Q;wcZ=m$l&V>_%fg-3X0p|-Zt+R!E`7l>ypM0n5s2;0OnG~ zBQ~AK=`c74hKQYGL&P;#T)Nu&-68zLKPh$Pb8HAc4qW34`&5D(Rf5>V+xvgoUE6&mu-i><3U-TK~e|oOSKX(T_HIwsM=dxB^HhEk~ zTXyVxX=W-5J_3p0yI6|1(t+m}>L|BF&op!~B7BzWdSn+I_;4!G6^CzDbj9H{gk5oN zxyz;!Q)RH-PRSEp`Ro$qt~iq&aq!R75J7%%2%PKg#;(|^47S@6of>wv0XlWX;jxac zIDEINE6%bZrm|tCrR%!O(^WQZ)OW>MGQ^m>HiUmp4B?;KLrfBUPpzvBc#^3r&UP(3 zyW%`ZPxW($?8Tis-+X1h_dKOdDNHMrHg};&^;g_;7;WxCfdh~0#oaDdRr*s2%c`9( zZh7fP-CaCnTs}nVIxxiA;b_NnM>t&6)+H?HdtwNj$sur74T013PA2-?4;*4>dB>E3 zY!`>H!7%VrNoBy7Cz&-mu;M;4_SDU2(_E?$xDN&}XY#qSrm~-a}9YoUXZwSew!Fo%of~W}Jd^R6pjQHsci8xH`TcCMs>7 z9fSTMttr5zsM_kv-WBO{LqHIH8Hs1NfGJT4X2kK5>jY}fCTJ~uk*gKW#(Jl94av%$&%=Yk^L zEAY9d)9pPl{k&TJjUDV(mS&>O)K6Fya;YOAF=eC}v7*)%LFb0RY}|E2jkcS#almNAB_s!D&Xf;*#k zeayb{#@^6HKj6+Oe=jfIL>967ajidl?CALok~RMyl3gM{NLJ79X&oHb9q{YL921A+ zU>Q$o{x?1vmW}p&A+zUI@ig2hOEW)YXDy$u`$f zw#z!bG(K%)!v8C>Uu;ZUd_IHdS)(>|(;_$Cy*OfEP z22qA)eaN$`v~|T{UT34UxikSE`eO%5o24$}hKIAe$OaC44wlp|9SB-3(0pFJH+Vu+PpmLF}4!zJFoRjKSJYE$@P`|7V;~yzV ztPha%8EUQzyl9_}FJNH@@`d zQYpFUf2s(!J(BydP@qIwrBJ63Y;*CWJv9A5g~3hbYlXpY6cTuwZNcDAi9r6v^GiZH zS0GxN^Sqt2_5b31v0}VIF<;f7e^gz3LCR&?2zF2gaA!^A3+NXlk(qt~`v0J=d8C@~ zIf*{QeZP3+h68DXwicp)U45ca`XdIh3xBCrlv2d}TJMpTn4Zw0wdAYSIhUNwYT0Fg z)qZzDl3!nlV_H8HyiEO7sC2aHLxD+uYcLVdcOqs0n-<0-&9;HRKKudzNxc$ z>XdEn)4kI=Hs1xHvj-~&230D_R-D<^;Q_O`F5-e019xL3EQ)ryh$nEa@Z)4*1q&6E z;1}OXgYa$P?V)>7yneKUn~G7;2V{h|vH*~^6ZM!rJwFf2b@#F`CM@+r+RMTyx7-%l zCRte3lf+U2Ehj~0N%j20{$OA~m?4#X;r-?nioSr5& z^SXOHXnB~&JJEo*Pk25CJsyh%PqWE?IqYsSy{M!ERc%sBUNfxGJI53_<2RBlg{fs8b-;ZKo;KlZ`4wmb| zpmC6K`cf0h=X{(UM>XbcC!{ z{O8-Ju}_Zjc7YytNqHu5Uu+n1J@0Y>od1NjRFEtdWbv?{e}_eToHxy{c=1%Zjs}>?u4F}98qRkV#)EmEV-ObvgEeDp_MuR=D4y#_oFfK$+B3wW8L-Im@C@)J=Z8GO1oW!-VgK8L#W|!b3p$bqZ)-DbHTC4AcNaYL zLe45Y_>}p@thHt5>a}YzXegWXyRYb3G-($-l8(!@chw(`Wsmjakm~}swT2J3$rhN_ zpFKLZ;pTBzD}pyjLXU&Jy5j6=DVtehP012?u8V}`=|MO>ZY3|XE*pfI+oO%$3vO%5 zx^?A-$2xIakZu=SaQk5yBfsWyP(ebB5bHLVTHIp?cRksf)fx(>C&Wk_1JLQeoF7*} zU#AT_fxf(;Z)`H|@2H!@4vuGXU_k*2k^rwk{{w5Mj|$uooOKYOTz6K_;o2m?|478O z>lKi3t!YjI1!z1n(f^LX>FPp!>Izi0$pQ^kD18@R(lS1F@K@Q80iIV2{(Z>0C0r8R zBj;Z4LvF*_%+`mzMmEZ?l9{+5VZu@=U0VF#D=t+{t2-7W(!Iy}SXartvOs1kYear( zL2_FVnr5)_c5c?X3cJ0{K1l3et?(C;AjntByE+O2r$YmCFH4T-hykq6CK7%BEu z?98>b(_i~8lu+ekCI$h$P*#ab6wZqHSbMELDuPtUUpo{yz2c(y)9&@Oo1rV%eHoT4}$ zN4v!OLgFl5#E5jd$my3}O>+91sK{wEeB@|(HpZE^S(-s2%#ZgR=6yRnEJ|d*2b4$J zoc`*`WZpis`GxG|L&(G!F8i&QzKl(24?lA8Tcy@!9PBbLS-bEVMmBIr-Cg;-b)RIL z9YVIQ{=o)pU2Sl`a4rpD>&YSXAt!dV0n5fNIE)2ODZ1cb=dM154>@xas+VO{L+Jv7zjY6{bK<65QNWFvBwm`f_I|4LI#KjywbaZt(TO#D z;ERNi6y+S|DZZ%Aj{#1NKdK|htS&Y?W?{v~F&l1s+|Y~z{3wDYnowGzQ%Ibyy9Mt#o6 z{`@=qG1XTJ@0Rw^I-{oyiNo_E@Rt0sT=jGEEirLNZO~mMI{5#P;`yMq!>aRgTRveo zuf8kQXTLW5yIgR_!)fuqBc8+jQ*?C=aA|rMfZSYg~u+* zH!0Xn!LYUQctUMeJWeA*(Ls9U|;gf zw6@E_n^pVCLPq8Z_?rxmtBy?U*|0Mo&w)nWc1gCbgApshHfo#Hc{X{{_gyX>7{}*+rzb*VkEUcTZ}_V|SO!p_7LGFp6vz53l%AI1mc#`fqrNq@n& z<73skZX(gi&e-&%_#9XK?qe?k?`ywZV~2T!JbYQlwc;6~n4@k*5wXIN}W8#7DPKe%q!4C+2Tkun=gL77}dD$3wPY4H_ zW1HQ=qaXTBs*aA=wP8zg3qApVnflwdLC45T%+c?tY=@n8i|z@vAwL`au?f1eXRC^@ z^WwizxCcc?B86>fv1iTlwi>OS{WAS*mt5rA+YaZ7U?O#oe0jRS_jvz500030|9Amx zQcG(SQ4~IH(wf-x9W_+ZEPOyviJ;g;h|c3qW=duzow<<)!COR0OCccI(t-uu_`pq< zZd|zXK{x8cMX4+M1MDAAP!JbFbtSm*yO&9aQ3Ho>a?W?ZbMCocfqH0`h>l)8z0d>f zMT{ZBnkPKQ>rTi8^LWter3})~Uf!qx6m0-#)HLDiQ_SEgn!*3lsg3tiMn+!NO!}7%iVY?>j^9rgky)>A+^*(` zE+9sd49)^~56vODx%TVJGTN*lk|bY}GL!~s>q+GPem(ZC9aV;a z@s&)=+N&r_)$*zxQIeN2d{-Z!=csL6KA$!s&Uiw`Z(uXr4{~ZT?MDlb-$uM-JGVJA zxJwz0DDti1iFn`$y%`SC1Ed$vuGWhYP10kU-n1+h43nH$yYy=N18^HLkzmQWM8gjv z1B|Gve$21@==M&EIzC!kqqGAND(*b(2gsL8t~}&0!x$A%$$l%{mjpbEvYeVvmW8Vs zw&SsJnndZ%!SCw;rPW>Bu9<|cg)C2V&{;bC`QATr%6gHH_fZ)#6PrJ;bmflYW1817 zw`bVQ6w>XLcumrYrITmM`Od8Z6=WuL?hrsRjsW8^;Gu@b9lg#(z-kzg1>qk600960=I0lI literal 26072 zcmV*EKx@Ag000233jqKC0001P0B!&`00011WpZ@6CZdKX>000000HR|6000000Jj4G00000 z000000N7;!000000C)lHy$`TeNq*n=2Fc^qc$c-1ge_#@(Nj!TNG1b2%g&+=nt224 zzz)plF=AFsi7nm?NQ+i)yic=8qROvQluW587vaNE32dY5w!sL-LJmaYa5CG`9lip;J;1vJNLb}<;}OA z`w52th={-yHAJx1BLJigf~VDf>y9_RH0x;=W@gcrx`%8g%QTArU1zk?u zi&Lk2XS*HSw;DVUZ8YcLw@&})Q1H{6etanStvg=n3Xk%q-|PzQq))V8)ZSpvchq0% z>h=M82%n#R>8*9$dyJqzITZBsLqUIPDCqS=L4SHE=of~9{!BM$qLeJQHpJMXt8!Hz zqz$~Iw;6axcQf#g{$}7E9nQczdK^J~_RY;s#inL|F*v-tKEBB!1XGAM(K$eOv{``e zXtDs^(P9C*qrn1nM|%b6VIst)w}y%k?|f;_IY@Cb;C-fUH20hvH0`6e3?7Ye^VzMh z?cDsTqtuqT9}G9|GWa5ShtjcAHT(5biPv_%F+JrQ>u+R#a94r-om0Kh6wnCnF>+l+ z-oS_GEIWI|A=idDh7v+eND0KMhq&wH;bxXR#}2ZXn8-T9gWE)pI*?mOVuc5ZdRev@sYu$A^u z35Ou4aFD*F<8S;h?o7$1sV{DS=?h#?1QQ*FIH)i zAfN8L<&^#_QSa|Kp2Kv@&ER&0KK92Ia0%%NVA6!7WwiQs*aT$s42LJ1ckqdc2pfBH z4(oa7hoxO%UyXt*4<0@=GgSfla{t#Dw6ZE8X&3j=bV0#0I*eGAglf`DO^NjYl*wUy zUB5QQFkV;Mg@~IFC@<}p-dO$0qaE89z0HptuuAIzFb*Uw0pl3b5-?7QTLQ+}a7(~A z5pD?>=fEui`>7>?UP=-ej%d*;&8Gw6jrIU3}z5}W0+I}QP*wtmSSmYpA^RD`~3iC zPd1Kpm3vTCR4>^xY6Ys@s${z;2kj!`*9BBIg-Z2M)VtO6>&0p~k733-82f?m5#zoP zBjif2lCZNfIEFAT7xg!WX%ery=q;GWow|%A9=_i`h3$@D&y+t8(GQpMgAb%IWwiEw znsN89=m;AeTXz)pH@?HyWThSxt8|~UzqX9d{g3+Ux?g>tr<=ZAhj`fPwpKR1^u|kH z+`6NJx)U4e?CB_FC$>9DCLBja@29q!dR?98%y&F+T2BOH9lacmEryuvS*|iIJvw!D z^3~~VT<0x4Dj!@3edhj2KO$22RP@0oq0S_W^7+VVF~nr|KJTO2sO(p!>&Q>PuE%v~ zV5}FkVE#$or*?WVzb@0~lTc?`DU;9Y>c+9MzG-^-lZR}1eOyOxR`T+zuf6>FiaCiR z_wVTMZMQpM@e~|B)=dct_948@NQfVxn~8~U)zLq} z@qR*`@1VCdVW6xCrvfE9JzW`m)cc81?DXeU#&JSN8f4M{bkI#mz~Ykybffue@00Vq zVB7OLptnQEAG?X3GD`4rO7gANxs7~RYUE?UO6B`_uQyFhz{`w8g%-Llu!jC3YYR}aAkgGb>O4^NrOYC z$3ZWQqh0vK_qxD6>2@E>S3a(c^)lAWV#N7_9pl;9Kga&L82)K~#$4*Y#?Y#H54`-O z>OZ3M*IYaQL_hPT8-M=rA?K=t)ySM*lDM&5mlJnvno=QLy7y5B&Gx;_ZaP_!V4|F!vFmfN%Uuqn19D4ok^tVf)Ebf+wcC&%>1(s z{*cPy&Xh+UgmV3RjT_WQ@^O}I$&Yi^S#UIVa9 z#Fj}vZRKKO=RVFd=cyH>a3ubM87mNk8rw`y4@WINtsU_C)88rat|cnl(m|2_A?+Yo zfr`7}_Rya>yLs8{{PV?B=h0b?=wgu6J97u8^&&7n4K0 zJlrPeZ*%?UTU{ITZnM~4?!xvVlt4_F_E(EuiV}38pWI2TNtrk z8DB~nNb0Oe4HE$3Yb*mv@m$>MAru&2d>KgUwn#1QK#I6A{nA|F{qU3+N>39V>w#!} zptBjK>|AE79<7RaF)O@j45j@Fg)9|6WG{?!PAjEju@I+lvG9fJP^cyo>-m%PXPgTB z3}Y!?(*bys839uy)RE5!E~q4DGrdkxn;&zBM=#aPfvqq7AA-ndF4I95AY(rapzjWl zPS3oGt*MKu3ZpOtnYO?Xuzvp82fKC%*mXm|esT!d=ZAp()DW=ihk*SwurJ85Wr|)h z8#VAlOvrX@4^|m-W4a6V7Bk6{K)d(LKezW6e)iKMPO8GtfC0e2B+O+UVW~Jt9Y4>f z%)vAXJiH#HH+9N8!!Jv$yfc9KL(L*ngeGm)Y42J&#FR|e)*ohkaiK5m9HK7?wsT(+ zZ0Eit*v@@Pu$}voU_19E!FKLTf^~iApUOSr9a?`k6WgXS&pHHmgh|xBIlW1p;j{9N zuP2=;_YOuOl0{maYr8%tAkf*++g!c=;AbG_Yy_2c>0|mk?VL)VU)uNfdlMA&Qs$ve zFv-P6gh>uJB203>5n+-ujtG-nb3~Zrs3XE8w=KhjYo(rmE1DyxKIK<&egP<-a-9HI zTBRc$7l5hrM|B`g7|J;LgKUSO0j3Ind>h7+)Bw|n{87@4$RCAiME)pDBl1UK8j(K= zQ-weNJjO~=o$WrVb}lTIxf6HanW3iBW^-)LRR;u*g{cmmffRNa zF3uPmfUix*-BK%Cu7q!u=Va^89&(tvMZ$0;@ zyR9Au&?eKPoE$Su|5ej!x4AUpnV-93yDsvdKCQ8(}g@RQVl41g0vx#R*s9y-1?;hyK+2I~w8KAki-z_h@^RlxaikYJfFOI?K6_ zN}E#_P&+dJIwjVUUbW?1oFA=lrIwR$(JlLhlRgTCrpzWid`D1>DH9ld2KN=O%Ko3X znV9&k5%zDSr4!7BR|5hw;q-%h)Ku-78Vr64?Nx%^ugal3+^!WX)$ zz5eSmac~rOY7?sR&K&$uQ{@86fd2EDT8E*;lv#IRs-7DAN2x^04@>IRoo}tJnC$$6 z5$eyRa-(y<3V&+E3Ph@kSZcdLRBjWsU#R~mqdrWq2tv6>zB05D_Xih1D0ge2U#Q>8 zsE_sCp^c7cR)q!vXer@nrnzHfwEr3vNKG84K$`Se=3*o7~vp?NWMI|p~r6cH075mx$?FcEs6i{&JX&yCc~)$;X6}4Hd*QQ_FUZKR>d93RIC!Kb zB{vK$GuT_}Dh8A9N+~Hn46&YI6=D7l7eufMVtj@tO(PMkLW%blM6e3?;Dg3#8i`;P z^QS*r5Wy-&7hZZx(?|rXnC#}ys1HL6SQn?(R=i=u=#!>li1qqd#ZzN{x)2syTk)0> z&pV}QB!X4Ed{uS7m!-y_yC(U_5-nCij0R~MiC`5^M!s5bL>O zh1>I@>ZoW%!74g5GvqW4BUmI>5vj^vsm2F~^Tmo7oW6g6grkwHVsO!#WdUqfF>Gcl z<`GF&F(2TL>0a~))u)JDAIOZ{0%>xnnS07}#xf4WCD{gzH8~9MUFi30%EeV3MebOY z9~5`^lO4)t9MI~X{-o5cRX-ITHThU1JaI^TTU-R{1NRhc_Fdx5FHM(!&Je!ijU;!W zKi}nE94~xZ@^!7cHosAh#Dm$bY(ugo`G9d=my#^6D`#vlTsbf4M?^71zrxDtc}0}t z%;htjepf6?6?3K;O3LYVmCwWZ9-lfI6#vot3>Kaqb!loNUkW#v&(X@~W$+w)bIRal z$8!iXq*Lw%97??17sJnnvx8&X1wVMb(;K25CmHH=9p&>m`-^;|?GJf>H%k5-Jt5|- zMJ$QAZ;rZg9DFoJ7 zckM<7n^{l7yeHRZaZ55<4cQ-;I(`$tM;rb|8Q8{RFTpm$(>mm{I%S_o+uA+~@|DT% z%b$$8|N4D)feRaL?nhi+s@rJ830OSiAfz93JD+7gWU_PftD9dQxM^n&1-{kE55kzU zIU@R#{vgaMT^ApH=xv2gtX5rWM86|h9@HL}4XDM~KwPO9a8`ER=2RI2Ld=;ZC@I%x z+F{D!JdV6Bmv5amqm9~=U_Y;yI6#_z`aTr3fzR8ANOMh5$2S+O-=+P5x~B^PJ@yCc zjGnS#hrZl?Z@-1LZSjrpalb|LxgFZrmd_77Dz+{D2L0H!!`gP^BV^la zmMQ8-Wc0?F$3xiPIGc;Pv`z!CQhA+k*QPGtr}Y^8-|_scT%V8f`2J+}KW~Tf`#|M3 zdR+V8*nZxgWBbMXcwQs+H%9lEOY0VPe0Vbbv;V#}8Xb>+-mh{$8;^hGdRdIU-*Nx* zx^FW+ptHNZTbI$BW6t9o+po^A%j@#>!$SDyEg5P`2hGbm#`Z%KkKg=kY(L*u9@p_#_=<1DAh~3&K6^Q zH!gAx%K04IuUs#=_WbqOe&znsX8ni0FY6f3KhZ?FJ|E@r;Zd_6_uo0j`-4(~681?` zzCZY=+0S?#+s|uaY(Mh|&QTjNXZ4hf<7c^EypHm^eExD&AKj{Ye(8)*oRoTJw9nUO zd{6frpG5oJkWSFqwnu#bb4_?Q?WA~ZV?XaX_BVP^%%yb;+y9Ib=TVIh_^0{&qwJsP z`?7rOu+C4S{g7|U^`Un1(eEAy`(2cL*ly-AwqF{{^ZtkZZp#)=s(cc!mmb&tH^_dE zdwdxr-=r*fSmWT6YQIShzlSB`6DuF~Q;d0{rbv}-4Z8SCSQ$SJn#Pfh+JoUD*~KT{7GhW z&|9tdVc`ETKc435Jdqy?S8zbRm%-a;rLH%I`?r^s_uoxcMhTrA5)d$JD9Cipy+KM> z=*acCCBX6B;~VcsJD{(p-H$YR8FIKQVtG%HBey&Hgcq>Y**(XJ+~^e8lo#Z~?pBw> z?GASL+=Im@bbUDZ*>YDzo!2$GNa=YQysn{Vx`t*hgX!AUWxh10_9lC7_p8ziJW^k# zGZi}-b9!lC$z@MvXrr^6fsd#&uNUy8I7h7)bXKMpvu!Q|{%rc}D*KIx#kgd$L-P%1 zzkb=_vP^c$o!zrO*2E|3WCw4R>>kcrigp$wDMvSXe^2|$Z$IQC?LO_&DV05sAs5t- zz(?QDb!~nP&79BsNq^6INqs4=tKt*+;FAQsGCr*?$C>h(%V2z#$M0 z$YIp~SJK#%jyZP)V|CE`7V-6Gj@+NSztpV= zV<#Ni{)p#xVuGK(ef!;?nVEl9nTd&yTe-XPs0ky8S_aO~OYi;i&+Yw%pI!T0KygGI z1Za1HgE|yQ>`}ZBF#KK#2Gcc6U>B0{n#u50RrS6G5c0+VXTbObJBc5KA%Wthcix#3 z2QRyV_y<&g844gxiv|vNZ%%I#G}5LCXq#W&lw9@DKdjbYPqa5kcd$J>{qjx`2Jw;- z#g(xggwKutH-sWroar4I%6P|mTVI=gZTsdOV&bR7KpFqJ^Sq{K)U{s6Y8|Ue z;NkFu4iYhr#L8v+SAYIF_q+721TT0oIVk)QarZ5WifMC72b|qggaLEjFTlJN@0?O5 zO#qununaKllB`n|UF&yMQ|4L*ZKTZviEcr1D~0b1K^b*^lFi&^0~nOd?upy{d{C-y;QP*$E&xU0DGvtTbDI^a&6#iE zHvfJ`yS>}|qPQ#*zKjXu9_{*2^NMmE*+(tk-GO*7)ckXGWx@9nQW)3!m9N$NPxZij z#(>WxHs|qVYEA6K=6^5KyMY`1TH1egIGg`SMmx8;ML2jM^@ob#Z2mJIZSOC#FBLIl z|4_usWXEH6PBB2-&$w@@IXAlePZcJIG-8&K?sc{I)u7H-uLaIbZ%o(}Ctlke!UVq} z3AdJ-a2|Wgy9O4{O`%7iwg0k2YcPh^IBK=_J%L|4HQ~Fb@{bx+IJW}+CjzRoSH7}C zq&OGo;^V#0GL)14FD2?m%`0@=laJM^d&;~|jY+A->O96U+O2epCl-**%7U#x#%J#x4?4J(9_N|gI*tky~sBw}yg$-}2x&T~9*_mqFH;nB% z-(FZJ`G+M=(%8;lek2uEXJ_YOG)Pn0C98lb_e0(PSJE?Vq^g4M1@11Sd%$DL#qnlg zwO!XIt0+@Gf(cO<(mgL(utBy;=rQFam^6tcJ%ug0omnAn`qAyLYQ^x4qW#LSwAmhE z`_h!&Wn(#w*Os?4(_qH6*+9cKmT`Y=EU*1!{m$~&1eWhC8|u2*wHukT@wDCSx(>Li z?W#d12w< zv^_eX)_H@@JXH6L&H{DF-qm$n19QQqei>^Ys8E1|S>w4Bw0H5(A3mH??@ocp-&OhY zl5%S&&vv`vTyONMoToJV_Son#z|x2?)+K`KQx-iOFYtdOO6r;!TXAKJUa! z0mKV%7HJmi>SXYg?QevOxyP}NPUbZ<71%%PY#gN`$3c%^F_Y@EX`KUeSiJiH_d)PM zZ(mA$B8QDAAEqw%v(&Z^-BW^I_M$&ne~5ghaAp4Kx#n+_0h}pZmwtOhIP!iwEgM*W z$onm~l1S9&e6D0(6O!j;c-il3Jp;-~hpJ!pbAi`3vM<;0LL3Ee`V?!f&s@G#*Q2(> zGmhS)FHO16r?RJd0d6V>GwS>VJJLg%RG+!*2h_lD=KiuR83S@&t_d#**qoOmif4w?!?_@oL|_Nn z2j@%uUk?}K{4<&-8BRa%@i=pR`X`Meoau$WnEQ*>4boXI-}2}peKtyE$aTFksmyiF zOxUVNrL)_K&T<*nBr;57{cpK3qVuSDVV-OD%X!(A@Z#xt29jb|ki#Wx_SxY=pjYOf zb@VpMt=7mECw1iXZiKN9x`X*F_fHQO{qsx>pEHR9a(XjDAL9Z2y_{a&ALs|ft@Aqa z{&1#GK94hDLs0$w-4%vExqPQ;0Pow8NCaw`1HCaPAnHM#%oURkSg$% zGJiST$7h{hBE5^6his7y;LPb=77C-6uj7nI&gq*IuyIAPb+sqoXTNKGdhwVN_FX~l z*XP1qv5e1437R+!4Dx|H zm+xwye4a6i=aaE9hqH%k%k+7nhThGN^mvQId@1*{^ELGD)zCXsBj4TNXJzu8tD*N{ zSm(^EPqeY$ZVirTzKq`4K#wO?b3068r;7f!CzOLThr3xL-=-nvM3;Ntxq0eJA@>#qtlka4p$9yuk1N5rm=cyWcHjdTNyHY`KM}>Un z`sj&qCF0pB=@VL{y#FDet^K`xF)lIhWQ;50^HM_3&m$u}N2vEFcH)?`%2*O}j&np=QZET`-8!$NtoMsUGrAq<*;sCEx3F?WM$aXL3io8iW^85b(l``w}Ch4 zRs{Njr}S+o2N&iATU3|Yk2>tck=t*n%AiH^XIlBhbrqaKguBy0%Y}l=W#1iS$BwB! z(e;O64+&m!eG;!I&t?CF%617Sx+LZFh-bi*(YsYc@3LTwUXF81LNB!+ys!_ZQ+W3s z&3hlDF117c=KMO^)HqZ2m=ZM_h!&FwKqO}9Tfaq zL+k~SsLy4vJso67*Et(>&9C&DjdERsi^MsNFUY4hQi_ksX zUm<%PFUY_e&-2kw&(?T3J!B)+0Z+>Lw6$6e$J}L7?Tub4`zn?12{QP4sQAQnl|JEt zb)4bMd9igI^{HPE;$^l2FW8SY9<<7Q$<}x|FThplMd%*Rci;tltpBQbvGrfh3%*>% z%MI~ytRpY14Xb#uwP9OcPIcskb>pacIoE*~eAm{L@RRlj;vfCp$ChFpcDU4m9)5<- z@Xd{&biK-D+^@h?^|3>0V*1MYJSu#T3LN9+iNLFu3eJ3TeKW|O%W$(shSdUJqr=01 zE8=9_yH$bn$^e|$7o6U{MV$8nPPwVZiC9;M6ZvR~ZNZt&uMY^1t+}9?t`VS7wdUgG z`-^H140uzV%o%LWMSVJFhZpw5;RCo-hWolQx$`>sZ(5i0VrwAaQ~9{AS_4f=zDt2- znS8ThUFGzdeDw&~tJXQpD=#NFz3g!gwp=vn_t4AdV76X?=X9*X&#vg8Ji_Th30|gz zlk$8{3*1#52AA$L0C!9WaMb7X>+^C9>fqJ$5@j$usY;0}KaXVYc1_11dgwE~-;bZ! zIsn*w9Wa@!ozi=l$Y4GOT$eiF{W{Lehws{)9gJRQUOwpAoV|>m$sKWmLGw}_%)Kcm z<#tHt-szf>7{UCy3ND>rr*K$=Idm0VI)_f-kexX+aM(WWx8US{hnKrwhIF2s;yf-m z=E;ULm;H?D&CgOe=rO0Qf;$o5yo~W{bJ$4R1pb5p%8F`!+3o;<^e>-K#f;Tnsqmo6&)M-sdBq z=(38>4WWG*+|>nugHL!dJEY_EEh%l#JVc<*9_jdZTYO#&<={?2~V$>YB_$;3u8i9Fh#oL&|WTMAv~9I`gvoF^)Y5 z<{>6u**pXY>Qg&3nTJ%tT@CmmFSPV~NXLBE(aYEG%tNToc_E%%(?MUzac+>9DbCbJ zH-wM51__+$ymgJ>m}^*F>M!_&?{^+9t;6Sy4{)Y+lvlx}IDH#Rd?M#@*|}K97)Q%8 zxGr_bz}OGXT%SttHDS5k$O z@tsr#$M{|bhYacXp2|Rb#&=Q~&QldQ(P28ir#O*;@tstLlkvTbPjIH=dybRwom7VN zQVmWU-{~7wIN5*8>ll;D_(Y$K?<<7wUIvFxFus?;?NZxQ!dd1^-~_e|E-lYxXa1bl zr!s62J;S#caOh%2GLUk5PuKAIKybcKE)3i%(N6VZx)#}ocl0NXt;ckoj_+w~Wv+1} z*%L_NYz#NqQ@8^vWG}&Nk@8};8@4Xx<+SLfV|dC7AN#vdA;V$m$@UB2CxtsMy_`zm zybMmU6Zu%|>s)Ae_pj~?7xv9#GGj62Wp#{=l$Xtu&#U~54iY$0eV)}7dkL&Nb9(5y ziXJh-a3Xt(bC1NVit~oEj8Cg8laKgc6_aVdQ8cUIs^Tu~x7EN^#a7^URlu1HZROjI zzevVW#yZyL@PmDF+wKrOE6?q>5ODV;+l=@qvyth{>e9YRe7PF#7qi!u_OT1XNqjLm zQXiaFTlSa?XIf`EDT8ZMm-5M6zAA<+6(7V9qnE-Rk&UWi2(lAH49?3S0HrjBxB_SC zVhkz5`BoM~%IYkJl+~>i**ig>kzUN9XW)E7i!nzXhfn==b#P^M*tIGa;3LF>D!8gx z035NP3a%;^07on+gG16mdMSe=ww{uVSCK{M)OT$x1}^W@=DSx^M@&!Q&WT5>&*7M7 zf$y>o)&p(f@cnHq;LfYqzA@ zodAEJq7GZkR@9wT-JI%DK5y!pSU@_V`jpS7bzKz;j>$&E0#YvD5y{>k3w&Ga)95X? zt<`}qudD0t(`tWRG-=}#nuC>W0_Rhd zfx1&chMX7E3+KF!{tmt}Ug~sRS7-FfY=q93M?*K~i9Ih zl+mk`y{@i~p6R8G9(!bzm({h=i~m(YAyWFR4#VjR;@2`<9r~i)rx2uc0o+2@ZBKp~ zs!lJ=@lA%@m)hth?eEaLFaHF0j?-vy&g+JySB7&;uf5Nk{k|FWDK}KY^L$GE#h1jo z)ISH+{eb*GpmZmCKmNY|-}=wwC>%}L`;aA{9DYsJdMdt$;yVL4D5{QUG)*9^*l}0O zgnOdHHaJuD)2ch6gJFEN4p{J0wD`e)#OJ$A1HSnGzD9(q?=r2>vK-XB*+(+zc>eZv zzWA@5b$!A+%EnFGGMV7TWZ}##2&Czu%fgQp=$AU4qs%8rzF2ZlyBZ#o)I`Jg#C6J1 z8P{nUB(?+^bSe@&NyF>nJJ`6!i^-wv#D617WeUC`lv7eZn2*y?$rPM?v6`j z#&zCHa=N3!23C80mplo*BtM1ns#C42aequVydAm1y}=?uq+%*dbn}A|vH*^1Zwm!f znZS@rz`Lk+O{Uu0685I(pxWg+Otl+C(o{QJuG$ysRm+N}%CmrdkW9&h?;{Ku;vBll&&c_qxLPN20snfO-MhLV;2R_&!x(P)lZ9!0+h- zu)8uc^(H{lZ@O5=b`~JP!CNZVMF;CF(}8v9s;PD6%dPY2dh6ieRo0mqY@O}0&Q}6q z@#7NufoTl9P6zri3z>e1SniX)Kdjm^)7}u$6p%bA>3Ccoa_p1yDYeZZ1$V$!hB~7? zq-_XDW*5$f6qE>|*k-AYGTR&rD$0V=`g+@ZyRetpW=?iNxd@jl7LKMj@!L@LhP4V@ zO3iXZpk>fKvusvvZkBZlU^!WkkP@>{^tWov@?kKG-{~mrGQT14oH`5X52fmqZKIxq z3mlSk)cuVUf+j=pHK{1I>>AA~E{Vo^9Z%~hv+SvI%YLffvVY%k7ymTj4%L?(M}jvn z9m6;6(B;I*j60-bD)xLmd;fvJ${df4n%o1dS4GGBS863Xy@cbz6p(inYGEvQ+n(cn zH?>K!4!u$Vb};D-*oAGNU~ZwI|F)DSCVQgu*-YpnI(KR8On#dV26T9;J5p6y?D&Xa zHh<--d%wK)g$Zc5gqmJV4hnx!N0}85iplQEfc@^!<_D=@{T}o^PL;^ulMUEFr;uiD zqE8s_DY~Nrg;+8lYUTxhEwdx~=1GhLa%+TNrX#mm%9Pm&rktHVQ*Wl7sZf#t=LgW6v2 z;X;X^gtS|v5;)$a;~80@N*ZQgYjjwbXsapO*Luz;Ba3An{4)YB^PH89Jg2IYAq`yF z7s|+!l1|2@kKi%fp)fXz&7AXcEfmK!b@LkbaSe{!8pqox3l{iLf=)eC$1wP*dM#ZQ zP??s}FvwjSkoSiolb%|V#S%m_`{B8y=Flj`pwTy}IW+1tXfTobp;t(zv~Qy@^JFj> zmedePYeTcev8d?h>J|O_0xnbZ)x;gE+5JMst_`2YDreZY4%ej9)r<4#dU5`7g*b;B ziBsh_rgiG8l`$Oj_X&4u`C(?4<{guPUwGz#!!0^U<|%Y-W|sr@q5iQH=-|R1x)j#5 z1Bb&2>kd`-$g~d&R0#4I3SqMgMc3c7978;a* zzo4poF?m~5K?^85AV8BRv6#$$Wcr_}2~;hm9Bh!k0D3S~mT(~GK8J_;bs6JY0M{bU zwJ8DrxRDKKsBaV~C`^dlu@de7Q3Z9>w@bKSzJ@wOW3Ijrq`u4I08pcxsxR5PQ;Uhe zt|M0;uG3He!wf1CsB-YLp;3wY%D|C5rOr%_R094lOVpQxn+{6An^3O-|8+4XMfcn% zrJUx?57wwLf>`uIPdoR0dEeXby{q8Jl}o7b#pIyy6_Lq1c}fCFYB(KI&@%Ac$StXl z82pNQDyhWCJ6s=$R)SG1GOdGA?X2oK!%=!BKdEDNs7pCE_iTDj&12Rl3b-Zc^Yug5 zuc}>c`Z@7zw86bb2dPB--&Ipz>Hn5>(8aagkbaxmA=PV%?EiygPdg~n1v4||P<7&4wz<431M!l+nKFeDm&#d<*h_qs?&t2bMX7Y9Xf*7Y}fmJ;pl8AZMV85 z3g;WC)fsm$Y6f~u)XM|3bVqR>N!(4TMPQELn3>GLpEkA)mdKfj% zR=z6a&z1O673X<5CcQ6uB;&ppiw7(_e^dPD{oC*lTt+-E%4sxe;;$ySosC%48R52N z{u)d(;I_gqRfxu#*p?A<=uD|3cs#4(d?sqK2d21vO$sOHOMW8%nfYg3&Hv>Xa9Q_< z=!KYw{*1tY%+)YaJA zf^XL$bWY_V-%;zRA*4F3|F4|VcP+p;fiAAolGA#k;?~tvlpZA6kt>!)fctMAm zc2{eH19t3p#(v}|Hi^%<#Q8PaF@`xqf;TrIV1p0#WIi$Aw)CC=1}Mqgenxq73G0k0 zNh@0w+Rc>cEoZyxy3C+Gw_jgx&)*W=s<9I_rMN9Xd(}9wy8xl*i(HHe&-2so2_*bcoegA;!dV!jN{753nTJ#I}?=FCV z+eFcX;|z&A*}WbUaKN)n#<(!JGz$Ii5GKgm0S((N%X`;=2jLciy~%_%Sgd1jz$1_g z!5(Wl)7${|)(v<(_{SBSmh^nndoL)>doQW)>(V{Z%b8fdbJJVT{lxsUT)GLS%Y;Al z<`kYhi8T}{z^^rUp)X7c8p5v|68@7z!aqMG{HF|_7yD#_o(@LQxzKrf;DW1BzEak? zboYgor%S}C7n6g+h49{#HS*A?==2tt3 z;#;!*^Nr9ab0?E=v29efFx!xI_qZ?{@Jw8?OqWR`|Q0RWKew*+a z!I%1gzowc^Zj@a=cM`W_8zDo9&*k!!Gw84$d)_dUlo zj6H>2Rw|P47!=E`>pHK|`KHdRbY>$BU$e87bK{*r%~_`k4f3pFnQ?ixAlFkVYp2BI zX-U3E67$R+9~;`D^F^Kc0nBZx=k^5eAw47G-j~8|2=i)Td+@b7))2UiwRM7Q#M(NM zG?w^o%^9)8d*f{Mo)Ak1RR2IMEfGuiPm5rI3ktkJ*9SOZc73Vx%aeuJ(T z=^bjxXVh2g%nKE4Sw5rk13I73`MOwJBi62nwGEqVrN|kqhZ|VouT$U!Zyt-js?|X|;b)G<9%*vr7v^9?vITi<|?Z+b)^e40KQ~ z05|9*KlmmPWz=s#fl5VA!ItWxP2RBe^yYK{M&8~u{+gcACQep5juegHn3fR$;kcn= zI2G_HQybB(ZJ!D#aIQ1c=Q`ZYofX%UvbDh8z%V($nGG{% z!_YGj1&+HrqFdA{4!B(0r7lG4A_ufOEJtcaWtEy2so;aF23W42Dp030swoHcvJ#-+ zJD-Q#`?I2xLbo)^9T#bkaA2IA^rXsfsT|d9R33Ls+o;H?q2COL8HZK-C7RHCo|4y0 z+z?~X;h=&RJy_SrPzd2Z<;rG5L=(!3isx!l$888GaXn+1r?@&I9n~xH8Szu5$jjn4 zm$y`rhr~BbpsX%uaM_F($y-bx~QZvvFl-268$! zXUdpZSsmwGEx7d>9i|p#`+XlbT&DeDLxg@&3D&$D2q{}3^14t{><=p?@-E2;&RYQo zd1HjL(X;b28q!FpX2voL)F1dVVXiE$SBP)phcj%H`p~o#HmS;6YSiTnVg&8^00l0d z@OTicJDq%659wVe&BUo6IKYgKlx6syQO-Dg|U<8LOG!8&%B zwK#Lkig6OyqDWvH{ctcTtYyZ45~(N=Ha{!2jdMH0HHzYFP?2+>E_F5r9F`fu${HF2 zAx~$l_p?f?^BJqws^=#ZxDE zM#B_e8lf&rAdt`~C0Ukdv}59!*6Gp-(9hcHzEuNWiieyIIBq30Q)Ugn@kA6Qq0Sl0 zEKtb5C2f`|bV<@zYZZDdC={hi);^_9syr3kgtfED*RN21=dFyj>87l0Trk$qNEvJU zn+}vK7M(S;W;*AL-b;JPnnh=ALsQnaEIMnGO<6m*=&apTZ);@GGmFj|L9Y>OHx`UF zLQz={J=B!7r?n0urj0(X@x%h5Jx*7j)z!9)u{qICb-2)Zv5*ZoaCJ||LQ}58FY*<` zEe|U6Yw_&ADSFX$BWms=d(R85vRSS;<<_3kz4N3 z2S$r^yJd4K{F1#`$JH=C;RzOTuFx8Va+Z3JgP+y)2cAmGeUL{oV$y6aBy7vQYrD?- z=Kb)D4!fm~yIznW)eAb@QE={}UQ*x9^@zsZ_Qk9eF7+31 zZqaHR7*cA7oL;W$E$s6vO}f9ue#_M`v7oLWEkqq6)XARfnkRH(q7#?LWIuLz^`cLE zRTbDedbzIIDg)+8)%Cn?VIdzW{VjOZjPDXVxt;2N@3xt)d0NU+Q(fa*TLRD-r}JoN zavYDFUwf|0b(Y40C}~hYGW!_UnNB!IZN!|_Q{r8j+!`x(=h&LuiKH&~PkztIs`LKv zK!N0@d~;BLc=(WU)1x8wED3W4@a8y|=q@N*I*gmY?ciwTcW3O8QLb~irv<0s!x72h z(lIB8vp&r{vhW*N5Gkah&I(ln?ciHS3{2cRKPX};w=Ey`!k1R5fycRcvB&cneV#7>SMkZylRRlKsRp^u=4#-M z3l3c$`v%B2IQDpH7sIa~Jzl)22g!as#6k9nQyE;0l=>LompCN+@xEJ*vl%^a5&Ii4 z#oRZtu1-GBS2>>xA$vJ5d7r+M>{;cp=a^9NnL{|w<5?PabGw@ba*4}j&s!OEY#nn? zPF+3*9IAo>2L+b#%Jssd*^E_FYOvURrhTQ2d|lykz3}^{ReG71UT#V+W%H$@HE@S& z{OouQ++2WzpTTpRg@}<}6N_PouJ#)aP6}2+zG=-rS@-)CB_{WQn%(zJbycimkEIHZ z_a2E6J^|-#l*Xi3m*@O-?w>ps>uZ=T#J!-%m9NeBf({2i+vCo$H8~CyKUViwayu*u ze-b z$dK#octq3ZFZ_;~{+HV5L=D_*4IFQ=TFUu6Py=_W29CF5;d6%&=kulGD(CIm58VDR zT8v|k@!`+Z`EEt7m|{uHS&LW_bKl6iI{7?b<$Nxl?78nQ!+}G}eS+UOd`1C}zLNXy z*&3e!4wSn};Lg;*?FqibyrB)f-8FFMYUu3>aFe1pIKHTn(x~j0+u?i-pVQ*g_Rn&? zT&RKDQKOfOHE`Q%;CS8KQtmI@-_(ESFT?56Qz+N<;JU=61FI2J%$XFiB<8-6bsmD^xe4cbx&jaUm)HA=C)}OqNxjt~09DLLiIfL6>LXUL-=|T;?Z9RIv zaho+l&Znnle~WxtsXq@>S7#$H1K5|UGe9fOH9Q>txk}CW7K~5B@RDN~9myD%uN!yOtQ*tkg6h_d9;c5JkM~#= z{o3d3FJ4|3BO{zWcNk-2tg~@on7X`AUrYM**bZLT_}~eRRqT^pa6=J);yC78+27{2 zH3)xph+Q`3tVJw|xyP}ttIq1+ydJ(e<3!gOSr>a2Ti1hmDYtDMocD>xyRSTcGVrmd zn4WnUE`9+F)I1G zu5%tQxY$?H`zyH&>&-mw95qaCRYe{BcD?Jb)_~Sy+eYoBdhz+u)4na!jCKC{x@11)!9AvzpnP1 zG}m-zI3L^Z8)3go9p_nm3O1&({m{kY+0Sc!OfPz1s{OHE#(K$n$k->oIej9o1Wo?v z2{AVTL?yf0t{cbCJYtM}V(b%RpLlX(WL(2qPtUDATG_|z+tKPXx0YEkQi-{7-8hzy z{bk%AEDmsv+K4%;k0mj;&~u)e@K zY9r>XK9mdXqXX3x;<>|KdcE&ndlo z#XIGsoKL=Q37^2{d`{|pF6wBL+8sXOjDvLJeS70*Vgg?7eE9I;L07|{VjWl0p)P&b z`G-`tU%bzSI(E6C_l(2f5V&t~ zY}38SV-g;m-19*KdARpM>TU(rmMQQ4@iuaT@>2L6Bh+TLHlQ@)o`pp=47zx?^lfjSzF@yX{6+yuBEv}dBj!Ol&u zf#MVvERmg{0!2QaqLcegJI)``v2)W~pZ&x~dgq-v zq4UP}W9o>!b@uI7B=+wIt*X=|&>4${!iKdH6x)U3MWK*iJ`5O~fs6w~ zl}f{iKtlPOzw*_+Uw&?a-vV|C@-HR_g@02=-Xmd+5f!`_vK|>6W4$@XuZMOzxdaV< z?-$<8xpr4OhAH0zd%xo0Zot>;pk5p}@l8XCUzLGh58%X`0pR=8Bu%M(=rDj!h6Y9* z<@k8=f^xZ2Td;QXpJ8FZ?(^P!DFmssk35$RM{7*2S0FHlv36uz*Bv#4&|mE`e-tC(tIyX zsDFy9)8gj_B}!b+SjN?YnuA1b|F4`*t2ljv{HpL>NWO%BKbh@(Eudu1zm>cK1FQ?VkFl|AmKgf63w2 z!9qyhCnDSx^#|j{^BL(Gj6WA;NbAy76+U?1oXIr`1H1AR7pjsKNnYpWa|j&F$)w-r zeREw+-@K>J2bTK|RZ#|8*`lBP&0NKFbC*Is8u*{t72IAG~Z zgzv?Kn=GMsQe~EMeXdUQS?6c@m#U`}2a#>Jj{M8tMvHR}hh7d#FOg5*x?G>W4j7K2 zKHI@D2hHtp%A;_9bG=L^ddXv}O~5=jocV+d_oWy57_0w$N5{qh`c1lX!5rP}gj1Ayk?-7oiFSGNwPAYN!hh8@7U~b{# zpzrVOln^J-^*Pn~T@)WDsJkmb?6XQoYIkf4oK9i?E9#oM^HeBJ>!|W2cuH}aFX1nK zE)sFFBSCxW;3vnqE|epqaYPOou7$63<2Nb2+maW*1}CWuC*{zMts+iJsUs#ZZMQ@o z9y#z|G`(DBoeERo*LTi8JMllNHeD2h5J1lRL*9^q$Y%VuIEMM1`@X#I?f2fDTh|lC zEka&Yl^x1X{1eB6w>#Hp_pHk3YmUb-1X>Hi$n4HH*>j;tt(*wTx}@K-bzH&$+%`SH z<<0Bo(7H_4lIt9;|3tLPR0<8W0glUoA6p>62ODhfe)TOQ)(2jfWbbYWf*#k zn=|6CY=vQf_MJ13kkC|EB2dKENt#f!*_8U(>IDj5M=FF*Q5+2@Qq~9hlW8yYCprN{ z%lv6=y+0xKw>U+DAnxO(eBRBB)Kl>bQn3f~f6MGcrzZ6Y`Oi%8zP@zHePbBPs{-Oa z%BtDxZ~~O>la8-eIGpo+D4s%aHS#(gW^df-|pU zbc`udA$KHPGH|5&%;|YPqdu=_)HIy=D(6rnp>BXPmdlsJ89vTAz4;K)sLSC#Y)B7S z{CjqK^G-huP@=R%dzV-Kh5w;@U&3F{bi6L+R!ZTkM0i(Fyl-6(gHq3{iNSb`W0*m@ z?xHuQnX|Ibso*$%)zhzQeuhH3rEfiF`~13Ire@1@Howx!N6uaiyEcKf`HsN~jZ#1C zM^w7ANOn%Hgs2TcQ>sfupatlcT=!E z=nLH${!9Ye)SH|azx3AH)Qho96YCgk>Q8OyrViB<)-52WUuKyT63eqD9DB%@5=iDv zjUiv|4C$9Ufm`*~#xytm==N8mocG&7a)ULbHgYES)CJ9Kxrv6166b3YahoE`rIuq{ z3ghwh$G?;ge2rn6>A?B^mbBM=R@zG~E9WX5t!T65<PG&m16%?& zb@N!yRd}qr5?f2fgN<%gY+8HHu*`aK7o&ME^>YZd*I(KCoF1SE3CO8``S9V*f8*z= z9;P3X;KzJ$za{`F?0N}$O#Rf#%7$=sv2)*hTi%?@fX{2jy^R6Bm--1Oz-tSXPpj>E zXF_`(tsmHF_obZdMy(H-wvaUIDRly0>;IZ|mxpz%Htb4aj9QV;YyV158-hZ~pQ`y> zSCH_G2<;A#9=;m#lLbibpX_f4`FsKLm>@q4WHj5amGe^t$PcB;_)C58Tg~nI0^|ch zJ}5LUTsYB9x3Qlf-aT<>VtSZr$QKGU?~7*q{fl~TKU095(TeUwVMW_d6Q7=z-)WxV zxYr-p+#%3&O+kxZbXz0Z3YLgURg(Hz{}*yA|1d7qn)>-_HMGd%G4)Fa8?$e2p7Zry zwA0_I;V(R%;Vse+XYDz!(m}*J@$FpMhE6>UcxM!=_JlQcl^zHg(=TnG-uII`H@^yU z62No%W5UUEN}byUx~;G6d}Dg5ARx@%h1KX3X=M6bNkdf_2wSgPN8!*T9=R*Y**WCRXOlP@Z zR>|Spz}%HrPPK%&DwqQ;VfXqwVE6($leVJy-|K-`mQwx&zE|J<2(VjvKTzxr@Ucz4iVd4~C@Y zy!?ePe4#G{Sp@Qm=vRjyC%0#T@0P%fS=mm`+Yc#cc8`{u%^`F3=V3{ZU;ennf+fZ z#_tOcf-%|ROcQE6B{&E&r?$KWwK$i7+B%VHOYK^Nd5OsuCtXlum%S~uBMqpf`hX6; zf4AxSizww1J8d7{klnZstmHk||MeyQZ(M&B{e-P`ldh^~N7$m(pOFQZhqc>axav@J}4NsES3tpfS#&bQV^^+1XzKR8I5 zmRCYTGl~i1q=vy;Ve#)54*mKuno+YrUe!EjeOPN$jWQ-@5pqU%D9#3&Rb!gbEOL88 zG*>sIS=7)G(LB||r^uLDbpC_pDcG3RHVke1|F}9|QY6D3B~}8{CnP-w95u7+CAH zMaV4*AvZ%u89=hg>+*lCJ+=WP(O!7;#>u2Vu+M~QRTv%y&@7r{N;Izxpa}tOb{2yr zLm3CDYP}K^TlBka3IUe_MIUVYErP+2vPkmC$IXV-djWxDv3p&YEzbv%G$?a*99mn! zu2z3&hIoet>^%f}#SpSzN@Wk8r5dUYMaV&FL`Y*)g50#lnZox+H{*yft(58PkT9>KCOF{ld8 z3G8-*g$~Ej(7U{d{enVcdh_Qt?=V0L=I&@L37Z)j1WP4Nh!!@5E@>9>AOtQSO!|pZ zjj-9UdE)=o+#u*lR7=SMy7jf`*R~fqJ|?h}f#a$!)}vZrc7w>C3uMK4W#4n2T;zCO(jIFpZBbLaWp=5lv_<>y z9{S#qowTM?e-AbE?y=9XaB41kSzHFK42&*_$+z+9?Y#-uP8)WIfIU@*R}KMtobdsNMe z@>G~#R}D5P4E=as!{XIYSYcf@CV(w-eGx+`b@G}{oA@O3p^*r0wDo(z$=;1{2Db?HwOQfnzC6Cqry`V0?=7ZSft9Fu7m@5srOkp-i zh*c_RqYZ8@HPJ>&XGI4(A9SFzv;&<79q90wW?Q{IEzfJKw-2Snwse+upmVPSo!f$G zE6=hHyge;lPl?tN9Wkk}Ceg#&!Z5#V3o|cy+QPUgi}-6J&rL1CCR@U6(=gr^=3obT zmUOTw{j@D_$0dJTn3ElNo9RGjvO^5H(Lo27JJ6ZzK<9w^LR(#*?Vz^<;%$W%*7w8L z^}a(m294nEu8>+Sacw6iwD~k})bHXuhdcPrVe!;fZznp?ne9O5YzI2b{@TiOxdWZ4 z4s`BxfLYmrw;dhmTjl5JQ;J-_VxARmr7nc*#_VYSI$bozdj(24{NPpxKVS!@EpNQt+!m(Gy8b~2 z9q^2QTi%v+prc{25gqPRy}onjdwb`4cX#4OJz1JD(g4U?B490EZZT?)g ziD!}_9jWSWkgxTB4QAPQ`|G9}y!v}l>mG8jWE<4bEY%bS{@TE>sdB9Y%v=YU+q&V? zhBsunpbd{UFvxRHZeU*2ZHHuN%iBqP*0&7|yzzm|w)R;n`|JqzY1-#LOzfio(a1h* zgcH3;P2vIaoY7%AXi8^U2R}F|BN-j|D~oi#-2cU6*BE!h)|uSl<`W^?ZXjARMaj2OV(J!Fcn7*Otz`4mRC2rZdq+Z_7IP!L|!nB(fQZD9_I zud9;xZg>WuY8wW#>NN#6=B76(?-GJ>MLaKjTXdK_9(_F>U{KB=7<_7a-~Q=+UwOx))jJQ$G_jXGe*H?~kKC7N0&BE5 zpYPxwM+C>ag7#E9VhmFKMYVW3S(3_X1E(u52xhtVac;V{%}nf`L{8{5HE|Pjp<6vo z`sTFGF7fbO6NQ_x(vK{B(7{;S8qzcVIPcf7S{C9JSgxBG>jE%|5}O!{&z3dO1w2@4 z+D}Y>uO`lZOGug+&1i9+(b0Bq^{(VTy?jkX^o{m6kdfH^8dwxP3Hh*=M>?)^+3l%FQFwo)Yn#F)Q z)pG8FJZ<9+Fc*`3o+fbz9bE08gT>M{Iyj18y)@WIXOnx@9&9iSZ_l^!1N<7^ZmO@w zR8I#nc57b6z8Wx1<0vojoeD5I4V)(;2Y$@~9WPfsZ?r+Cb)i{PI_K1om;fDoV$hfc zotrug2DmZIH>VDGVRA|EohIvgV%5!L?%yQ7(dP~)<0+aR5*z$mNKZ#Q4={~i;}2g(kTErnqp?6~BywV0>S zPX|5gCwDgQSi64vlp&x~gTM%i{=kI~6N%kwXD}XDKS5va|DuSS9bgcuEuHz6FdN0& zZ5@N?@P?IpK&1GwA^h>|0PytZNGH|ym>fu_kRa^U2QK1YOw{?N)WK>@5e}1J%9tV? zIn*B$B|fdwX;;YmbF2d&SNO4SQmpHz=Uc%iG0W1gy&tLb()6KFf!n2=K_{j6!2M3O z*9+yu>X_JZ@N9t{-?sGq$hA1Q}N!^t?EI)L%w%O@t%pP{`bKBGhv6F>Ip zNZlKPepdOIIg#Ib143$_qHFbWNStqaiNxlEUS@)X7i>m(ojRV2@^15(Vn$ z+0S#qwcSfM3GzC#5%noOB%O>v%0=0+t?6@*YeSu9&h}%?ulQj2mP4=sjHaWcy zR0ka8p5JGb^9k8^>!2iuOZ8F)cTO;Km-CtW5_{O_#dMy^&KwKbsavfh z^`!?vcFwnTq;)2H8Jx*p1&8cy;bsJb>}7E9hK-EgFDw7`Fv2M7?7m8E&^0~w=`dDW zXyIYSx8MDlnfYgxnV9&wfX-d$52zsxdO+rNko>ls{{gMp_#=>p)i?6scnF^BsD}yz zN22&kkZqHw{f}%p0H`3ipTSqRxz!CAj|gAv7KYy!Ti)vZW?P|_^@{(^ z-t#D4bt&`51&z$mBN_c3^WxL#+gBGQ$7%qt43({b&2XmqKHz^(QdJ2}r>GbDU(^VV zGr%`kNPVQ~MOCEHR6XfBeP0l(AQNyC){~g*^y|ho;04FG6pF~8_9ZT%~^(k9**|q z8l@dhBtW`8rG2(UX-66>?Kf&jPY)t3*n-Z|!N>*!bJae+1=|OFP-QskfkBkZfa#t9 zvz#%TE8DNJ!pCORARWVO?o-J&eI-pPaO!Qe>62NTc6~C#G6&(XmhGV^=gyz9o%U`q z(a$!G)06@alvxilSIRsPcqq#GBr#<>4~2cE!W3yrfd{hf-ztjWt$>H39BKL-gDrE@ zqWyCcMJU8OFSxcac=7cDs=;)CfnH35VVLK3;mzhNp4MPGU3gn880LOmbTAbat=wQ8 zobEtpgD|({&DPbCy}`V7fno02mbWf2GaYo$zZw{r-KgW7D=9y9e88!wyjN% zE`U7gKG=Hkggs-591@3Ye&Oq59kH-!UAjlj?171orKcvCE_nZm*sV5BC5o|kVj{iQ zlk<|&qb`l7b@U!SWQpJ>0mHzhlk7TrZDe44G_81?{wmj*$LZ?^>7^fMxgAnICxx26 zY`mlqG^h6~??>AfeGF*oJeuPEyVZGK%He2}kH?f$`%7J2Zuc#q3aQS1IUMp^Y6I8Q z4mmyM7hUMBHXxnb@Yz+qTOIlAs+Xxg`Fug%SG+!b9kO+mk8#&Xw$Uf^f+C#1%K1$D z^b2ajIAU zUMH81CV4B1=bpCGNe0#ChHIa*#vos*qiC-l0&CWTloCV2Nno`pqQgCLC(X98jqK2w;xnMrjia~Cb~OFe+Nz09JSR`WWFJgT4& zu(rF$7Cc5AEKMoo>#BH)X0h-KxsLz}g$3PXOIj=Zew8a!p?i&cgUmhk;M zvZB#GCOKEBY_+Dclj`@Ub>5>r|C=)ERayCx4(8tnbS$S#u!IMK3-gI*w52yEWG97( zkLB-H;9IW!@8!Y+jX9Oy(D{@edIpYzc^1Eoc}V9osynN*niY9?+DkY17q6Z)|p_RA_eE4_dVoo$eA&w)UR(-(Ez7cYBc zgL8sCrZYc^NPbcA>?~>J}UgD z)NV#NjtdT&(?S2+C|CZ53g@LHKG=he@Qu@IPtZ9b-ub!fX_dLKsx}vtKd8EsIxg$j zp|YcrAKWKZcUjMYz#Dw>`?Y+VcZc}8EgIllEu8Z@)7}njYkAH$b?gCNhrr!=R_9eZ zkaxZ6`JUZD<@qoX{2f=>GVuzZmsNH~XK>}Xt?Wb9T^D>xgS^>J)e{G$L)wpzavfkt z>{E5@R=w%Bs?DT)XHxAh3eQwHZ_)Xjt~t|(59oYCXS0JYC)TNt@I8}_%FoCbW|V(M zcE|n~bXeP4f+Mz2Ze<%)M$EB;K2I#zrFQVVMdt@P<2y|b@FHiMLnK`LB|2|WY+a`~ za9RE3iq5=$OFvsJyhn80QU1E*y{2}^ay|56qX(}0I=D8xH>8VI!bKT+IU)Et;YDWp zCdW-3mv!7#-Ad6W27IWtCq?s?^5`Vj(T4#i4cZ zUxKF{f<@+K;&qvr-zfiZa*3Z0R6eOT;7)O@%&u2UKfBfLl8&@pob-t%E?0E)%lh8+Xrk$EUMD|0fqwJ#U_@0)@zFhFD)owBz z%XMC%1K#14Gqls|!@}959q{=37snMYHe&f=UF%3ZXbNc}XTDGwIBWGzfh~TG~9Q_dxblN;wC+k> z*^Nv8fP#X!5UMM|jpsh59Y!6voSA#iJNLdjCruqRLqx}}o>}Sub|OX)e#udekX754 zN;uMMc2W=0&t6{312nAC`jEIt4CiPGpr0pm9y8zv*3pnXFYYDo8x5C%sZ`(m-02p4WP%QRpN_!%= zQVA&0%UEvB?4#$XZC|+%zZh^vBQkCsn~|=U)Qg#aw6r!H@c2iB?WAWbv*Gve(cTBN z=v1}F)Brs|Y5CkrH4{)+F;p@e#iH;A_3R&0w|?+EyyPg$cG0dlL}gTr%db9c0N)_S z5TO=Nuj;NB*kqV?P^Qez({2EZMpy1jm|=v{s3g8+?=u0vMO#j5a#__3t86=Bl*Uoo zIP`rTptW`vw`V408oo%;0(9C(KHvLCPFpYV@qWrdW^C){^sd|qyiCd}uIX51QBdsm ze0Vi>V)oS8TyEk3jmL;SCG#f}IMR#w`zUk&d-R~T7kA``2V^TRx2`YFx8`Oui>(`J jN^>T5{xCo@jsn9m;Gw!9ZL=zrCrTJmc>W&%00960`ukPy diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 81444619e17df202bc55404410244b1b41c9b6ed..e5498eff33c98a0a0c06444a9b9351024af5f4d7 100644 GIT binary patch literal 4872 zcmZ{ocQ71Y*T;9Y)yt|;S6M_a%c`+NiC&`jh$qkUyz{<)y>sq-Ki@g$&Ye4R?;mFl9RL8RCj{HAa{qRBu=uw|n|KU56uAKOfX_UW<*-;mbP0oIFEjsI1akdIO=fvt0x7~p2CZEAnL(6(G5E7kYy=^{$4N- zM@juO3)Lab;2^>}|HwoGb?e>9PqV601o3{wCUC1e5fflI$--{q4k%ALi(4$PvOX3R zHGWU?wz5oW36`H+DAAuTzhkM2Gl4JB>|POfukNkne2-0BuFM{>W$rFZ^={tedPFwV zDtdlArutp9RU4M;`G!YE2D!Yw_#1I=0VrLQV?@*R)a9e=IKSitPw)r*{6L2%2P=l_ z8vhKitpJaxqf;bE_9l+me{zpUs(3PExfvRXfj`#kJDt04#r-VPPO(Rsjt`#dU`xta zm85rF9iR#d;hSGjeG7Wx?^P+;DqMC_)4^enLb(hc?%4;I#Tb7B_X|S4^ljYByuG+O z*%>2pri!)|I_X|+MemY8?;`cs@cjK0(L5{vW|>LUFZ%YXe-p7TU)^ zrm!G$2KCrlK_A-ikf*`z9sb>r!**{U4~*=tTG|4si7?%$6rn$1q;b%e<@Wt&weg(1 zgF1~xv!-+-irHZ`BU&gQt=MOHFGzLx#oi%ofa1@v15BsYx(;Y7etVT_Ot)oyIDde_ zwwuN5w8Yk^MAav3QphdCco30O_(T1+_M)_A- zQhAH{#$-dWfiHu|UD{-qp87_4U%nzee7({SsiMVymxPg}z8eZ?}DXO?-s>Z2{ zX_1Zrp>_X+y`xGa(kxSJgLZyvzc%CHQ-4U;vl;){Rd?xRRZw$ z-e=lUMGzlTpv9`!>V2%Y$H&`Gv-Ru|bMA(&^o2j3v&g##Icoex+}AHOn}g{Pc(6fI z@}$?^qt?KR*P~MHnQ^=te%$n@2JNvR;!A(qjdr1i&wjB^-$|`06c$Vf;9Q{+aeQ2% z3UT{1tY_LJ`-i336b+vRKiH>$@_N+)eE2dnJwE|+_~vA=mPz!w?L^ zco0>bK#9L@4Gf9bjv*HTKGti1YEf#+A+iEvc=iCAQ^2eWd>&2Eqb$njb^C-+n2vg) z^QvnJ43h7DIG!rbti0!$n(J}G{el}G92N0S^8WZvw5NbwDg?w2M{|rwC&_jrh+NE#< zt|h+fS-tmX$*WIaNTchr)zbC*2ZSmSP|(t~470BGN3xzI=kR*`owsU`DPI2!+JlE^ zi>9!td)j&j0}bh@ZJ-QtStGu;WP|x3;iK!{h;V5!D@(xlDao1%d6LmINjszn0}{(- zIy3B-sOFha*l21<%LWu*wGzDNy9|xf=eev{cYnqs8M5+2UC*snC(L{7t*=gxP)vK% zNEYO0m*Z1qx@uhlDtH%1m<9`%)$FLeojQOTT^%u z9VW!Qq3_jX2iRl4jI=)5wm}O9#=V%21kx+s2<@uy{f?=_*+c_j+s8JDf*!f!Dgq+s z^MiN=#3?T380kg0n#f>+wy6yX52%N)2}A3nD+gxJ#@e|Di1&aZ7Whxgyud{0 zV=gKp;9nz1?~^Kpa&kSM3}^d=W;Axsu4Fmr(gCjV0;Fb5%Z=q^lM*c&7O=~10b@jqzc6t{} zSb4Ogx+sH;OG)aVL@8pxiLKY%Rs1HgxY!8vRo z;FNfYvyF0{yK+D3gf||8Uv%QmTGA)Jv}U@={-s`0`s?;G1<1#H@=!Fa1V76^18^v1^c^t}f#PzHd!> zSdy3%M!AB241+OQB1=9qlkAMNYy2v{xq4vlq^O@Dnj&D^0F~{&I)q@en%zaOgdoe+h*HSTD+4j{YTP$6ZzBOEmM(0>d0&N{eEHLfm2>8 z8R@x}sHv(;iqf(-bfINb3H*k1y7huxax!HZR0ZJEGHMy47rA(H`K}%}>Z|51ISld? zRyAmMV^7{WMuKSB_wQJFW!CO(V4jptEt-cRC*+L@lgf3{3~C7YGv-wUd69UwQ(9`O z&>~X-Ns>g;OryA5tf}Q&uF;z8Hv|IWdWculCOX;V{ki}XY^D5pZm$3Ao=_#;+iY`w z{Z=I8wN;ywqk{0rnjh>*K6jz$2bwX)UDS4JsOm|geA$%)1+&%rN*RY=^sFtD5fqr}xqb?VpczGmnY?i{~lrVWfI+Rs`> zKqOa7u5|kH7qtS@fp0f4X}FpwMilQUev}qG1){DqowBc1wjQ2TBQvhj5sXh+Ss9^C zZL_IGQpP5{^GUV*`oS;;S=l&)JOeKviGx~JQ^N%+js2;JK5Tx*2djgjgCQWikAYgm zV+n|PZ70eO7XccBA)~$)z8>(K5$+1tZ3#w>cm*?*dVD$1dfYULg!1rA#*+I*%7~kr z5uxlo5ImThwqUejsi0g*O5CzPn@(8fOOG(!O%8DahR&g%g)@utofr_~K zMt!vfYd+{jOvmw{0+gY}VJ)$TB9P5b#HV||n$n=IW?uU6*PBPYKGCFDvo3GoY2xt}vK(NVFit2$er_$Z6>gwHqNF*M6w~?$qfjlB#GX?L<|oQd z-%cVq9nACBjRTna4Y)k(bTHLyp9F1(1t_Iny#%(%THOve>EQtL>yi|>q{q)Af0>p- zF?^<1$@=pwd#`_Q^)Ea3QyvRyP()47q#fEZ4S^SnY5{U08R_T>)`gzLEucEG2;Gkb0)GHw&Mc1g* zffg(v&G~=elEys65+tGO5tp`_!n^B3`Uq;q1L`RJcTZyMb{W#~@yMjNNgcsq`65gJ zZl=Jyt!;*mjU_P{XV=kEx5UUNQOyu?;a$-62=Vr^Twu~c^~f_8`JM??!MvRzrS+%bul5cJ@G9azFq1A zs_CR772LEoJ3o8akLg;fX{d6fzSyABh?Yeg^udWlN3z^v?#bEVZq*m{P>_5yu8ME) zZfHHSkMvOnN}h}jGm$sn6t$W!}itS8u8srnmH6r*h%4nD$uDLiDkQ^DcWs_+1KpWie=hb-g%M=@IXt z(65qaQY}rol|zwNH93_oA?r{>h*=k=z0QUd?xUIG&C)R>g!8e$W(RLk4dE1jXGx{5 z7LWL_Y-aoAl}eJW(&lO=O}!Gy(R5SlJVkkO2ybntZ#@n^1=f};RcW=QO(|>Nz;iKs zhNIxSOsKd2pNVx(E4h*u6utHhZ|dLP;|8U6Q#d=BA&m17!c`05+)__K3_BYPJLmz6 zHkmOJk2y@3_D*>&jxhD)Z%sP&B$eT3b8hI!u;ft$!%l2A{hk+iOn2{zaPewmU=>Vy zF9Di}RfdIOM_-pvn(oUL)}jc z`ZzS@#$NbJd&_hSH*`^UA2z;N;XhNsc;`ZW=NyEzb={4Q2nU9q%GYu? z@7|5B!-59?G1|aQOllbKD0i()Y1*d$eqiKeV1INVy(@Qp4m#qzRP|~2*cetNv0V73 z&fBZLWN&qTpGt7Y6m)y`r(ooJL)ll5N9#k5_v9d#>uR&4?$#0fS{9dxoPhoQ2mz~o zstbYEE{U&oU)$sq4vAlenC;%FkDorvi9dTNzrT<0x|{oB_I(raA=>Ni{FZh2%@uVp z2C3VP3{ONkicaL7Q+Ma-1!lZbka|%fH+dAS*Qzfv5u$&Z;TTZO&^fe|4r5%ZH%>jN zP7h|_xb7?AxN2g->uQ+A5+8IH{DEE9vQT-|`#koprK37ax;Q0XNfIS{z%5=5oTTYx zZhvV27m=G;*h)L=92QRrVgPme)u@;}4W{>`?~9o4O-~59cOX>KvFi#K@o?z0gR407 zpQm(uthL)1a{FzKvzzCA|JClrA${7yySRFl&?kR0`)1w^pUzVT4}(a~TW2~M{^`eM zMdihz;(oEtk|Li)Bf1tHDFJ>9Tzz#@_FPomxWSMjc6@T5bMrQ%SIDHk9yf00 z!Q!#calK0q-hbk4#QRDa-(XoyxSPK8uv!gCTJm(unR=m&!+rI|8I@VlWmF8TJI25M z#9&}o?0F;Gk1@qD?;E!cbX!eX3UYVx0HQdwpmOP-THO!-uz=WyMDDXcOtTN~0&!HL#&3coKCLLYf5`C>gSVr@(3ei( kifv74+{a(6TUGD9ytw{-xO1pvE9ITQac*ya@t-IC7d|i-h5!Hn literal 5124 zcmV+f6#MHG000233jqKC0001P0B!&`00011WpZ@6CZYov)0000004@>$000000Mr5i00000 z0000000a~O000000C)lHTuY3kRTb`0R0Kp66k{OPEC!jxVEunpzl@8inW~wt(2wD- zae7RrGq%$;O^2ZdYHENXhK2DFA3J-}1#Zw7V~Am4Vi0055e*{a z`OdlLzUs7e&oCn-{U~xUiaK{AAiF&6B85fq2CS0@3uV?6E_ik_qQ8^-5l7> zfvb@NAEbJ7%Vqqi>%=Qmw?0Pi-QR8uc5`4i2X=E{HwSie;GLHPx6vA2qaU7q^!wD? z@Ha8BXJXGaWZ0o{a-m6YJhcCqm;mwUT(>?(98{fNLVO=_f_V1)Na^o_1f0uy{n6p& z8DR9VTu{4<$2JGb%hdM@)e_NOXc^2z&6(Mb#f7d(?^gGi^s4=NlippN%jvMZTF$Ag z)vyL3s9K5Z?r@{%tn;|zkhFHMA zE?&F{a!j-2yq5A^Z-}zG1=_z;0ij`=5M~342HvO>NIATRg@;PCp)$PRy-%++Rs&o{ za1p`vRnBVaHv}`6kQHGNxW0Ow(udR#A@x;U1TokA*|qilx@)VJ7FK+(&SEjOa4>2q zSmDtgSqIGolnVH!`s%gZ)Eli0NAPgIw^B4#6)HVccu4#pzFC$=z>EUZ3QQ?5sX$W! zF@}1HG0>+?VvMV~FrnMKt6t|JV2Fo+pu*FNx~CP&R}@T>@&WG#ZLSy$N|Au=_F0>pU&#CZb5c>=_F0>pU&>Z?4#mpibt zYEcFhJT?s0oq0pjE5;8=u|m@xn(~mkHYDZBr>+f2z0%aRAsN7Vz1$fhTMnH#abk#M zq8#fz&_7kRF&C@O5g=55k&p-12KPA>bG~XVRQ(M{VD1)oCGHPUb8CHlIOkdw&)er+ zJ7U1nJM(OVNbbyw)e9sMHj={S3Uf(BcjgragTnI!LtJ->Jn1ZQzs`})BHEE7v}W*p zvjNqPf8#$PErbZg2>4gF}!T z9D-clVWCvVR!XTlaDJtfsw1!z6@lwqffz1kBX}l)rz3bOf+r)m8NrcWnk3#HO4tC) zTxPwqoW~5#1aLZlQvsX|U^9S?0G0ui`N497m)*fBKlB7Is6_V3H^GSFa*f-CqSk@0W$pwkm*l=On(Ao z`V$}tvs`Jy0Fs0OBnbmZ5(bbY3?NAuK$0+kWH$HOVlws@>^1FjgB` zX}94zQVYZ$6Dg`_Bk!5kf<)dKl&Y7NbRImvcBYO3$HV&=&Dj1aURt@OaDwY@p(fK@ zFu9rc7Noa;Oj@xe&fL^MPoystGo=YgGS#Ir2$HB#f_nZ*4^06=nn-m5B+15dr=gq{ z=K890qg-`vIJ}YJ%?zK+@Tm-+R@@sj0|-mIEZ%I!tQsjrfoVk@jtN) z5)jyC99vTh*lxKGm4EAUuR)b%LWXEoL#S)O!udydvxs3Uc-V--6LULt5(D#Q#St3Y z{ZnVx`$N`5wJa%=kU=`Ra`-g|Oj5R~iDx^#n~rtTy{Fup(}>zRPv||1IZN-N4uC{O zrPpUw$;Ke%eT9zdabV->Lo#FqgH)yfV3VmyR_Eo-_5RXORmw6gG@hISmQw1@P#HYy zdBY~hnR?9^w*d~>2N&zl!Xqr)q2CrmKsHs$cOI25@ zx{^l(3oEw3D7H~;8_UXpKsF#5WaG zNySH-ly$xn1kjzwbMa-7&o->@&QH(nFK>jx7IF z1tL~eMyj&Fs5J#xqQEeoZ?(bWXns2$(fpPgG|19zG;j<|w95y{iSj%3h~{_e5zX(= zBbwhcMl^pCP@3Bo`(UwYyuXw=!#RVQA|Hj&EwD(H3AmIDn9jG2?ht}L5^-FT(PjvQ z&`GMQV~`I_V1VN2@*gDd_)i5&pcRT}PAXC+d_MpSQ~Wmq?c_cqbaz6biUKGBb4+6cN|ESYNe31EwqP$mUgM+p>gGOibw z56?G+URbtJ0Nh5zH%_7v7#f#4is5!eqKP)SosHWh60(2tdjz(z2{t&!_rBVPn;O7i zB4%CEGM!*Ez#xLowK62$+*xImTUKE3OrpbX2h>M|_5vK?(8Qq&DBBDja9ajRv9Qe% z9X?)QmHbfFuqzW*b{B3?z340+AOITOEi6!U#O<8~Q^iOUX`2XTjBYa|8o_Xmn;Ud? zH#G66tCXYDruhB0f%b9cFjM)lKAEO;Zj zRYf|pn(5yLOCn><_i>4 z3o{)sRw$a<9&9lcEAV^|M9AT*0w7Q!*AWp$TR&jva+JG3Bu!8d+w^;eu6XDsRG9&kQt zd33YoQPLF%x_`$q=}gWF&W9}GH?8hDBP;r{W#@0K?mx4P|Gve!WD!4ZbvI2qpHt!H zw=9`CBYX6;<ViGh`Wc ziFhXuIDcvd*s;`z^5Gh~7DCIImR;$1NT zFo@=Sf6kI=cE_$Ic_7oQpJt&pqhxwy+G%biOzn9%*>`Nmk_X1Wlu!r((Tsc}7Yfd? z=SmSvYmBa%_IHY+pIcGFgiRd47`;VyX zyvYONj}i(YAfB@9G&lJVTiw5Ib-!S7e#PQ^+#>$XBAO*=-BR?V)%^yG^Dh?XCoIlC zTb#FBoMS@*!r!g#<`|jP=2=ToPUF!$U@7`V&N^lz7(g_OfEhZ{GoJG=T8jQ)aemU` ze8XCgS{A3N{jZjy-&@_MEzX>g1A_kpL-HU>&mTX8q#};Q!W8*KAXeO+`X6w5F$nV51ERT&8RH%!Ko*E*rTq|E;|H8q;)D|GV0cSAuNvnARtYk< zh32Y#Yi=k!GKVusH%FIyR6YJbJjeuvdPXWUx*_Bk))F2VPa70;V1Y?cufIU~{lhTN~As`_5*$|1-7G@ciJ^+Ni%?duZ*<`F`!;&C$KJbDNL$YmKrt zI$OJcZFI^J&YrH(e<-}sAJy(`?%$rSeT}{6_Wmy=`-;8md$-oJucYSvA11%Znr32p zjffMk(qg!u-pj|U!zWJ8mnV;Rs_x0oiKFz_0rXvd@#L}UbBl*s$2#qk$CgeW?jC#m z_2+)@HJ286&C`!Fw@i6TAn<=`S6rX6UmCji)BhCQ)}1 z>gh`kb#ZlLjjBkoF5+NM@2?S+pB)7|o_;$HwrZsJ4uf4ZnwK2ynX8)EF4!|6v0-~n zUEfi#<8pB|gMArQOM|70-vNvqJ$tSM z5ev%ov{uB1^C}b1QC1I5^UD~_N*-`xDN3II0ssL2|9AmhlFw@sK@`VdYFb;H+NP-( zOAA%0i1p@03es-Z$z~+kS!PC>J&lNjLtNP~X2Qj0qy)L~M0BN=v}n z>7AWvME>Op#AQTUJx-iWlO8!n<5>Cfd3XOO%zi-&Ju(s6pj7xdW3`N}9%*wYBixEA9^OIAjB@gY*nL?RQ`5obp7_ z4Zw4V+|j{Un6NCW!HhRezrAi<2gIh=dY~0Gd!Ekw=4BeKER*cb?Pgo~5Pg#+^kdc! m(}R^K@3G_^`=gd9V+(#>-yNR*^}ei0RR6xVwQUV diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index 1a146da..3a99ede 100644 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,7 +1,7 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1677601285636 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1677601285638 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 28 11:21:25 2023 " "Processing started: Tue Feb 28 11:21:25 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1677601285638 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1677601285638 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1677601285639 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1677601285947 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1677601286047 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1677601286058 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13100 " "Peak virtual memory: 13100 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:26 2023 " "Processing ended: Tue Feb 28 11:21:26 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1677601286355 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681561246026 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681561246026 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Apr 15 08:20:45 2023 " "Processing started: Sat Apr 15 08:20:45 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681561246026 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1681561246026 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1681561246026 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1681561246292 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1681561246340 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1681561246356 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13059 " "Peak virtual memory: 13059 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681561246593 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 15 08:20:46 2023 " "Processing ended: Sat Apr 15 08:20:46 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681561246593 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681561246593 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681561246593 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1681561246593 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index 33b1b52c93f96917355f577a716e46852d0fe131..5966d4560b7d2a59bdce14182b02f67c0e24c99a 100644 GIT binary patch delta 536 zcmV+z0_Xjc29pLl6aWAKtqTDF00000YXEBiGynhqR%LQ?X>V>IF*zYj0ViF)+-Kk27yFd0Mx*hV{2{rsAZY9oL|SA1Rbf?= zcRYgN0kQk!FP8ijz276JD$faSsz74%Tvk`a-D!2Pz-5B>{|V~8zWy(=8-FbaL@sGT zW3t6uZxQ<;N@Aa}0`vh??LIwV$?0{){HGogd0T#j3#_EUDGW1(+ST||6Q9pb>Xxul zp98;Z=n7q53!LC(mLgn~CMy=Y51;$(F_wjHkH*L2C~l{vnzjpTN^8E%oDRRxDvQ}B zNp9^g&wgF1*SUJwF={R6xPSSupHV5+-I6+Q{mAv)O&8nRAQ@?_=9M=DiA_^#F|!Jq zkVpejSwZIr#Bo0q{caCJ5x(W^PYK3!Y?@9C&Sj2FZxe8Hj$aZ?in6Kj5*3^-OlGb& zYV>IGBPePb97`bFd#y8 zX>4R5IWaXLF)%MOH7_zSGBO}jLyqB%`g+X()8IOhzs6{~<#FJJEL`|gW<>QbpxS|?v2x8`}JHG)tnOs^!4 zi{uLr&s(PK7Wvl+-=X&fa$@;H@WcXLlcr*PN4XcRAoFY`IDb!2^mY9AWKUYQe<87%=-lYbfz{zwDtR`T zC&{k8^6Y1&I-S#p9idWUi1QEoe=||B@QP4r8{I?;D? zw%hWSNE7LX+s09N0VgL+XJfuBjyDLJzoTH!@6~+2vH!e_?~>zHf-cV~PA9DRV;l%0 zHJl4+ocLWz+c7Hy!i;fcncywc!BtlFTtW8EPJ3Y+jKXo8^%1!66M=v0`?Z65818ZI aBSGUDOBw6aWAKtqTDF00000YXEBiGynhqR%LQ?X>V>IF*z?^EMPzAoX>V>g5&!@I0000X3;+NC0001w00000 z000000001q3;+NC0001Z0n}GtY#hgZ{$@D0nmt>0dnbv1$H)yacRU^`qcId4DP#jB z<}Q*z2?@1S=}-+?VZ}%^9W;U-T7f0#>)e4QC>MxNv?>L82sT%XV)9@VMNzZ`8k~zf zG!9U(g_|0FFgAk1+CppeAt(sJfBNl_4pNlqdj}lu%+1VizWL_&Zxnzb*0g9=@&EKI z_ptvOzfbLdwY%uTWucx_K?KoR;m=vXC==xr=8JG9+t~!Rwat9a2vjwq3NCNR;6ISz z+_V@V_JD}ZbO$LQ(=YHvARN4e;R;^Pi*)>_S#4hrGVZKYF-*j18=Tfa+Ozp1(RHcp zxd5?IZ`_8>wb1)FU_7OpW$*J#Z6YrLi$_d+PvQfA4isQ<1W_#U7K|H%ZAX=85cY%( z-4yf!g5(wGm)Ze{cQK32douVV`3vNR;9Xxnk#FS}@UD(rNnl4I%1U?zzz}oYd+->< z(v#NB*2SV|{Ajj=W(9Fc$Ozug$AG0L;qE~UVOQFI$nlu+q9UT(zD z8IB8osBh8j2v^DoiU!e*YW$c&vjBGqxKKtED0oF&BiaF0@-2&iBIiZ)N^|m}j~;>S zgzT-y6KRjg#bIGS{aEV5PPs`3Bxm9d`#p5~lsyteMRF&d|0ZNYQjQ{0H7SoG%drf{R+Q3j z(vk)sp5xj9g{MW>7q`pvuH;{EZe&)rX_E?7dCcXYmTN&Wi^hk}xv?6)Dy!SCD169O zUO*r^c>(@QZ8}=7E~T4~RHiD^JO1CC_kIiO!wvK*z_%i7jSb1Br+RsaC|KQpDj{s1 zrbYnoMIHW#k0;TqIV{eJUIy}AiyvkjE;PD*xcegaaGLujB_AIVoT(x#>Yv_jzx)mA za#mwYNJ58$sdM6AVl|DKXpq)jbU_V?(2PE%VXY|+N=u65!O z>XyL$Dcg9{iM8VG;0Ex|hMw<#tWWQSB=X*=g}C}8aIi%`Dc;IWqUKAOq8M+jap|wn zb1x*5=0WL#S*Fi&4&R0#udiKh1t@MJDj=F__cJOX2dmNAKue= zN%k#tkCy>%R1~PUMZJ8=Z9|?6I6@`Psqkt6y*pNKslr=%hxtF2EA*Iui|9l_CTeq? z=Wq#fdP=YTBSulp!piwRAA7QYsojO~aB8Rw1Ng&?Dx8vN6N~V46CCS^A>UQV?l1y# z2#w>)#RHK)!I@QH(xzcZUqt{*8v!iENQXe{l=|KQtD2Qc`vBY@(!0N+R8yMN&KE@7 zdx5@HAl{8qiBGN9q3}$9YYvWjj^{u(tHjg7v9Z5}hOa8I0~eJPelrXAs>(hBepb@> zFi4kVSm$^Zv|e{-25(a>OQHE_1*?ZNmI@|$LD^;5r=-Cs*61I=a8aGe^Yj>+s)E$a zY>g4)RJMBnZTc$--SUL(ma=EQATqsaDd(lHJDuP?i~9$S{p!j&0dzq< zEQR9ZaVrkMUd!NrjXYd))H6JDL|G~7{rvfjJBP6-bn5GVcbx&79(+#5)a8q1;F}%G zn3iN^mYuD~lzn6}aXvzGnpuO8JI-y7DSRVM8UBZb5*wm?SJRRb9mELVy-c?1OA&U>L{o6W$!bT=22kMV*HgR;uLZo zaGJFJLlF7j^F+gER9u1_3eY)VXMSKSJaJ}6Wqakd-)?3_uM}c2Nc9T;RmDH#>%opa z|3mgZs7AeiybwE<(pYxU_M3a{E`onyMkXX0j&hh8&RX{t54pter(wtjb|j(K6V#QQbj|I8q=z-i*uDn*?Z za^4$@ZZ^+aa;_H!&5I?Av$dORwQm<4@b(ynwmXA2vJHAn5oZ1F$H=UVFh~@lq~y?~ z?U8DKftULn@2BUUgMtVaJYzoVsVoc^sIRC0kZFGtZi#w+PFqGPco8DNMSyhgDnU(3fe`MF;?u$H_?%)I+z$kG2^FF2ppA@)10=Ha$ z17nbVbhwg?WUwqMI)tefv7m1TC(DNT(hO>@mT6~%`;>G3mLgvza=}dH42C6K0Y0s8 zD|aiN@=}>XPrI;Sar(40Q$j~_(B@Zg-Ir&nJJ&K|$P0sQ%lBDJpJ?rpz8~j@4+ewd zqx!|iH3osd5FM9jykF)U#o<}6Z=n%?wQtzr_@RzHu8@pieZp8;sGYP(1|_ayI&yuu zbk(0yU#Ff=M;NYm6pzAU$2xd48OuSqYlE58LcJDbt!STso)bfEP-v$PcYh4c7%C?k zjG;5)8R7bT@2BT(G{yym_+W&K1{h@?kum0+^wZp)@0X>FOuj7jvIx@MStC||f^ijb z`UGv@EdA22$j`%)4S!Mvp6AP>NROfLw+P*U)+eM14=ZdifQ>=eQJcg{b}6@%!8Msi z>B>7*xZQR9d4E0c55T_!++-)C`8nTec;R)mwdW`)LaYxYwzLjmTVSg@I?_g6jP- z;pQt6#TBNi;`_A*u6v?WY-7A7w(AkS34)r&W?+(>Ry72vo;O&{u2-agb0qQ$wYgw| zb~g&&LZY50<&c_aWvn#JPWwAGQGG6fcCeqU5%$kg!K;}i!!EML9 YPQ^dwT@AqhflYHoJi{sf4U_5%Y`BdF?*IS* delta 3083 zcmV+m4D|Df8P*to6aWAKtqTDF00000ZUAloHvj+tR%LQ?X>V>IGBPePb97`bFd#y8 zX>4R5IWaXLF)%MOH7_zSGBO}jLm*6PbY&n#WNCD1Z*Dje00000008a_000000055w z00000000000034D00000004La)K^_>T*VdsW<2+L?uP7tjyI0i1d3+Y`?yD3Rggl>m&J_Tn*f%7>Y4BYuxxdV)UtIim;ugMpa6E% zn($CJUf_`vqt;9d?>jLVni8tWw_MYR2t*J+z+aQFv$Rw~vmL0UVQF{{@PER?V+`hu zfX^}S%3v9&CZ=qe^JZMKv6!)mSNT#));AzeL5vTpCP9Aags!pB62pSL5DQY-JeT9W zt+nxgU9e={!$Q+eF}_V92h8;&Y$Kb~3v@z+oIDi`)FR{v_%Kapfla^x2A(8KkU!oh zjY$Fe6M4>8)#SeXF1zEf!(>?j6)`E&QAy^wCVF8Nax_qXkC1c2c^VNW4566>-$FtkA>y?7M7+7v znkmvF@7sdF-Xt3IRLMgh0$)-@F530^7himFXY&e>8N^op2xuU&)IxG)kOv>xY@$bM zMY;zrG_njI30yDm%};x%lhH%#2qt-)_w~*zAD8eN~1`9T|bZpYR;U<`pMB>X$m0bIX2-oCHU}sguq7ySN&0 z4umT@wk#>mGzzq?5G24q=|kAxf%Qy(G|wXVuMQf8Jf7z;T>=ZjrT09tO{=Zg`K$98 zXmUq)+G_guKIo8XG^GrQlNDH6L$~MCntLC(rr5GoM9daDpyskr{RSazIRYKvOUShq z*AephvwfVRiTy__Ml>!8P96ved7xliLH-CLbpkrl1K&iq+tnC`-)u9)b0*q<1h%nA z^4d1XW6Zk^EGDMA$k;Z%1!GJ$6QX`wz52KtfZhyKU@sgWm~U4Yhq&%tO1}o{lQ=TZ zbkA&;xB~(EMvd!SCAcIzEDSH3ih#D z{Xx$Dov=7^g4vYCQotJtfo175QXFNcC38)ldwz(w$zKC?bFijsNmNUJITOSkvyXXp ztFV zvFG5%1q#1$7XicNbxh7`6|p6PygDRhWb;pdZ63L4fk){|BoSnK!ZAinve>_o&8PMb z%@U3B3gKPi!%WfMAM$Y@%Eajf&MX=Acg$o%#g$eFdJeXC;s{<)P$mdhNK?U4$85AjI7qa+_ zaJDHtToNV^oa)DWNhz#Si~FtGRN{^HI-UpKeN1PTHB2HVM)_tExpr((>xEOK8=94h z9Pd&9SF-EQ!3h4`^&!e0gt6OJr1-E0T1S+aQ&_u%D#)rXS3utMPP^j@0`wv&jq z34EUow}g_>zHBS=88~+G3RA?i|VgVi$-|v<1Qp$ zpY&|!6s_%V?9oG&-d0pB=YsMGlAl>;QnIwHT9Uf9&5_ZrKP-Z?C9|6|o3R)@nJ#t( zid$`^*cHT_Y@F#^#zS^XeGzgtHiY|Q+WjY0NR(oK0-?{)WhCh>Bn@Wd+=pV^!wCU3*)-ZeV6^t{I zeTgjMFD8`Aos`PurU`vv02nE;ug8{^Of+kM>SKgwkd97LR+!uyb25>}ubSh2T-V2ODj8PE+yFP#NH}XKHF7fIG=+)wo?b*8pwyc%*1cA+9m}yrxEP2{wIc6@(kO5ND&ik z`=AlIG<}(#fF-ci!#1fHOZG{;Fte2wRsRt}wZjd_hYP+%A>QOIMb zkCb4af*j50`4^)vUD!jYk7i(hB!gRnA*^ON`V`?iYEC11&sm}1TF9-O6qBkWxQpYA z7?QD9(xI}HSi-*whuFeQm(^71?{sneS6T$Tb0!hm$}~>)aK6$u#9q5fW|3fd}Z=ZZD^`2=9$@Xh>fcdTxWz4*|c= zBHM3Nn3vAepd8u?1<@&y0@O={e9-vA^rTwnQgJ26m`2`&qw-vX?8?(o4h`>t9H1Si Z^_?90ew)O0`lqdrZYaY7{{WL63~aER$sGUy diff --git a/cpld/db/GR8RAM.cbx.xml b/cpld/db/GR8RAM.cbx.xml new file mode 100644 index 0000000..4106069 --- /dev/null +++ b/cpld/db/GR8RAM.cbx.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index db20137b0b9566372311fadea594401f4c1a937a..14c265fd82f1b8abde87d228d1f85de09ee52695 100644 GIT binary patch literal 61079 zcmeFYhgVZi@CT}2MeGO&h?FP_2qH+AmMBG}2uklr2Wir45(`D8gMfe#I#NULBr3gz z9y&@3ErdV_32Bet@4f%x{mwmm_w1cLyR&m=cRw>bd-KehGnH4)p1#kVaX)=zPID6{ zUq3f*uRD?o;*#Qz@2Cd2c{tvYlYe~Yv4Vt@l!Vk{NrgLx+IMu_{GIM-IJ)_t);-u| z`rjtNJO7)V8UKHa|654k`+vU$pWW?XX*TvW#~{1^m>Thxz9{|Mj**p;`tkU6>GumR zqd#4vzDhcG{fYXf)syGQ#vb=gM6}Fs?8VnFTGwc*8r_A8-Ui99$FA!A{K>4LA}YA8 z^|~fK2m!+&a2+`fM2j%*C(M{knk6a#+c6zVUtr*HhZUeOti+DLV$jy%E3jfVv>0W& zefR>5Fo6m0NN>#dx>`PB3I27?=;(d4KfeNUf^32Xicq?I0(ijN+odrlZ$a?|j~YX) zcQKx?d*y&*{PUYB08`3ySU3+QV0FVjd^FB;f6(oeYKw;3TJpuUi!Y z2CvkMPHeNC$Byo5!bhBG!3$pVceuSYNso<>_s0(ZUVN;(=7zP){@NSfj^ zb?fq3YFdU6;NuA=GuOw1#OJcsDhjNHO|7ks;kl`YUt5(Lu)XD48&+w>_?JhLjinv& zE{4mw-GSeMV<4Pmufz#$d2YJ@+n_SqmkB#_fwt{4$x;_rQ&Y*4(zH(bbTZwrtj*WH z;`eYh-`jY4+lLT?%_VLI2{##o4_7UdyuTx+fMg%Ua-jtHfQ1&tjaG+ON{noI^>W%# zW|pqUG17ZtTXPr^TS0BLOMA^qd?m&$PpZlX*MBx*JU1hI9rxrhhQ<){%k)U8X{;=>T zJfI4Yj96-!@+hU(eBAQR?G~^&Dw$vEg38M3+vhkhK8b<3BxobG=OMvs3TbP|4N528 z2O<);0OZ@mXAB5=>ZUE3M3Finpbl%|mo?v>UhxG!HfaQVY`nr+B&wgHa)4LhzorBvbOLG;t~#8Y_l(C)GiP@~ zM^R|N%L5JQ=5l0~`Pw0!joE$l|ul>@7xm47B%l90yW!~~Y*2$nn$ zPrE9t`$WBT;~W75yh6)^O7nn0Os7L%oV2g~T4e_!$^OyN8G zmRUf|ahd?&>uP3l=Daj&`5ECBh$rM0pgqY=7gnv(8?=)GW7xwL$@W*Vq&owT50FS9 zL=<1bBLH7^LnLSiH+qTYtIRjy(^s}w2sm_GV&GivvQQ6>2C-eH@yMprzbY?9?v+}s z&ms(i|3Dxe1=nGRM2R)m$A=~Gia~lg@@bw%ntd#7}n;>K0j=i`6qm0r@WG*chIl@M~)U#!YHO5)Ab@K z&^C7KiL1Ljeh}?FI*4f!RhjcsE^90R9fEI??m_p}VG_<{qbV0%?3ihr7Gm9;Z*>{% zLVZl^I}8#ls9fxluw8yCkx){GsK@Liy;ULxCjwuO9{W18#2-@F9Tcm!K{-=-j1uQO z(5fFJe(On7@1kN=75aqiek;s&V67Xte}ylJnMa*_LeDs7oBAB6!FWr(n#>F#lWq8r zqB$5mPz*VGHpDl)s)zZ2U0F(oe9Gu3quyiOcNTRxF*#-f zV(>+n?b^u{>EGONLH=vYmAkgcc(Tt-1d&R; zO?CUb+`Em)PYU^^8Y0m;#SN%(Yu*taE5He56)Jvf~*`x*EU#8VImnXeLFve*+`5N99^ zKnbh27}GwNgwvgXB4n%ibgPTYSTkR7aK!#$n8U%@@k!^TF6^!Vf;vAavo@&VqIqqM+H(nQ1Z^@P*6MX8ekV>Npn$xG@cyS zLI3J^-8&iC2!D^S8!nlRPiSaX=T-!qtNR=s4k;V#ZM(Z$^ff(5G3PH=t$^zO6^0MB z#sl&*1u9331O@X7l6rmkkaqi^HDQP^&8rvDw95F)0`mVV6$@#qgG3@0CLjIAnKUUh zy6raY9Kz7Ilf186JhlV0O@c=|s(^5Za8l$>#%`V72zo$qH~bcJ88z2a+poZM%1gE9 z?Y$$b<&(52{gX7|3VNFH@=4{t(#?K+9U-I$xGODiQg6wvUeuuG9xZqMxiHIrI~K6` zczw%QFUi(n%u={lO%+<`kx9MTn0@!=x<*Vu0cL`;+_J)}rd1wpS+Ucg6~pmAWXaHw zG5f_$a((yq|B&~FcQ|)KJ;&`e{)ZfEV^eP?9}4uWY5gB^*kNmTt4-Gbw0TM{NauPq z9ham3zioDJFSY+)m;Wc&|5Km;n%0S(cAl;O8|!r0g+ei?#BsIOV$E)t&)DoS%{aa7-LAA0y=08_{R zFW|e3Z5a}AU+{j?Wi64Gh$$MiSnjOK=Q#RU;JOd)4q`?!s6E7F+;D1Wr>%O;gW~0vU`nM1HFrO346LG zRF}_%;}R&FjL;~YpoNR^Z3kP*;<@8cYr~xE%@h}k2Ei1Uix?`?*FT^Ir@4el!4n;Z z?zdeSx{sW95z0v9lz7n1DTa|dN0+^?LtM^rI}4XiUMVUyeZ$G@_pqCxYZ4yocb5h2 zXwaqWyM8z<-7l!6U|nkrGSWCHHt4BnN25wUA%hZ*WA>v9fzI=Kn)#{D$=&1Eww6}A zzU(VI{QltsM$F&)5exIJ8Z?IgJ7%}U4cH5th~%D~dl|C@OWS2t?95>64WNWr&hmfw z=lE+(9RqE4e9_X^5#`SYbR#p{%D^Qj)-3)w|!yn}kGs`m3dwQ$#> zcMC=X{KH}95zuiJ#V3ClMJ!d%Ns?Ppj<`c&>%|h-6>lr%Y95tt| z3p`JIJaIdCZdv!!W%HyahwMkSm^K~mKcA}|Jd9HZD(6$Kw&e8W_=xl;7VHJo$JBjU zpB=JR$(j)oVO;ucbQ$iqmMW-^F))#R&~9Tbi+J3ByfKs(T{{yo@=WXZ(X)Z<>SI&xsvi}JscnxuCo0lfuReJcR{6;3X_r27<||O|iRagumB+Aq zHd%8H@qgcd1sjX+ccY4Jn%FEmbY_)5!^ zaca=eVWNV)kB}h0E(HJi=iz2}b~3W0w;)zEndB;;s<@S3xjXjL$({Ac+D0}wJ~;ol zVuaNc6waWT(a$aEUC?dW%e`05d!JEymV3YTG})Kfy1)f%(J5iO-R~XUJpFjOAv2Qk zTegj+IcIlV_u3^7x9eqsinpwIZ4N2H0da-YFG>#t4^&d&BM#$D*$z2Fg%u6quHW`S_D|&}|DU!a=XDWk|+*r8@N3-FU)*QQu z-;VEo|2piydpFK>L`oo+cts1Lm0oLmq2vA$S>!@#;%~ztBGA*q3ET(& zWtU)OtK369d1I`~N-;v+Blh#dM7wQ3@MlH+en&PAss11AvOy#5jq|4pe7U7?Jxkhu zq~ZPYYb|oGpnufLZpVex2j1ETv^bqhir#78W@7)4frn)8u&7sDhb_hNdloqH+eX_` zYt8k+Uj5UAAC`~yLwxxE(0jzx{sz1@pw_!9q;sEvKakKPEMu*HTJIUWQ7dddT~(id z(ym#l=*elAmoM1X1}}^qq708YfS-523ybV?3XZM_f=BI_(1D6O+CE%M_B=54kpu^1 zt7qCl&ZKerJHvRQ+LgBL>RByQ%)C3GR=E{5pEYU^$C&e3ykWeY4bQ^dP12xP>%m@H zQ!LQuDH~Wb_oQ7iU(wZzJR3RDzBlJ~v^!LuWw8|P`7Bn!RMLgCpkw`}ScP?BIQ9$y z>Yy_5EdoA9caopOD6UKi8BIW6=e;~K5Pmp zLs;7;9uULLHH@0=cRoy#qM@)!3@yXx7$Q0-en)82PJaDkd;oFg zUf9iJt-rC?R#wlcYvzM#zfzlo7@MFH zzLb1MK#N3i1?4T^#dPH*fjO^t<%r)qnYDYLHMtkJoquNm5sERDXpw8zcfi{p&f3My zC?@s1ted*#2-q-zM!eg2k7DO3vYTOC3l;lsCDK$+p49RByzC?fc#reG9bNx~ZNWZ3O^hd$0fJAqS%Ou48?TI4popt6N&2O^&MH1SX^C>O?iXCr$ zS+$O13^u3ZV0s6{CD5fTYR-Jch>(j@ig0*_)`BCu1vd8Nhr85_P=ai7E5fKz%W+TQ zdUb!*S-FsdGg;xm?_WZY2`}~fh4gRF32{YL_p>LUGXKDs^9-rSSathkzwRed&B*ZT7uvihuLmT@VcRc2AR6 zj{Y(E=U2^&Q8%dGcVo(YUGutC6fw9~Fu~ixETyZpYeXGh7WF4H7&=!6*T}zI6xLC- zr+e;hypr#V{^++oGq)z40|TzJy7Mrt+i6tQD7O~DKZgl{FJpdYw_RNuqDfv{Xqgw3 zXg&{Z>`dGENr_SaFU(-?W_tAfZN$wM2diCvm|kQu{EGEEBeO@Bq`FM&y7h!l_NXJK zpV4PA7iS&#vn2@9wQf`EW9(xABXO^z<;o-F4)-3 zX@AXWZ2cm8{d=Rpy))L+K@v2N;Mhzb@XQ8)@4DEq0k4*W+E+;5VTEIA&=Yvu`6#k% zwL_+C;+_Lb!}U9;Zxl#N*(j40HT!CaZ>&baqV4QfctE8$e8EYP2m5>Zi1o6svdFci zzsVdS@5(tNbyc`5hEiH&6CCTd?YkdS>8QXST9q)WNISETZQmS&6MpDu&JOFX3#!M6qEsZy%nbG8WJUmXtRTHScC9 ze9rYP$sgR8O|1K?3N}-@^!QXfwxAq;?hD*cabq z2Q>KR19%r@jrkg_AIjuMXbc7=R92FzdQdscT%Keb6}C^hxEG5#8)+gaMVUxmg$7Zy zYdJ=>T<~d9<+Fy?Yuc{?z2s^8=v23c@8vO5&tH{#Iq)83Q1TT$vs!L&r0sY>O_!{( z5KM3pknkDPu>IIBsknO4TDPxAdQQVgt;W`^k#sDaP=)_p@kP%$sktAmZW^A$h&W*d z|9Ggf#;@zm*}w7NXgyKHv=I3;<`z7$(CqtW{P>6|9DphupwzGC0a$V)@jHmr?0cxs zz_dXd!(L^f|3ta6QRZH*Ph&4Rw+qC9pL0qGJ8`>Y=G;;n0Qif*@@Q5A)#F?=MGTL7 z#raCyF@AIa#mRE%ZmD1HWKN<eEoHqaM@~ETN(I%NRDlZ>jB!3XJoXK2eg*Y<@dJU<2^00dj&bi4Fx-t zQCZX5({+#!evY4t9UfFvWp||1z0Q)td7^Ezxz6_bRchN_vpJW}er}|#z9VZq4o?{t zOE|m;Drw0E!tzqI;otlm@W;Xr!+Si>_AqDu3aC`&IBSDNmlQX^bhtxE?@a4NLVIuL z%l9Bhh4MyJI8HX3P4Setb9*lDmUr-4(dn+|*XLgTt8(v_{PwFgtl{bE%sXs7(X}5` ze_iy*PblO-&21B;2%zxl%+6yQxN!>D3d?w^2mSNBKXF1yrO`#fK43f?tx*{D8I zi8l-|0g0A_rZGQ@l}_FBd|JtjzYBvWQB@uyH4OzzxKMD-c8Vc}tJxSNxGau}^7aKc zJ%}wuROnlOLk!uqD`Fy5I8Rn;NBD)E65!l({K(z$qq$E})oLCcekkWe6`PD%2W6g) zC9KZ^cI;cjj*5Hv&R})#r6$vC6(Oet9N!#2kF{bQGxKH+G(X9ma=sfOF68P@)>SF1`@ik1ptIP(~eqPu84n(7O(oy3)IL(wMy9 z!dLs6vd`^fd zyCJ`iJS`;XQc*@Nny`hXH+=@PtL0IRy;mKLF07^;l{5Gw^cj!pnDx2UTULm{d8>vK z)Z@-`yZC(|@m9JDze2r=&1U{lZ%HE3O{tF`Pl?$M#plnjYMoZO(4=VyRPlRCDQ~Co zi@b`1aWpx?Nh;Sec@NuI&73;CY(CaQ{0v#_5j&tYurqT;Syj zHtMBI@@V7Vi_b2jCOGSSJxX^>FNDyGu4UZl+y8hrhvCLm*MEq*HA)xoMrvxW5uby0 z8|**pk_QVr4;QaScH|~O+trp|)^+Sw`6=TphQEoRzJbq~$YrSjGpkq@{b1QG^4;ip zN*7mOt+Q(wmYO`X^NQ1!oZ)(B5PrSdwB5K=1Yt8O3^5tt*XNz%$6wvdj)Oss5*nu4K5b7CNAz8G&Qt;SvK&ZGu8tO-ld53af>MU@KPphpnp3BX?2VM>g`6p4L^gD(-Sg zH2-MtvC?`&^i?1Drp}j_wp+xXBVgtQe$d*?q<{K`3r_0O)H>Z}OG&OC0q`4KzQpiL z@0tD@)PiuFZ1!7_uuk7(exiPe^cs3#LB49ZE&VkK_oDMi@peMM^-sPYhUs?^nbO;j zz96E@ma`YnT7__%u(Zni)zlG!eLutsI0Py^$6NAlUscP*h0!`(bOQp0Df)?rntYyv zn|`kgHlAMzi$4@xQTMUi%{>t7)=4(T9W_R~#l*js3+-tyj@j$DSgV$;ayQx2UNC-7 zdOXPD!bB%u>D6@RmvTQ7o*w*_{Sx|a$Lve? zv>MX|Ep4=yeVjy^A4@G`nr%zbsjLRNAtsLVjvGsfN{zqsLV1sdtzsd{YC4SduT0_E z;T~h>Uiace#k46L9ZoK#jpYPxu7h{aRoc7r-t8D5BJJpw6J_0boUqAZq*rzf6LM&e z#A#GMuhdxtm=d})0Eu%c$tCnnMF}}YtecaMq<)J%H`Y^FPH6o5tx49e=F&f+|0O-GSKvj(^jqddGVS8e zKAdhDpT4s{nJF#Luh5m-?!@(w0<2Z!MzfMFEBBrRjGN}U%U-Ze5}?`ZPxtgGmIa(l z!2kYvoumIFVacn|v_;mhbWoVIVKQ)B@aV~!=djhD{dZdq#8kyKy)O|6ued7(zTAg2 z*DKdUEPoemgJTd&;U8}c{+vw$W4;}peAKZSq%W2VY}j89d>bk>AYzz#9qn!j*t+|S z9jz`vd7JiUgeI%PA_-z@nsL55?j@ZL(#F=zJaCS;N)Vme_Ic;NQLEsV$6kNe|I*v0 zk?wp!J^q33IXmpUGje|Y_&WeR$JU*ZX53A#7$0u2Ix}%5it%iD?-+7cqH()@&x-$! zO^W1;dA`fQecBiLV8q}Y>;N&?AVJbM%?k`6OQ8u2*2_{38kg>XBokAKlS9(trzYSk1!2=>OjJV>2VlSN>G615kCo9 z4PDHqk?s+YcKKW{w3_@bp9wYyEC*X*C4sN{EC!L+XJBh5zR?f?H|>G~UNBN4Sx?vS zANs7iX8pZunY&5#lT+5V8$S+`_%DqLw{8t)u-Z6LDU0J%FP3-Fj#VLT)@R?yC~yPf zqeYI;l27i*>4#_On7sQSYQ>qqnOKx_%Ti-%z=1 z!2izDC)ViVyC5Mat4P%oLkpcdI@Ilm=Cx7>E=9K&6baGD`mL6)xT;H!Y%~ z{0i22?DlXi+y11YlRyR&<89F;hT?f-7}e`M#TB9LIp^Q-WNlWdvbA-W>S@|0u2f^v zjx8NQD>+7@6h=5qo>HnK0#8jrRyRhtBl=V9`j88?gz>45Ok$vkekU|0dS>&@oj_Fx+c@u!E)ZPqhtsZBSJ3GgOnSAvpE5MtIR z|L=ded*d!%_PCZWh^&0!&QUH)Wt6mTo1kT)XDp5TwozGM+^ie4s;ztuVf|h$O!-s! z;z(Ws7x&kAN^dGlgUOq<1+!-$2Lm!{tBujH93-SguROlr_@8v?9IoalJ0}MBI%;}- zMOqnIPW)vvL!m6#ti9zAo5_-)8*7T4YC|A{o-hiMkXy}6qq7L^8{M(IKM*Ri1l*`^vzV4|{5PitMoWyJ6U)PTS zm-;*j(~J*_TsIiSnqAylb=n4dM)Ru+1N*>Y7Dft(N#S0|UeC5l%W&;~zBXI*Vsqxw z2trNV31mJr!oI6f#v`3Y&eG?`q{9y1iC1Pz`(5*Xu{3z}#*Qx&??%+%$bIXIfzOok zgNsYKXUhv*e#E@Nf7(-dEVVXcQyV<##RGdNf~9fc0!EyD?B$;f$35CT?yH{x=8pTclXj9_SR;gA!oI?&6%#_!`V!|E^>Ir%qJ>nFSiEzv|B zFCS&rnMIuZ-x3aaiArnD{IilW9&W@wm|zB^NAS~9DEhx^qt}7Z?U|PToqDbH+}JRU zzS^P4e`P%o+6Mo%XCL-=pdBdt%KdJiEzZj4?)yP?oLnhXpUCOvrxH)9`5I;X6jEPw z?V7&pmvkapO$%8IgyuCF@c+)dDbteg!7*99D=Weo`c0jsvGbd=bsVyIGFIo+)$$&E zuBS9tR*67z%;rUCci;`?xvUnm{S$$HIP3ZDmWlPeFZV-+uahDFz#C1Kit*u4BUl|q zt5P1YYtK2xBwJ|Z0^eAD_r|TUn|)#?%vyWn%e`dZXv6#7=EnL?-bS&v-W)ZL!u&{X z`X6h*Q4{tSf_JC15dA}GhteJzZ1=N=JTg$u|0bAJ8e`MSRbh5Ib&t?OY#$rNG<|7@ zv}X&MEi(dIC1~#S+zZja3V!Z1d2W1E8FW!Rl!}R7Tv?9zs(a0A^|)*4uV>xOG?ly^ zp`^^${x$}ac<03c2e}=U8Ltk(=OyCvvP<1>ElY1#7xL??M$347hC7P{n42RmMu>Z` z^3Ghh%sd@+R9Vp17&`}e_ei-YA)`ZF;^qBt*0GjjXMBv%QdY0%Drqr$L}Di*J)U8} zC$B$CKRX8^O}16-wfi_YF>vn09%Yn=Fk3@q^?uX#*kcvo)Z%N!f;we ziC&2)ax1Y_D`1hTDSG;e*yNkbCN=+hjBXzN-+>SSsm5g!Sh{C70yCatvu24D-?@A; zP6&UNA*XVjbKO-LOYSK5ybW+?TgwBt+bJ_NbSX+CgMUj?;*Z{N&e{!F5|Or1xnGT+ z{Z%-U3_=X{PUP%x5zReBChmbuFJ5;af`=)cz}cWfOkjHXe&%(=Z(2081pzpC216Y? z(T82StCtZM=<<#r5>#~cG-9~C)h{r^qofga#o!6Tu!TNw(=aL0N>d?GWA?4aa>R6! z?LV8R$uxtwM?tnMaXaw^#MR5GT3QdC_NrHq_d_L_{~jFHQslIro~sdhrs#8wttFON z#jMYnnh7DhWhIRR97GN-WU{=IR_CKVxS}!*9rF8P_MLU?bL#%lS250?bz@(RyT=9i zkaOUdr;wuQB4FTcCBEv*u_bsY`{3wU=maiR93+5G#~Nvm)mx~d4FY2i@65A zVWiIT-n^wo(@Pi(3b7J6c-AC~U0_Jj-M8Ov(B01c*%*f!8&HQvA?#>X^ytm6rOBn*)a6>SLIs`e|n=EjEf8q zF$^B9@pIGPme|7{#1^$O?PWD7>AhQiNM*H3B9MVDrkFxMy4)XcKh{yl0HU0#>z%b# zYxW*#lv~P1=1%C617ht9#{6@72)$j4@N5Bg7@Sw7htRrhr0T51X5AlT;qpy$(O?w$ z07tUhPJqDQjMt7n_|rPd(nqp~CqTj>zrbXhndOdctF$Kbg6cNv|9 z(%yqrd%ku6_;!cK2(OzClf_?hfxPQVxD|1zj+vv^6;HGC4kQG zDFMhCHyKs!j7er5=vwBoXO%Gk8Qm`8jOG=;mLA539SqMs@c}Zs`!r`7XJSAjSvzOX zN>(G88aJd+GD@)Hzof36T@2bxHj!0%*W`BM4vCA!x0Qs-(o&+h`K*52Lbt70cOQsF ztkr4i?fK@F9CSNW_vcVAn>JY#cEXD3#3=U>f&ATM75s|#-OK^aDS6GbOHIAe*0xem zO=p(1H?9*5ZmgLzR{!YLUB7CD_0KmhFH6#6aulX0o+V)FT@a50U#PYN>Cs)8zyuze zFewG{GA$QgdJEG>y>do@O)v*Ses7(*Q`6<#)OD@te3DN^58HB9LxJ@ui;jv~>ZI{K z991f1pGD>1#7$t#cy~TL^v^e)qi7XPo1Fk0E3)kV3qS3=qzjwn;+phGc@seuMdxIM z*kDp+dYIqZwQCB#e`LWG<)8H*<;4Aa)*rVCm8{qAe&F^||98d%VW&$E^jq|^HrCNH zLzWL0F^nZa!4nBbV6W5*#SK@(hRM7C_{nrrej3wlU2Or2jSJTxFTbrc$ z%Z3aanO`=Whc6ID+XB(W%g24C+ij*NIjPQ)`d8!s{kr`Qi0YXT?+5YR=?~+R-*z@C z42vjHnjN_O)ObtmKg1J324FKW^7E|t%QrN|;~#-a(NvF5wBi58Vk}s{=;mh#s7YxC znOu)e;4qysAc3*XB!c>L^~i@+kWV_a%UL0R_LSzpN?{w0k+#Plw=cP!hanGi%ky#d zy^Q%iDekAZ(ZtO40j3`4K*!@sn&|i_q&q2pM5i7(bFQd$&-||qNn&ZF)g={mp6h70 zUoZ0NDq~|}gNcaxiDPPTlg}G4@brF{>uU~co|dZuSLyx5$}54}zumiwFw2ieS{@{e z8ZP~b?QorqTX%|EU#yp)X{bXjhH&=#FzQ%cVuv$ygO`CUml^E?cwNII`)x>N;ViE&9D*!GakaM3s=1U`1}e z+#^;P>p$2$u16|6?$RTK?5#4eRA+YsL`aZbh<>L>RHVqOl=lb$5-2J?PJ!vH{;3(fR?^obXlUEoSX+ux$9NM;BQ)1FJ>TiMbro`)Q3{ELwv6l zqr*Jhdt_vCBw?1?8?N&V5d)d-lSF=eN)v+iX)i^kJrfn`!YVf4&U>o+%n1GoN~2O@^E`jV5Gh9 z@K^0&N*R8{C9S%4yAiS1Tx;#q*y(xnlHXl|i!mkj+=>smXPPbK^LZe_jcRhd!)+aD zlUF{`M|s^;ifQ?oppD7#U@7*iP6-cJhM>vsFaw9Q|RF7&tvteF9;M-pW0OTsKP8 zAI>+(m3N?reF}H!)kTmhIX<^<_d9`r9{w@vk!L)$iWZxT~c519ma8G%=w=Z`q zNYkZmF6e=(!x4-SlvQP5JMG@*BRT=v)u9DiXWbKmKDt=8S3FUY-8Ay9lG0tpP6%=b zzu<{{BnL5g4jQ@X8e`kqK7p<-+oq34`mCM(Nw`zuZO%6PVSNVBDC5$9EX)S?5$D~_ z5Urn4C=RjVCv8nWw9S6aIv%|G>t)?dWF`rkV)!eKy;ks|o_QXNB!1%ZnfNb}W;FGR zH%ZOcb;I}v`$C>Rtbj@6NjDtIMx(MhGb4=T3cV?Ff|hJgU$l&4M%xyrE1r$G+7!mA z8s&B)-+p)4NHCx5F+R63Rj-&csxBHSws>B*{!=~c&){yf- zFT3eXkX_Wp{r5*Dbq@yCEeo$JX%JS$VNSe%)Fb`}!1ta=vwyKAK6ueA8gB`<`Ph4R zI8iBF`~ij*kk`HN!j9pFH#22UJs!9PnK8fH_WJn9>&mE7)6`qr1hA~$>t`{|u)x>u z{{#mfMgc)F9JS<+bDOBg_Wu@vN;k>_YWA(K)wCLQRd+TTJog?=Wq-R`u$ZS^2S?nC zW1K7bPn<7DbmRNypC}i7(G3&T`0PLX!p)o;I=gIawWrMKxEc0siREAK|2}GcKU^U< zMIsnDhj!nUmKHbS0Smw(%U~b#fELa%y7GmxzfJAnDJdmpa6ZcM@A!rENIE5A?dsy1 zNmvU z1$a1yNs#g{i`fjm_h9pzrDO$FLvyk$RiEOGLe4s9RVeOIn=re!`?Krjygw6@*q4rP zx=TKaXx1k!h}}zg>*;IYNnoKbqcuSU1`ir8y3CqT>+(dOq$WMUEiy=o;b!Qj5*P*b zjH*M}G$b30_|0rQ#wgqYc<)ynV1UvDeMKlXP_MPpC8FanqNN@;Ox1@RE?fnVMXq|k zBY$2o`}E!;X$%>de%}y>@EtP z?`C6h=)n;X@{yN+#NAm|gtt}DT0g633#amvdvpUQx$-fNmBdY@quO3P>{_+X8QVvS(nps>_$j(pbIq0~x?exdfn>CFx?sl&|%0-dW zIo-alcp=RnWfD~qDH4S~?PMm~wVGBNQGuNgVw^-u$ba4(pUuLfEZr=?~ekE*XD?J-&p2Yc9K4xLT%ttC@548w=;ctd~6phmJ>4BG4 z>5Glhxx!10q{XE+#=Ih5Zl_poMO0}#_Ahrij|xV;+qFmmUsYMJxn%z)#M3}-kM$F| zw%f1P{dSnF){{1`9y%pTx;;iajMN+AA$wVzRCa>4<|m2MBJj%(G6EB}#0<+@g(q_V zd*rzE_aGls+jYBGlp%>`lrj0Kt_@3t8q?tcU6s$rzEyqsbqGWlJsr}4Ns*r{30TN< z=vkh6P5Q9_`j-;NG6IXZ^vO%}T2tR=3_&jdF^_w_7--~WeQzA!sEeG^iy>ZujWu2!g>Plr zEpX8)b&;NX?wbI^ipoi1Oa_EYnf?r~GY3Ei&NyOKLFV};D zfsvY;77gyU=xq4p-;djVpPaN!ID#}zI=VLo({yGYc;G+op1jGqVi(gFS^G#Yb~yFh z#PpvUrqhM^W$SGX*KTKUiDU+>>q)zw#o~UG9Q#n-?m1R97RpPYjNw z!L{u5CpLq~?u%h&^DLcRgZN#q zBj3u3FJ_c(^E+2%!yEh9Jfa^RnRgpLxh$7j(&E^)3Tc`VsGJvf8;*JpA9B937bQlJ z<;&dv!l-{Eo7l@EWn)z=k6tL^;}{$$2uzgu-obgJZfc;=Jt^M=&zi*bn$i^|%Ph4E zn3&gG#OysBF#I=Y)t=5Xa$vg!dZT`q?7C47VUIU&`~x=UIOT9lO?Yxq>y zrb~B5a%srbBz~^a!H;#!epPw|T~}_f!M0H0dFoJ-!2av_1wTEWuDWj@Jlp(cY4j7e zy2zZ%(uwr#TOVJul_RQAa`o8$*eP#E?&zA9vqYpDSr4Nc_+#IX*A;fKnT0w2vy;y< zC^nEUv3o%k%Twp>3Hm4QumSw;rUi(C$Yr%0;@xF(cB$(_x-PZ&=nj?Xw~lBZv__}m zmGBLUZd4(c%7h!T>Pp8xqmcb)j_Gip7LUJI(?cZ*<${LR@_7}B*q{hwOk2NG=pR+; zMjL(R_`mG-E`}*J&xPSMWje-8(?2X?E5D>P9$QF`EhdZKAOu;Gip6&bS-0kF{}IL{ zN|3*MLFl7S2+zWFnCyHPuE!>6mc?i`WmtVQ0V@2XYP1(w&rsb!{(zDgSyRy;-Fz7m zymffw8nFP?FvOS`^cU8m)5VWNRD+O8wa*b4MIeoclX<1e`3NL(li>2xoJu>BUKBgO0AKm^v3O zTr(^eR=ciW}6kgjJDdU@gpc1X@$KU(24X8qC^d= zOQkxDvt%so(Osy;V$km`uF#4fIj08TZ9qNjphuKeehT&{#Bb0s7T4G7?Qhat8O`&Q zTH?+oGDA;vih7O4zlS&V1R;k=z1~fDiH9w#Ie?w|N1XAo&v~Hr`GBZxu=9fhf(1s_nI#gF~~Vd(;2oL7PtN7QYWE_VKFFr z5bCuu@;m`&CQ$&52fe|I4h#D*vvb>vQK*Ar)K1~ud#2x3S5Cp_$)UfL%U zim4x|(8PZ`_OmkDRQ+(OzAp#TTtSKs=RN$wIO3+;22i1;JMxu?mT*>E+kXeft4ez> zd+IFup#6Ryjt%+!8AxCXpnbMj&i~>G&k2cT8uXP-l!S<2@FP+FW9q-WgRlE zqX26dQ?Dw${NJOn@jI?2Sme_;Pbtz;rxG77w!7Zy(m^H@76*-$x6Thghu_`l+!;OSj`4o#?rG* z!lr<+WTOpaD{+S(YE1Dkb3UO(B?yDKlyF+TfKBKm)~DqIv^}g)n=!l1lE57Ll*8px z{k~79tM@xMpy_PV1)L9Cu+NA3CwH%XuT=f2AH0@v^Eb<-O-T>8G}YZM^Wy0yw?J!` zxtSyfk8^M?rxuGN4(9=!_KLZh!O-jCuf)dn=ZN)fUPh^{#s!N6Eq1- z;vniF>BpoJ%gAO+UbFU)g`@Wd>~liMHTR?xaYpA4;DH1uC;Wh#K_D?A1``&p6d8V7 ze(LU=bFPL_nzl;sY|N+(;D5Cri0PKINB#XZ zu6!knjO-P8uS%)o03_T0N{JUWQ_!rr7O#poVlfYv;sMx%>U4^Q>Aij|Sl)$G_@Y`B z;So5w8YikAq;o4E}KfR{&$h-90NV1 zthY$<=q}J*JLHjhkN23_W-pF5gMypo_}v6%&6bD{0{>yB#2nIW#53Eh?~ni*mn4*a zFp4}l6?Y!^J}>Vu7Wk}t7etA1oYZP~Ca_CsK6}tT(QZuXCN-&=70p3rU>l1#IW-8C z!ztZaED8urVH97ziRD?BpM#3WfP$YZ+_fE}Gr^lHJhQrSqy1DG~ zm=el}i@SBN+O@7RU?`Iz_f*SK(D&IjgK5O%Jd?K;;H0XL+Nne=rG$YWygX3J(i1f% zUvCb_1?2PvO*yQ8e*FKi^p#O=8Pr8V@ltrl4$bpb@uAnY#+^8iJhqdL|HKLzo|wM}^%=)y zGy&2QE?U(MHyN-vXQ@hq#agbr0OJc=i*8L#44*u=r$@4Z~LW;+6mJqm>v2w|PZ7r*{8Fpmxs4}2_ zv(-UC6$;~3D|0t6;yo5s`wNP3bg^U+kVEv38~EnWtqU6(c3;_F%bJ!kKnvy#O}fx^w9RVTuduTR?jkKTw__Aq1>wl{*4xA&t6Ru)(*d{n@)+Foxe1;_=%T zD1%jbMr^qO71V5_tL3S$9If2D^jEwq<`(p@BQgFe+<`k_NM|QRc*r9y6j_C9dJDCa zIueWX8VIyJxw0$!b7uQ(rynmJTBeHJ62|^bfZ5jHR(+`Qcet21VA%F9IQC0I=fV=v zKlvuXX$M12AK%|ShXLXfZq#>8vu-7EfpYqIJ+T?jyIzw~nfZr^;E*y~c~_O2hvj&W zC5H^dj2ROAp%1qDt|0YRg%y8Oe>=8Yn_d@nsbYuCm_Z%fGVI)z(_YCB?;4*0^S6bSvem;I>E<6Z?3CuX%#hHndOJU%GzpCH@D4l>}L%O1aypYL!fkYgP6>klZ zU%&olpdy5Q$@P#LD$;TK>ga>6ucNZA){TzmuW52MEgfTT_rUj4w+DyL(r2;4+RY)6 zycoxP8Bu>@rW4&KjMC$dKSB%Em-G1<&V_XjpU{mgNbXS^L)kkrsL8BsKrx*$6m}bm z1vs*J`o^Ona|UMQtZU=WqY>7XPTQ_sX#q zSB-spffa1gNxS2la=azWlRMjVFE2$;63TmHTVWZ#0gnuMPP?GBLB{@}RX-(fOk^;sf*V zeC(yiDcsj?ezRHSJ#RC@PPn*N@|qv8S*>xdf>Lu043WaQ5yhw{0GL47`$(Y<^0CIF z^9JgRj36*j+_Jj(kgwYy`yABXBEE|c);dVGuY7xUYl~#KVMvpW&GDfq5;}i<2)%a; zXH@>UsGApe*dW`uJ-kGD?bamqE*;<7ORNmS$y6M}vn8BgFV?_+oRxxgW!>n^#bEbq zajnjB!O;W78gMhJ%dQcCBycetGRXFFrvt&ua0GHnejMrG)vI1lm;6kugO!H?SjMK-4SAnT!8Zc>{F*?6^nYfx9KD>b!9M3ys4LkWZn{tLz z7eIfA3q24c+VomjrwW+OgViUsh)wgUu;_GJ+)&?4k^&kw{4ZcZ0H@dTvG{mbF_`R# z+yPU{P6HrX5*q(|0+VADOhNqSEGOO?PG`P~Y+IuO;AGsYk%^CWywey*WdJHq4@Co| zRw^vgzPHRXI$NAPxm*i;9KiEgL(bAX9-kpHDY^;Ld4rdlDwNS~eGB{R*{PFmR^zr* z-J_SWRK?v`J4Ux_48sa5E{*Bw*GqT{rx}m#CdYdpwy7PjOj0`nH}`Pd}S_osINt~@se1O?;Cy0`dfJw+dE$Rw@-Yt{k73UxROc;Rho%2b&u~S$qBo7v47$-uUi(ZLWgkyUsL$(I)_L3y;JC zZ>Pwx``DX$^kKa*p#XL7<#B-Vq1url2F%HcT#ED5_$CQXPUt`UjRmyo&QT^Tqa*Y$ zKKoKB?C{9z%KZ|*NpL5>Ws6?RDGMfO6d!4sSU>wc=C5_Se0)}>-xuCgg{lk)tyrWI z8@BtE;xgkt%8Q;1)D)jTipUqxIvp17F}#5s`{Jp~6L6=F*8aPbgL)?*Uk7;sviTv* z6l=8|%CS8RenxmZ=IS@O$qae~aF*V>%_=N*HJY5xyJ(V|ap25DtTmpPTFl(DnJ_;+ zK<0+Y<)gk0xOU7e+8>t8++ezpSYW{PYcmqBf=>V~&Pslf$E9kBfMMEe-EyrpP(KdJ zOlEBr>5(!C_%QwE*u!l|-soWr1=(Nl8iR)|tDM}%xjZ+X{sac+`9NnLoA{_1V6f)f?{O2UO8{-*=xA1X*hxcfAjDC zSf1XV&kCiQoy(9OJ_go%Sm3Hhq4S&ac_Sbub5VXDEK2CVJLBM6rSW$}_8E^A?t))w zMqHUNWy7!TThOs2ZJ|}C9^Tltx9@xJVg_LGK5;OlS*;hgx0g;{Ozo8-CU{(f}_O__(rgK{UtvG^o+ zXxT-keD&#p@6C|#-wo80=btU=)xbV+&#-)s*kRiKV!HF{VV$#RXScl#l!$dGDa_Y! zQ+o9jaxThvC%;igFm{#=NIH5R57WBUA^yhzeO^0BqLPPyy4(#;5Fg^^81T2C3i*eB z`skJl`rhdjCaVqQyz2)2cQ#eAphjjKn>@=JMw7XzXy9?-lE5CW3OE9AQXZ_--^j=e2&Q#Qzw{|Zx)y2 z_mAR~FD&t(<6GBh{7qh%AryOGXm8bt4owmygcAJN&VFzaYWoOhTjh8gJsy@Ttg;$f z+^9qR6Z#uu7*jMeEAGVufQe$u-bNRk9d-ql zX1~KaNP6U`sQ=l=jDdQOf-Q_f;rKsSTjO||?3oAgao zKC}%=a>qROq5k48zYYEMN!Wna0_>n4yZ9Y_=$qqJkOy%1F8S;I41;8t!LUaX2w53g zdWLt4Loo#p1=!i$cAd6~$=)gXEfj1&syZ((5jcpsZ`$eZ^>Khk#G{Bp!wO+yBjlT3 zTehWYM{d3K>z+7M$rHy^2hj;+KxQsL9TSyfwJcU%Ata&B2`G!-px#AMnOz%MTq_X+CX{&3ZMNH2aY42HOT(^++Z-Zo_i? z0NQ@7Ui6*2-d0wHI1;Shs+`YC_uM+Ba-JrHQ$1+4{mBR8HukxMwk*C|qo3_Zbgy(g zJnu3qC+~)77mP=;MBGOAz2Qi!DsB9e#7Kv`j`S{W_o#=de!O)ZVtJzr89^N}1=kK} zeGW2_U z8gJ|a-;xYGEI?{-xJ@5fC~_UB915Km_Ytd8kVuw=<}7C1?68Z-**vCc#7DfS(1HbV ztx4xwgQroe>-e}Cko9v0O-`Y#(-yTKt#k9W<$>I{0eD2LQF5uhvJ`JVOw%cA z=hz@(&Ws>`#de+Ri%N_IWd4V(GpTLlhEL-V5_IxHn+ZO~|`c{LEhfS(TvISMpr z^8RdT1Gz=abc?50oke)Bl_|P4G{hK%>fBP|RUW@8-yGa@mJ^^Saf)BagD|cE{$P)m z`bV%*wd;z1kEGnL%x5Ald1&Yz=<~dPPKxE98N4#-+U@Jm7mJYtvUC4X#D0Ov_-UmE zo?5pM@Lp7xfg=Y0m%qTxdj)zM=4p=~t!j|Kpw_2psLo~|;3EIIHh(4LMYymwX}>j{ zDYZ2_E{j#l#`vk*6nmKE>ft!27}GHzu{ELyEz7K7!(hEoF@NCSuew@h$Na>%;uwNw zWgr8%nSPzmZ{?WfX`A9Y?XC|o`eq5mgVF8|*_1z&egOD+enz#~n$hXu)TiE?%{(M5 z?-0_5z8BK*1OBzqPoTsn%Ea@xGS?YtTyyUdZp0ZR9Ib^;p^I#~|MBJyTj-ryd7#&- z`xh6H@*)R*7i@q~p{dn4StD9hx~L-PHrrJR?Xhq`3fN)JaBeZIFjQ^;X_CKhD7DO) zqRq|*7{Z*SzXs0-W1JlHSb;1MQqIsU(bkJjnBXVCsBiK8p{^VE2M@L%uoSX5c zeo0IP+$SCI=Dh!@AtfV}(DJNTk~M0x=m~xe1JLj94wPpd5e{Uf*NWf<4UB$~rA+bv zhJnkObX3EGe-MyQbQYrvU7Yg|vc%OoXp36KvsN0-t1ToFZwp^mX8Hwx*Cr}i9;(?& z*Jo#e+sp@sB8SW9k+IEbjRFVpRrz=TSG2RgBIX~&`Dt=b-5-fYbAHRo)W*fR;^pd& z1xTu2uu>9UEViPfDtEyunkhyq>{4hq3Hr{^bO&q*%Flm?M~uW?ANUtR%`g!J`xLq@ zW;v z#LtM5eh;jp!Yu8Qk1zgs`D6ip)_f2Ro39MIq7iS+jkHA)T}8BLuk0YK-CfdC6E`lC zyK2<;xJ$t-wWW3Sq%Zx>B>pN=|R~*&uOY^_xyy?Gg>IN@4Gh|`fCx@*im$MOBo3H4cp1|)2}Mv z!!9?Pb3p;T@Eku40}{ZxG7F4sn8MQX?_si2%|1flC7;%UB~@k9X@8Zb^uBjD+k!Fo z#b5V~#)i8xdXK4YHk9YnUbI%nhbolGX_E6W;deuIT}^2Om*W7^;c25eYkWt0`>*Bi zPKSs0!Vc{ZS`{3`+PMzWqnGjrdbWPy&``bkx=9$cFZ+kxVt*;P?(y-gNF9#e8M=%k~0g~iiO$q((jM)Y5G zT2%kmXSCtl-Ae@b&b&z~=VpO(A!7jsgY7&2f-ys6*z4p4Fcd;J1P7I?@{tdjbvX}% z4(r4CqOnp&Q%YUfpq7v>g+?tY3(xn$lkghH6AbB8=l?a}rLhHyKq=OPX7vusEI;#E z&=Pat-tr%7;mJEZYiF7tJ4-l5{6MTy9{NS5%-zUi!OcLndZ#GUkG*6tUGM%kH=F-} z3$I5q$VbXM?v+9?mHYoStfk)3FwF-b`czG3n ztMF2r8cY5!Q4oXt!&4c{63;ptRQa|D38zjLzfRfrI+^9-pdgCUKQl9<`UvYGB)Co` zq_9A>yrJs(=5CgroLPR2zT6=%oV_^@_hDmKFG|082*bi^!G7+m8fKOw*&DCy57=9Q}{IX z(ldiqJo?#ZMF9ArYpI*}rjo7%d%-@n{tpA5r!Wq6ac*_fCnlhn=`%D`OT^^K2Djge ztAJ&+a*Q6r!B_)BSqko2T@=;)fdxWl&61?7k8GuKxcBt_jf4?f@JJ%cSHdm|=S+Br zCXk-*m4_@c+oxwproIW!k(OMaS zRgO4Q@)`RFLK*jO*edyH*{6yb69tHC{ec&rQ}zmBk{?HT%zF=Y9U$CrbBlaVdkY+e zysPnrXeX~+vwC@8Q3rtfmGF9NO+#Hgcl7*rBo9pT>qL5Ec4+*cm%=t(Dq;0D7n0y> zS(`A?Drtt)$okqf>Vj;dKRg^B$agc&7NRP%jw&q#H>J8^d4@l@-bFdr>6(F4Dci%# zZC(d|ByTN(vIS-a&8&UzjHR^8#)#j?Px)+!ehxf`^0_7N?X>)*j|I_Pflv4jm1F!& zd&cKq+`?vGdY*QOIz4Er=O^U7%0mUJ2_y}om0JVAE8{kpvPKgYwZO~7ds&ETdEXeg z1Ey?H3SR5Yd%=Fa71N{*02^l3)^fAKeC?HEMA6>e=Kab?Vf;aG{!R)4ErvN*)uYl)?LAkjGwC!M<$qNU7UzbAVMY0Xbkn}O{kzofV#f8Q_T-nlD4(e`5WrS- zs__nLGWnLh>sep)bC-!Sz$+m^ni$|h)97@f=+9#R>5`&@!s)=(rfZ(d3jYT zZ#x~0w*rS>LT7|%Up=}db@|W|>3Pdk=^A50V9KF)V?SXT749sn)BTPi#>Ol~)OZ%( zXft$e&VqV{!&XUy=Y9vxT(_geHDcnJ>@a1RSad>0qcEgJRXS|djC>}j0i875$R<{! zntbJ(%maCVRPQx&#LF^V?U)fww((8#(An`O077?2!$wz*xTYM7Q2 z4ded0Z7Y=`pY6hP+7_JrvI8)Pw(n-bC)@O2Wv9K2I_lKh;5T5&VC6UB@0?F);hMYd zxmCI+YW+OAU>}mRk;CVtRk6%XI(NO;_F3V%ny1=beNc*B)6QqN<3U`ZHcIsNl`6`YHPSUw0Y1Lu znA62k6s`zQ*gP5Y@G{W{8xrhhFpN0Hu=JPk=#w{W1X&(DU5&QMuF0@6*Qoo18NLQv zU%pXex1YW*ST1m$G%;_@8?KqeKj@h4v%dR8RHIT~4I1;cJu^{Lux&6TtzVO69P?6= zt&!x{#jmgZNx>U=2=*+}!MF)rx8AG9gs0Sxi|r|tMi2Jr!W-UlM4Nswd~ixWdYH&! zA4f=@ovJ*}S6wfykL=M@DQwu~`!V$}PvXYf-6ZeY+~6#1Qh)mA{2*Ej%n64Xr%w7P ziNu+h*B5a|JNxLLQ>CJ{ifOA<@)e4`6$-;>=rfd&(;?1*L8qak%*=xrnuZ-E#^rJ!f9*Zfr%5h2;M)QfH(u1wpEansk_fYYP zgFD}Ve(}_59^utO{F{bSc7P@+!Py>{1Ny*O&@Gt(T0)JOB4GKMg?lH)Q67IbSsB5K=z-h zjlQ+b>sN2GxWU>1hUn9q^-tH^M21Zde=A6sE08p-m~HOUn8v3yQ=B0mVE9oCBF?Sy zZ)Dxfuabm)Mw>Qtj`*dxOFHPogY{+2%%2rvzS01f-t^HtwwR|W6aBiMQPi;+>$ZSA zI!ZnVKlYnd#C&od?%14k%KJ137Ch1Jh_6$6HKAYRnGslvE-rVAlUuOqQhRP4q6IQ~ z*g5qle%kkiscoPduwf{*F`sKNHqO*4n{3ba(K`(IWCEBCLP2>!)aCU84f@6#xq4Hxg50bd%;X`4j@wSZ`Ied z8cL4N;_Kry+jeoHtia>9l|pjlS$%|E9Lk^iUm=4*3&b zD+yZVkUtt*rjbr&E5o=u_sV#5UF9c-uJM)V*6pl8D@bOY>dH%=Fpt(*fIx-Ey9%Bt zjwl4H!(|p_GMYRP!tH6Kx$tc|zU_Z;8D)FaMzFSnwPZ?RS+kNvHGIW{D5NNJ>xa8W zOdnNlVUA^?YqtbjrFQk5BCA(?MSgXek|3|&@e5nE7;JqYc6=eEHw#ln!>j$WP$|Ak zrk3)J2FtPHp(2%rmK++}wDJ-T(9{{rIWi%x5iD+JVq27iJa!MTTj-*niRb>VXr?=U znz2z?6yNnQ;y(X^aa;ksTRwQExn(MmPhZ5tu)g@#RpPV;O|O>nox9!6M>m!dDIU%J zR#j<&2{{;)6EB?nsnfuNyr$x9%G_&awD+gBKe@D2@ul$7@{~Jq-y_$)$4C37LniCK zA25GqxNmaW;;vn=5mri^$IZ*f z^4N#W?mrcFY{)e~HpId+J7OwMx;kBc&3RyJ1fFPH^{CrI-VZ3`1st`?2(mt@ot8Y4`U)pkGl zn3p_!pH!w*p$zC;U>pSos3uX2qajoSqxAT#9`ObL>6FB2EQ80FRn#JQF4Ggvk3@I> z`mAKUk44UR{#Gz>&97dI=j|O~RD#_Qd+~Tfm@hsUUt%Y#{(!N`3hhHfJ zD_N+G@n26xF+3{8Q}6FePvP+$5g+xUQDcAW_mcBP5?zBaOGx!y8pKNFHMeMTY|LG= z-g)}bfA1T{8TdE3d!Fp>x{c|Cl>gUWz}|A!r@y4(iavH6f#6Ny9!9w5Y8`yU0u!)` z{OxUoUjd!am`+t#H5x*N8ZMRQJ{B(eHB>|YQ%ZChq}6Se#z7kaL^qn?GJc*S$oGt( z+skHDl6nVk9KXN;y11Idac$Y^`naP1-XH#!IpMKgNsu-ActBn@;CT<~U*NU~Rr1C7 zqdhmWyqS<1?acCpN@Q*uCY~sqvQ{) zEF1KSH|lhkG0YcGMe@@8p_6u>@IGBQgI0THYB1{vam$KkeUzsKQ@nYEd<0*)P^#*8 zTugvi_45w>^EdYl{VQe7)u-z#vq+A!5YC6-1LbTu8RJufd%bgy<<9stt{#e50Gf?* zb(MR3Q#hOwnTC5dJ6|>jA!D8o$DTfc*YZO+Twi9-M%(1sSHkz>`R@!pJ1k)rjP{^%}PPY%?+x=pJU6hqN12>0|s(e^YQ!>Xu6Tk zK827l*G7|{mptIn9KZND2if#m)%sbf;Pnk%3B{4pJ$>E9PpP{uH>;6+2hNq&dJ{}o z$(?Y$K-)N|{VcxXCnzlR_sg$bz=%)p1EJ`abdH?Rc;|g;rK32{Ydvt-Hx`SkHkgDS z$Z~sm<5W?+orlI>Lxo2np3Gh_@)|C+uyo*&difVxeV_YMp$TZTlof4aBhrTgWT%qm z#B*q$O!ApVP!6Jzd*C0w9*n}G8(e}N{J!EhM`RILQB&BW;ziU~M#4w2rvs>Y(ebaR z4|L-tB+^7gMmN}u>e~l|Lf!Omdh#;q*XFkneVmvnbInP_`G(ihQLE78e<>TS|Ha*u zD3+wf*@#ctJBulx8fC{w}eI$se2&pBh6Q(J!a zP1py8DTFFe)%YHFBsNvG*d%Lm4gKx<%{67RK@-|PB=(ZlHnyTGk;i#1g7y8~UghNV zS(hrtnu^1VX}F(PP(vB4B^$S-zS?Zhz%;(Eu-jf4(X( zqp=5i?;qgTx3#YKr%YB9UR`W2<#G$=u$&G0;9x%cY4b(Tveri@2;!m~H-5u+Y3RjM zSqkyi^iOyDl38s?qpvMprYY%-xxXJ@MQ&Kdz+=oFdGJgmlrekwNhMEKlf4ejieGF} z_-7nWemFc3GSPte8=gQBM)D?^N9&8N+pPz8T?@;9e{S@l%BiMgr&i^y1=2d0^%X~% zVsB+kusXL$49DHPL&v3j;`jO0oNa;2Z!{N?0$h{* zt;zrq;_ST1YVr4&F8pX_$}q4udL+aIvuNi(oWuG!fz(ovF>~`s8-O?9}Mb!~kt`zM=-$&X-^r+-QD;h>rbm6<3 zBU0X|7h$eTaN|^L)1!PAs=k6Mp~*4&dpZ89nk`ur{F`~i4hUUOCSyS@rp>TO{|YjK z43|(^x0+QTqaZbFaBmOFO{c2Ixl#@Ti!-1Mrm)^5{~rctHb-uo5U%~lMFHV zV0P?cGCmseIZ7@9N(pau}3l@l7u|Oq6n@8f!iiM97#ZzXXCZ3 z*`r0z5UNX}R);>E{yMOohZnnt@SSI|{MjKJ-5>CEtv!EJf^WX2yotaov$iG1c&14@ zEJsaJcBo~y-lW~zAhnO*g0`-;816GKq+y9r%ixvTTTZq+DSt2bPSJB-^W_G!iCp?QtpX)j%2|b$WzaW-%+)@0jg5zuwBiMle6)& zxqEKLIIr3kOv$d-e?C`AA9TTYE>cJi7w;EQK#QkjC0X&oNh-^%RfK|BFid4Vf8+qI zZ5ip??D!1DGd;EYrl1HrX`?FftiVg00{lq#1x#c6wGs64DBH~@dC>oL^>(Q8T;6Vg zL%P&tis#l|`#*~DbsauWh;-{B;%$0Q{8-A%DYVY`g$$AIpO9Jm=GV?A)AM4A`&muA zsBh-hH>A(|x_0_2dxNyss9?;l^sWAS7-@B(92|+p7W_V98A6N7?(`VwNi8$deu4bLr9A-_1>`LY`PbW#AIM%1J<5gr# zi)`D^w)l+u#FfDH>Wxc9BR+O=yn6vaZxPrkAL+j47*7)5Hf9Es>`HJ>#JZS@w#|PD zazi%#WXpOwGE~L6HSz0p;D874a_mkypanNKL43AM^oQ)vA{~zc0y`wGR60 z9zTUbw=E9VI&dsLBdRUPq%q2{0=rL_ilhG-!=+q|)Ou#d*8J%xeW_9*xleg%kfX|u z=7pF*ct-K-7qjhc?9tJ1-FfDIU~lVSvYXj9{T?Y*%0aH31lxS`Ga1N=jF%BcgS<}V zNIMT>pN@JrhDywgQFL@mfBlX{hevN8!h*mzq9J14F|nC(eBz4nz<1@OrZe^p0rZJ{ z9i?N3EHS%6j9DI4ckSrQa;}tvU+D4@B7CJS4s!Peo#1l&l65yt5rxip0nzZF6j!3M zIR!=!uGjC%Q)GFmcP0j&$X@eC=ezyPG`+K8Oha>ah_KxX;oe&6p9FQlJ_!K~aY@3=HZcIPciFHj_L4EyjPRSd!ZA-cgMXGz>Byp;gWr1S6Q#OqcrvyEjWx`s zsFp9f)3se}o3d9PNY|j^rnE&45N&L$S=>Jv8-hNzYpRk+e-ND)8v{7H_?WU=UIgQ& z6vwW0h#^FiRE-YVmoasr=s3Rel)q&}eta96}=xO|w$lZE*vRxeXyiOmxXf|pUlWH)i^`igy;f^z{f;|@Qg zRg?ZIeH1Rktv=JoDora)T?54Qy|5xbLwaF6vSruD2Zu7D0sMc9viBr~@jtpQ!;w`T zXz8Pv$j)iim0yg}6eC)}@@Z1Y$NDbRtbrcmS_;f+s(ma@YJ;O8ilw|UIi6JXH)$hmz#scr+6zMEAlwgJXAU_i;s4@$Ht=}KV8!=cFZu2 z2uc>B+&EKNup46OI}ZF=Cwyx*AEp-#2}ojkf9L7pp&>fM;fAs&T31!X#K;a^wl){H z7tf~{F*uCz4Zc7Ihyep=GxGWvT;`mljxypFrH+OBbR0Y3YQ-ptS1DTNZ{nm9e1IVQ zc?N5$-%jbgC0%?~mzDhw+2=A`GR;S1xNAk{><=ignb?H^BslJw2yq5sLA!B>S^sj} zcEvC@RfRM`g9!p`)geWy&FAs^P!0uNdd%H8$74?Nk`xaq{kc_M#D*p8w20R~AH|$< zp`IsvHX+q{?vd_k?_cvAspt1quo{7;?{khA4Jgf#y^$@{+|K!XV;>W~E5IysGz+&D z`dfmCtG0eqX!gT_g=yH^tz&d^mE6~eI3PN>@3F&sN%TO`odZQi?9OlSqDqf(;)$8B z01#=-?mtjI>f|tRRgUlmuG@pq4ZmUND+%_594JrT%3#Dfwe_I7*WW4jD=Qk@@M@JI$Jk4T{4dc zUo4-pBKM1r-be6otM>nT@&>nD0B5mjLdcabzN7VziJg+YP-t~DC#MxIyPIqFJoc+s zY})&-dWA1q)SJ$ZT`c-h6=~*pg(QiHuUd1PfcIA%^zJ#w1uy3m2Pp$5+1Qfi?P@Fb zUtF)(C!8#d2T3UX^328k6yiojyx$hHLg){e(-$y<4GzyDb%-5qcx8#@xPs&$E&jGI zKx(%@vv`+9e#g68v!cDA_vbCu&mKedUjNzQ0j&Fr51n*!?<%4SGn`%yZ`Hg)BcPjlJ@)hI%7T~`i_cZR56A3xVPIHQpCXG2PqI)~|{cCMR! zbDqSHtrxx)g`$E79KDt*{7Y%<&pTENuB6I6w4NH* zaH`V=G@RET@8~=JWsMj;5p}0#@{8JzBK#mP5OUXUJ~ttS1U0EHcu<9TkD5a)eI_90 zQUg*5VHLZq6T!XapD?qiEhLkFKU=K_djzV5jq!96EX{L!N3a5xPQ?hOg=t9T>z2xL zt0Nw?Q&DbxmHDrmp3G0`(?mKaX7iH!OWKHcV2MZz4dN1`dO}H5Y!@<~j#=}ziJQt- zkDFrlH@YY}N$blNk`A+8f@2IMnTgY@&u+tG1U>zdl#*#3cNO-_3(k!}!9X){)B_)aD~kJ>XcE0Ln&4uIzw5(6&jjAiX(K9JNAu7j1{I_N>?T zsueqSs$?HCEm&yB-P2czOPcs=$QT>GxelK~;W~i(I5yZvEE!UEDD&q+ICfm2VlsBxV7i`Wa(>`mB;3d0DKd)1@-A^BBKPUo2iZ2c; z1kN7`2Lqkn7gjjgQ{;IDG9J`_ zKqvlmH`#NArBmO`T!oqP0sHj!JGPsWE7;G?R?F#pJk5kz{tauj*qCC!MW^mu+;gB% zfWzLA1B*{SRfVzNVelC#X`8qt3zl}8b!Ij3%9YZ?I&^^+*E^14(jAIF85(2MHIw&Q z;m_`;*ounPtyn^_fj2V(U0XQVn-omyl@*`A0QsuA$?&_Kq@M^@N)PE?wDmvK8BOvtLM%I(wy1}c4Fq@CDeV~%CKLb#_L>Pn zW#J&EB-fGaSwvnMTZ@vZd5eOGKi3Ofj{p!r>gT;?YujQFaF;@F?U6=}nh*a29*&1$ z(zzeUC8%{(vkPzRFxr-O#Vw~^t#8wexBK=1-2N4)vZVACB+7A5Q^TY1&W)eqTyY-Znpi!2Tx0f9U)S*pVvPveH1cICLmLyGk&DD7AX6C zeo)J$dl<@BeOWPs<)6the(q7{sZQ4GMy(hI33`3*u*|!98I#`$$pX`2ivsQeW2|^6}Ese=w=sen#r^WQgC;QOvA04Iff{1zPEd)cnw$F-*_&*}_PY z;g00bsn3u9y%e~XX5a<}AXQ^!Kz?nS?wvC|C{0Q}s(b*^ND=%8Uf?T#{MfefSD20( z*;XwxO;hQ}n}(h5OnzOFu6ahU{B+!Gw4Z|v;@i(27C66vT8308^vsh#h?HmR{1ghf z3GwdMx60YkLNXTts!eB#BIFth8l~FQYz5OY-wgK`*%3eA*QV3-4#S6+n{2?~0)ABA z<(VdG8}p4my{6d84A5kwEW;*+=R!oc8&p%6xxzzJ~nbI#6igm~y~FpezftMR9Q zgps3ut)*v_7)w_5{4dm*ld(v~-4N{)Ym|`4vi4XoSZ^{aA8>i{jKR-Cn)Pmm0yN_V zy*i6+M`V@H>~X!A?)lj3P;xfW_)-K)H^onyS<~I(9WaP@LoZ?DE`{p{?WVR z;Rcbz9Ki{cEyQiB{oRzRmbi~ps-(xDeb5Rl4C1qrcM?px!lL~QT3r}5BEhE>AZMzv z^ltoLMJ83i_UD$vg%_GB&Rscm&AR<@NU#g0duVh(L=sHxt#{s}ct>KUZ{xO(&DK4%Y+lsT=V+Lc{@)CzH|%HfWlX%G@8I?E9!PxflLs)iQwna+V#FSt(03bXkvo;;@#;lz*wlTjiba2OW!}i+w)q9kubChQ zGhM$ut@vL$eliJP71!9Ci3|F(SU6!Kbo5%IdEqnVPI;pn6Jj z`sZ9s5@hh1Sc9%^K`=&2joyBiXj#ss+w1SKi zw~4i3gsY$Xt7QhIo`hQ~O%J)Ec+|sga->mByH)e`Y5_yI?@o0+l1F6u9;p`kidv7a zceSI~l{|HFTgp#_qQ=NmIs*rB2i*ek;`TR^p52y%=;Q&0#mjq$HP<7-)+d6@PobKu zsq==#+d`dT9L=Dt^@*X-zJ#2_kltgYJj9R~CXy?I^EXY%o%j4J7Qa_YyCP_zBqzps zYngY-YgK)w4Am=efsi!y+gl(y0}Yxzk|M!+%ZtYal%bH3C%UIr6G6IOM_corR>FTK zvNh``(hch)JZ0Fm^!~G#S)aroRCUlHEu&!XE+*_|utgGQl+<4gw#R>Zkgv3Ug_d0T z^DigMT;jQO4`Fl{tS|lQEdqRMd`)o96O&oVcZb{FYq1o_0a3w8qn=LmPS$C5Mu?4}GD0y?vAAH>xO2eISW zUA8BN6RVw7191dTXFyF7`%YJmY&TC050DYy#m3tn%aN^7n})Squ=HFn_Ll?-xbyoV zy=HG6#P9{@)?oM)piB8ArG~b{boJ6P!7?@Ctq0Y2_LoOLhgmV+w-l>^IyKEfwYmM5 zk6oN8M>3Su;xYqt8*GY46VhD5{`$S?jrSb5?~{Dmt<;bwn_b{m1D9Z zK+##sXsi7L7Lz(Sl^ojMcTH$tSEe;J#)`EQVFVMaA0z?{w~YP_{5 z%CnnOra^}|62&d&D0OW9U4AjK)$)z&_eV#kQcHKgxzbqbxg@x0kaoAsl#Jy=dDASX z?zGT9FTpy7u;bb4oH$QYh^J(dR%8b(vjMLK|EAwtH?S=65mXcXE%Y*@IugJpgNbI( zr5&6(X}B#i`>+;Jp>PqOIv@OD{ZE%Nu~EduAI6;eNWY1nlg^#-L@@Tp{wX@KJ7rhD z?%k<6sbS5-p-|V`#0K*pqQs$3oiiWgn9SsctuMF;Oo$Ga0!AuM%CND=j=++5O=HBy zc9rmFYZu7QbZP<}3sU5qrsPvQgVIa}$x@5idyV==TIphT3YoUrf~YJ+PK{sZ9el@NC&6z}cztm=- z3t0bO{*fxen)H(MTeJAF!#d~cy~;aTgUzn4N#7t+L`^nyo*zkeaCJF2D&Cf#Y7oE7 zP~5eWx>UHze%MT-ypezKpz-fj;ffzA_6G z==i=`IeRxd@0w*WvGmklRBVVk>b~W;LUf+rI~(TU|5I2Dp<_F)e5XGLb~QDE_{E*I z9xrXXR~YR^%Ja$q_YZilwT^Wa;mvf@gu`7fcNN_5`AG5cp^53l@&}@s1CTyj4PrLi znB(nZHQxs>Nd0S)p2DxYMzRu|nprov1tvTD`^!Z|e;9tkLH&t0$`*A!=gNI`i5-Y^ zNc_Z)hxRg$@9xSmf>A%dd&q$#m}7DNl!hhe`*4=G(=zeAw|e^*BIu#jpT`$;y}p9C zVkh&6Xzm1lKf5 zA4%0#aWxFi@fxOWrErkJQYs+y6jzPMjwPbde|FaAR0EEVhFNVw%%cvmS&9U~1swi+ zoQH0&10qik{}%F0g`F%(c;m;anh5$CG7h$BN&Ow7TJ%HNxz#3yeRo)mvBj@TAAPZwv=-pwjm{8R4p7H(YQY_V}b0g=`^@5V@kS4kZPW`nz-@;5D!6JiCh|ZDL*?ZRk+a!$8Gy^8t~a?6Da@Ee*{> zr-97PWwpUKQ2Zm4Q1Pfe3dU{W6}eJ@re>~4>~gc&h@mMHo^z>3DAcUU#_%+l45Tkt zck;ROq@D3Lz}CVqGAO`>cy=hT+~?H^F6H^tmH*vBLdMxy4_Q}&p^yf%?KJd(N80ww z%6UJXHvXrxsf!U)1w~pgN5t=z^@HSym%{(=8(Hs9Lvtf~f{f`dlN#SY*_(We`(x;7 z$!@15$sN0A4Sne0aaY){w&xosDk&=N_SK-?u}* z%~$p11l%#OdK^(x(AWO>Bd9z?%>Wixe5Qg5n$uap^GU@ZbiQrDE0~n}BijMSvPhJh;>}7G~na5zh4l~ibczYtr69O3t z)Ab@T{5IdMc2zB^r!th~E1lHo7{}$^?tZn*pqq~l={P2c+$Kf?6=q41RpQxT@IH0I-+8>cQ^Onb?d<#U;|WGj z)|^~nZtnV~trt&mr7b5@J;Qss9G2q!i&rj^1#+gsbiwO`%QGi0wfvrgiWrlE?N|_W z`#(*(7XMq2;3GQ_g{vWY#HXcI*|sA4_REgNBT%%*u9pWuRmKuubin*gHBj6L943 z=bd6htq&;o36&{V1DLLe%D~&h^6BMA*Ex89j`ZyHd53LyYH+d2^Q8U3|8^^)PCPKy}2>7#6iE8eB;iuyU$b-ugSKBi#iTClk}0e9W+ zQamnKpVzImw@!YNbn$5y{|g(&hQ5^u{rw6QI36H98Xxh8W-z!Axm}zy-SyDrnrs}L z{l3qOoAF&xLVW(Tn3&%`oa+(%weRJZX4rGzu((P2YoVJb;r{5k-tAb1KR*Z5hk42a z_Sd(u_1Ps)oCbFI47Zz{=IH+-BISz6Y<(3Qv}&V=H~pxE>%=k*)Ze^UyhR zRE+uZBS2>}B2Y|o!kA};xX0ie!Q$Sbz~1TReHOzFo=9rK6`u69x3%{XAqt~^F@FD*N&z>*p%)1(0p+%wb5EXP{bwUd!V3Jw za+&*RXq!!4vByWvOgV9z2_;cS55!&Rc>QV0N&WPJzn6``yL7@XmlEyvqM)X(WGGvn z?6m~C6m*32YgTAKRDhOe4BQqH8wQETxxUxpoVQz@Qt1q|>AS8YASqgs!YOS7@sT|E zxvU#z<^=g>?B`SarL$)WxOY0ogs2lC8Ql~6E4rNi4L)EL8X==4tMQW?n^+c}a-BH( zS7`MZ?xyHomP#!g=qoOcY= zGa>DL6TDFqgv?y-hDr#4f5-)P*jpNZZH13_xE!v6zgzP_G!E@6pKV9QZ#ntf^6-dM z!)9%)S0o3F(=s)JW`%ky3jl*m&+BLyO^Bl{F@E4S%PZ@=PtG^bEo zS8=jUJ`W=|R!x^+%D|{2{5>Uc?PsWS^t3i8*-%6K&_2i8QLWLiy*>k4@!K{AN=CRh zerL@-QLP;ezjr_po=f3D1#E>$5lqe(5#>?&Gnx%4Tp;k)W%Vxn{B-c>0LMp3G zZtbdWKT@Mlkc;`^}i zCu)TJo3la%df@^{+|@Mq7<(MYGt`09B@Yn&9Cp878ovRX%Ov@o)GEjXw0b{?{O`SX@yu8cxo`H?;@2xQs_?~k zZH}B`gC?Qht~^gs*a=1WIEodWqp-mta(LvRAU1^1(dr55mIG{UVtYUkD@ufMTM#U* zfuC^()+XUjoT`JpcoUATA<6465WeO>VT*DQj&+ANpT`fm1LXIUQ*TGq{m+U_mINa1 z_PtFY|FsfLE6<+nZ%I6Tragyw{3*f74{cY&6qEhFlb${2ODn?r z!8QqUWg=C*3aygL;_%#V1FRCovG^%wgAhVXZ{x1Z;N1b(^OPhC4`LikNe5bYu#aR4 zqSmU7p1JJVz8D>!KxIX~aQ<2lFFe35D;Yo|N^K2bruzn!^#y+D>nA|T7O$Waf00=V z&y;yjUh=+8qU}Oca4zNlcN4d>x)REd;kkWlW-g`rFjz+Y^9{qY$#$ENd8}ZLEp2vb zZf!UBCZkY-T7Yxsn_ zTwDY+@g}wuN9(AyJI^owynD6F^Zt$;-*iLrrp_mhQ!Kr0PvWj zy_gLN%v&o6lzWrJkM4f4|AFZ03Ce$#KA*ka#rPy|q#y5_^)K8yU!sUy^yBKxPrW3PEr`9< z-EygbtO^Zy9ItI;Hu>WqWD_q85j+acpd6aC^*F9q`NHm(7sBtjzE)iv)_T!2@a|u! zftz$bwm~p;yE6k^ZA8)QP`}qq3sCNDid2rCOsR+)*gVso{`a!-3>61stzGoK1<9gG2j_Pp6bG4~=^Qw1|pLo4( zx~Xi2+vSE;+>n|XYJIv>@WW$Kp+n#^-|e(ye5OStBLj110gk_QNBv4jzL-zbZTp>N z>ZX2E;^5jFM~nx4OD|VCa?*shwI89({+Pa*c%zi5X{IcBrXDm~tD1>=cLki}&o~|W zb5c-u#h3EHgZsRdY8&z20&}*!iu*kZQ$Ajasrt_G9~}Evj(~VQkE?@#X+OklP#8B28?=?~ZowR`bx1N$QA5 zsEDwrlnEX2#^((XR;PLzB%r6G%o;}F4NkLIndb4KLK*4OjPzea9!JF8R^e;42T@Zble0B z0YooQfSY(?Z^H_qA!{9Vzk6!Tn{k9xl*E6T#+HKHL_Xa$`G@KMbEH1NCvHt~;Oq^l zV$Jkn;YgDLaAc<3j+{=1Yj8q5{-$AVxl(Qm@I3{a7BHjD5mI5TH7vM8aIU2f{PhsD z2p$kpYLfw7(d35$p?u0+R_dWJM*klv=cS6?x zHp`>nqBkz>-tERu|44X+02mwN1I71Aymj6UJ-|2$80f2ZFQ`V+Gm7a7x+}lv&-OJC zMqC43HUBT#(-vzj>t$)L7lZ^11T_*)j=D*+Ldzk|_=cesISd-x>6 zA;m!9n}2^!@KhtX665NTa~gBoEX~+SAa3xDG}Pzo8PLT6D3|#i0}{@QKw(G<_i|F? z=drA%pCrtKJi#0Ouz@-+xt7lWsdry=e#(H8*v8+Hk6tv%5Bosad{X`uQm0hgK~KJ~ zbk!qLtVOt}eCjJ+M6&98|IBqPq|^Y-#_!Vdp%ErK0Hqop!tiaJW&3?XV;)mAO&ZJ44-{brl^Z2jwBFV$80PV>Pp0>wOaWhDCOT2xS@a{+35^7$e)} zuxD434YmsV`K6K=(EWs!Ysdzzw0ZSak7OgQMH+Y@YNi*tY&t@Ph=L`RGb zAGRvgcUO5W=R3WWTD;1)Haq#(E*Jb&I=aE3aOed@WGS1z*iU z&9NFQWM%`vKZD6;)_RG+zGi_eP$?r+{LqlCA%{rH^JDY@DI!zJXOD>3CBiV=ar1tm zMNedFD=4q+H=;>S^~8SM!@m+}h}>aB6^9@VPT1sac+?o_Y#Y2WLmn}V1iKke$v`Mswwouc8R z>?z83wl`C}IO{Z|urvo;_ z9=_R{@c!7xU#j2x$I7nJO@NT{L`lq!P>Fp;TgHsQ4p<2~_vP2g(A5_0h{f(H64|kz zJrgUeCe@W7FJ~u_wr2|y{|OFtLgE&y~sSMP3C7RX-ry&Wr zgZHJV(qY&a`R5D5e^BfZ)*c<9Ut5XbGsxq;-EaLO2iQv^iY5~t{xP04PZ_+c4IZdC zpS;Z&QtR2?`qGvpl)Aq$iTqA2U_fS>0Plk$$@Ja9#e)z+2>(T(`I~LMNGu+PsZHha z1oBPDPU#i1jJaICG5^HeGnVE0#j3qaN&%Yy){bcbGO0Js zFE{5cXL34SnQCB$d1?!@aa>hA+F;{=R}Nx#b#|y|kvr=VGtJTG1>AmNo!8{oEs;TJ zIpve+xAVC3WjO&KfK5kMqznjHmx0=S#*mA*0c#=>ugFUX07r51&gPN9u>38RC|2;P^dwxG?XlG+WH#z3zU=J_?Cimr zh3SOZZcGtdG!}8a0Y8#+N{G#qVF3i2qx0cFmdVUKpM%`#)ex6CZh<`2=NSeM89cLt z4&DYoBX@dv*cew7AP!)`tQH1fCS-GPcqT=)dUd&_cT+p+&M43hIy3u09qM4-2!3uY zH@Nwr?mqkK4#ybd`c!64?Tts3o$YkFQl-OMsT(hw=f;E(xZ1`B{TGVWHQUYp$$LPF6-nbebZ-j z^W#Fo2GHWcKkGJ@5XL?Y9cJ#aAbk;U+wk*2f3nLG^ppM%ofgDr z3Evy6>uqASLK?-#wLPJ&v^F!CmPt9bzqb`ntD6QJ#j7SKo(ol32YrlKI~(BGuUz0v zxOahnW?2J|>d5uoGJRqk z%ByLx&|h~wyL?x5GZ+U{NXK>m5k4NRGw*K8{ZWbwfIRm4Q}D>RlHDMsv8OfO5{~E# z)i8+)c28gNddZtD+jr}5>)z1CdQm*tsMV0BFg6>u8XUorRXMj-xY!i8DvosnserE{ z)1hpnJYZPtUi>`6aQr7Ldc}jSwTtaurj2J$sD>~{E6`&6=$>D=mZ4G**+YoIcgY#c zarVU@)4un$jQCz$^!Mq&Qy=Q=AH9GcCOuN{^U??VI_-^z8jO#*WcvRS9%kNx^C5Ti zu!*Uj3eq!t07{)HeI<9a$=l?XR(#WZO89yH;^xcvQ@myw>G}*OAC>0l20BAK-OO7f zQ?4=5xkX3KApSJd%+R?_p8|+Kn@7*c|FpQj*SbZ}5(u*OTk31KPz@v@oKVei@mMPa zA``-GMd6dNx1Z>;2Gw5$y%~a`LV|ETz{|T5UOcwZ@bwjfk1>!`|l&FVXo&*HQZM33G_<)+Ib(ngH&( zK{=Gfj-%;+cGkmBw0TQ(P;uhjbuiltxXt$SL>X7{2CNUsiA&9*b z-S8TtXWbpbE`61U_m`)#98dzk-4xbI72@eFrL3xDN^^QJS1Dj_-J< z$Ya6rX%)bpV?FDsaEDOQbzK5N<=fBiiHS3ZtMrK?)s zmK?#RJkZ4~0#1FaozDNWx}A=}j>nF<^zAus2{*?9)jYA!KE7Y&P5WI}*Y`o~m3A#h z#}Xq04c#pp%hj!LayI}x9s#ayzV2H~!bK{o^qzfsf-g7mj+29Ujkgk>-5zC(ObAuj zZf2ya!*M!dgYOZRAlHLVH|GyvEul{gL(@25X$M@7<9W{?Z)CRCL*C}1AsC|8*i~N3 zvq-%&UEYKlX$ahA%7sUv`-;7r&(h_Et5ke!e!8;CipLSrI3wsdoqaw(bk zi=3`Mh9vjmr>x5L>U2oj6&x>PqF6cj6thb4h<`+Yc~484Xxwtt6F1tJ+p-~Gf9u~cXi^Ijq?w2QpZg8>D7?cOt{^SmgI^e{$FQ_zUnwTKX5sxlJGOv@y& zA&K^1U3~0IC-p0<^-vu1{UqqWBU`4L0Tia!-TJYZMeW>6W9(IGMvQu^d!G0@0xR3u zQ)`U>BL^fg>Dy<=OV60)A}s?v0vo$;r-s6GTABUu@Pytz6&oJ4|LSv!0uNA0%sNmQ zg=>yecaex`AUT={Yq5DiV|4*ftqcW-X6qtYTkYa5J41d-p6ZapO@O%=VC7h|__FBwX>MaQC{WdVC=Q-Vi!2y#W zAXwl!(9m$>SRli-&C70lkE^W1udivHk9>8Gr_ROHqJ9izek^Uin5!s^<)>C{=XpUP z9RXVn+cC`j7a^t}sp772rPwK+ypYkTaM!eyebuFgsl=CHG4$DYNGwtKOZ73gtqkQNTiG0?=Q?5 z^Hsv<1>IF$uPr-fJUKiOc?oSJ_tnBG0n|XxQJoY+>YBz+NBJ+OZ79IMNv9MWPK5^? z*YjuZvI`Y^L@syopPDVH%yY>68Fv7SU4oi*I~bMQEUglN&YnZtU!U!F^y4|~TNNd~ z=Y@G~#!z+>!_XNHg;L6*qu@;+b($ie#{-@%rqPjj|R8@_)%{S`&IU0r{_4% z(pQ7tlMNF8+X0VDMSteCS_Z+qod|x$?oIA^c`D`K;u_HrA%N390@n7AEZG1@*7bDK zq9rdSZ=R5myc-nmO?;q!B*r*S@qmhjm`gBxF;m^d+PVl}Pp5uDa=@K*mYO?LdkH6s zaRTGDn>6LuTIg0|XF$TNTm72*WLI~#t^gzH*1+!+-Rsk(Jx{s4xrXIIU&J+WM*aJA z{(jdp*Y7&NFCKavGvmRc1a(nJiZG zGHb~S#TUPz3*c=;(B9EePcLnWF-evaV?C$G^eX(#TDovSZ0glszAd^WWz&q>G3T3r z1YD>dE65IT%d5zVT|8KRAwv-qLWFCy80-B+<6nufePGqS?DR8l1RKeO&>hZDi?_+{ z|I^cg>FM@A`E&`Tu#7#4gEJ%+q=EM?!6D*91fZeB=?^itd&~vG4|jk)VUI#fn`s z8-0HdNWTb99s5m(dg{dd^lxMYa=FR~J$^csIHz9Tim_iP%0gJG+wSablh(ZMC{U!| z-pci}vDS<6(KBwBBQMtJo(dN7=eJN?%PEo;$|`y>MHi^m!{6?PyYuz}(IPUEixfLT z`6YtxR!RzB)3y6>=4T}&E}IvD-Zbyhf{>f)8LrDvX-?bw?dkL4QgH1~!7g#4>p@r_ zy_8@YEuZ;;O*dyToo)x40Kn!a7IxK044xj#m??|>jfe*Tg?N%zSKHQBSbfwy0j#lM zzqq0+#8)v0vrP@1dIrtd7$5S{B0U4XIm1e zlF}do+a=xT0~e5MZ31Be5z5&HPJLq&#=JoE&@p1VbS0^z9~nV{J7N6es#iAFhUFT? zX!+m#+lsfmwGxM{DZlN)d^L;-Pj<#HW7w?DE9l-a?C zMyzclW?NXYaOA+#d^9OJVh-YPEluD8hhfIGuaaT^Irvd$NcXeu)#z05DRzbAsPPRF zP+F*>m%zUIE-ZoBw*@vvNOw17j-!ioQ~eg6Ti?^=^#0d;N=ZmO@puZK-D>tN9K(Y$K0oJFSCBRX=tk2&65qHXD>0tta9R^QY#H?PpCY6) zS{|LZuF+0c%)~_IL8NtD{WX<&_{B9Zi`3T+$=2v9mNRSV{64JRUL(^Nx8`Fw;9~1HW-sUN=0Y}To8XTexY>nYD`L#4WVZdg2 zNdkX(ggiQ~!^9mF%|8ADxxv)AB-57jzF9}J8o@s2E4069tR~XxU{2HN3X#Z}eM-hY znvzSB=jR~(XWxR8L+OX_b}`B&*x?yFO%qNL%2VY5;qJQupDBG|KX2q%d}CUs>K%GM z(MuEfUdHUoeIOehw8ZU@`{0=cxUKKny!0s>fU<2dYn0$x_E1NY#{*CAu>T`>%$cD? zWEVgunu8j%`=CJPXo-bJQN2wCmU*eFe&g}vTL@0_aq*O-Vz+^#Qz*KLhy(+PgNMQl{BnX8X*pPv`=}K(jSkx&Y%ck=#F;iGBMFN`An)fmT+d)eR<+n2VLTDYQOuh8D(KCQ3Q zO8G0;Ai(q3JzvKz6^6*$uiVCE>@(ZH*kAXj>~BW}C}P8I5_ z!ku+jxS8bZX&}E#DH3+C-2S^1&4IZ9;1R0*clM8Mis(=V6qYp|3=l@`A5Yw@btDPo z-f9ZrI8{p%YNx1c!-&@?I41K&2b^vnZ+&x|j19SMz9v)aB&E@aB>3wURC8D3=C-zl z4aP3+AqT}A%9kW@XP++{^aCBM21klAX0iqwY<*t*KT5=L{so6Qk4Qw?Nj=ah5N)+oj}&GCnJc zHJh{3@aGslqwfKDcK5Y(B>e-Jki$6V_~zZ+laj&wc$si@0i!!G|Rw86xAT&j3C;dRyuU-K zJ5@>_dYi!ik8}v1LoQVi_VwG5SJdaZtIz@eMqQJ7tGnxk+Y2=Kw?qpzj@uoU%xV_| zg?UxICzs$IJdEw3+IhQttAZzZL125SCn^ii2OC8>c`SJLXz!`;GjzWsX2L?W(AV?54{ znCSU0OWoyzImi7MDZh0SUPmC(A>}6LLlWKmLxFBw_)fMLTezP*q}YG$v_Q%4FW*=V z#j%cz;M;52f*`6TLD+pitd7kXWQ~IKh^SrTEgwP0I1W)HG=ZSQxRh``D?_9{}GY{fqf2sM8 zP09#WWCt-f5ihpi1B@Hx%`gZ*#kvm>^eVzd+N<8SOzjGmlpXYT11$0S9~sBrgxLVB zt*G+4;?jd!@W8PO~{A{nf$VBd8U03rP3*=^nH{l^rCyUYI5 zI6qT0l|3}X1Jo_z|EBe8Bt{j1iX7Id(i~W*=H_$>qlu{V80CwA5fD(27Vg?D53m(|SAx8HE2YXp0>7StHp5OWG9)W4$E_BaqQ0aHFJS!-b$sQVuH{jdc74`&hlKJ;=alwy6Xs{hgLgiFoH(mxoc5KvVt#whaA zmUS>NCdQ|3-a1&Yhx9Gbjj|y?alh`VBT*|TNYyij>&gR#5p!RkkhiPQfq|Qza%SQd zhDNz|4|rr-3uzC_P0fMV3FOzNrHL>*00P3{!d8W(Om;i`A{x^ack9Hhw<{j7dUTcm zdhu^i5ml8wcmHd@29M$jvSa45Q}u$#COj$g+MK;Ts4!D*#OVHCu@3&gl-swK&pON> zCB+6gdGA?%d(m%&QrYh)FQirT1 z=$4aMS83qALs!?&j8$=;b4A)k`Pg(G)oxQ?(j_1E7jOOMC|t6V=?eVX{fbnV_U|SU z8JGPE-Q8f{f2784dgz3_CUn0=Su@5~o4wF(Jv-JMTZa`%K8Hen{!njh01ug7om$tM zR?b?YJ)RQYauFo@Lu_E$o=neV3V=h~^<@V=5G4M|Z5d0FaNIr0-1&_4a%YyqOnBeo zHVbC@JSL|&-qYqGIz4n9?Cm!JQPcEnFXtaWKip~7Gg{G^+jmlB&yZO|uWnJ-u+zee!k-9+9E zCM3ycPSDd%<3McIdSZ$TwXsz;(>4M8M^|h_SeeYP1E1$D|Ehi&E&h^+i_<6)%TJlQ zIu*vB&P-*polmtyR&56UfQxoW*Ye6{Ia5GkHu)Vxm>sg#!!E?EtISFJ+)|*t{{<&P z)mz8R!#)c$r#0{Jf(;$1GH<`Jw4Sp*0QJ}Nk?P)3PAcC~5h!6l8fl&&+broD$?$bU z$)|L(nZM;m9#+>d)Xj`v5`wJ5H(hkO{V%`ncRj%B5`T=Q=|=b154C?zj&l8invETw zGkTeX|3J7IYq)v?p`MtdP_8adHuTcVe;5~*bIzvv`S&N4CG6uLxwbTmzLQfh&*ae!Sfo8s_F!nm6a~WrkrTF3UoSc@6|W0-_V-8dliKR7Ve+j=4Z%B zzq^nn(CZqB809%neGkgN1O6nc=Jr2FO|S1mIz-0v)>(UG7rD-n!#=GvNG4A(YU8y6 zouzseIlr4s#Ku?V82w|WOuFNt9<}KDoBPSGbaE#Udi@cDKydN7?6o$9!~BBPS9B$> z{AzHuGjR=S;baMkskQhG^2F6^XpCa6T@`Sskz4Uw+>S#YkjnfQyW;0=nCKHx1$7!xm*2B^Lw5B^T_gWkBX-e zXY!@b)U`wu?g3$W)tnPTEJ^d4lPW)gttTnIa7cR8@Sbht2J;V~sm5CCQ(uL%s`3p` z4wL>2gSY!0Hp>dUihOHmvi?I+5M$OzlT8<6;tLW;$%4btP@ZD`)<{OQMYQXBptU>} zJFZ=h&#-J>O06KKw%GrZ`vTRg&FJ3n)@z0)Z-w zx3gUD(^=!t1u+v#yVSuKLi|((EY6p^xq39!gWdRGb1V{R05?>m$E5^bs#z*Ta!V}K{nCRx z6(5SWSoARyeharH39)Q6p?$VeW3%qt-seK8W<9C#PfDG-#_<~1QnSe8`2+00?X!35GeMUMv%hi&|K|B=U_>cSf!!_|{ZoFy<9kHJx(T*jbB? z^?T_|bB;In^Hb`*T~CZ69jxVvD20@goK~OhmwUf?92WAl3d&kC5PlvD)RH{!aQz;g z1E^vpzup>V_te^NI#1qb?nBODCcU%F>Jg@e_oIa=E`3=2WH)i!Vsr}hEis8R7v|3e zWfYi)@X!|k>umPFCStx#;@=(l<3YRA&;dBW$@;l8+c}+o7MO;khq7+tm7KA>N*X~e zT!Q1iv*#t8Z>O+BeoZ6H$`+`IojLk}%j^+9u$2{8g%Ux1!3={Vf6m= z^XH`Rxn4w=)weL2^>xchy?9|Pd;6hu!oMyX+7wZvXO~@Xn$;A6t%uL3N0L=!69iP| zPReeis=KO#y{c6Bhs|2S-t`WZA@SYYhH}9M@1}iUVA%#SY|H&_#n2V&eBIqA7R^2i z%=DDX>1Z>)_iaO?=7-%msns8RB@dbxcQC*`U!PUyMrN%a-=XHTesomXWKRlebTXIr zEv|>PGYTpnUI;AWXB(AZJ;N5iiB-)Xx8TwQEncAasfHm|%Lgr5s?ki-CK1=`uSUjw zc>qo1QEsA*emWDoi;WvSTTnnP$c}@g{>#=3Zf*P|Ip%(U?a}u9pi~SsrF`+$E*8`N zupC=|Gz4%M zOy$0XxU=iI;sktY0r<5NX8%bzgXMlwv~$;}?x5qTmGdicp7dW%Se>E)2mZ>_aJ8=0%TE_htGRAFH z)m30ddt^752S;bUMM2q>sun#veETlwpMxqdZH+H+bkY#fkJu=hny~l)?!4ovYBPsJ zqf)FKm#%;=g3cnDSx_Mtj<_Wsa}= zS=+vnxUrcb;HP!Gy!9ra$9yRC&~v8@;OSQ^1{_pmWfz^M2;&@TV$vTg654XsBYuqW za(7c2zD_LiC}cjL;*)#tcXiV38p)pgkEA;6Dv7OSAfR*L*``<~&cCHq zXY@O5wX!OWjE0`=Uj;_CU&N+ad|W{WhubfqYcD6UEtIa_+ApnihdMgQN48T$>{}o8 zJ|im7{iywke}2nbx>=vMZTZ&KP~B((L1E$Np(g5aY+TgQ-)^c-Ee|Nsu9|PUmN&xv z>D#KCPJPPr^T~iD%&FWTGOITzPFzPVWii)8`EM^;**G7t5EpBIY|@Nt8ryCsspeKW z|BGjfRtGUSk87wq219=@WhM|AdV8;-d@vUVIS~uW3vy^=?E4+;EZTE~&U5TOg;Id+ z@YjoNYh{3tr-s4~#W!r_t|3<@o3T|zTW^LdCv6nm6h2BM;k0M)w8tD1T?!$IScyM2 zH7s7JA!)Byj_x|xc|z2^*N2?BwQC+I-vKkir*I(Nf6l(<>~s&H!4{Lgr^xs9D)zEN zGTvwFJ2_1!+J?9(bI6NPYa#g(a$+Af=iiaoiqj#>SSvq%U=+g{BPpOxN=tlL5dr>I zm$27$F(BEOiSp%~44AQ3y9MK!`1+-swbrbHPv3b$;!j7epNot_5`Lq7s0sse)9r4M z{C;1r4thF8R@>J$zcdgL%Wg>QtU!<{tvq zr-q!P9)V$R0``G#<};1s+a+(DeRAG^?3<+7|12wdBR(?37bzzlE zQ_f1&@9oe9N|sT28cq>uCmS$CW{`2=gTOt;V2a_|?EH1QND;_vY?G6yE*=v`PY>VD!uW7f|I-t({{4x`vT|jM; zqd^s-0=5^NNHe}X+x>?L8THK?db06;%F8GpJaX4rvY206T;q7gmd37`+pJ~QWCh06 z-xlZ4DS1}fKM+LP<3$yfId7A;NdrOcs;3SR1NH&fZ`HP%@R--E#hnPT#WXNt>dT$W z#J}+bnH6X3xww&p9g(=V7rSv1hmNui3ixPM)5Mfw!YtaMz|I4}+{vDE*fbBY5_OgH z!M*-NL{kykfydV*bp0gdk2Zl<)gM+z&c^-dyc5Y38u%Dr_i`~*za{>FFBI`>axR7~ zWX;r{>q|Qcgc-MZh4xcs-s&6~O`8b0Q@#G<;@XtQ(6J%W@zkZYVK0rOKa^!QYMFi5 z?r1>c;WZ9Umgq_6f zntsEN$MU>TDL(X8R>C|E!jX8F8?9zKELSg#`&y$5vI5PtxD}J)gj| zOTkkaWZo#_$mepnn)ICQWRsdVHnMC7Vbuq2R?mBVw%s4`Y@H!z*;p)qOh9|1E>&&6 z@=PVPd5^1BX}2>yzaN_yc`hnmzx_4(kUTPPV+WJPsWJ#9G4@}e>vg&a4k2Iw@kla^ z-19L{5T;i&+rMU5e9&b#`JkI6BsJveltH{$)S@jEnX;#Npas>R4sIYNY}CVKcoow< z1@AqGr%!N-I`c3l;Fl=JvYaYVg+w- z!N-oreqzGAp08%0&~H=F$}9Fh|JqFkrOEai)DQ0>^-JRQiv6)pxF{Xt|+g1q>% z+(qy?O0zJTA|!tBxFx&Df!fC2kH%1EdW)2(#4z!I47u|uZ*AQPY}WIG6ekpZ@Y-xo zGk)8=th2N6ahNl`Cui*5-sCY51vBMcTd|z;^}!A29#Ip18{mzDI%fXH@#wj-PqKL^5H#J!>1N)*yx#4sr^#l`1}wyr`Zc7 zJyP7|?}b8{i|JJuhz!bNOS;kcg)XH$uc|oA-yZPx%1ee{j0D9ZtBBP*VTR`}8EAiK zHt(&J<|}d9+LEnJc2z;>3j+5^q}9f?NNJUj3a9Fe8d27nlpnvZYvXQ)naD|L%uHYAVbieF}}Do@v!)&)$DAW`umb<$#Q!YcZr(E-HM_@2nkeV)u^FFa1C9v!jjc@^8t&tFrX~~GZ{$}fH zXeE!5u!c~=E?E10opWxNR->9W0p9i@Muvq{^!Zpf$4<(dT@pc^je!S{d_;RXKIg$6EPwu zpD*R4-9#C$$;>+^>}+Ui85^iHE2?~8VOf+Y*Xoieue!(_J>i zL!!u2|LIDY`DQiev}xjL1_?DR^KBnOZJ?A{{m0E(Imfq77f8Xid^>3a<>GR2jVoz` zn7+av?dFqo?Yg_48&oKO4Dm7@553%3lV;9cfvbk*H zECxwk#l5HKURK7(#CHWE z-zvZb@MqW@PC?*0h{PXYl=2?7hss*s&>1O>D#qR=%<~t0gWoY@cm>l}Kc#0<`9m*x zVqW3|5v+fvtATf#2sTF*60&>9^l+LPZ1+!+_>5o4!49?Kr>-%an zyVK4d8O;7qw^?p>CPgaL!enhk1>LIOuFn}vR(vEvu6ZrXpt8ctOyA>u-6 zEcWOj;w_9S@wIsJJarNc)#5Gr3PTgXpF{OtteOhGiu_1HilvGH`m}Lw4LU?HKEu-Q z=%^jPQ#+%U_#jXHa!S^QYz|D}sD+m9*3o`b-j}&bjU@fx( zPkujIx6jr%Uz{d)J$W)EkC;0QMw>!4D}FlsLyAp=md7Xuy9QbYH7Sxf=w{#b=y{{a zA0GU3&6NQYGi8l%sIK8gkPRzk829en(*0jrGUm&JmJP?hDb2_=QVc5N!vycf2GL`! zYL~VqiA`hO>KQTI!G8)VYbl0ano{=(Xa!h*Tnb&5*<=6ynURE;l$PV};wjQ@)8piP zKTMwcPMDWkzeI`seCF-m=%Dz(yPsI3S4#JL-1Jwe0vzmBB=xJ4_-)6nm%}0g0}IvJ zbFuy;8O4Z}A6#syl1yW>=Z5@W05vDd*fC{1836zKVZqX!3Z$i)jF<_5f~EdCuMsUY zdUwjwV~UV1Il~H;ZtZ6&55M^t!d1hgcWLIfIfp>O(%a&8N+h${)P;>HRs5hV-L4IW z1xt1HKC@u{4Z2eF?rk}wFs8S}iT6)5pZ?md?_ICRMWSG7 z+XQs;<#AY;;j0L7xe^v;H)H~2OR`XIvGbw#cHM3(*1X`On|iiCOIw-y;1~`InYAmA z!VK31g;7`{ha6GWKbOhlCU;#V+XlLTJYwmK16!OsJdF^M_j%8h`N zb@&YuR$7p4&3y@!j-kgP7e%%z-~JDA$PIPBoVgVoLOz_9^JX$xVdzimGS7VdIeCW( zbMV{N>!OAenVj`ew@`a=$TEGe@D>^fqHb9zKG>t}o-92MjUYxy;I`SWR75~ZEgOFO!QmGg{jC&$I)+L65l0md`3xS0%D#TCd~_epKy72CBLX} zI4!+=GzunMlHXQI@X8$R(S#s$r;cY;L#DFdq6vX4A68Ar49H+jXM*YMzm7#C>ReM{ zI3=O{MmxY@`8*%oOCYV)b~O6ujY)he2#tZ1 zdtxao2g=@f#=$KIa>k@Gb5SImAI``EH)(Gv!(a*uV|rF}XZ0DJ=V`Pw`0b83Fom2= zyozB-;kv4AYbHaeE0R%e=^2r23*5j5z;EY8azfjVj4xPvP7=>zyv(b8Z7RdS1p z!-^ah(b6!c2%ONiFZ-=9re{U6Ny~>LCbN`)j480onxq?QwmukLDHEVr7UB)TKrn$7 z!x`o3p-fhoD1Ex!WDL@Sh51jEnMu{u6J>>B?3T$P6+#E~M46SfWpEy&ijqm7A}}l@ z`(@>2IVJkdC(7Ixp?kHRl;E8i7soV^P3!o$$aZI3VN6$xWJcSmOjanWm-1yuIb5c# zWAT%zs)oU${8R{Y$WhsWm6tQVqrPG3c{yXFBKJ^!392v(9*!=g9tFo#_X`z52Zd3X zkWl7S{~sv07k7uQ=(ba+%c{K_GQQwm28$&NqXFQzj&%r^FNn-%=a|lP6CjkL%CadaZp@y8)rGs(^Edck9 z2-%n}DvI+9S_Mn-*`B;=pa&*+amXAn{E*1d?^MP?^59;sST1fIuZ;S9-~}H``QLe2 z2O4zqxMxIwf~D)#bCpIR{~T-MJ}V5?C1X;0{Fh8YZogR*KsyZM!nAP|5G<@=g_0{~8v$p=N7#=8X;*@q1ykF#$tZ zPRkKXw<2JS?u%p7fKpBjr^}LXkwZY0`R8bb@ zg~Qx=$kKyh=--ni1c#9IJks%1O=0M%xl2X5G)Hk9`cPDli)53yO=s;2Lk|;CRWGp< zmK|A7M)6APZ01(b%_pImY-!Xj_e9_|aoZs&>$3?3-ByciqlAtK%N1GJ`YZtxI8B(!HsJfIOJZ&&uMFiR9MJ* z=ILgU-qDu-NmeRcCkIU+I!>zgdO8=P_bh^geud1!s;FNfvluMqt6lj~Sj?h2UEf>USRn_mc6aH1wM?yMlYCWZ|r0)4#xtZb)cN zyZo<>ZF)|=FR#fNdISR@Lbwy>XZFw7m9aumWv(Z?NJ8L|6xD5E1Q1%+kUYq9n(Ob$ zy)0_C!AgL8JBsS&%v;ncABc{Q=shgm){5kIcnDLNvrfvrqzy2{y?ey%NEZG`-0LZ0 znl045lXBR4ZRayP{MNCQ0(}Y6Y-u60PKj)*T)eNNsAd7=DJ!n4N*NE!m|3Byo|V95 za_^qpwUAlcvrf!5Kw;?ZV!baEu6yEkQG$15u7$$oYx0vhPYZ=>mpENlI{W`(#{_y% z7DGB;&%*AiK%ik|g3dz0-!9kAFbX+(<0u4faEQQ{Z5v;>ecHZp%kKf;dcKI;{6#Ej zob(8?SE*QC(BSzGa?ugr1Yl^}fS2_oB5}*tAfsqT(v>>tQnCEScV%bfKqU7$_ARgs<0#?1y$h>#Q6 zm)ArJSs_9;6nsozEpayy6&Iuw{N)n3ISVh;kj$iN>KanzAQ5sU>z2nV zJTu7b&HHObx=})xg_lY&<6gx-rL(k8+%M(g6-OacBIttXcp1_=Gp6d9&co1etyD0= z4sMumP~6taDH}4oIHq~%37~7*mWgzlJ{)W7s7Mpiiy@eH2mT`!@lvk5~g(oI?F zWQB@!yb@;z3#&+!z|GPv-WL3@&cpP^FuM0y?je>j?I_WFH-h#4^4y)l>PSE)qhkuo zGq4gAR$Sb0Z-QG6q=hA*iK_Pn_s--zb;8=cdGu^PB^n~E&`CvL7a(EHJFaa8Qz`?Nr#E$BwnZq#;c_$zqC7qDJAOk2<`-Q|nhVQ2(}8gea%!yS=A zR;VE>!>&#&Y$ukJO)y5?*)X)cfM$Z zn@$sisqL!BaPO9UsW9}nv+CoS451y>GGDEl!h&{}xJ^pvip+J$^g)C;Sv^>l00!%F zb!G<(8!Tq#L(*+i=88i)rjL7Bb#8s2EI8z*GMvTvZ2is0=GiEtvAi9{leUf${QtgL#`qCtz&Ho`i2%5`QIa# z)=1}2UjgP08B%IUXOFi+sktbUQ#d6D6iO&3)A;HTVAPMKqx$XqLK)m5PWMy>Gj-#6 zNSMSiVV#`eLZfbB68Fi-xfOJi%=_nq$_3qyi3Gnnw}Ric>iQAY=`DFd8+FTFsp5C! zq+7D|V8#^|7-xKKlF2ZkV}Zd9I~2?|v;`B6D6_bHhMk~L$$1XK{pm5IDlI{$boro6 zIF~J*e`ev3EfTt2>YvL((G7gK+ai$2_B|pyKHR;`2~(pVg<>KT*87%jB2qX#? zx?wR;7%YDdGHFz$8-aYaY6=CP`E;Fx`bxD>@XyOqSedWl3|I-^(k;c?UUA!$v6z4h z@o5GQx8(B}NOW&K4>_ekS}tzWc@zqPgbCYJk$helQldAC+ny|Z{(%GoN;JPpl&vZ) z34CqjWD6_NXQcj0v05&R0rIq?L?0BIN&NWm)ga#AD!&~I%j3)#<^Yx+71=6{#L|4N zP-<8WB6L^kSd89n+501gp5M4-Md-f`Tp0Rk>BRJLI14Qd{gf^Xo>K8Su1FOBEq5G!A{MaBUT-2YaD#?M6Ytgf$B+T#V%w33c6u6fSCr zlNAQ*!7ioFc9g*%mJ&H1u^(UT%MQ_CB+oM#l)6?K+?WUOP7amAw7X0LHK~=VuYU_E z!?e3y5=Y$aas$PDe>DrAf6AaW6Xm$9^wl7inlq~4#1}Y%XAVwQu=IpTH;HuJ@WO@4 zw^T!h{4g83@!HW%`E7@efkN~i&Vx0ga7{}fACX*zji4|JjOk4+^Y$6lu*J`Y;J5jY zLe@Tuv!;*>D_gV+D_p3*Qkzd7g~D}PDs6)-&-Jm?WThFbC5n!vT5*jiT&Kk8kn+KW zMsBUbMIenRTqm+aCbJ@hCCaq&G+(Wn!iwv>2oQQChyIYlwU1no8L%u27B|EZ>cOh8 zXpg!P3bPDvIPTU6M2B<~u9@tR5xKCM7Gnh2&4(Qb{oTQ(NJ1S&1Q$J99~0~W2FT8yDR9{Q9~wV z)@}{`?ksdj4LP1;4a$WLy{`sW=0|n)?CefX>9SIOyCM@@HwKIC9vT?n3lb3B*5%HH ztiLFd+nRIlVxk}pmSIvpZqo5}Ipj>n6$b0HE}s#{=}ZPUowl?7Oe=Z|+_+)qesoLb zR>*q)3AHPEnTInvZh6*cJ&x<=B4Jo?2rm_{S3%v8b;Jbp1Hx@Aw>%d#64x?WAwqbD z&!X{Y&aC-I2wB1}uxwDS?oHa*Z3XUa{ePId(&UyCF+? zgA-iG+7jJZ=G~Lue6SdWY&5+9xNVn!L*jHO&slL4f_qsKQ1tyCI)-JaAyX0>Bcu>W zyCis@xZOqz0lKMY+tQ6fuMX&_8{GtM!X6!uCrmMA$c3xo=41uk;3)4_kJAgf;WsAn z$t*PL*7Dn|$hLLp*7Dmqk?qW61>MkPMr3@s3yA3)gz_wUuOer}dk*(9mz^O`eH4Oj zo6(>-qgQ|0Y=>WwtAwC)Im8GsNJIl({4HZp{h)YEZ!;qC>*a!);sZ-4(CB!EeV}W7r!8dtc%;#B zhY2lTqz(*LtG;3BMp??Q)SXO3Rvf?wfZHx@+%KHX_-H~#K}Mb))#i8N(S$&@I=j)NG~v`-P#eBf!hfQJfTt8gERqwS=Vc3A=?zm{41~ej zE}fPsWscaDMAGqXhcux+!l0Os;(E*n@I^M=*Cij71yQzkGk^(ifc>4_*QBw zA|fJ!+KNC#AP@*dL<9nXKtx0!5C{YUfrvmvAP@)yA|e8Th(I6^5fKPPL_`GQ2}A@U zA|h2wy+Rpp+(xo2jYP;XlR8!7qdt1=`K zslZ0{zC@QpTY>FTBA?M1Vg2O$1xUZ4xoD@_kLiRx(9g671NX{ai2-UQV=5DT@kilEG6dlf-*`*rP;_iE~*rs9_!S!#ExV3TX;(QLhK4&Rov4URXM|O#2)q2a2uoY zsKwW?J<#cG)uV~xMs18f3nDh+=te^uVdUw@nzX%Wx^B*;O&h}`bFUOD2$_@!>!JC4 zz_FPNvyr{?add296m0MYtb=gD387vEs+N_OJ4#EYX%sg)sA*z9c$dl^a2CX}Un;sU z0`o|(FJYk|gyuVDVgtXVfBE7+sWw3!PpHZwo>mON4RjbGU)gjsf1j zh;$g!|CGXLn>xzymw{C4$$LCy%o-N~DOPy8E-epCtj8!`y;uLSDzWeMA&72BY}2rX zS5ea(ryE3UBPt7QOwV>CmR)oYHu8F2B52$XP64414`ZyioIx8M>taNqNXrFX$v15* zS+IIs&@82iA-VMV9e_Iwp$g{R9BVP+mu)e_daMCMXP#{%0so#N&qB@1k8av{A$w7|xm zx&uwj@^kUUD6xuQh3@m+7naw+ENslN{t5#V9OrKCXI{>A5}jU>=f7(%5P)p;4TQJ zies}0EgjcqY0G6c$7a)G(ve$Z(v#xY1~n~MV0$I8)u?Fte_EJ;91Afx6Ve>x7tC{5w1pode_5gl4MmLhFbo;~iJgIjnb@ zkrQIr*q@HJe6Z<+7;g-1d`jz8&oa32#;x_VO}1}G2;Jaok&#{WcL+HNw+ccgb^5b~ zz93{lp1##6vrUv#oRC3H%TlINw9`F_Q@lAFZ%~UHDfSbD7`?QjH_~>gNMN*w5GEHV zfe8@`o@O=}wr)3Ac-j?nPn-Hdv+auYcuI5TVZ^2yX71|%n`j(1NWCTfsU}$YsX&Z& z8XMYH_2Mfy=E6NLgCrfYa242Q)w*c?l)}>oI`u(a^y=tV|Exo=dm6z4+kp%oT2GEr zLH+hmwGoSgYHt+MPEz0gBPT5Mg4MQq<|dqB=&!Y5^jxp*H=H!5Z))4@xKI!>r3frV ziw9&8`ae3_oDeQk0o%R^VYd3R)!1&;YZqFubb3YJ&W0G;+A6_yR6Ci_1ie!=-k9^A znzn*BW)3R~7Tpb{=tFp_U&8N8+89^P<%ORsgZB;=eo^ORMer*bv56};sd(kD1oaoz?st@GS6 zO;mexHr}>EOI&&5cFeHl={$M^j5-pDETQBSe%Js9)%-LQcHJ|jSAY@AsND+=sh2%cH zo{*2fjF5-Iwy4|KnQ)=VkY$O@$%U`c0~Lf!hKG45GGs>da`%zH19>|_4uoy}mo=G( z!p@VP@n{oHh&AWzd(XmEC&Zfb%NRa%_{%6`+O~h`JcL!L=b|{HFk+NpjGPI%VjeOR z&{Nxx5LK89Z2e%lX+J!6LgG@z=^uP6`#t5`7<;i*$PmI$G2Ymy%-r{BL;;b!5oof1 zxkqlbMIW*wY~);inxSVN0=8}$PH2TJ3VfYP+mT+`oUeHs4$o-Y5Oi97Uh2F>9b)V9 zl5fyUF$n2^>AY={c*-qyUZx}1xX0qw-?4$px;?ZV#QR*xb!-+>wzfQu+Z|n1%^Zm7 zo*r~hj*jUHF%{%zs8YFoOo>8jyM0V)HnRh0c2j&KrYDN$g)RCOyzvEght{OMEm)ER zdHzo&c@J-agJ89_LBn=?-rUpQ!rP4U?NBa+SJ(59tQh3{uJSFv9i21Io24alq!-G! z#U9>_Ue@0*np3IU^Ts?RGvv4McBXuLE>e=FBH!LCNtZ=RVsqY%kOTEd`|3s4dw2^A zQ(VH@=-92`Tc8?IWA9;SN#f%?RP@kIJ{y=3{--k#En$8(m;#$p0a4 zPk$`m=-akztasil-)PD+7yY~$y-&s4N~9!o-kvuCmQnaE?eu-jLx4~5d>hoXxsbAQ zm_$@NZzlF!UCp9MVYHzXcOUy5qwqEy<=chb30SKv=9cUTn-ji?!If>3^1t~1S|v%& z3pZYKN`<-Q7u>pbEa#T>8Z*1~BiXDiE6?01_jR$d0kJ!%>f(ui^ zwyGpwvmLqiUIEfeli;6xbGoZB}g|MbW0J;d{M> zx5uj5)e6Qg&0VF?#)iAQO1gni>FK!6`{G&=g*Vd1R4TO9jvsD9*A^h^bB zZ)Ip!8kz1<3T&6sb|j-$VYI;ZSQ_q{m}6_|2N^OUZL@kEM%@T(izZfJ!^l$;E3k2+ zJ}t4Zd81|72*^jmmPJVJel4)kWL~QQu8{F61vb{nSIuaF?MPxDO|0OJM51GT6TvF5 z(e9WQ+=)J}zy|wYC3Y{w3T!N1@fcW#Rtjt^O%VR+5G%03+o{}0u>#wf2zmJZo}54} z+N80-_DUOapqzm~r3e;q@is>q$JVYJc*Jt`ipA8inb^LJ zZYZXcQRmo9%$Fsoc5LA(s9Bn5)4I6Sv6-hVbc94ZI5y+$nY5uHi(@lwe8Iw7rAUf7 zwx|OeP5CqiNva*28D%gMyrDM77TSmagHgn()jBp4yO8V?^VYG23*g`$a8l}a;!?B; zLjz&h5S>21#@3?^OrP{R)1A?K9VvyUO!1!RH6M9bc-p;Ra8E4?j4(@z#K7du42e3h zT}W(9^Q!w&$srzXbJCuXZrb%WlB0@(O;+-%C|==54luVfU~ABAXPbU`kxS%x?v zX7o}mYfbriDIktV%fNs>3qrIE(Y<&LNi}laazO`pJlT7fr)PDD}IO|XAo9-+Io{a*B^u1N<3#1Di z1#&R@JNJhIV2s7drO2Dj`<&#cWYRmbO*9tmZigyk-14LyZ^ei9|Sfq0^iwlrE z4khVuh$)2x)X9`ITmus#qMI;i28GaF7AnH1AB}bV6j&KN^r)I7g-wgvPGB%G>W9(p z!@zzNHIH*YJ}P1Gz@ojD>oASFHgz0ksL&!|vzS!Fw)k+I$1^h8FG(Y#!-I)|5-eLX zkPkn`5+j2Hi4*6U(@UJ<5+b9aa1_#E$26yGjSBB3IJ}j#!o%#<T*`hLCUt{f|c;av=STrmv; z+IAs!^X^^a$s3~v5#Pn;C%8g7uO@P8Q7-LNLB0e&kq zfOOlq?r+)Oz8mv-?A@v5kC4w#FhpMRw?O z(=Em)Zj=0XKBx=bmVCzl;`(e^57b_=A?O3s_1k>UBd?@NYDZjZvS=N*8giKKdUZaar*7ly9* z(E-jowqsDiOaSrb@Z0rs@49Zu*9wdMwCXUot)|%BpZ0T!ok00kV%-sIsAU^W-tK-LZXMu5M|PH zvs-(o_r_EG8hW9--p8=w2u!i)Ii6pD-xiE;6gzA z$i+o-E6D?H;V7jzS8W1WVi_OifS9_R)p)A)1!Ge8YCLdCjdMrw-vZ3jtH6 zYu{!}y5*pf`v-1VG=xx=$)P3Q@&;ELh{&O@QC-E z>%)3xn!0$V*3T$OBsr(CB%uLn4i%CMD&lZ&&vxiIn&g43S6f%+T9CZAzMoJ$KC<9U zmv+IwK_Zw;;8q(0w zAR{*zAb7!|cWOc~sUF(GeQ!=`&Owg?+y_-Wb|E+=1scGWZG!!SA5YFpdV5s(n{z9V zPEToJ}HiD#X0`c;Cl^#nbNo~637VQ<&q{AG2QRu8UI=Bz4{_@_G;5{|3 zLG!bw)oG5xJK@@)C$5``X)O*z1op=CJG94N!YK1~?jdION}tko=&)m92@Ynn;1LX* zBe5dkaAP|ufoH)CyY6Qjb~H@IG|@s*+`*xcZHcHr+Qn%24BRCA=VH+JXydv>x%d(a zgGfb4FGuf2!^RnunnL3cpCCx_ZbsD&>Y1CrMYOD}?}iVI=PQ3=4)_2zx8?RDww+Wf zo>HDWx7Otf2P%^W@IFMreNM_Hx$K~@-UK+e@=<#3IZk{6jhD&>Z0;<5asa&q4^p^h zKq{v$hfT&I@eGnk!FnM`11koN(hJ-1&PKXGKR^-$No^Nq!m*M_O3k`y1@O?xVIbcm zbdD{NlC~bGvYs%FIW2~pHF1+IxhPZj&g8hh%y`{pS>O*22Sebocn+=1<1o-Uu6!Dm zO))vp0ojl(h~IFtw3u888*U!&x;+O!M;UP4g$!AIHZmB>p6ziSWJX}f$&NmQnw-S& z<89#Kk;%lNJ=39!1X`kd2PI_sz6}|wL>7O2Ka+ukADJJ~_zXb=V)hVZ+xJBG@fm_; znyvUcw2x;5(vO(#oE5KIaWFf*kPZ7GS)PcvGiP@2iMWa??*ZO)=kTr#wu)*a#*!+D+iaq0>#v;mwr5Pc<2 zP4W~Izqpk6n7ex)aAB6KTs8_&M+8-oDyP{k-5W~Xf~w)g$S8;WSN9rL{r*f?---b9dxVum)${`BN1ixwSUWTRTt{s$e zl2#Y(`7Xr{hn6q1P2&kR<3gc`ds9j4 z?$z+^?HNOJkhrew!L_h?E3WX4b=PLL@U?aH{as1N6V!eMOYMT$!8MSTq!||mjpO^r z#;ZicU>A8AZsFrmTg`D%*Q_{!M(d?c7WFG-@ zf!WzV{N9Ivrk5bMf3+SYb)~=!)kRZW2I|nWh+=X1(Ypze5@%oiO|2 z*mE@d;Sl-Z{RPqmfAHQRLrtzDsh9;v3W+|wK<~Pdb@60k9(A7$aBY2C2TS=0)35;} zz7s$sJ3KqX1p3q&O0K!3Krhv7>8EJ8OEJ_n^nTpuAg@$c- zo7pv-giYY9fKv7LK?}awVBEz&5PP-Q*Ybt^84^%0Mbi}4QjJ4p&WI0ul!RM2fbxJ@~0 zk_Cyw z?{$)W-u~e*V-i#lyg<|?=nINY#%${ZSb4X8<(>hXXnKpD`)MH%;DYmA@xhrZ_e?a8 z9V`pZdGCJ`-^GOmsa)XDGg&#NLm?BV!YJ@lb@*W~xD4gclx^>fD)P>R!rG{LezY7Q z8&+o#zKiGQai?qHWke!Le|`pPTB!j7w?&*0Np<_V=_)|zdzBoPFxWOMH9M&^nj4D9 z1RL0b0U;`Q=NSSyq#HAao}pm_lF4$LZP{U;?Q+MamjUAPTSRYwZm#8vnk$A;o6{VI0}6_Zd-1Z6lQ78Fb)8K4cp7XMj^{xXHq!y$wFzEWd{V03f2*w z`QTl+uTi%HH^z}jMf|unU@*9>5D0~MQA75dme>A~B)&oE5kknpJlZ*_OD&P_)6&GxO7c?!X^vSYE4?qoN z?AnTGn2}y4gjTEzGt5Mqylf9?;8uz!NnQ{S_2|CE+!H@t0U)H&fNn|X@{Dh5kNh#x z7qV?mwxWwEL`d_#2C2zz`X_U9_zgkR)Bf<89LhN3S@E?U*k z6*}?V;!pLh)@04=G~n00^bezWsr$^MQKHluN_E|H?QiL)+mOt@rLi{{vMo{HW$Q{CDd5H}VhF%W$c?D7Tlb z|Ao99dcA5b!vp?}Y&Ekw{{yuou(ynRVdljDL*jn~|0mM_Et-Ey@;|ls z|JBmO85}c?>&T^Le^LRN{nSQugF!#X-bEM}vdEzCSGD;-UNe(1;i&n#fCH5+uR>PX zn)HlzA3qW(5&9#zM&nv(K7B&66K;W*9v*-!WK4 zW~p)roNx9{j1&UtnI%m}m3N{yCgJQn6Obq-V7#~vKeeUMjkxsAV;%QXpm?<109GSL zmqp4n!hoiLm!5FaM7!I+k47?=&jxpCwQ}@%P|Rg>e13TszoZ*m3!E%hDx~%lnJ#1! z3(f7ZnY$(A`7}28b8l%@@>vDn}>Ja@GsLFHT2A-8DPX)rP!t1K3{ z)L?{vA(8gt=VVje+Ihujy=zjHg;!`-2g2Q0pA$rxmxC?|zs2j!-_1*<-vh{{=PC)f z8Eo5gI%5i>#pt`(dJ^wcC59)$3;g2^f!XtiG=F)tdW1!&u5k{mN%bEQ)}L^*@Wuaa zxT;7Jp=L0dEKSLNLjR1m@~>HULt|gs;kT$svcgF*L-ixwVm@kL`&{S=T7tFM)W|+* zbA6Uw0MQVVt9DLLnAW)x=*+^R{zrk8FD2#k;q>)>*oChq&QR&C?;xf(f|F5QD3w1) z@{Fi;cw_u|%D$bek@%B*=J*JiI@<8Qn*H_n5AEfSSJUp0E&4WAeQ94x9`SO=N3a@J zP1ycss-6D|yC<{iVqN!!;B>ejemuf_I)Am+Ob^Gc;N^W)SYk16kA~eP z>_Uk=IVK{0htUTHCKg!*`0$vYtsPEkG4Y}24pBBD{vtLHg zodR{*ewr*C@<-U{^57$!ZF@`9F8OiE*o(of*HaGT!#$#UK9I(IA2RnjHyw^gwQ_fW z3z77cP2`m1gZ;awN>_zFigZl04qCzu!W7?n!4zE)P6az3nDiW}wE3w8a^kUeqH(Ja zG*vP+Pc0D6sUHpq*C{`R!g*8t|kz{_G|;3ZKp440Ci9h*@T<55V{JUhh7dY+j&8N5 zw*a_*H;r)Zxn5zdW6;b)DKs%Bii)mTJeYHnt$lt5ikJY=DBChwSa~cRfoPYNC z8J}!-NMN+_^tA$F`YSU}@2dlxXMRjK3h|r|X56$3J+4|udR639aqsjqLj^F>c$PGg z)tA0%;c@NX1G(Sb<Nz)#-_c?j9I903}M-16>>oBYfkcYc3idm4~?m&~j&wxF7> zSK?f|=k&JnWf!g3Y;h;2|8)aEC{1BO#LzPUTE$-Fu%JMN?be7_;$S6nNe{wZDZtOu zx6Ham^zHG+(-dV(E^69_N3Ze@Ch>dlYkOM@wNunFSE58OdPerX1siaGNb%O0i+!*w zzOb%efKBf(u{B95Wr{>x4+lklc+D0W)0ItBqFxf3x-V+AZ%^2^y6Oy*le$P8wJZ49 z@D6v0q&~Kg<*NC5(fL_J=I`oJY?}tc9R0Ca;dyB#9=T@$ z>I8A)nvUNs_FMi9b;}4>&P_Ff#3EaW?i`k>`@R>u0d;v}lV2k=?XdGLT)3ao;zrO8 z3S(VM*QTg`xy^vDN-cxjRdz02@#X2c-x5{q9GdIxuE4JqOu)41d_CTk*&$@*9CH|V zl((0a9%~pQ^{!+p6F}I7n&rVgcPzMIFVnMltK!ePP3B3?(k)iz%Dtjla#Ww8d#{64 zuoKE`c;#E*CyfEPwE*{#0U!5#WM9&ukMebHxUn=hROruo@*3*gcx_c7mS$iB$KdO{ zs{CsPRC~oHCUWLD9rYNpTHhZtn`U|nQ$+Wfs-9CUsB9WO0-y$`lS89ZW-=8)B-PX) z{EL6DMmh`nJ&`OLA9N+cs8imSs7Gz zDao#99d6p;U`6`ic)GT!rVZqflm&-BNA=gAJFx3IPLT7v2hpZ?0Mm&2o}e!wG0ae& z7ikBR@fufkJMB}}l#pM|e-Ki_u6q`ES}Ya`giyW~NWR1K@)%=PRYDT!XS+ZW|Xu ztb;8GnODzF9;ET;z0|$*od%sRkumjm zq|guXSKGl;yO%cl_mppD@tD9y12E0WJpEJAG%Pz|CZrVs?_cc2g-sP3ZPqDv#5cKi}p`bTzfp=4>ogHlX#o}m!5@A z>7DhY$4OTdT1t(9_I$)B1Sr+Swna7hNAU_|*i99<3iVs7Ls#6`IjDQ3wo~ zw=tZ`PH@Pk$#C?XSTLhP^#b;t9r@Mc4qab6tX*XazttJ96OXM6Va`*^9>~~iA2kw$ z$MR#bPm!Y`f!eI*kpkHs!Pz1q;WxAP(bbt%-%9ET}OLZ=1NU+f4K&j>@dFWoYrx z1pG(@$c<3pA z@O;;%?g&!LuyJp(h_EOYW}^I7|9R2Lui}X|nW)yIql%290=)&a1O4drl_ge>=TF8& zDjI)fDKB)hz?d6GKYEm!omM<&NZnlhZgue5@B7~G-nm!WZH(EknIoOOW zHoD;`HtSqpdn6b9dmWZ+^Qpk2dBvlKRXQe^H9Y%}=O2Q;z_RnXEK6scuOK*c^!f3$ z7@XE3So6r>lR4f{1bIt_S6F0TJ4KVbr*9CDy1^d%;#(lHTo$3tn8!6iOw;C{^X1+M z@8)Q5TW}jEf8S$J%kIM2<^@}r>)V zO|xQC5yJmXfa*i(%g530eygaC zyj6Co%4;{>gs1b@#VUFm4!P?Y6x0xRcm2Mu77l`oryV=VJ|j{mdSBxNIH)?dGxtK zi?o%sf#v?)zo2bZ6_H(>NHWMu8YFuU@jUsC=Bcv31%Ag>1FX3VR_kNM?KIGZ)v})n z&%eDTdxzP=^%9etTi|Gr@+B=H(pR@Z_WQ?kWpYfzY#g7})rYs=hbdZ&=0;c4rLD26 zwzr{dpI9`ODqb597ku>H;8G~<&%)!KazA= zMK`(#b&@Z&q;i%Gld>OJi}ie&^s4&LM(iNJ;B&ph-WU zJ94!lYx5(2)i3xA&cp|NPhvCdO>Z>jh7@QRn?-hc@R`c&2KxkDD>&Ey=CNZgVcW#c zq(_q(O5Y(LZ7ALp+m##F5zwB8l3(7?O8wPd*p!ua_A70Yk;hpu1z~7t7PZ{Vj z8-*%95dM&-!=I>>uo;5RZQhy%6 zG`9{(bU6C+*g$|pOe>`AO8@0B?8BqjcIncUPXjp|b^T|p(%k~^e}=YFDs$l&YTV5bwlx_Ih3X7}qSb z<7b6fDP5p68X(OHz-O3XGiShZA%3wWmIO5GAqQMDaiq)`RZ$dALhMJd5Hdr@zV9d* z0H0M!f*N%UZ7uLCvy`pi{?G;;MyX=Sf?Z{>OOh>_m=cj75^T@1mK?4e`9dK=PZoK) zyg+j6UB4fhh~P;R6pMKq6PxLVQ_aQ;r8bf`=MqzgLJ$WK8L%TntO^~;a+I^N+rooC zsxU{|GCnunVm&l!EGarJ%Oa8RQ%4K!C4=~l6^beG8o2x1m;BQ)Eg@CviOTGDCSVdB=EuAna|(Z2fR+r>;!6O(EWge1ab?1ssoG zjAk;lJX$Gso8BG}4u44lugFnvD)|Y}^TP*$RiJp~T8I}{YX@MAbp zG=c%)r@*UFQ+Y5p=#_{Kw0s3YHCEi>OekLeyRd{EQm?Es93ih$V}bej*0LyI(o={a zQSk4!=ntkFH2{C&a&ZfYz49Co>|A95JtqTkY{c5vx!5WaR- z=p-y?gzROSC<;v%b}uv-0WMpt9N&-Kj>m`I$<}jYJW>l<{2jzvN73^{bnQPl!TT24 zl8AY#cC+TK&U7dD3|avOPGp|nk16oNE8H#-efe%!h%JSo!=dU@(&e6YDP!VxhB0xy z&UpS%__H!afvz=(=WAgzC0?tK%LWK~iJqBPPln?onLUR%$IEe|;W0_#0l=p{<&DDa z`!ejkb1<2k63!(KUn6b>Kv&h(JdGFTdhN|m*^^D)!540-X*1}HYcU8eJIzlzaB?k| zuB+*2cd!uNG!z+>Z^Ex-%P&c=y7iCUkL}&&m=`g4SxQ}Ar(8RzTg}9{r>E&_m*u-s zKW#9q=FGljDyoqq`mMOKt5N9%Akb9wL75BosN>Q1PkmF`WRFh$)ACt`&CZ{subrdU zEm5G`7PtkEr{g(kRJF=?Ly0F|^RIL4w!;He56kyKY(`qUn9W9A1ng{#FYN5G^-73E zp*;uWM@wu&{fWe{)bJ2w%8vwM4sTSZXNUW-&EBW$pS~gIceEG6S}zNi3=c1@Y-^P}0FT##l9g|LE2?udz@Y~Z; zG4-W-gUrc=YNR&VKHg>MmC>z%XWgZ zmAu!P^r>@~*FomSmnGfh<_vceS`H`6?+?YJonF_sKY4@Oef4=>(`$b_xo&AB^4IRq z*$Nhkc82pf|8If=f34&@hmOxH#4Y>q_7aadW=n-K?yE4vkDIEN8~%|@+yCpdZzUwH zla>q}sxS1v(S?C1t2n_tqJA8&>q|+rFJa@F`tPdP&TkAnEcQ$=DD;#{pP|7|c(L~j zWzg34tH&E>By~>FD!`GqJe!vCN^rrsiP;ZVJthN0bHwnM z*7@OfbEwHqTLuWbeXFZd>+d|N{7NJk6IWl@l^gri6gzCh{_w5yG%3zoUvoF+p;Prx z*J}*2j{EJrAJ;_myr!R6i*{a5DKvR+HS8qsqkp^0|Dlz7^ECAnt+<)xS?3ux(`s*p zJ1wi)dyneD_m5aB3$kgMd~eZWT&fVL3bv20gyvAzzq7_=os)#Q7#uzw-}50#zt`#r z051D9sx9=el(D&qFHT#;>f;@GQ2>EjLl&^fn6mkeB=C52pl< z^RyX%^ukbjmIV;Rl`=&qVb*FZIl4K^#Fv9vH9xwI-(e!|Wn^=FK0d7e@l@+(#mncW zS3N`;Ub*J)%wOjC@nGS8bT?c0N2lW3%<$oc1#C~mm?`Tm_Moeu=Wznk@<3?x;AE;) zXX6S$qCE|KcWZTiGzi6Be>dwh&V7_iv1GP1YL!_WStI-vt4{_`ySrL@-rS z#m9l&Ct~)fu$$?-{l^L(Pv?ZKds=veUUIUUoQ15`Y81ZmJz}&)al=q0FJ`#2z5wH2 z^O9c71UFXX+I)d|i>BoP-@}TFa0$pVd_uF`Vq=!6u+7II^uZ5JJ4PQ%cj2_PtPUtS zlTo{|DvC3$wlM9L6zj6i%h9c$RS4vw0=-JEvqCoF?L1Couhl>3@MF!Qu|!@)9m^zZ=^z+dWA*r~p=kL6d)W`s{tCXlE;AeC+`=cVgucBxq zC`@AKuR}NOtK5X(uaAfAj&R4xvX4Qk5%n6{xQ9|+3Dl#*V~0N_g}6+`HZU_1A3_V> zYSy2>jdzlkck<8}&Cqo6G5XXwjrMo^U>`RqJxP;VX_hic(%>lbif!uu<#q+l`U-nl zbZ_{19Q_)42p{+GpwI8Nhqm>_usxh>q4>@tCVLg=Val&*!32)Wj~29M+LLrFU#BxG z$DKS^L2A`n_0Z+LG<;uL!sIS|j@!u2Q;X4W@O&jUR`MfG{v*yHFYdov2(=fTm}hv6 zp;dRz=~hgZ>dWNPd%&lEOTP_Lt;x*CB9+G$Tg+$T<{3Yk;7sFxn;lSl5o<@AIvVtm zAd10m(_R-pwbI@E5RXBr9)mNQmiDLlG2w!I(LIzK;r2AZVOD;V zbl!B+lks^_cUTnY`cyDL29d1!qT!x)b;{{vFQ3NxFH6&VTWOhUv7mk@@rMcbUpHEc zMVoOBeTZl9mSja$)-GjaHl)WDaAyFiW4U<;&A&+v`Q^pr`DvXM0XbDU z#0k=792OZ*l{PumIm9~xU}RgW>ichb#ztG0JL_Ry&ezH!L|bY<^mg7VoZu-S4qA>M z`@JYM@ED(d^m017kP*S8`|PGkc2q6b*EM-85PA&G>5lt)`e1%GMzgAN0y74xMcNjb z9IR^TPbFszPQN=XP)9-cl-2T+*7?cki5Uil?RN4B3B8+??$B8&a+A@r8#Wm^mur;~6D|*`j8Bjx4QMqzN}= z-U+;pzhzGp)w~*Q6Lhn3;K&L-J~Vgs=HLx%mvG17(XiI__xlee7njY#m@?AI4~VRi zW`AzoYcWxMA+#yk$a$b|;mRx-_E}r&@heCg8hC_e)pVuK;2?$7wORBxB`?}CQZk}g zG`>ckzu}X;yjv38#-7?9aHBrQXzAjIWUCosP~mTo_{a=A&w{0HA#|svz5S{!F9o>{ zOhK<8Y$K0TyCyEZR=k=AZBY%2Yf;;I(D9-#-k*6Nq`j}jL9Yhv|S3h5`?bRK^5QTNk#_0P)=9Lfkier?OI63UWH zm~;S&VKeXP=Lxu12!#ch`C6724i~_8)g|}BbcNL|)P{iX4)345tsiF?&26K)E_D6b zkV-?GGiN8@tW2d;AUs-{Q8u??Uv>b9^)jDMlGL(UF&hmlek7f-tPE9Vu(iwF6u)xD znAIl4>UTpnlKkf~MyBq{ejhI+%Hp1?l95nC&1HdSbHsjmozP86kI+zq)Mbya@kWY# z)P+t>w4a+VkHDCFvyz`zcC~5#_(WN+DzvMfX?z{>c1+UNa`%hM{ssFJB8J7 z>m?i{^dEEur&@+69zM6}m2@xjXM0b5STP+*XtZ{UAspQgOd6gUvr;_oDIf{W6RK$O zRI)j*$-x*pZaw=OOCJyYo_Lqr14V(D@TNGkt>;Fm+kT0ZyfsxE=_0Q2Qa{%3;Ulcl zr3fyY?hMui3fG6K8}7eg+V1yiFe_PZXZ7$g1;8Qo`kMxob|Lo&!%}vEy)IF9$){Je z)haAd3Pq139l%i*rT6MSU8(h3;?%NPSX4^GNX7G3|?|SSoW#Sw$GJ8ha;Yu zc4h8Ql$s-F%!2VjsQ6C02j#PRpvh2xBTxMTP8!D`MA9G2_DsdX-@EX#uFl@SC#Oz% z%1lOztmxegq}tTCHoh^K@>v|);q|I;_SlI&D9NptbBC0d#08&Y_kwmpAT-v};R!7L_475p0eT-IPYOQ>Zu4#ax;jjEJrB+%r%uh;su%XVL zeWCRL9ipa?89p2fF%@;1sr;}ffX(Kv%+ga8d}U&no&VC?L~Fah6vO~G=RBi?0S*%Mw?|unBEed}qh2`cfkA{!E#LWR>I>P^`55#bz8$AbQL0E^x z^^nm9M8xIb8mpf31?(U7=~2OsEhhK+ze!E?6ypRB)G77kj~Ksyn<@646p!g=v8%8f zepd2-;1Xe&FQUn|e${U7-ieWZ)RHh;(#q0jvB3hI9JT1>Js*~AD`IAM%vk>PKljCh z*RHlv7O=7P$nCjho8EC_4XmPVy;ttJv}e0sCsg8k)|%@blBm- zYSX`zOTBxAYAbSi-)|(gxMDePBO4 z^Pu&8rrGW>GxfA}K-mYtV$AB#JAzT06S=-Uv0XluzVgML`os@tnDytVsfy^6+ko zhf?_4eCpGJ)&sT>SPx*fqJ$}Q4i|xlOQo&&3ZCQ?*3^+eD2{Aq1GkH)aJekNN= z)LY}~yq=Q%-Xh(Wi1i*vsiPS?*sE18Yn9jT+^A>*g9daa(r;}|BbyY>EVUPsv0&(^ zdwlf4!Am@r{$_nBo+k3t+=$!IGQVju(p#%^KLuNBFZTWs#UZq19nQl};JtEsY_$Cd z8krWJlzWRLj9(BPcHi*-{&;w>VL!WYLalbL?#6p@uBb=zZBMR~Z&#lIQz;57Fw66~ zz}r$U$lFiI*@;-#o|Feq2hNeBKH$}RWni3G&aOQ%?xKLDK8I;(pf5zh`Er8c z*QFy4gLb|;p1yI`lAq}a!uHF!o%0#pcHMp#pIW`0CdWj z{@l{|VrcApdvEjk&W{63%7>Gr%jG7Z`HJ7YV_<5BYT>M?NO@3KMc&JRdIo!$o`AA- zG9W!UFp_?s<;2dsH|B9P6*2#}$GP7(Z)85%-9>N`axSDm7P(oS$jsFTAKXpiD-?Yz zO?lIzGM739fsJRF{5szwW&v7OG_k+ym|TJjB>Rb=Ddlpu+r5(!wZg*RF8BhsyAi*g zdlYCtK2JIm%2H2r_`5GN^ijwcZqiBifD2x;gSlGQe;QKppkz-74#E^YrGL^qgWo!Y z(Qi7J#}UTVL!8wJ{_#$e!MO6aoziAyJyOmd!jEOCprK3bu?7<0&F{wV{6 zbgHPT;+Pd@9K)UR)Lw@)#W4dq!ml`J9m_K+Y!ZdJBrOi$!sBm3Yj+;PCTy=+cY5tv zhJ-oD=!gD(5>26VJNKI17kSLM;5*M;umu1!SJHVNc+~Gr$5+QTmy5d=36@pVup>r0 zD!*2xk#w|rTdume+?}NX)pjypFb+xe|2Y`JHW-C^9Pifa3L1; zEGe5y>YAX>r}N)NDZ2JoEe}2{{nYmDjbZf zmgvsQk9Nj%Ivu#oaB%{M!9wPex!f(0-I+`Q18NohRz-Xbb!qnEJj|N2cSn8&vMlaJ zqwi6N#V8q`wJ#?r&3nk%=2l=YN8iCL*_A(-B@3(cdb!5Gs2jdi_+fVD?2cPS2K=w$ z2h&IITASTwV?xs|;<^t!+MgH;Ofv|_&Y7)n;UvZs%5Mr$nCsSr%j2(ADe{fp6@i;| zSiWIoaue?{xzxH6A3hutC)1VohrFap6B7N(xi0FJ}uzc z5H`I;cMhe@<3LcN>rLv~oFivvo-jnOf5}a~JR5r`*Er>rO@^9Cj;^? z|Hjfha{`qge51bOH>hSVX970$x8ZXe7H_eZc=q$gbsw@z_njUF=C{GzevF%KT6K%R zL}xABg{QCBKYcjF>?%QK#kj2+4R5+f#w~5$VyCisNyD#R-%BWR{+JhE&Fb}Bp@w@P zBf*a-$D~s!Ws?3KDYn7+wEUPxozs?SoWY&Wnfj<{PXH<=@l5Re z(#YC9m))id=BAikiAvrC>mU0Of1oey#^BfIClg#|Hz@3V5P%i}i1G?z2Mv-0M-if>w!#!(@b@h)vJyQev6w(m%bQ3(%}<>$CC1S!S5AvNP^ z`Y>+^kbO3M--G#oQD`FE+^M%I4sSXQX47){v);!{c|a?a>U8T}X(5xwGZ!|H#h0-- z>}s%DzLw-Fn&sRu#T;2!W`E?@reUrtO3N=`X{HqP-6PoQpGSX66-h35$9F}8*>s{# zj+@8C(j~k^?^q$BX>JH|9Pc2k^HcgB&(JIaPrE>3-fG1Xn7j9$$6ZY5HJT}WIHO8l zU7lsORv~7@rLMot{AhLH(0=APC8-C%;vD*rVROXTQ%ZsR`DsJL=dh9a#L7b4rX!}7 z=OXKBF4B~^Dh&7KP;=iN@;u zc?LLXDC3S3|12>4A%@v@u|*4g|Ro8rkH?iUYO%!d#?p62&? zt`%Mtpq>37^A4{4O6M^_>*sVu=KO;0AkS?U8K*fv&nlCAg;semPs(>BctP0?5T_%a&v&?0K-2S>?3D~y2T z=!2zWE}0^vE)f2!omv@=t}EXh)-Jey6Rr0vl4g87nkxkmF)* zr~xT4VvlD1u?bU`_8jhzZ^YQ$E!v~fIWA2~?!7W-Hz*Tpjr-+@ zmd$ZQhujn3?;ihWlu~rBg@In&KxI!|`xShLv1;%&{u*a|-_XSdZr;!6hgdA^soix> zBJKxY^XK@otG<~utrd0B^*>YjVOj&i&rnP9$lfIXY`!9oq z7A^G$^PY0;pfo1a8O62y3AHIoU3%AEvGmHoi~o@BpDd!Ue^%{J3K;p>YC67LV&fUS z{)+ka8;I;wRPacj%pJZFLFV#;AWGUH_6ZZ_3wt-ittTr$aJV2EhhK}aJ^+G1h@NGMGv5(mzvuDz_|WiZIMZ} z7r(@L(vrgs3HtT_0$_9xnO0NJTbHmtckrK1b$9s#W^OYq$E;lXWUy7;U8Hq5_iDOw zZ*e>+1I0A@;h`_)@(oEQELSgv&^}y_BZ`b;!V)AZX~W*%8OT4$WXY4< zgj$+AfowCM@UiBn2}2?d1|-anxyQ`?)a^FPn{sL!&Hqe=4-y%(WYVj>1Dskma~ew1 z%7@&+QXK!v1-H-e2tq2*PZP!;!ZveJA(-#;Zy5YLh>HeX>g?L z13VKIphPuSc$ng?>vwkh^yJ3I4t3+0xuC7!wEc$+&hW}8);mbJkAh+xPv*RJBG!Fo zj%A?Zl_Iyb4%d)YBKH`UwdQj4DMP>41^l8KSL8Rs9w_?YffzN^d(^c+yL+ml0*z@g-Wn zN(Uhw+{yuTP-V(4HL>XhO>2Ab7z)E|mjCh#flQ~0m`9cHtX~`C$=~*57QGF+FJ9b4 z)9wS}7Ad2cpY^xgmMq5bia^z%OvLVwmJ)f)DNriqsG6A-ECiw{CcNQ*+gigIgN&ovaa0Db?C6U?*luQ&SG9(b<zs4WIB8k5+hF}TDe^i!PF=xEIh84)uqF46!=zj%`fK-%VKC* zEqrDq>M-O}+a+X<Qt{C&Q z)@otIJ8$E#(+k5-(xu>WZHmUC*xDv=mj9GsX-P*9zwAl57F6{wCe$yf(SPyd)^7^b zZMX_#4nyJjcmARX|GFc}nG1{| z1FrchFOY&@NCfkW^at3ZMDS-u$FK?x-$@=S$$GGfzfBK_n-r*oJDtxoYA**>+_Rne z1(tOWtKk1M3+W@qsAbbZfnBi^qM1NlNs6L=}nl&7x;bVoF~(nz*zq-L>C*WdGqIuXW|+ zpO!v|XRd=Hms4}2@9zuuxagT(d%7hj!s?t+xVaH*s{P7_;jh?twYk;<#1lHE;MGqd zd`_ciMpcEliSCL{#KHl2a>#oTZ8IYURU)K< zQ6OvZkvp6@VgMD;5OINT_yM`bLU*xfD&=Gg;Ol>Pd))qF_V|Diu1xqzsv#m4MTn$^ zN%lg53?uGVq20}9c-#cHr_vWq-+Lv(16{)Y zxlF%r{A!3H(3q4i1=#25o-z3rua1JNyv)th({<=?a(Cal zHx_p-Bgb(e<_Q^O*e4Cleutt#eR%n=&hppP@#kJ-)n6!Apio6^hxfpI{z;`byen)3LkL3ZrY=qs&AYv%>*EJV35(>GN5t)9gI6)7nc)@mr_?{$Lw@Ox?YG zZ~7s)0IT6w!XWzgFU3`Ui-y%WN+sD?BvfKG;EJWYcu$#;*tGsbzoyq|hpYVAR1crA z_MQUHAIPKf!qsWFeoBX^`_U-nj+urmHCW8Q?kl=W-L!JGQWJG^t%6p*fSehqVka9e4*=3*8A?3tS$6N3{;1 zj(z;H(vRaOz_Ez~gMEQN1D?ta2^7HJcG@kJT$DFk1-x{!YiJv!P>(u~xD7qKx+Glg zD}-bZP+tXjzUTCG7M*UZe%dY^p~2JE5Y=lX7jsF_(}>1`lOix8V@?|F0<&unjJ6)6 znYXOHid=Uqluz3f!wG0d2-&>8VeZd4_sL*B_Pam!TC+j{J3tQ+prdK^?R4QbE2jCV zyj~A>m)5kPB8}`bTwFfqc+6wd+kVbJ)c9dALKQs^FF1o83jTp}IUEcy?#*m3dOXJv zthWWY{tp0!KzhHfy%Tgv`tMy7^}07_OzIiK6AHZG0=|zzJbe`G0#A?(WdU?a|Lu-H zpP#hCJHTvzpjHrHdY_fUD&PCq3FUV|*@<78P%nS9W=W3s*Om6F{(rCIX`dyxA~=EB zg-svV@gISn9)J2`H-sEUwqdz3NNf3Dh&~hb-@o?FYgaHZdFS23HZV^)tyeVOPwQG@ z8KXQW^MseEq*+U|oyaV^cFw1$3g`7OLeS(}+KyAD6n)Bk1ez?Ur`;H$Pdf*#l3&>r zV`DN1)IX2^Gj3*r%Z2_wrL#qrQ#-hj?uV4qw}C{D^NBNx`MWh6t!5-OBz{`Y!t#(H z{f8_41DWv$mHz>?^Vh)N?#Q8QcruOSA6bDvS26E&{B0FO3-B!QI4XWe`S+lXmK;Yt zODjGX@UfLA-*R>aD=hjg>6)dcbY>yVJWu$b@;CbE7D_8tsY}?inU!w^ovb_}{8Dv+c)0yd6e-vEoCvoQ&%el=SxMRhEw`{;V_l+@A*jZfvm${aNSRxh|(nmc|{-sBKF9+VwIAmq*_O ziPvrlRpDQs-qo|mYd-^ic}U;xvR7WaeD`JZ^@fXjK5E~6swAm^X~JRgS6Y=vje?~7 z9W3hn0ku<+q>n*z;ec#RPU0yG4z(*E)g>|XDanSr#xbOlJh_S_uP=~fTqXIkj;}5W z7P>*FtR#H92#E|n=}Bsry$^r;BdC1K>TP?FtDWm- zBuMRLOuQt#nng-ORU{c!NvJ@cN55X_XZ^^oK-zsK<`%}Pl{sDI*uBd~!>v92Can4o zqVsZjJ!K=gO~3mA#ySUpzpY9`Yn*>*rJGdd;#XW_5As_6>MhV9-T2sZwjkm zvfJgdaNaENVoj}Cq!vM!8rVDIcz)i76_aIRW;C{6)ZCICA9$nvwJIOmp-Rw|ZHI93 zg+yO^sJ4^-6t3?YefA;!rGjfkZ5YpX`wleq=c>WVCB0T`tCUqVcuN}nzbVk)+U<^g zPiFjCq`E!SheDvdAFH$_cmB%t>I~xI1$s6j=ZEaf7W-hgrjmbtcw^~i{T2BQ^&%4M zcl-Q~7+I>dB9v?`m$mW;=E7Et->p_;JlU!q)unS7K>82XwIcWX z)S_%Zi8+;Z056mx{`V`e(rKhJj<+l3pVay;_uhe zh9=vv@uPXEIM31tHj&c2lIo60|%Pk2YEAMMvPpYXR3`3}IV7)u%!Wj9t>>y!TN z1#2nuVtdZ0mG`n&Wh*k?69is&0Y+4$pi7piVgN0R=6 zEi6%g30P9b=d1rMV?S>ec%Isy`g;9NDt-Ul#fX~)_zRZg{{q#C{8!z&xCtj_wB;vM zKc4G&`*-U2Sej$Hc-ayOd=MoQV z{C)v;Vxj+D)G<6Z`~H*qZx(+(v4HN^?2X{$Z+EXa{yg-jd|!97u*cWv@1l?>S^Cy) z&t)D!A3vVwJ*59g{zKul0{&Kn75jeX!7B0lyej-#)jzWQ`5j=g{wc?wZ#A=WTJ?WG z75*bt_&=b4|6cfdmj9^6GG!Q5{tvCf-~77>|F#1D=av6Eoc?Vt{yJfIHa)%ye|xU0 zi2n~1@IQ+DNBW-sdF@O6_bY#Xn~2A&_;~*@|GHBZ{O#%Z1DWyjIsA_}{u_vU%J&%M zznmFw{O#LlMf#h5MeRcc_#A^?T;f zY_ju_HORM5a}A#Y^7b z+5QOrLt*?4DJ`zk=?iRnjg!y&#~S2g`+HPAuJ37&T^LJ@4r>1K-~XQj0XqjU`Rxsv z+4z`L`JU|J98JDAXnYVqFW(8x|5^ElFqZONQ29=&e$<8U>oXgfeD75QXXTqx z`F=y?dnoW5)O$T^aCEMJTQT;so@J6g@8ew7^^(z_DWHEz>HmVGzpC{6K!f)JN%LEd zK7Wbvn4?b~A5{9w*k{aQ6V}eeisS8G12JJ8+gZ*iKEJLG_j%Ou4y^g#n)0__j%5Do z1c5LZ|1RU|1N*+s*$2ZzJLTfKiu~;^IqCDa5{&*HjlXerLLt6;bUfd>y`kg3==7)m zUD0z`!}om!_*#B>lJhTq7skpY?i-NxEqZ>kihYld(Wf7=0FK=DFRJ|6`0G*q9-?cK zus+092`jC7y~}=)CF|eD|Bpe3C7Zvyl>WyMr!2aW10erQ?D50p^| zybpH>7T5CzPzGU(z=penef5XA0(p?B9vEAady6DgR~c=h6Rjg*Z#U zT|M;;N|gEy<4%T^A=U4K%S5NF5!(^f&p*4E$KT#0!l8NX4$|EAN2`6a@?TYa9^vpI zrdFC2pFdZ8R?(2h|A3CqMoJIriLYI6B>p4n3*^DKY_h$*3jNPLD z>y^lVcPo`HjOSPu{(?t8wzg7X-K4uOwT|aR$$m0!)I!;?(T3S2ZqSx_W-H)*d_g?heinUu~br%yss z?`ekQ>zsA++HcVDMV|E30#9QGBmetrJ0o$`A$=Zlv;70^oa(ewm*1vf`-Jje#(tiB zPh%&PBKdx+pxu4}+15mq&%BQG2C+Wj&_fz&-1l#nZPjnFyhY_(MSGrn_f?`rGv&Pt zX3AOhWPab5h4QtkEIgi3{9f$p4!Tr5qVm5<{e$v%DHa@m2APhB|E&tXFH@UjXNncQ z+i2I!n92DYTUL6orlIN<{n>LKKKV%gZ%|L?HOH%%{mcgDpZ}<9ye$8H7*F4~>*VC0 zdh%5Dd$!_}%@mha{9=8h$##2>QJCo* zeZD=G?M3n()$y;^F&w|wji1u-0|ojW&l!Kz`A5IT*I2>$HTc~U@O{7Xr2ie)_+3Ia zK844dd0*>*&ZMt|F6+p8{H`L|bm>asgH#fQ&&(|%Ksc7i?8DFhK^>17KM*DCo2@zY z7Ilbhd|y@h9_?~QyE|t&qW<+-5=W6I)ns2RlRT;R4?%{zX=A0H5-d*GHn&#i4incXCjhv1^b)=|hb!qx2@BU7+Tm$u-5Sl%<1@ZAju@D3LMqAV4eWF3 zOV@ro^x(MNP~;*`N5+w>O;R*Ti8=@ zT>BTutY=s&!E1#tpKM@%k(sV@8F@S{A)iNBs_n6@{C^Ss1ouU zUL9`!HA(m^!x>02Ri67e6Ci&>k^#_OE$2)*Gn+;|=`aSQ%#eb5v)t$9yC}xWHPm<% zHEAux5)_q6_^8Q??eI$DqZ$dt%76IE3$y@GXK@poP#8EslWBD(uB` zIH?ycI#EwL0k{&cC$@vaTv_H;$kl;9m&!HNf}@JGxB@MvAn|xO^As&+(SImM3(jqW zWxPRHy1}z7E`DvokWW>pwLs6nOa;xpWbo}wU|Lo zT8p({aZ=%x)^U74r8%7ceJ9kPK>s~RJ{N%3T+mKzL7SczN5x1M@$FuuAHIo#x{-tv53O z1x?pV7?UgCFuehZCW01XQ$CS%A!R#}uLsC?!*(Zu)MV%{wmXgf`yummc^(k$+Ht13 zggGcFU17Hk^((1*LA4e2d8PrQgVR#B7I?p*;fQkjN!EKOnk zpTymYO*ElZ`0@9jyPzF&)!7iINqkW|mUBVtB)(3kv=Hrvp#^^%E-9kj5bEc^S8^NU zksPbVl7#FL5764o8-&}2`@;^H)||BCD(Ux!k`M5GhI~w|nUHDF{Gth7Yjw1CU3Ezh`mcn1`G6?+7rs@TOfEEWgvDCUM%&3*a+%dp85o~;&cewU4nMIopv+m&tLjXifFfpoUj|(bwUE^ zFWT{$x#Q4o1=>lyXmP+C(C&QMGi41)Tc1UA*fl^~Lox=} zQ&A%-?MBp$L8^n$_*5{%zX$qUp(O}$Pu7r(qFJmIIG1`)9t7X3pf5o|?RJ53SBj&| zr_-pv7_zz1m&okI>`$%asQ&r12WWHw+&1UQM$rN5xp ziT;xSrU`bIda*U%oWQpLCPi%B4Ue5p)r%){g-O2RPK?tb%s7|ImZd1n^Yi5zjyr;y zB2PXH?b@N;L8l$FN3X`|OmHhne^)*QUSQ3qaHPLzH-!G}(C!GjNxf)C+l{8Q5baJv zixa7O(T;1jqu`r(GM_OzTh@iz@jVISRo_q{BcCoArwL1u! zOXV7lBbT&xNu2V!@+h>Exl*LvkN)Qo9ro69(~c{&ob%8U&qMj^(k!O~DiWvrKu2or ze-fvs5T{(F2wy>CH~P<~;`AUWx8}s@3hK|~#3}D9ZzWFm0F4Epam3kr1$W-;`ikfw zo_rR5H3>r;MRt<@f*$YG?Sic@qnp%=cKr3?jg(Er*1KTSCfF+}V(TWEG(1ml0 z)Qc`NsOK6rDWZ#=IVSa@3s+_2E4qMDX&2YkASQX8x*WlCjYYa##Xx>H;c6f(b7d>M zWkq9frG*Wm%MrxjNw64#F4A9gp*J3bE)(b`^`gsO)bCE=FS@Lweov}ibh)a06J7Qr z2Ctx}tbC~pzr&W+C5gBd4CL?Rbp&!E+eP5H4-rI+W<$N`atQTy-ghuCgaRlXsOOb~ zq)5b_KwKns+)E;k&&n*opMwnP>UHv({Nd4YH=EUM#?qRg4EJlVCj!SDts1v7FzhV(+TunK$mGq zE5BJFTJW4DeZD5H&+|3@zFkrzzAjXVFJ6-zf}Q=^Y!G~pgHEE|Sx}hCiLaxGug;wK zy12#oIsw*u!B5^=AfDO1gfl_)Owmx#ScCuUgH2B&zNAQe4Itx<0MUb(QKY~aDE+9v zf>~fnD)C=z+K2k{YHMkFdf4=${z9rg1ZAlQ^^`|YVmw}kBzrN_CGoh1jJmH}!*N$P z<=ABIqt?s_GP{V@m(YJw=Zixv6iBpghbQx`WW35J{WT|}{{pmL1udx;t!Gis`GQ(Y zy=cwn@-L>e6Ro*!pG(z?)?7nU9?{y?a$RK|>G{*3*q!xNor9)*sd};3BFVVoba&$#BlVP{{3}8fE{!DI7lq?^*R=__&meEuHMBjr z<~E>6hK`ElIOLxLk|T({+0b8*lrczR8chdgf_V?D!)HXN%9ay5v7Vatz+1Wk+&Y^u(o^0gwzvqJrsr0X zgmdf3l#K-CQ6M}4WEKJ{lu>MP8VHP~Y%zqMyz_S+an%#9$qVH*^gNrgvS@o8RvriC zLy)}JUBh2Nf39~1;O9~=D)UbG1TeQ>eKSAjdGu-uTfv;?%a>F2A`j0vC{Kd!nNQVA_CADqC9Inydmo4P z#8-+SunT-iKarf2kESTgdBF_o2U7K7&2iLov>cIpTJQWT+Y$>LcMQu~dfSC?cKiJx z{SrLwM9R|y=M(U>qv*d>w!PG^EaL37JO@#dN%-DqN?OtIB&0o(su#IuQ(6$A%~~)z z(zS^el1A2)Tdl5&xPm&aowhdYwLVp%nr$~MKrzDOe-rm#*oTO0+pd-Tec@DpjTB9re$|0@t_I(VSeABF9@Qy#@D#)Bzo z#iPa`?VePNtS3+`n-~D{ZE4qXImM~N$Vssb2=LcxFH+lps|rcBR@-QMuS&a zBsYyh(X+5qKP&L7P5e)(DD67n8e@fKu^!ZK20cA73k$Ct3(3mTuAwDw&>q_~6pfL?J z_$xQQqguv5j57Joqfkk+C+w|_pOQLJMpfvXLx1}X5cw9!IJ8U8ID!UeobD7#LSd@LNa=$@GcC5Z{(6vd z@sqH%C|bG{E?S9r2XQ4zvX$u~+NE<(T08caF|;Wcxar<91*fTU-m`I{4gaUdaXmoM z(zU2SNmH{L)>fjnId5>}^-}Y)4d9HNzaq~6ldvpT%l)B_HDWy0^G@PHJY6xyYJHyJ z1nN6dxmOnVb9lPoc<5*UWaV}?%sy7fZQ^OZ*3K7*$PhjWGG{IByCEONI~eDxA!?v-l&FRA1_bez`ya{eTE&Y*v)dkuK@Kpycyj^*!C^`SZ74bIJQB;UNOFO)bs1}=zkjZ>#bU?v&QI0aZlN*!d9#>0@}Rp zniR1@dw9w&sr3^2TJP2N4GdXR z2->G0^8}8XLq=r1L~ak>g~_9&2pzF^QtM@F2PV?au?s-yaJhzv&Z7o5ysoW6wpna( zrWbe&LN-3H&vN!w{!e7PfFu5*gcOmj?>b}~C`&@V--2v> zkKk0wcH$d+7r>nMl#STB1A5sTye{3w7P0l^f6d~Vcw>r;UhyD~)8L!n*+q`1No3|P zyjsL{2aE*I1w0voziaDd+=Rm(R1T<)m&4@@%_^wx$CS06IdeRRdby5o5{iyXU@GSi zRxdhs14FBkZFLp}5EflV zz-wKzv|NJ_U4~I_z9e#p?@hrc2e3PqqsyAok^W+ryA)u3!j^v1BIlBE*+r5C$W zOH(S2nm}PsDvqwgH!xXTBc4YBak0x0;tw(TM6?uHrSJ{*hs8xpNAAxSk?r)y>X+>( zd@jM6cl~-!$e2tJY2+pf83ZEcNir{=4akP?DVoz{x1?|aH zf6>Lie@%u}$C;6v=)%aIE7wp8zRexC8m}!tmtJfw|MNX&7ty|!!I^UquLp9z!YK@j z$aeKQaNds-i$u0nKG#>Sp=>LtS;Ka&EQ8px8!|LOhC{*5i461CA;WIiE0KY}hPSs| zLm951hHjPgvFuL1JS)KYcG!A9OP=2E7gLA6c5P<@B!;wpGOWtvU=4bm!R~xnU#XwK zYhKS{S7hLSekZ&S>*R~KLIR{>`Bpt_hn|#nA65?Bng_0c@_5Rlgz^Ba&!=%%mcrB4 zQqO2Qj@?s`?qH~r`pcj%z3qSEf#*~7Dd!+|eSIQGs2qVw zdghgS&b-V>VjWVLMopqMYfNMAi_cU#2bA-9>g@)-n4b13&EJvI# z@yZ*|J`$gqLeFYaalx7N;n>ZRGwQ4LIaoszJ`cP8S4!h;Tai&F|v+pSECHWM0teqWKP~V}W zeLe5#ccMPgZmtIHYO!4{eQNO&v+sJ)rVK!dXFaPjF>0mQeLuo~4)q%bgGO{G`gaqy zauJ=U@EyQos80~!uZyQKms3y<-j9ny<1Lb_?TQDlGEM9*lf@1a3Q9k6OV}y@OR`+K zD(uKTDN4X!J{L*Ya3y>{F?7K{`Xkv;4(UVsX-SEJAtrMII^#VO{Ld?vS1^!w{-nqi zo*~rlM%GPoAUR+bz&tpq2zQ4>ED_O+NFib@yMtAP&IImRla9y+ph_F5yj@vZQkxC& zDCl*83p4plsAH?3$@+5%M5vd8WB6{`KzYt(-2~=b{_e$k`981Yz_A6)kE+*LkY6Y) zLH-TZi~KA%^7lY^S1w_C%3Ti`3>1X&Ss-~5l=qgufgod!LuS5}GNEu7i_)~^gmH>J zY%8my9t=E<6Xdl3jog>)z#N*Mi)Ae5V!qAbIOO7!-KNFTCs3c7Tg95Zs=!`JNxXCl zYlEbSQr&otf}BJbTG{+9>6zTWNa~0X$8}=h49*H>L&S(Kv}s35HZji}WIL0p7n%8s zvjb#}GID_brM~TxxDu%SkKLNox1*j%NlA1ZRUPGNbkXrJ_Ovb@I9r}gqpQ_+#KC4IS<=+X~e+7aDdp@ZnszDbw* z?6n)>j6xToDY{Sw@kNtFd~YvgUPF0_f)g=a=-yVR%4 z6|kLvE<8`fJEH@RLwIk>aO%ujbUBSP>%LUI$UKR9+AI0?uhb7z(B%+x87^mCu}j|; zby)}7zFTpn;CqQK`#`g4x7mbS@v$bzd^$&$VbovAxxU(r>@i5%Y}cxLQHS733Vgy+z~^9T(6)?UVKCH~}&F+`yHPw?xP0O)`k{Ff)v%bdj^> zlfj?8Ws;m=zY;F302AfPrW{V9>HH>=NGyaw_-8r%0%X3DvC9?6d@08+oaq=xyia^K z5E8pgqkqvE*;q8*?06PBc0)&zM||(n7IhqfnElXkA81=XY+lCI*OY7$ zUlWjRGNrrd*azMDJ>{fG{XF`&0h2M>-Nn}hV3PFZUZUeD7(p8;3q#jOWoDoM&prxjvaGEAtZ9 zG8VJEUdL;mNf8B>aOIQhghTKzJ{e{{GY_BXgpVz@+6cjU4eR8l6dkE=s#%xKb6+L= z7r_27=8@we%mn{4!2b+n+joC_5azaqIRcrRB^*l=!7d^jP)2|I%%^;vB>Z|4PSz zKi@9R;_X6vO+%N1=-(aMrT@YwYPAa|h;;t1j@Zjd;Nyis-U}csZoG^rZ7K-gOG87(LJP z0;rx_^`L$@C-CZX09rZ{HTDTH6 zj#EKyu9;wE^jwE77s|TG0M_%p5&TuOi$Nlxd>HliwDxJou>Q7+q>q3{MR?N+;Hh)Gehr{x}L<{yu zcNF?F?|YiuyKZHzaBPNzm~gMnl<{O6t{?$V^MO-AE0Mf&0ap=mT{kI0hu<3O!WGKP z=(azEiquabJFS+_3^+>a_v7kTLW6sWE0FFeD@sC< z>$;55~?77Wk5y>gxg&d7~ z!m7#oa+^rb_aYE)FZl(?Gn10M3zFmSS{o#93u`u&9M=N}QrDbC@^P#o)7RESLS9?z zgr@vP3!e)z%x5ZS>gD#54?^l$e545G3y_@ePm?3IbVBSI9P*9TQUDyv9(<>X@3=^c zNH|pC%E>`UolstJ-`?VNH`ApP>(Z{WT&x#Nrr~e=1vQrD1N<)>FJdh>j8MH8>XQ07 z)Z5$gPP_gysOR+$_U3nptO>bP%vqQgeOz8s-){t zSiD;$r+oJO$rP@P$+7)U{K{%%D_7Ep-D#!IcV5^%!5+xd3;KdBX`TmVUY{4aDD?zt z7&{~Iz-|sL3GXh9T@Zl7lkSH05YHNN>`MbR9**F zo*|K1Y;j0ZG?*PP!q*IaAw-~Rp1dZEoX zzl?*AYs6ucosf@<)p714zav_=&zW6Q^Pt)?4e$@k3(xj9rNv`x3$07@vZvwIbmy9I z&gktQhi@0F6=Ss&XZDQ=S~{iq3$pM3S=-B`e`Tt#xnG|xy8Ke{kFHAMdH2qA-1Bk0 zW!B*5$P}Mnm#I7Mq;R+^Pplo9SR$SGDh~DF5a;K_-J0~jPZp>Tq>b4CI-5K<@5Xz) zo0fMg^%Txx%v!xIJuB4oX?eCgX)`n~iGNjX)@0tTkv-QMeDiL#_}F76eM~l9(b{kM zDt(79so=@n4(TM$>Ag*fYrb3;pPUa}3G*^{h{pAO-BVNYgP&nD|>mFI8# zHlOK%qh6C9ehrP7+L<_J?U}6Kw1VUYY2LajT6weR_ZbqEc)}D~Hhh?qlF>8-W&r(^tQbW-AX6;e&*Qw2#EKV+qzrMk@I3cdqY97~g zBf`6j3W>dvw06|Q*b&L>t2oqy!}~W|#Eqk1zxaHU8d{$s$GltHkF~l- zdX}i^jq=X4nRn;J2j`m1yHnzCZ}82#Y4MLWyyL0PxmQN-Hff}suQ=3$!#k6pusr2P z8yCkk>v={p3oPtdz1y|#>%4tT-qqiWHt$Zzs#|I?-87~u=G`K-yJVH?;Ar06l{`^j zlX-VXd}712(s#RLiEgp)AJ)D2Nj;ky8#BxAYNcJ*=FG0CdAl(~nXYAjq|v8=X;;Wk zYOSIKexGj@ZBMH()4)^ltFi%FoW9?dv$|}%mHAd`HuGf0pXAuL{fxgz^4B}$$9u3{ zs+CD?A^&+#3F`hq#ohkIHqC3L`GSSF8Iu0Xx?)Rfs?5j-djq-F=%w|Fg6A-G`8wHl zMJ?W3H^2IdH#h0dLo?pp@WZ&|qvCeE;FO<%Te`~yH`3k)Sv{RNq zmR0O#;JEbg+g#B3@Eqo*!qvFGuSX^Frh_uxtJg5U531{vutm;QY2baN`tHnPdyBH! zHfcCj4d6P4Q}t?B=Ww^2Um5fm;<>>dsjMB{BQrJ#i{nWHbM$)2Fh_&X#>6$R&WX?O zlR)QH=JU()8JRZc7D?<7dS})C2E=n5pO&8SRNs9!`BPcH0n7f9=IHH`wD`y7X~|4h zoF_7eIeMMAn|1A09hc-SS$lC+Vpe>RbdDWsYbRwbXqg^s^@#MWlx~1GzR4dJAKYrP z%z07#jSc=2N#ug~tU#8fD>CPDdADDZwReXkbFku24-RuW_rh`3+bjOod}oU19$N?h zL)*JL9=6N7+wv~Xdb^}&h3XT7#y9VFiVw~;nRip-?`ZI!NFpb*J@lH*>C>Olye!Gu zyB(65syNhx!<@cP-00m_@%fEx#$eYZy5U65q$-A2h=tT@zz!<-HZw%)dxah>=Z^6GK#D`~*= zZkrLAb>D53cN5jvw^sK^4|hC=l8x*Pjospdb4}*mr1;Yf{u4=LyZCEl&$4ut)?NNXnHD8uf*UZoFe_BsnR_0`#!0#2DPb_O|seI%+M>jR6GjsYK z+-=G4mOM|jqx|9-nA90{Ig;UbQ?}AwtHJffozP_ua?m?}5crIb( zXoUkhthS@gyp_tYDe_-$#uMsh^D^1IPa5i9ATnL_&LP>nJK2kUX7e)f-C8@=HlLIp z5Vc-5Jr~q2Pd4m|x_f2e(TamsIIK+8jw^12xv%%OXmPkww(?71(dL!1`Dn&-L%?%` zY}+Is&+s{&GNjwgti)`xQ(?L^J*_cc7)>Q#PRPQ2sSlAb>*=rh8#?gsY5HJ=b6qX& z(a|lxF3XXu$yPXgqo?L^HhP7#ORGU^ANdnn2lBjv&%8FJE;J3iHGS3G%HKq?{=NI# z@?HGBU!%UiN9$UKil+X*wk35xqKEi5TCG~V&3{n6vo^7;nIme^pM9;>Dz?hB;`5xR zCX?T%UIvpIRpTF&d@aja{fim5WJc}#3axxD$dY5SWPPe)8um&9?|CjyR#0HRPl{!4Sr~m^ zEB+09d}ULf$YH*zN5MJS#vAF=sZWruBj>!XHpynAMd<)~8>PXnU|o?0j}VhIn`vV_ zyT3$IGgYRU6n2liJ6l(%`7>NPe1T{_h(xX*}AsI#c5FJF)eDk1$M5 zicbcBc0JABE>4IKR?yYJKQ2B`Dr!qkWwbZT3X2=F7cY&UPM}?oO~_E-10Pz%w}ej%XrtyLhH!kW^7ht7w6;-9+5lurWiCn`WdG+ zN~?tu8csZ|`6#ii#jEo1j&$;d&Q-~HoxAd}IYNCLU9Nnwp536>NIpsnInHUL__zHc z9nG3$vX@^(scl=Tb}v1tsH^ThvUi`o|Z>=^*ofy2c3gMy8XcNML?`80g z7WbpxlhRY;c~&jD&HTQG zqp?gH_(U(Og`3j6OZw6(ZgKB~*^U)R%; zjVYc?1OJujWW#sk?~w1+H%XHolAgTKMm^)6B4*@wr3vcS5X=@p%(tV`J94 zA`b67*JLeTk|ld&81GCNnFjF37c4xaRvaaG7aAGNQrD2OY;e56FGBtN} zH;N5MU!~qjEc0UL@924totj{djkOZy&SG(;$AoT5&$`Cjj8~Po(9E69xDasL_+KRc z%z`|4 zY;5say z&(}0 z1#~(*tDn?+(Vzz%4nC4;?}}IZI4PUGMZRtBql5o1K!otk!QCmlSc^O-xrtas#$O>l z6XKmtIw&;$GU-{N)A36Fnws+#k5#>^Pl%-X#FLenPR#e6pSRB`ZdWQyGtUrqMRA`s zWC@>Osw*??o`I?rfNC?hSU-|m?x+#_wi&Y4BL7|MhMk&%jAMG1D}IgY#^7TXO$S;$ z+0bA!4i{jVlZI&c0?r3FH{&&?4jnKtf)f-te{C}B?Vw`Ku z#rwtIDopH6E^3(kZRLbLjo7;vV((zcLWd-2-$1bTT*EEpgdL`A%>Mh9U2K*--+SHH zvLyW{%|?W*2oYjy2#fYbioGkjvCSb8Zs?}2w5}_y51Z?~Te%;1r~4uIM{jZ{J8jLr z@LoeT?lh;Luf=p`Ld-HZb?V=UaQ+e9(&kA6YHmih|ji<+6WsW29S)XB7`88~wFA_m{n=k1Er= zPVeR3Y~&W>uTXBe)!>`;%f-Jfe(j6jpB~9M&duDXvCn#dcUg>V6dY1aZj+hzbcYhN zng8*qIy}k!M{>SA;jV;Sk76~haKi|GPqQ06+N=@@h z@qHy@I?Sup(!IQa-jbdcS%K7KKHijhd!?Z!6FQ<^xLGrGX8aXuk*DZ2s&}Q~kjnZ0 z=sR#MuLE~}+(8+*w=} zziyE{<}FS=YD9_~U7OzXgbt}wzti^HhFEsde!AfU{L-h5fzN7?HE`YHdTG#Kh*fKmz+WlNww}TmYjKA(>{70v#fw4n3Gt6*o9$ro zyCuVa!l_&2(|lUA-|R=$T0g5Go@!{YQ8OvoWztZSX}&EzWUZR4jdkL$ZnStctWQkZ zTExdYje8d3FV*_wh-R7EX8r@FqjYU0I?RT(%I(C`)xf9Bd|JPGXZqGLG|Dlb{+DZPWQZ1&UjOP~=b@Hw*+AhD`3)5imfy1~l^4{v$ z-0cPQ21}8q1}jAaWi?sf=@CeXEJ}}SL)uzk-_%Dva^WbMWeZG(02pQSrWxMa+kn(# ztI47TWLDQqof-d{_+V6%@tH5yi@78ffbCTkpxMxdJrnJ-;?^?VJu*$z23g3~dcCme zhjXI^&imq%{5GNeF1h!`wEf)xBAtWL9ZD+Q4UTRYNK41)E&=I=(cRL`NNHi@xA*t`y*g#Z$)?){p0as#T#^zfml*sG`9~_bJFC{UJ(M$dW+q?cXwO(@gfc&n=fam)N zH;L3m)6{{jYtvV}bSoX?x1Zbmq6j$#sC82p^T!8XG(m^N@T$d;w{xb_WfFKRv4j>; z=P$(8SbKlJj|T|^EN5uOwpsh4`(#@`a*rB3%PJeE_BQ=n_EzjMHSKjg`I#N^E<^fez~6e6nSk^9w0t^0db18g{RGM> zzH1ErXsca!)fp1e{vyPc8_5RvqHp)w6y4wyRfMA}e9cufwL|57-TfC&5tY}XCEZCp zvdFFQmQIAL((mNzuG2A-M3r4#Ye|Z!6BpzcXsUOwHZoQ)k3TAlGj>@o8FiAiYk{$h zW*$rrj|OJ166sv_Sre`qXm&J+MFvcIHughSRr(*QgH<<6UfhP4bfvy(-x8Wjs)~5QB3Dr^O#`-` ziK{JzS&)~DwJ9@Wu+PB+puTrR-z|2Y&wag*ASS-32gKQ4{r)2?+H%5iwrrU$fYgAe z7wW*dTt$eaGQ2^SPq{9D$4!>}kK4sPW}$b;0%sDW{S^=K*|CKC`#)|r&Jr`?jMa_B zT)&DG<5h{CqVARe>-p>XYt=QpP+bo=F@K}F<-`rBQn*g$1cP9n*i8hLV<`;xOs*F)r=&eST4 zu-(iHiD`32^bOudAvIVS=3z5_-T5~@8j8vJqCfzhcJDo^GJe(#wbaaA7Gz-a8XqgE zlQ5lQ7xfXM{RTmEIQ4fRFAAjqXv=yBvW)@88kW`p){ z0MBZmMn0rpwe+u+$;9h+5W)4`+RXO8zer;s_skKatf?tJ?csI*@%CAIuWl~jQs7)TIkL3oY4!6%k`LA-yG{BHjl74#^)a}gFw>D6&Y_gk*4 za1uZooHsJYbJd}&{mknww0DP~UqLNjH`dKxZ}}yRx`Fz~vR;GfwqoC`@B>y#41N8uz+HH1k(4MxT9r1B6XX z0xD;p6J-Pq85a+FGrv4L-Y;+1Jvx$pdgFZ8hH={A_K`RO7SlRUOkjMEAXFcx6<^YB zr*?)1Z&cfO%QRi0Vk^$)$3tA2FtKPpJrbS(qr&WwTY>h;aLsB}>2oZ?!vF-ad~oJl zLZbpytFH++q|mrbc*48MP3Nn41`Bj69~>Ojc;_^pe~?#(~i zoIrU(+$u?|4^Cx#3ULuGxO_#W_BH41j`2Bz0&`T(WzuyrXbglAkeC!_yBP{ofER*b ziiTs4h#ZWFo9xPbPac&B3c(nIf&SEyvfyLmA~$f|%6&`ZGEOuJb~$V@pkPrHtCk}4 z+#dhA6cMF);#=@}28aJg%*Y19V(LrI`6_nyV(w;K|82lRhlil}IISZAdAveRJkzcM zUw^;bVBYdw-9Bg0uQW=sDO>RYy#Mc>Y&5bR+`lEh=7v(i7tHUbQf2Je&f;H?aWf@O z(i8)m1#=`{#8TgJ1h6fAEROs1=dQM7q-lkKPl{D0lio*SptWhBjM^AtlUACiLlVIUC#pGF%pl2>Vt}OOit3gz>Dh8j?TCD6H z>EM^D(eruJp4cLjVJ}~5#YzE&W%xu&>(;<2{3YgPu_TWIKO-$Z73U1ApP4H9 z!grBxn|pUh#Y0A|M*QrBXC?6B{Lg{k4?z@MrM!Haei19K7Z1%Z+xWYs8HfA++$N;x zs2|%a z9+mp^*&|vr-HnfKl+2;vzQ{NhXQ5q%8W>(jg@cNKJ&e2 z>0pI_CS!5dj-kj>rjoyKR1qus^ZwrRO(gP3QGTMYb(xwXx-iqiCb$`%XYe10U~UM; zrE;Qe&UuD~rpq-s@q0t7GfIpOC9Y*sek9h2(rC$`O?J_X1fH$; z7m6F$9bvj2d$-scHW)uw3(LCiLcX{av)z46TYr;$em!=35gGpN{@dAj zun3!}5UV@-H`3j+OxvB}@77EvaSr$E^~9vbOMvlmb|xarZh9xB3o#h6Km8G{u2itg zx&$gEv`akAfRFE@wZhP5M@{A=I89bG#Eu5G zUY_OmtSZwxB@qhP7|3b3A>jif>chpDbp4D~GYGm}w}Qrs%ZbQN+HzS-JK!{1FA`~n zUwoTODYXM_T?5V&jSvo<7{|=FQ`)YEbfCNdjO3@p!~UkPCgHIP1T3_Y&FgAB8k6cn z)RUpi?5=VOU$UKNpw&j}bN|k@W@9nl(2*HP#{hve&OhR3RFbK$Za~)D&BxQ) zeUvvlDNb}RqJ^{PRm?JNCbsK4IT{{Cc8(_@%(u-SpiE?`v$6o;pLZQd0JyiJOQs_; z#T;#d{*lPEIVXqt;?tPgA?LRhu3p=%=e+<8{|a&07NV(v77&^#Nogi&j%Veg=KlKj z=?{c+bjA#r%lS4gddCWAB*3)PDRT3{Zt|=xFdVEGi91Yz9b@#2!bG=4R~+?^8MUdm z>{7o}Pn<|z_lO~)IR}+UjW$^0w}OXg7BPxZa6o=QxUU?r`Te__+S8mGW_Bivo!2mb z>Av-%?LUyT>(UrRh#nQOUzEuB@{Y5*1ezoF0r58rUjovr(08K*li-2ndg6K|G~9+n z9oZboIgjxGB)oE82sqAQ@ezEl6L1_r9sKQS=o0eQ%SUYJN7WAN#3t?G%e+@O4YbC! zxq9Td1Q2o_HDJHMwI2#uY6uenG#CYdLZ|pIH}Ixo4PwnZaE55ODi*Nu8gZ zuS7hhDF+%$GLCbl(o9*$3=M?bh(a5&sdm-z(&)Vd>N2T%BUcD@r+zLamn`~+tn4@4 zCT`KKJ|iGbd?hC$;x|0vJ>jxZL_o2e6Kyhv&yh?}P9G!rwFqi#s%-UcSHVMX3j1}H zh+OV#$(A)UX)>9I`})VvtcMe%st2t?+`)NEqSpYC5?_0RWp4u#7YqREPZNV*hb}Is z4@`W@-|{>P(9d~UgGYM+tFvmFt#|(vK3t?qmj0!>=Psx4bmDszL_a=( zMWhsbM#N`w1)7Kt+!*snEQoB#7i^%GPkD5X2fF;*Ss^?#Wu^W_9vET^k(+F!ksSS% z6qmy`oQt#A35$TFer?7@g2Bv+rS>ytao(m})6I!#;b*2q_o)D%^H{Tm57>BwPy*td zz+$TN7CQnL!%M6|H4h1LbTZK!M%B`TSo-cwE1I=%poZ=rT*wdVgyn@^o0Tai$MY)X zGYa+;X^batJSPjewxiV`X`~ma8vNqkeAR+qm*gT-Mj{LO;0%25nsr7YD(PawQWZHQ z23$U@#17UZqu|1wL&VQOR8}qxAK25K&>yfIvBprbWnVJhg?+aV0qmD-&=gVo!Oz64gZ^5*+w9S#tfxJ=x z$Ny>{%v{bk|E{B7PF!1@{~wOYW9YBp3-{1!=vh^J-r?3Ji#zlE24?-p723LSK4B2r zxvK3A4t7br^LcGe+y@BezGQ!&@4Y?7nufK%&<5cI;pq~3Y%0WsF6AM?MDa?7Mu zceo4vV{ix_*LOarokL)l$;Kwg8mRTQUjf?GLl|O!`uqbg6-%F|)0Kz795MfOhZ0aQ z1<_Jn$Gf#<_z;sfIC4R_@drG~ixN>IAf+}ncY1bTf*+xVUq>Ty)iE&Ap`DzMTrDR) z;5(eD>gF%IC5QF=1wg0{R^tvlNTVYH$A0hVVD3ZbQODCBT+7@W-HY4ZWfI^UQe7P5 zXQZMfin7=VR~~UiLh?D{NYQlW%U=Y_p-$y6z^(ZvU7AF=L1fH$oppV3vPx8sO`ufNx`@ACc3DHB&GCak^now6x7uDdW8Cv5249~81mS`eb zP@*g1@&3fFt9@`r-erf`(*V(9snto&NxPXgvwQYxF}9;?anK!Z{3db%0|wKKby!6( z)u!)>uHW_q&; z#14)u?tW6O<;UaKZT{@&&$+Mxi!@FXi>O6zWCXY#EA#X{FFeegXt)Rki4ADj5#Xzj zSKe-BYcfnh+~M$9`VCx(JnnLh-l;R!n7GUBDFuwNvgby#>{rf`1=4=#5xEmW5iC23 z6GGZMupASwyYEXFIa7k=UP`xwtAsDbZ}_*FSw_oJL#*wB_ zQ}$ECACSh>U|K!5h6J6)f_p}|4|%i>h79`8UkSLJ&932X*_*hA&SN~mP~8mrb5uYf zI6S!we+73i$9OViLiq(*`viOa7xFVCgv{wAx~2uH`Y)6`rE3+7b3nb^j$U^V?@av& z2ex<*dSYY0DQrduE*(Y1wo8;&wisP|U_+23)%v3Gx3}`vRX$<1BkedAL|=pZ>%zNt zWh#)1Koua`#2=q|wp>ZiM9riSgM$IPHrxp3yD_PAM~1k&__+Pdi_rYSy9kV(AFV__ z8gXB#jJ7FvKw#<1&;fO83*2r=*JqX1?1IYBx-C5=c*#$$;i<2Qw>ptH1TLi1+WX-6 zEGt;#QL@Htm!*D|vt*~V-<4}DrZ^+*2?nf>Q$7mRP4(`IoiF8MSalOd6|7TZY)Mr& zih?29v*4tiRK#mNh4X@JTX?SXho19hSB~EO0mQ&rH!%nFgVx4sQ^tN8~xVpS#ju#$` zW8hL81?6oqyEM2Ea-YMfy zy+!v3;M4+=m~>)ZXBGR(g}h3|;8u|6)>ZdK^q33K6-$>r_kaZJ=H`WsaPf5Z>`Nds zA6^`Mh$CFT5DZm#DLy0D>s z8#MJaS2P&W$N>R5`r9@d{x%-;L|M+8j15y( z17Rb$5F8_#X5y_L-Oc3b*Ob(#z9L&TS_VyDgy{TqG@Jr?k5Lp|MNjuUvMgD z?Y6(dARPLMyi+AVB+XsIZuC7v&GS+J-2_Gy(UUNKHA6lY-+R)_G!XProiBP6+Q~g| z9AN|MnGlp3j|F{5OB2naj(Jvs`xoS#HJ!y1)L9a!7Sqf>!2<5Y6nyGs#`h5&i;UWc zIaKHKSwIt0JWBzmlGhgq4oLDC2wr0ZN1kB>Vj#k5TSXX&0{gc(r&M4cd5}S&)#71% zzC?Zc2}t< z#AA;MajA+JGNInba0*)ZKz`CF1&ec5yg3*4%#sy2DnQm-%k8}6)OD&W=~n1E>~Vi* zaPeB*eDaittlBC%@iye$#4>uUz<-RQo7bbC*>i?>DWZ*yn$id3utYtfOaz)FkdMx} z_?{BU_XU+?{}s|2KR62-KYSQtFjmZ(`cQQUrI!_h$5B6u7%>I5{Xo3XaSfULWGY^K zg74n{63WGMHi=D@^(hkMdq^jKHny-}6>|3P)^0NHUnE6ZB==Tm77}hLq)(F5VtbW$u>?KFbc2-N(Y+zCJvgID_~;&7gs7DNE>UHo~$ z9L}Lec1y8cQ43$s9p67*6jTtQdVmzY$M?gdwi%Eag?c6`DISZLYl?CpfB5qT%~0ep z7|*9d}XJbm=(zhB^0GiX*o2Ox2yw9p-!GCmYI6K-K@5xE7CLQR^kNs+ zf1F}PWF<_kFs_@6Nys&Jfv&|b=eu6tsm*5-M%%q({H8}9-5f;%nq0`{o4TY2|M1$@ zwDFsji%+%@lQbX2&crY5c%8mLs&6)4-XllLZ(_j~O=6apnZb7^e-@r7c~d0xm=C0j zeto#s7+YeKzr&MwJyzlQh@g!y)Kmi-fY-+vI7EVz=SeGH-|_eLQIB(ToN;&!Uo(>t zyj%a^+QAPCEk}PdfjWL^8ui8mP$l`)hhqX6gg!K(?p$9?VKNM$0(J;1xNEM_>vN1_CFl6%ki;U2(|F1V6$BYCb*TH&8f(AxNZ-hkAQ6k;W`1 za$eywVXkm!N{o4^I)`PWJy$;Q?yK(H!*ggOCER&3i_^Mrl!$ zRMY&^6>?Wd89xvGg0z)H6}WtKQ-*bR6FY0ylv;DM9{WEN%>7PZ3IjN{s?)dp7*+iB zoWFhNz-QuUV;9Ful`f*cr#2(s6C~2gRy2sSo>EVjp3I2Y3z?gQL!*9#M){4A`^&svqv(w;=CvIi`o2pv7w9$tZs!iMl?NzqfT2UjJRGvR*`!Dpwz$> zivWMQgEukWghVixPxPNtMVUvd{lCXarie>klkTByx{J1oqd!y(>}j)-Jn&C7$|R;= z4Hl3H!D723bKuUF)yZ5ZWr>wA?9Mua0??wKv!j>|_H{ z*Kt26S~W$D$ggbC-6%!=crvD`TgUv&CAT3Nh2uXxkU1TWz8i&?B8^}2=mL$B*g~8M z3ng0S2{!n%#@9@hSK5TAiS|qPQ~Z-7BOugCkyLOzK5JabB#$d!h^YMMeh?+)Xd-xT zfQV=iDA*?TZ;ySPjWPK$6of2Pw*$IK`1!-9HY2HAlmuR^8DL|}h73q0`l>d>roKPV zi1tsA(EG(L*@K3wqvq=wbis^Cmg7M~kj%o_+~C19v+-29`q}F2NVw(XP7F9(9w(7#z^%nP;i9D(wvvB zXugh0Nm?K|)nGFu4}fV_T7Kq3bI*;}=MS7;Gwp%L>Tt(D26>g(y3r{3B%08bF@vta^I;uEn~cYA~;%)g1>9g{+?N61VdubF{53hFV*_{{XV2U$cbd-6(ZpE5&sL!_K22&eoRwa(9oJv=hx! z)YcYK9*lRM0x$HmU*&7z<{_z}XCF*o+F&CqHm;*dEy;$SHe3|D87*@x3TL}WRPL9r zX$x5dY+KJ-km#?qvBB-=Fkw0aQI9IhI39NTIe0@L5d(%^woiklD%7r6c#S6*=kmJ^ z{V5R5qi=uzi}B=6oTD9+dF5$dk1L-BB4D*gcB{@6f(`o0e~zN&DiJibdHI5MAI{%x zN=djImnNfhR=LtCk@Y1iX1_iAo>2Kb^GJ>c^}0eZg;_;BE*vyutEq9?x}&yvde}@4 zfKBLwV2gXLdq8_+Ra^6|H42%-+qsrDiec&4YQfbIR4c6NFXrR>mD%_47Te7kn**V- zVS%DPR1s@;&D76s`I26DpAQA3w=Lbr2L*FZVo&{Z$;>!sh&xn8x1W1uL(}5y{f13> z>9B~)UgkkCuT%>!(v%y^aqWb($tU^71_%k;{RWjU|0v;Om3QA_W%S$XgGF7s97nb% zL?x<4fBUI%AUs>QU8YnIKi|ciD_%aE<&}xaocLVE@i51H-*!92H0#VSJJ8W*wJ9ST zmLBl3AH4S&G&lpka)4{K5Iz&Wxm30C%_8V^jM)~oCZ8lE9)8m*_4-WXXK}g)D2IGw z8^aN6icoZfSj#6{XVWedZOg;HgTOS<=nzX*J#ogo%<*8<;qd(qfqCFQdIPmw+omSL z7U9eB@M#o!wa_mFMs*uK(hhseBe*rhw4g7E`6y4QD!>CB#?8qH^vCCG?1DwwcsE-( zO$f@`7<;D;09EIGvX5;B(5Z6r@FO57F8iM=arss#CXvFM+_4;_ z7kUyN6NA$7u#(~Wcw!63m-x^9&aWwFHw-g20wsXxlm?m}8v!19iGu6OI9XPinv@o5 z+P7T#naae%fA#PK735&7C$6CUG5iJLT^(TXs9?7GMNwm_OWZAd1{+emCXA9(o18JXNscxfm((J63$lSqtfO7Ai6rjtypBYbBzpf1;x2_q&_b*6g}0e$lp zaQw3lNEZ_ccEJ62N=m7wy0=mlAdnpVq8fe|M9%vD%l@re7#d$kO6(gM6OdG91>#(b zPlSW+#kt5KN7jFB;dwvwvw8288v&qBB3P-pYqF~V5?0+oN;~?dP@M=w%fS1VOfXbXl zz0qdjXTHI07VO8^Yz&Z%q_EDZk?rsEQIpE&bZonw_!(=h;)#o|ErXN+12Bb^g>fuZ zx(nWumz!IRVlwdEUG%d}{wjJmDrcSFefY!gJ5a$&jcRvG4zVTKYxx<&&3G**^VX@E z)(ZT(jJ4Rd{t>y?gvaqVlC9MK1f${;*H9%r-XQsvaQayT2|3j}4y2a+gk_-r!{Oe7 zh0vKY0dwo&xkt0q$^-CHJI>ok&pr*Qlm*D%r9?JkKx1u9tDIPKQ8m;#^3?Ba%JVr^ zGyUqd+63#7A|Tx>zrTA8)manHNC{|1XG@UxxHl3REPy{z$iyWnF4{)g?Wql`FCT@d z{$I)6BLSCu<4ZI_gXK{OLEh{r0%T-VAd1osUfnQR`vgxZJ0K@D51B`BW6so9Xu#bb z$rjD<7&kw-(FApj<>b%T0wAYi%{j{C25m0x^h;v$dU<{giEYA|#Fxo3%Qcc~U|>!6 z2>yE6Kk8YzZ(@NDR=U@IU711hZ>!#t+q#YUYyxumG$7f`?=JhjFK)=28N3%#Y?*pW z<_~fBXXfpuH?8eGl#%B4V-^V!7 z`Io<%*J`0`zK7&gwN;}!^t(H7{RfW~%9+??yfrc9)MfX}EoyC_K1Xp_qKs!L083xh zeo%5SIk`)|%Y&x--#cW{btk$he`BD)q{{(?$f_GDkv!Z>g5{SErF-7_LDv$FQMHK* zokS$MmN)numV}AWItlZO|HST!cV7_D7Ud8T(Y)C`bABuLYx17)aCB=^gJ{8_;as9U zko((_>Sf4Nw7Rj>7HU+CV;AfT-6m4xD}Dcq90K%qgFSK3ZbCc_zK*e(1Tj_@1lE1M zMCUD6-J;Qu>LGLjT123KyWJF|lhlO4?5w^j!KS|1zP3dYmPwb3DRi5eH2MCOB3zed zA9|{$m+5i~IIN8SAtetZwB}lr%ZW7Q@-F*UHFI&L#^$Af8y)Jccv|FJ5+ZI!3hdaY z>HRbHO&4(Nv1TR&5&>P1g8VR)cao%?O5$#>R+JM7NadRR-=9THFPcIO)TDxV_*3%z z_9Dlw*uTT8e3hZNi2j%IuI`?1fqJ5sNr!0+8f zgor{hhrzCL7lhavF(6?$1O1j;D20%RB_cMY={DKLz(>-A8kvlz@0W9;m4`;&iByO5 zMC22GJ}1e)8OnrU2-5xgjvejU|58~j+wLIt2mQsqgEt*flrQ!3l`l5-WTZ=KrkSp@ zMYG8=TtM;A#JMxQ4d4w2q*9>zhq zDbCUPpy_bx{Bh72aTMM}5WeT%+rv;wVw&##Gi@DfHyUE367uEoLX}QumbQZRM}(cXx3#76y3LcX4M1M4Kqr3o31oxQ;%(^QWHotJ$fT1x2-dOQ=B0;PcN~VH35=>J{~BOEC87@XuLHYGIWbJWG9^ zp&L3?`n1Z4cyh#0^swwpvN_aK>B;FG)iA$@ubr(bJUAktQbnMrF%4Tq`Nqa*?h_vV z4q0LEZwn0P)-?_n^R;b+>OW6R%ce7@6ol3}vPSo2`K914kG%bTNRKdt4|NNzPnBLm z-KrcG5l`Jh2=l5m52}>Y@aL$-6ORtA&+r(PtmUg^>=Y^gycNu78k2=|+*DBVRWHE46`QoP+%^`KnNiFxr z!zw#IGlEr$)tf@6c=HGZ>BQ-;pIkOa4@BHyi--gjVMJF&-wqtR&$Xw{AaMc$BlYQR`313LvdDx=Te9N!B=7bqPp1R!^UsO z)A2W5(^G8AFK*`Ho%u|@fT#R!`m9K-$s-$9vf*C=6NIFIlr!6kF4?M#gjw@TG74Yv z_exnp3ndpFI(bZUx6x46h&Juk+B0|IW?Z+9MX(m})>E>|g_fq`Wm)Nrdxp_N2UC_& zFktyP-@fQ{WG+#0T3k*^F&8gz(o+um%C2EXJV&3YV}ak9{-)&G{7Z<&-Jb5B2&G8h zx;5rl2kgC{l1%kA^`(WL9~Iyp2O>8C?heW0%K>rr-UJ>h`{BncyfJp-H1Lde`TT{D zGrzy#GDh3Qvl+_roZQXo%#?GOLi$u(3dNz*Q}gKJ>uW2OGQDA#c5wH_11o2<<*5jf zAHg%Z?!OoU$1xG?zt{9$jK3>n(0k!9cw~8F)ge?pgy2^=-3Q7CtaN6`wD&hgz~MPM zpen2IB|o`o+878i|RNs0Up6 zdd4;V!R!7AKF{E_A4?a*tLQTi{G=63w^j(xKs!!-^KSYGqZ`3+>yO`CGB+0)79YF1Shu>qRyjJ#ATMLqfDY^YM#@Y9NqQxUG z#<^C4Li-AdI*ezAZ7QX7i>;#+_Me2^JBVdv>{q(}Y(HA{zHoC$xQ#>}wVM^~fBz`H z|Cuc--FY^VeabYrKRD`DQ2YBDhsrh`Tqg|`rDzq55n zJK|dH|LqyTR0T&d~hF?7=!1pCw8Rm?hukiK6|Gf zInZwe4EXwl$HJ$xWgEUD8oFAlw!nsb~)mkRY;u8#IRhexw z=co0o{l&JDyn+XI%*Z!ZnZsq_-lxPO_zbEib`igeIfu-?!E)e3wY>9X5t+Q**uO$8 zg(H7XUbl4YQt6KhoOBXwC)rb{azvNHdfd-~^5ap6%0m*zQDT7V4Fkm;Rs@G|D|0)i34&La1h+2^_}bL^#J)${WK=c$SHlV8insm9-Cj;r1SUW#_uZFcvtpa6>rR`F^V&XXW1$NMYJ}gqbe&qpAbAkfij{W;aiCuA+7< z*4-kbTnd_D1xasd>(mf($MVo{(I`3{t8_j?l#sg1{e9Jbus3zXlT-LpaAuvwtz;p;8iNZ z_&AM~m1_@E<7+DvIsq}$#$-{`&-06Sk$8zuI!p@pAsR6)d?SLd z`y=6#D10jsDI>-mZ59F{ra5t8C%ql^S~hE;zB!@YLf56Z0!HrVd!7as`62U~s_+b! zghj{cB)Tk%ytOpEolg0ey?2jtb=C*@*V{G>@3csd2ZZ9OtM5xLUR-5_jJF=M4siuK2LXt6eMiO79I{Jc#Jo)l}Q(>8nKvvu47f!~vK|!Dp~zg06rJ z)Jbs0RmfM%7^Mt4P-cBUpW$CQGoIo8RN-|Q%zIpL=y^Rbb({;LJmvdXht{EL1E;2i z|D^`_^D|6&mdln(K|jrgd0vI7#Lj>4Uhj^YJQWtce@qmcb$$~A|Neuv7-OgK6iH%O zJovl{#%bR`IY+yQhx&%IFs*fVzOB@T z7ZI+!dZ3HMi)qHP2m|dpCKkzyNGI0_u-#I`&MQPm`|ka{IW#$`i0CzYE2!mj)H7(4R-#mBj7?dieatfKngZl+gHqSE%SirM2XX56)(pP2)uJWZ9#4NM#_3x6Wb1JB0Yzo;DaVLq&W-@mCL|45eR)6CTK|Q_cW) z7E2LV9R`95<93foLi$ND`{=@yimjOe@3)U6^Xkcakg~N~<`KlKKR&@+f=d2sPp91Z zs%2D7ov}d0!)(d;Fv_gp@%p{)ODSIU7Dn%WS8{cpx(ig_kVZw=_Ndqv`3v)6PJL(AT$a|8I9L~BQ&I=@gIku!zi;U9HyGsIL zBkl>*I2c3GtZBo_f)|}dC8kEhCPF!vsTDW#hHzdX^;$dmgvUI3uk^JpNhYw-hSbi- zxT{O8IC}iyoI=4$FO~%5c6rP98R{A;^~Y_6cMC2)EvGBzW3$aFmB#o9f94W{q>nx@ zcv{BMSIe~cu4U{erm+hbGwdZ$#G^DbHix)Q^$~2PlZ}58<{VV5?v5YhE@3e25quO{ zepIoy`8CHUoCV(aC{R1~^KuHob-a>j->cfFc}h7tGHyQY&RYF>pS&S^^v-<{I6I{Q zluxU8BC-*28$50KUh!7=orX`D>Xte_KIo>Sk@G*v- z&()4Gkxw3$^d>>@x4>A)jn;Z~Cu|t+W0jZKu#zk$fGGtVT z(;6ovw&YZ+)*>`avUi-aVs%`;-yXldU$AkFYUWRjIl}V_HZ0179dKG_Aihj6r1_T= zUY7S|G+PMJXU(t=S*T9G^8GEH0M}1gWwuY=DU?h=u1fHZ+j%8#WF^nTlm7NAoKfD$ zR-V6bCBHtwEm2g=Undkfjce-}GBm1O6)wXRU4S znRfC)52K%jzMMf2`HOdChW$D$*GEP4unvH9e= z7#|mJzsy=hO@lIEE~|ca3i8a?d!!%XM1IG~E5dS2Li{1`EF->NwF4;&q-l4Gzq_PKuG#{IxDd~NLn4AAM6tUNZ9); zz=~?Kyj^80P)b|hN-X7QnOt+7ycwx9QBwe zn$+J92V=$TSyoT5aU_OM1L*0R zIjC6M{GmH$U}HEf(miZvy!Yn!ZtQ(+-0mTsy-yZK>u0#sOMCK+qrpUk@NdKSMPuSv z^^89nvZ#yfXSkNfac3GlQyc1ff7_E5os4qX>xWwlXVnX~g^H~v*H?~w>Iwy49_$tU z4e+Le_3_`>0<2UNXCUCqml2&8M!%v5cjX7L?4M}XI{7pvix>_cgY)Y>O+ojfyPl_2 zQ%g-_fe+mZ-Tb0*t)1?_`5mfh{BJcT`&+ljWo<9MZMRh%;Y*UlOX|nT6`KV=obY8ltUt`NPx!)@ji19TaaPaa8Dv5%9~q0E>p>`UOM(aM(n*5r)KbvSLRFzlas z%@0A9?R%HHaKvx2zz4X4)5eE-ZNT7HzrWXg0?Oj-YReH!_FERIx0Q)S>T9hIfQ5r^ z;kJ4I>~U49IKv!jpuHz%sL}WIJL1&9#@W4G8uo3utIX^nuD6r_=Cap6$o?+n=xLZc zP~xoFQ=>CW$6wLN*Ur-=E0FExcT8Lu$t7&aN)a)kY~Vg>wzqVR;rO!`ePJ*8`;FbD z=apRl@2}*%)OwkD_Q>_EmlZI)QuAl_+93PCLAD?MG_a(stBGy$Exn}!I`a+l*G5;1 z*G?zO3g3}v-JGc?{Jpc%w<6KcVHXsZU9O;)8GM>*nA%{s_c;T99L@LnJUwg{US}cx zm3&TrW=(QVr2J_m8T7fEzw^7ZeT^z_we8gaIyEe&OufToOts#ViB& z!j}m@3Kh!6n!nqNT~>ZMCBw_!jf~@H1{`y+ zWraCf@)C2Wmd}C9oIygz>`HZ)#PIzW!vHN*YjzZ@_*Pl#N%AalnyFCGi$ODwk(AbwN zpToJ#8q8}D+a9t@B2vgE1%Pb@)8C3eC??Mv__uzHI-5P6u6?ytsT>+V_qJTLGKkFH z$1zFbyToU`FA}=*ZXbsYp6|>0?JbG~7FrvmXtF5Y$~~|#XYCpqzYiE#3G!h>pRP1> zU>EL`&|_S|8UYER6o+kkC^vCuezLU0X;Pm1Tu}GI;lx=;e1)Sf&vT>6ez02)^6A-~ z@_SQU6aR0z_JC!#51ntEOl?{#m<1mt7!`<~@RSpoYVog~Jc^e_nqjA3erozj@w>2X zcrc^Yl93VyaE&fUDC&*x*KBoDK!I{N!}Epc{ry5j?}lTs*0vk#4;7^PK-LvnO!bCC zCP>_h|Dn2z#d39UksKH*X1@*|_hs8SaPVx=T3)gPvw8V}Kq1-`Td%LgBgD{QP#0N<`K$*E@p^#pfA~qwM-O207i2F0_pZ z6ob#4+J5+kPMco*tK{skWir$rf8M4^YDaLx7wL4}%-o=2Oa16^&NcqQD%+mFwfto4 z;Nig_l$WgF;bLKufnTF*U17hdCjQA?y??FVt@&+gl3!f4COQlQ9Eq4|KBrQZ|EO

7_)5_=`=318s1u!GS{K7=Ih| zF(a)u3J@!daRK8%IUH9PCMGof*boU_t$Dc1NDH;|8@2lVB?4bTjglKqd~{3KHJ>Rh zXS7G#*|6md1}=LM&EBsP|9B|J;y1zrKmT6A(Z7w!{PouVir(`1*XY27pgbM)Gx?g~GMq*oagbFUuSv*~#U!nQCz$eP5Oj&6F zE>|(X%(kR|0Ad^69&Kyoi`?tEIXe_?dFU)Y(!P@G5FvmRh!Sw(yCYX}AQd8nn(K6{ z=pRG$zB8461lDhH|69&*Zb#L;X^du+K2o;D+YOZcK}-Sj|=|9EHs*`g88gK2+#mV{7w)#k?jv#Gu!4wR#9y61<%uo?+dLC_C>HwE;|#o@LRgZxHfnA# zAp@kNPw(aOV;CiRB!HYE$YtNk+#3Zz_yM>`1wgh+TNEn*vPKcIuN5I3fLxENbpA*H z@p*JA_dTBef$R;ofQ1~rzgFY4>l5}Yir8+6@n4qiX8dAC3h{P2Xy+79eR$;Ij5*8+ z=e6BIMnq?MMoJ93@$|*dp&UrDvx1mMZDy6C7f%rx&vMa)%yK}ubo`O z6Ho_DSTVhLs65lS7DJatLe7^?5q>k;P@mAr}PiNsX?fl#6_1 zaUQfyhDn{G*r!~J@N`)^t9OMyWzkp*6+LZhn?nu>o}SH9?$vz6g&fFk3ofkHc18v| zui%2$JeQZCh0gLW&t2Nc{i#`%33|Yg$MzV?RixBHx4|%wW2pGsSX_E8(pK{ej_(xL*IPQpKJQ?iCL+5Q)qYdATA#DC3RLpU z<*-(qd-DjUr{5>1!uX=~!{M6Rg`NgYik%3vj-I~Bl0!vXvfM*Yj<_(Mz_+XQUwaDfotBeAJmRZ%LR!|WNii8z>U#$GK&>#JAx){hq72&U$qReV;Wd$U+!mffGjaZ}P|Z+7#{rPXLItqxUEtzSPPklI9^v#GNx zL5#coilGzCx2Z52Tb>Fl0jm!_jL#d==(rJdvNP8NkPw6Zd12_1AxI8M6<3X&@ zr=-Et+D_!^g+4u*ixK6tkOs3Rxb8aY5423lnN|kxce~c1HBRf2Iq&#k#I%F6(j|z>Cd+=uC){{eaO6*7$!=)B(G)OnE(6%U-6>Qs( zwfLbY_ad@2%N1-prUvh5-a3gX>%dub^-;Lk_)Uv#kien+%%N@@i(!Wp~ z3*os2i=RjZ7tX8EyWwsh7dq153N?Bf+Za+JTzKeDsP_oom6`!PkO1U{cwt#U*I&>E zkxMv6K)4pA2yxu9SOE~LQ15YA__T-2o-A=FA3Jgg9mFe)x0P7^>!a8f%%?K8ozr$! zjV#TbTClB^%S!Q&&2FCOe0oHHFuK;#!6I<$$mFbQR!VGh z5-R2p5GPyS$YO;YLT5q#VOSx*ZIGrDvX5VIhYIn=h{CpMlPbmAZWUjXm}@K8hNnFE z91(Bs;l|u(VK`$x63cUt6K@@lP|vG)yKK9fwH4xx_1kWV@$eIRB=P2tvaHGZuHT4` z_1d_5gBZ^5({)HU^!9DaM3+>=u>dbck-)8$e$cj2y9pgHW!a82h!{($JGsMODcw!!$bG#h)xyYHEU`(6 z@n#%U7+IUFwAvHBxrXzwVY_S_*A%h`HKM}EI->?J-LCKJVNkW|uI?(2c%j2zMED%KJ?#DfTPK zcjq80#2Zf;BNgHeZOgO~DNu|z<0RWyG_F*bRu25|Cw_vvOD>+Z?w?lF8d&6{{0hHK^$hls| zS+FCE;b|-0(6%n~E=v^2f~OQkDx|@C(gw80vut5Wa54Akmi$1nkOo)CrscYq*^+Gp zUO;K3LB_&viTZbgBfQxT0J)+@`EL~dKllKIn>%m|oki|Arm>J~HUJ5ob;Qf_F?M}s z0q;FEdQ+{qbRoa(SEDO)tRebHCdxf(h)Hq+qeOIl$NMq+gwdo@bdu4o;OUf?=VM1N z0LU$AI~GJcf;@GWdH@Y^64w;gUeJ1Es9PUE^j=plu=u%>?JGntllVb3xKrX#NA%+9 zrc_w(>cmy?5DUGY_=S0)>)}<$6I!%Q$~OO}&xOu9Coi`sEGM!Hh0fY9ZD%E#4AZXA zS^L%CSru>3a)l+qq#B!4qZ^nvM1@qt_cyMoLr>*|hHV{l)_G~0(DFE@L0{&Damtc_ z6(Nm7k7Ul8lnd6J?dz`3R@nM&VAtPL53s6nPrlx0x^}8{A%9Cdjw}l1|NfYm} z?as_XXYJ0G@@+h*r?V(NlHUO=bQX6Vw`JR5WJNs-j7t(D9YXW#tlha6unDTiY1In= za!q2qO0!p>Acn(0$lwg~!h|mU*PL{kCUJikmTM6;E^6DR7fU?HJ(5g*S&d!_F;~ds z8`Sl9%(pC|LUJIPZq|0jq#DbW%t8SHbVH3^&*QX^99XLGqQGRXT8KA)Xythh+px8D zzmSzw2Lg#nykb}{Osj{ls!u(NdouZTiTP@}j*v12DH$ z9SZRJ(_@#b;jP9gy)Y2oDSvqwpIheZ3EuQDq~{XS~YlH z22N$U!dUQEgEnO`Y|{v;wCfUW{LBiya5QJ~f^E2PMB%YMr|5!hu%W#bXLbCPKrj%Z7d{Jrd?>I9ZHjUF0Bsq zf~TF#1Q2Fr=7ptMYfn<8PhG5rw`u@w=c_9_nIF7+)gT`kMnF1}>bY#Xq*HGclIj&j zFD@L-&=;Z?czOD>H@i}ZUXtpTfE(j>?w@CI8%ulG1l90n-z-zo+oUvlaww#lP0}=}Y_!vAmQu~7+`@^F z29;ymO9DFUitbO>Wif0cn4qwJBXO~cK4>L6bG zxWMgdwrMRgqWLWvw@KS3HR7K3*Ry>EK+bB&tdz*okl#9n46`#4d?L5dliwIJonu6 z#*I4b%9Z`mOL8FL@6WasqL=ST@Em_#3c9a*dpy(@Cpj|ba`oYs?{?Sl4_3_Kf>YR8;)Igk2-xzR$`GwN9$U&~_i>-wyu zI@DcXv2b#S0I3){sk^=c88IJGE?Rhw#G59YHGW-;&N?ZV{8~q}oe}G%puLs(h0dbu zcW8^_wBrL8e{?vWwH3x`F0BrQRKrS(2=VCcNi~dm7c0Q)>CaoO;dRbzZrJZ=$n0qW z(s4(5F;7C5bFUSqHs0pIit*fGrP*0QzEO?(*OBPcjv!}hJ1YD3X2%La&SR4!YS?e2 z<{soYw@;e($u|Gb(RgZOu@Sul(oIFqo(xRE)7^^At@73v+l5rKSY9%T`--a&y`&n3 z^Ue%NA=PYRK#0!g98!qh^|I@bmU+8TBP#U5MfrVC{W)NPL7%z{bMJ+0y&0AFg|To* zfJC`^EHpZ6V5(tS+aOPm};%w<{80DRnKcq7K#K*+(F{moVMeyxrjd0w!(7OgylQ(v+A?gVyEd|A?Eao?xm~IbW_5IZ z$6W6(d0v%~NEW6xx_(N5bT;2R)Ab#nK%H0DZ&%lQqlK<#Va7OR=s=I8>-}EFnw;;# zjJKPXYrB;Dw5RLWNz8vR3*2%Iw}Zaik+Y=p(56VOLP3|*;*km86`$&#c27v`tfsc} z{l9&{k4l9zlC00pg&;qYAxA4-6oUMoQZo-rxAq4)AG7XOkb9#&L4H^by4WKLaz5zB zL#KNBs6Ymbm_HCL+J?qmSv#D zZA857ljtIe?WUfn;OV4nBSDx@u}=XYQo&Q~gO1ywwC+`|PYtfjVg=hK07If{vRI)P z&^D#*L>4R976Tkfw5e9*xi0DTT^cfH#NMEDsG#kZM7AN8`!vp3RxxS2lsnM>Q951E zI;52bS7tkQ>z^%Cy8y^RtwZ)J$j_rfgbPgMP?#ur3VKAM>vQ9UbqJsGERmRh_A&Q` z8Qs2FxNXzs_cC~DDLNx$@bXD9dE_iMiwd6ZRM+p)>~kXAR#bYdCY_~xntmx|ov})t zg(#`T1F0|ocS&ro#O`Em1>25DWM|MuFLc<(z&kFnEqPEB0tt~znzN4PMhmu~WQ%;b zoLiz%+zNyUW8qk^4Q+TH#Hd9tTo8MG)>iP8Ubw94$3vqXp3)0@BU2W=FqmjPU8;0*$uJ@=AP@)y0)ZIO z0)arV3E7Yr1Y*R@e!r@7y3ebv(>=RAk9U*m>biC6-0ytn+`m;_ozw-^Xx1fmY+~?M z)3q&BEKPW0C;;qx6Hj=vvU)qZHjN>BVX8x#!Fff;qq?>k6T}1>t}thCLKq|Yz>Go} zv{riOOUe2#Gj+DEm#MIgR5AUpnLshBq22WXMuH7`&xGyHR44S(88I9GP!yT=r@gze z##KV^qBK28WZAUUM9BYODe-o%%^&x|1iTXx+Q7m)TgL|1dah(4X5YjT6F#fJV~OE3 zQjH0PCW+0-6YWweYw+hS99Qgjo@ir8Qi%{#(%aR{oq+=(7rNYMNHw!v#E3P&ACAfi zZJ1>ik;T^rUqTvfNrynXr=$?rug|?)Hg$~9d zq|6H`8UUQDr~pIv8@Q(tCz&afaOHk zo`mhf7)gBUeEA+{$@6h7l;}T`W1FGPq<&dr>{sG?{y2GCSKnHtj`b_dL7EcnD&Q_? zLX=|t3eihXf2L*dNzN-J4G#U_qH61g)z+l$?+V+LYAg$iq=>D|DH`foeVDTjF6b6mO`ba(Cf$A!`mley9n8dHkQ?xi?{6Z#L@++#0yJ!W~pmSu<h4QWKK zW_U(OveMO+h>usXREKqza(Da$RZ0UaeP;zCHo#H}_cXet6yzzfl>W&@*keNQCt1)N zagmqA8^hR`0ARu5*=W;vKypA;z^O*zQ!|ltiygT~bfyD}GL* zms!KBfGsiMUQYcYb0@+;)LkF?EN*BP??$U>21B34Z(1AD$fL3(ug(E7M9|oGwapho z<(NoLD+%h1r#vv(|)bUxdt?cntDjDbMt`Rj6x=&I*<`rD;W) z+=S6AZ3KcSII(m_g-(e#zju-Nlt6ma0K-j)mRcShklmF(7cI!6r9tdj+F0$ftU*4- zx88jaC^bGEQ{J33j+@1arF7yC!3&9{JJQ5z&5*SzI&mJI(XL2|rGDD??o&V39^Ts8 zxJBCJKq+aW>5TSuI<6)O?$rKKKLRU1k7EJwkeDi$YiXR~2FjA?rGc2c`?5 zv_)DH{2LAPd?q*=A%0JGM;23VLdcmsaBU7lj0mYAgqJ9-ixlsa5<(7jDOx-wgj~x~ z{+07~@a?KIHC#W+3!5;ZG#Vk>s!^=5xa&tJgqy+CS5iU$i z2V8{xXoS$H9(=b5iHl)iO9)Yn7bbWhC4}%aC|W#4LPAG*sUSa38r9HIx)$FjjViCX zm{QIEJ`oZpofI4i2`22SuV>2Rg+@Yx35z1m|3M3Fkr0lRs4~|wA*RtHgc1Ila67iq z+K`U|7)^+T7-mdhy>V6N8kQFc31Ze&Sx!pGW+jj!A;AkhHTES&NbmwHs?k}a5#qno z@WK;9wkjq>LV^ix@iu2SBG4EkB$)85D)Z56pTr^|1_UouQzXRBA;vt*J4vz!5W>K0 z5gDakw_6_ai8dxqvucqZ4MC3(1j@vj4b=rdW}gtklPjmXvA*%gQW&HCd6+;5^fIU| zg-SyFc6^}MPy0R+611_v@gy0Rok)afWxdzV6sP?I-u_iIjXfOjMH79d%}4ls-g@;M zZIjv_#T$J-2}^74RCXl0mb8||b)^^VYi}1VwM?)rtH4;!|Nnj3ky*gJ^C#d*7w30`Fq-rk6}&T!s%hs(Zrdm7H$&UfQ&?Dyb}_3>}0r+eb; z%W&SdY2T9HYlPl;LzZ?#tw+dc@kaahyVX;_EuX|2FOXsN$7@znPq)Nd!yYR}G#MjN zPvOneXuPo`{NnYr8O9};k?=-a8&l-X^6Az>kY}u6NnvXw8hhAD^@tX)rgfB z^gomSR4y%^c%Lyz9P($r_f=9T8=||MQetfR0Ny@gA6u>}``CT~(jSKuSMhCiD~7m%^<9fWnuXe}v$V!(3C9uixDz?kMiwm&? z8|z!sD)h4znqccx2HOcXMl{tvtA@8JiSfv|rM2!jjGtZ=Mot(-nGa2!XB&m05%^W8)zKFEmC- zn4dOve!SuZKeVck7Y?i?+P8p8ywDP7yMd6z(rqi@!6?owmD?RY`{)HT0c;z>v>;3G z4P&%4bmH>**Eb^N!Nd}5V7U#vW!R*RMt{u+iP)4)0viuMj!D#KyAfL?&l(bZ5Vk4P7O@3w z_woQs>YgGt%Y!%8Q+c{^#_}RI%RnT*_#~7s0YdLEnWG97gNOVq{r5+^auN;I`MjE;p%+knsvO2=V3g(0%NP4BpD+2||47H8iSz+V{zn$4~p7P^ei> zZ;HH~r@jfj<@7#gyzrwKZ^MEmOdvnZjPSx$|0+&qUU-l{FM>31 zXfeHSNO*%Yrme0m!Nx##RboZ%#P7^_DN#jFy(nG4Fvg&h#@7|?sSTHD`fPMV4IeRp)Vv8Y-pm|xVFTn zh&&6Ni`8KJ4*&oF|NnRae3V;A6j2z*kGImiu9q~ssF^6XN-uU9H+6RQ?CjCGtTVIe z5-~)E2(fs5QKHN@gDC2$2zoHepqHSc-UP#X=qZB89-@~DDkK64Ec(vp9NEpqWe;ce zoZtEXzw>?HYy-(4C4`WSKXthoFlWLjhM|OlE_|usSd7}4qzcw<+-x@mQ|d8Fghd4y z&qArjx1W9stkT0Gj9Rj#MB*E4Hu(Zx-+Cse{%2DpU8YC19FhwWww&B_M^0URQ&(i+QXSb7V@VU})|Ef6aPwSiREC!y70>|}(HCqd$ z3mgUVF#3BBMgm}r#mVOpsIqGYyQ$*rAWfiL9hp1D4fyc!eW;x5n7V6FM`N~=Pnux$ zQRs2MphSr+Dwu*4r}+XxiaaG?*3Ak@7c8~seJ(f#I$Vl(4mSftWg+w|A`w`mOLHqL zkUS10>2QcC#RSyJj5JyY6C$FUFlYva&|MZP!Kfcjbp8}r1w8bsnk0oyi`q_LC^7Db z(c#0uew4IMaz8$*Ver7BeU|Gmjk-2<9A>D{B4M+X)WWv-aGb|8Ha;LpW8X|}xtbh; z{ps0}=2u>|L@9vr3IQ+RZY)Lp-^R8la94iaB+Oi|7hrp^4m16~UUOHDmBa8ZhCQyB zh5>E6m)wDkOH1E-U?Tjj7EQ9=ws6ILv|qYe?@<>wzj0XQ&~+qmv;JKV7!^LhH+xwXt3Lb70pzCac;T94)TpP%>fWIlzF!eBZNUeGC>|*6$Pt3x@$im1>Eb3-uYf8+?#Z1i1!^FzU z#LCRVL#!rCEN|s%Ml4}!<@(M2Vh8=7iA$CLi63k5|0n;u4{H7&_5?ZigUKeBNb&DA zoRyHUM?s0yMF|r$_cm9q^=bQOk$GZLcA2rWTx^V(+#g0@KjS1Wj^(Ba$gjDIRWwdUg|C>6gs*84?cmr^`;Xs#zxLqZ1nB>kCU3 z_$4$FtGg(tvB*8oybooP=;?eI_|s(#Jjurgs$|+KoNtYD#CQIw)$)ud`W8pCPuK{y zUj(kNN21iMx}Y?Ryfg12lk!ZL)VrrY1g|(T^C1l!1yQ8q z<^5@yELR!~2r-LLqvU#Xu+a|W^k?5=iBH2CKNih`?z}e5*pC7LJVHsAha(TG3z*I1 zWIrAnlP!wPEJMSM#5ER@eOF^h+w}!;nf%FV%$Tn;MaJA@*N$xzY=kksV2H5vPV4qh zoqORZy>b94Hb54p3%VrOR4ap-y4zzdDIEfEx`S8VfAlhH`#P)oAx-cx_Hr7zb$%>x ze7b@*LBoBZf&mP=)12fiRdwVsdNw*aY2G=f-Z@k;dUB`zR&5m>*E0_~sq<%bNDGWs3Gia_$1WI5Ug=SsChoJ97HRMs3>FAeK-?TV6w zTX(hDP+#_dQMp#dj5K0bZJLw1Q!PdknSN*e!@@cCM5Pka{uJv^Rint37z~o%$YL;uP+MyaoktS;x>n-L5Mxv0RbDO=ARZ#377h7!;TH~)@x*F z0@)@sNHH{Opm$D@g8xRiK0U@$zbrti6E|P+Z;!NvPaHFrSvb5X#v}Z zP3fg79xLw!9I8rPwoda4?a~fkNUWoY3LdKsh&hW&L94KkeTxelcHF*3?9kh;P%SC) zC0Zw@ZEPgv*6wt^-8* z%a>US)#RD`s=HK)q1Za)%@#66&AZmX1Je5oSDW5x1}zWEIlY2WkoFt6yQkg@67S%@ zX)@g=Ppg@RZdcrT$F<(`yMylJ*B~s1da^e+6w)<-SY6DM-AbLr5?daiwfP6akpawr z3sGYBcz47k)x&Gj?r<|vhz5{6)`xBvfWN{VQ59}tBAFR3cl#+TkyG~LKtM~C@F{Mz z$+Ie;WwilyfuKbWyj1WF7qVi^w2hI*;u+{nN+vN=}Ddd>JRfY-7K82x3t4Yy8gGei5#j0Jy~!6#!^BtqwA~`jR~%u7Q3mY?SA%Ql z5hSxcv{Rpl_C+yQ^VLBnQi_XjX76qrt9*trWXK)G~b52i18Y(L7yNJvt&XiAtp^aki0i`m@f> zP+<>LGrq2LmNq&nWRVBY)O4g&G%U$v>Iq)2@e7)NsZ<+^;0hd8P#3WsJUAe_rgj$2 zvtjt@yn*}PudKM29W5u;lgT8RC`{Ro(BXu1uX~){6%@Xk38k9RRb7Z_Fwdo`{=UU| z6T)5={u!!0t702(CO3wqi<$)A7k*G_THHyAum>qG%K+eql7n2lidYUXnxX0r6J^A$ znwvRFV*oUmu}09RHY2y@85=+WYxFJOsu%#T@;}ljp%IvLO2|KyEC&R&{Umrp5E$Oa z3uj;V9|t={@>Z2v5*)C_^HK?jwC&OajOoOO*!Icx!q~lip((5)oBn-m=68!%T^nW2 zYsX;}plScIpws-TfO}hOqO%t7zl%3FFrGf=BZAWB9oq`g6leuk%k0oTJfxB`M>MLt zI@kc~(4#7H?_YO2h3k-0)BMQ7;+xv(CNLZ{F{!=xTuq-J%5L*6vnEZphq1z}jMa5L zFe5sFtNWWGhAuuXC(n6FA81sO)hUWev=>fntDP&un=p8*Q3o`jvKm0l?~K~OjJnITD&AQ( zffYCi6zFLyu^Wyi2_5CP{ug|LiOSvLo8qr7Pq~_K;Nxh&^xLRzI4$;Vp*_6UqtmR& zW>~6-dn`dQzbae?bB#(@+egR!X^mXJoHZ6|pg27#R%-&t2FBjcL-$?yezKx=aX zJI%D&UZGZm^vYbRP3h`U(jKtVvR#k!X4IuIikG>j8msHrqVys-+2&K1rAAkpq9!-! zV|rwU^(#1F@bt@Q%JtvgTFMq-S3vbE29bzM0NmPj<*vqz>s`0j8&}q&%Tx#5aF=Nd zOKI*SA82hTH3?1L5<|K8tN7oeHxQ!RhP)>xuZuk#a|40x*5~26+>35td4RzAFc$Aw zQSFO@(p#I$^~#>hoz1aSh0yG1cUO?xx@Ko7>eu5Cl{oGOb-*)Avf+S#_`!1AkuVg` zL)`haSKGH6>@BxB|DO7Dhu82|2B$HkbE$VJkvY^uX|T`U_rZ4-MPKqS%$Wvc3h?K^ z0F<}v?L&uqs%aZ!-HBzR2e!{qw`&O=&x&B(T%^p@K~|a~qN|jE<9(uQlCQyhD-v1g zXQ;j4k2gm31^}uR%gf-o)W_`*)x+BlOPvVRh7WF!whOFd8J!x(i9C_FPbjx72n9Hm z--pv5gH}AxLiv|D`BpI4ROA zH_WFx>)s!LdcDE9XZ)YD?G^XDkJir(kT%Rha66*gO)jZVCKb&|L6Nr;Ln^)(sEh6A z=fZkHOQ8dc7onpP3$m{DKSh7XgI(M=MW4#N7mMx2@yl zI2U^vyHyLyOcNN~6*FqcRhBHFVBCCOi7@8&4TUv-mdD3U+jA<;~#4U*S`Gjh7Xta_ktfa zkFJugsx7`80|q%-C}O0n*Bpj~rk6H8blDz4f(Amln#OI2mMDJ-r3IDx!*{)@0UMsD z!&pCt9}jM*)sD3#LZ*LuPwxM_AdL=6{&ePeWHe#Bff|1SN@mzq}%SDd7*8jM4inK zAHsmegL%9+v!%BohaNsW!+)~@D}qlSN*l*vrwlG4OQOldpF6W#+jsj(NFP~G&kV+~ z4QlTzg116ls9$!XA69u44{`4SP&^FcFhQRnxh`{TInVhyz(w`|L%(ZA!W$^kCS(fB zmj>~6B+wN3uLUi+;T7l7i0NU<0n9OO#ZrH9BG7Z# zgi|#W_y^wfw7Q{4o~oTM3!}n$Y##)H*}`0L5Hbn&uN*kz`@0AxuyirqmOE7MX)fou zzTVTYSycPIw5d&X1=<-G1#tzz15`RPW(~1>gKOoK!%U+{n!X|udPZ$y^vaU47Y9M% zTJUB=m3jwfW=-T;U{L{QeY!OImLNso08v?TYH4o%mIh`4h-d}*Saitiz%rhi!(_Km z6!ckqy;W*>qxMX((9sx4F*EkUz|o*SP-*VgGVV@eL%o1i3v)-Kx*9=9W_~Ty8G5<` zZBbC7k12M%B#Uep_f~WHD*8(-+b5&xj#^dJAxTOqS&&o)oD*7j<|(_ne$5Tl*(}V`vS}-Q>1w)aek~dzzS0|(8lY_8X0_8cdFf=AxXx|H& z14Gy-e18+v*m^Pg2n0jbFWu+|6v6e9LYofd(=imbvlo`K7dEs1vbP%O07i5HBVJyh zyM}J_f(j-zOpPWp-G1HJwR9OqV;R>;Dp8F+)%RuKhlE!-)5y*uNK%QVc#^Zjr^JfO zXy2^+LBCPvZb%!5&$e^#h(qSnT_IX=X<23VCm#X3xPnrWSXNjJx4&$0Eekp_kVF^F- zP7fkCGkj_b!TT@Cj^u3vpTy4S>*xsUbl*X6D#cSAFHr8jabLUYXLs)`h&lmY^b9__ z57B;n_|W&tydvpFtKc)amG1q@+g(SU_ z50UmF9F=w3DKJEi0A9MAbq8OH_8(Q2Gt}{Hq;mONuM1mMd7vx#+d?#({KrUGFZT~x z32lw^LnMN&9LoKPP-6MX?VO42kpxChm5->j5A*;G} z{|F$%39JZf$@tI-{C%7J5|i!0X4yg$`=oOGf?+ja3!tYt%@SGHQf0<$O(<#VD`}G1 zvBOiwHhlj|PMY}rxJT>LMgA)?+7tNzSG@V+(tg==WNDba zbYYJmpEiOQp0eZ;m((5m!)Lf^IKLV<=xYwssU-C zfKNg=nGXWY#Md`E@&^x1>C_8OYW8>{lq&gbXoMy&rpz6WPr$E+%zj8Czoz_5B`nNLRKV|ka?r+eW*l^(;vSL zno)_27)u88Nh(1WcRPfXcDsZCH~8K`{WosSBnZGl{8!E$klQ5gj6}p;+6nOwpR)*O z+c0LJFaaD&26`^p9D=B5q&m%r_+p~tVH`PY?CJnYMq9INE-ge+k0ksM`z&7QdMMpO zmX%-zk#vD&)R6xlP=KDyJlr(AkE+r=mdNdc~^**1($u{?1V)k}K{Uz?nIv1fvWEoBq z-=jh9c(UHZ3UOMAw*1iwY*I^l*zTKevUnVz(%!g@hb+mZt-Z5rD4b<7sP=GRc|XHO zb5Ep63FL8T1pIhMQBY@fY=(2l58&H_HrIdl*VJCp;7OFo<#ZiC)3Sp1q@qz!r+$JR z)53-xp85+gH_dnQyB>D*NM>$i;*=$b&8-#Gt+7E)cECfRumDRUu* z=OZHPMi|igSW!Q%)$D#8jZ@$yExnx&V7 z|H(Q*|={Y+B1vQd!Uv>Y|pwjW-=82PH{?6A;vpxIQPs1)CC zLytpgc~o<6`$XnBhoq2!Rh&%U#Dfa-OT5J8_M(p+deI|pWbUXKI+Wpa zykJ+MMdpzoqN+NN^o{xm&6Y^yk?K^HbNudTdVM`Uz?1XnoDqs97m=55;=3tniqR#0 zSNpGvT0c~IO$JQE8F}6xjCwlhs8tMr#04y{&>g}`rTuq9nZAh5d=>Fz9o=F5Ox3;Z zrZnG#b!lhMXKxzoT0cBgt@27T+dm$!lbbo`D5~fjGLgH*fvMV_r2%rsc&O?>1>rgU zJ4a*afK(H@?nM^s>Qxr&(#=A)IqXI?2ZhOk-nCiKl33|ZTq%Ho8yOI_jkgp(7gHu5 zMgC|9CdXtkDEx*lJv5Vdn*tU7^?n{ns$@+%-vJWoa~+Lo(>k^`)Xqo034*B8FOpq) z4HNhH$j~-ZKv;KshAYXbjS4l|PDyy90TJk%B?+*qB9>{I;LmiABiX2n_&0~auIVl_ z(_M9vmje(r)uS?$u=20qzYb}L>eXxL3>g{R=Gy#7dq%L#iOT<6aIF<HO z`7K^-LOOoY2IHXaXKqaS&k?O*@VT}s z43#3I0;uvs>zAH4kVNrn-7+=n56;m%$+M2mO}H$Mpiv>xC1trMw<#6cnT|c{iF-4H z78M#RV`c61cPXJ3r-RKz=b(w$mbr>Vk(B=8!x!CiBKAj=BA}ACt6-FN->hkbtm770 z6towGMq7zzS`!P2h=WPjyz)Nr&uH>>G~zv4*jJ;c%8LHmwLS!O3y@PLqRiAy%Rh*GHZL53lio9W8G zbV5Y@U@4P^DW%Y2=%o$1s9PfojkPKRFZ0R%%<90{@wf4J`pPl%6oAyUm~&TsmCTX% zoBPjla4qqGrQhJgh0B>-mTb-Apr;Ew9C9mu%k)N5PAC^ucbaK^BJC#7u<7IFCx}*9;cYwxE)vvm=5nAVn9CF?= zWT)th7kCUjYud0U(R#5!x|BWS{YcvCVvLAzk+n-aXLObB*LXAv=2<$C>2`FST4tmf z3Uhg;p4kvTYKmcSea+#dU%))9+NjE96CQKx$Tv^`^n%$yz{J@5Td9W)x*hzqo1U7=WfD;lP~SqCa9|_d|+FR=HM8J@-|Ato*zv z1_T#w9zn8kzoA-vQR9`WoL_d{pe^%1ic&bs6ld@(9LmO~mK78O($TbryPEH!y% z?-898^z`(X)c^ey&V7QYeL8se%MSDW;6}Jn46uq=lKw90R?-C-v;2oQO*)Ufp85`d zwklXoojW>*)H{Y{tym8?Kdh)CZ``d59sGHce=CK&Jt#NO_{{f8ZB@A}2VK1qc3uc; zBTx7%UPNi(PT5qk-FOGWeZjr8W}J(uW}%21S`GY`2ahux(FDyBHo09UX_P82O6+DK z88DX!4{d8_dx0wlkN}$b$-j_g$eA10w(N&(e=fO>gu_lwt4DAG%OZTn!r5`|P|D|G zIK0F&b5pjPUZbN8@Us(m5EE;}fK+?#9;!xEc&gsI7^>cQ7^)>}fVEg(>609z$^vgz z#Uc(vMe?1;neyMc(%dd<^=G|*4%9a01g0*6x-3>$0@I6>qnxUMh|xTd@XVK3>-g9% z4Q4D;20(*fZfsN(n0x0;;rK3~#w?2UJj{m%8^5ysj(e_AbejjcHD#oHt_vO>;24Iy zs0!l#dWd|1OPw8#&<0LExT}D(m5PAa8dwX0-z{fhpiJQBXrFl>c z6jZA-siaQd9_nfJen!p_H{Hj*wdRPaGCOnJlBT= zosi3v0P!6dz*33=-jTyzF#^e9;g7KAdqX6um~?1)^o9$ZKGGTk32Z<5ajzeg5(ttFHX|1g zVU#-pa%>j?VRSGGa=h8}1HKDCuxJ+BgXf2okkREd2sgRWDibucpy{yE;1S6^9qalU zgKn-=WgZ6Hm#-%9y~ru88jVK|ndT*@5`iM(eQFMoI$~FlF~XN>=?tA=STja{F{Ozx zs68I+Vz68~9J%#Ro`S^%^BL4d9N1KM_8z&TJZEam!^B1A>E$VIv|Tkjf+pk?bF|NL z2;e> zSI8f#=qRDeP)gmoEH)>n&APxoAt!JkD@ey6y4Oc(HpXE!nxryD?v^4$;FQRe2+P#d z=g8;l!sR0&gua!nV3C%wVrK5n|6V)+rf0!4ATZ1+&7;Z6opw>LexznKtWEvHg+g!R_UsE>z!JP^|MOCQ>wzrwVP^~!ESWf`9`S66svyf;J%y0H(c z%;u1S6fewe8=(wlz~irGbwf;{@xWX?CqXwT!h`vkR`ZQApiN-nj2Udh$`NwxB>6Ju z@J{~N9pk*$2huL8gI~Jek#==d$_9Ra*6O3G$#1Y%7;g#1jT`6IOME1r!>Fp3AKVbC z@}A(X?aGbdZ(j|pvnOevaF$zJj*S13PR>(s1#jW8E@z5A{||ohS5IgHHDIC?fl4@< z5mGKOtMV|(04>^tA?>C4J&_@?Kij#g6o7zY;So#sj1?=VDy<_f_TfS@fx`Q+STrjo zl2QAi%6ZHsB`Iw&l--%(9$;)xnuwKI!5$au#%oQe-D2uR*j3W!gl&=SfPE|{7E!-v zyNFot&&-F|G{o-+w#ab%!LZ9auzY4$(L!^hleOaZk@gQcdpGE!IZ}@vSHb<#782NX| zXX%<%wX#`DY`i?3MB-o%*eipOn<5!rjXcfRl%CD&2+Gatn9cU(Vt7y?TjK$|rnvi% zf}|4Ae?nR5jlx1bY-njrap5wqu`!r(uajTr7E0U>bV79VM^0NIXY*vBA)J;_;gT-_ z^IK`g$N<+%t*IE60SFo|NgO!(`76r|SQc87Vb~o09Q(cXpS)S+hVE>A zWx&q@tt{gLe4{Wo`gM+ux{L`-{oO*q(%?L4_6K1-I$X}jl!KUzis0a2lBA*v@JtnW z0gm}ZVI5zn7uttD%~?!@TZWV7&ksH*Doe0fr=0sMWS(9g0@EMl_b&|kcjXFjtc+{& zouogvCXNYOZ&t;Vj6OqUp0gl6L;Q-Ki4J2zS57aeD7C_6E|ytbIqX)l&~)1A<%yDb zvPb(wYI>f$Q~^}QE|7f73sQ;#0qLe=m;_h918|Io6W_5ezChW2itgt{)`bVH!JNEA92K6oN>Be1&)sp{mqOkOJPVb^A~E>={?vQKyKflv z&O|@`F!F`-Vpp&=r`$}K;Os8p&AIC}!q)NQMP-0%rwxkc!Jq7wNyM9c_bh=(a;!-& zn%~OdcdiuKg(x7KNO)>Ep&R-ou9uN4;tTw&st4DJ0JsHiwzYd63s0j!l+1k_TGO2IhF^2NZfz*k929w!ct}!1cIhI3Ftt7 zJgfG>L@X7?Z~CDD=L+8Zl#dbjm4rX3MjS-_DQ>e8z7TKsMz*5fH%45PKd4k6VaEMK z`QP>l_XEQD-*$&by3t;mNxrT|0>EFoAup4pSB~i0VZ7JD@z%rMLH8Y`HtI#{@lWCs zn&Nk>-PvzfOSOldp;zyaS&b~Jw42B*xrkkGfLo*+FehYxbJ?TWkF7?8eJ=>|GbD2l z)(tz5mgYsOss|rb-9BLSxXwAO><1rwe|}ZB2o4zB9V9qxdn@UwVUn>#KNY^Oq{c2) zym5?uMyo#}(fiQ477ILE?){7a5I~9`oevFHWUFWmJ*x~Tfv8Jubdx$f_Xw+2&rSFF z?-94aG)R$rSV*;@A8-}&Wmhs(wr*>_K)+zThg=`y>?9G)vWBF!~O? z+C_A%B^dLJv5#ZlaDgkqhb=AU)+VBx6nM#939@x@|!rtvsVyazXu7kY_MSO z?GcHyKM#SovR&$nYWl>)LLHJn=KdRVMF8@IA?&9^?;t5aM z@<9U>NchA83dB1Lt`X2I#p)+~;+{-N^K1zaJR?Xm^>WNDk#=IAgvNWZm=Z#P=|LH4 zMZ1Ck2~?T|n5A_6Hvhb#W!)K4y=Xklt=tHlZN)(e zRh)Lmap4bhf!Srk>unlFj07uovH2gQNL7gZdURc%9_@ThXtZluTf_$(_b2mGYgFpg zxNn|@-uWCpR%&-{@3&HUR9v}e@ z{WUR_5VyZn!Mh%*)p`2PbJTt78j*m-EfGd`Fno)%oB)zrvgaO!zl=r(RK9xm)Z!xawQE9H4^D%s?JVbZKUk zTe4yv?en^F4QTk06Bsw@`8HD9kJ2baI*ug551nvXi$@wqHzf{Ht@PW(Ao9MSx*hLC zQrvX%B1zHfOw?bx=^X~cK|FF?y#?A=hAe1nMuF!l5frdL-lIzfsrctz)WCqP&fqq& zkedFVD$_92zEqZD*&VhyK2}HSt*PvXm6BqrVdFBn!fmDlsf(>Y0*#&0=hp9vBa%Bc zz_ipWk@SAk|$$rHp78{bPq3z(pp2o_@8=*+pdbPvsE`!0+9SpKyV` zm9KQ2iKL#f36K9Wp70|s&Z%{wzZTg)XQ7qAH~>y?P58*v6J)&iSuMWTBw?Bi_@w=) zhRk;G7=99U9oHU#mXIgzjR)+O9E;*yg;p$QsYy-vZYzoFA%$%Xw;%YRXGvgd#SewA-2FT2}bUY zJur63cM;0EaTD%c;%_UfOi+--a<8%+KYnd!(h!|IDNj9=ASE0`3sCPBLEr9iC0$0} zg8@QE0a>>)bLT!n=^t6{5ho>m@3A=Cj z=a!3Xmz8aietIeB*lWavN%R#hnO;u=@%~RhLx%<_Q=22v&^t$2mgK7lfG*x3FW#rA zIO!n_`|*S=-q>GYl_xaWujkBkeeQN|FH!2=n3^)IC8CLZ+3mNeezfDG)`hg z=y&QH?`fnd=Y)>YB4|BkdSg!o1g~|FX7Jis&8DsJn85s5d>1awbR47jD<$BF_y7mh zP}7?a$QEx9lx&0b#KR=TOGIPXyDdO44c^lH4hr-KFaQt$U%y>pfV%OQ;rE09DAErU zAQtfl2oQ_pgHiPoB5An#%D(ASRa1un7#z{a7b*yHA@Bma7Lt`dYK&W%gwk#KzsLazp;V z_UbG=AB!1;mG_sj=_)WcCp&hV%MaR2BPL5V$W@}z1DJ_9r&dcNS0mpV7W9Pym$uHf zFe;;k)p4I%>k*!mgmADEW_5x=)bs;%;RRRj!nzqOFqznxn;?mz?3HX1IeRpWjtd+E z!7;43Y?82_%|C{ClyV6cjl~ z=%gjT892F^aePtW5}^V4X6O*5W@%O}gf8h2Wo%@-NCXprZTY_78IJ}jkgZT}WeXCn z?gxN_gLgEVGqg+CF^f zh_fLp*Q`vLkthm`yw@g>x&D!jn#QFJuCBksRj1-11W=T*3pi_ht@~iajp5gbRC2)S zE3u!g)Cs2tXZ~9Me0Z#Cnz`gzH{ugZo#$96umPc1`^B0fm7~*H%tlf0k-+kapu8y+ zEkB=zAkUCdffS9jFf2JFHIy2+P@0MWQHIu-7# zB^`CAj}(`z!zeDgF=|6W>6gCs*? z;+sG0pa>4SgrzV3ojn4LyR3Fwu$XcNRl)A3ExZeSm}b~D+hH_x;@*;-UV}ujWTMfw_~$~0*AkdelBjux_`yKbzP0T_jcd9YQ)YC-g^T7vWcHNH_`#@yj4H4A97Pf z6zk@DJ^+S}i!JT7obYb-So8!( z1^hRWUPv7tgYb!_IBzd}PL-d5JS#J?11i6vU(3YO^aYzvpX3l%))8ywna)3?FnyY# z#C2H)mbaR`L8$K$L>3P}wG}O{kppz`5bRI)_s+XA=096{sH|KTq)GWL+89IIH67#? zA`wGSQyk6M_{KC)Su{*OT2emQFtCh? zF}85fOG_i@H(juxqvw+?{S5{4ct#_cuMjg_mL03WUEU}B1fS?`{u-lP-aY#RV6r8x zQVWWPQ}^!V_*9>EdW&BwUT88%D}4I6ZY*sd z-*#_Eusitj{ANGTVcwD|$Q}h=&R@KWNmr-!p{ppbl@n!SqLp%3lcqarE_XJNr06EW z4h;-DP+TR{;|ziTP4`%z`<`8gE;Umay9BSKLeZw4O7gM$cbiT5Oj8I~tuM;49cka` zH)BTv@PA3YpF;LrpoqD_=yh|aU%=xemTj_;Z?a}bGJ2+GXCX4dhCMzM1fPn8HN>wj zTf^8sFCwHfj5aU!4Cax1#4h=0E;k4_;9QXWLgPjyo^lnPkV>(-Xc^ai_yz^;)ATW( zT61;@r}W!tF7No)Ur?q<1?!pjyZBmk!|&Z_sR~6W#QOQEeqEla5xKA}vZRW7tds3t zoXr+?^p762qBs}^U>*=K7QPP@ady$ z{0Dr3!nuBqxQN~)&Gf!trY-msgg=EsXck;L?rc$+c710vz0C1+hmtBeom#q27Yv?J z8@pr%%i+Crcu;enV}*1)K^U5(kX-RLKm#Pu4UyfC{83!CvUkrEYsY@q2tCE6za1v` zP4s{A6aTu*QjcUc#IE{)lAQ3Xmo+}zNp@2nSm&xlHz%CZhLW1_yZcraOd2AyJSot> zqpp%xEfciu!igPjg0z)y6Q3fKu8D=b$X*R)8@}j^-@WXF9ZRIX00K%qJwzst!ZV3r z57&``T0KgkC!@*}fMb{{64o z5N~!l*TH<}C^kT;b=cMVkNVrRcklm)Aqu!yO)}mNs|qKM!r6XY{15j{h#Br%Hct4t zXc`|HhXbEeTOBmj)4h%(8{*6^*DwXN96^uaN?lin<(Z=1lQfMN`blJ2`Zl;Ei5Sa# z?)j6b5{8&Rb7nsvGNuu}4+o&QQM0;D!VMqGksjt`>K}%VqX%$e;SE>dJh}KkRi6=Q@TK>*?YtXS((g0h7k^XcVcB;- z7swU8?#oWYj9y4gqE4NU2X6OQ;Sinu3*jInxC-Mxj85nsV&9a_ux2k!Lx5)_&{`Mv zx}dJ)_=y=qr(0*0#&=IXv610H^LTh&-Be>)!6v>8R>*}70|ZtS4JZ& z2~V>b*lIJ0C)eOQwm+k5CG{_cDKH>H2&9S>WANEnSy=@jt0A6Pd>=hbXGe}l=4IbMG-m(pueu;&@f$m(IWD%J&AWskIT zhq-wQJbd5azVv^63*L&s*4wn*%}KX9UdJ}%Z#4Dps6}09yqF2_HKNbsYj&;p6-bXZ zBCB;)IaozqJ(3wk8*E;=g=H1Yq0MT!*l!)T25Hmf(37uyCug%v25(n$m~S#u@qm`Y zskMZ#$9)!)!!j~!G|7|Cle{T+mbwlL=hh?5Z)-7Aq13}w>=DR;rPyTZOx#V;9$W&p z+mh<+sla&J&=F)Zp(#xsc8hMBap=Vx*`2u*bci5=AACve|7H)Rb$6L0Qhyku`Hdi> zMCvbRd@UY*U>4K%+Q4+O%mjONwoV#YT&^FbpsEotOpF1f!t3aEnu9kk4;D8(Fu<%xZ$;Y~nFCNmfFF1pHR)};=oW0J4tCAI*E z-BHQc!<28ZIwCn%k#FY%I%3AS2O6ncGfLxIjABnVVoB6O5_Pi@+pjS%r75rQXZMgi zkUTTXe^W0u%i)%Kc#^9id2a}l^*Hr1`pnwB$QE+4Qt9G!?Bp~^I{c2N+nj4RnlZK7AyW)F-dE6`dxueY1 zgr4FSZwT{oEU?~<$G!`u1D|UPVDRm>km=j)OWGdgRGE)4)P3ZzSR~Ig>{{oc3f4p9 zcP9M!e4O}LV^1UVMP<_&&U$2HK1eZ6!{0QGTm?P49r;rA12R&`%2WTAOKGKB7?fah ze;+eGdW7`BmJ__lXhxIoBQuE|H(tJS27XygNcSg5i4aJ{ZhlTiDOJRG5iX3{m z$;V-a)wuTUHSWZV*#qX8&0_Glf14Ra82p#05gsnoob$UBWDt`hSuH~!5XsBjXeS6w zW|TWOpsjP1w>C+k#*lWHEz6@E85kXCZ{je`wOz4BTXRIn9)_)c>y&o!qnOPo=T^0a zQ1bt7=77h@_eCC725FNDqz8}D{S$|TO$&X{)~5_I=3*ZPtDM`xW=X9?uIGp5@Yg{N z>)MT1d}Gn7z#A$J?7?n{oxQ5s!KNeuhUxyI|G&625$Buxfis?Jt$x2|9v9vK`HL$Y zT?#7S>Vw&tmaeXg7)i>up=Phqpw}i!o|)n%MLex5vHu>G`sNhR%(MjgtwF`eV=p&r zjs#(Dz~F-?z?4gPfFfGX<^+Q2xKasQuJ^ctLtY?P#R%hSyAs7qVs1ckPK`KQ$I(@i}4sUpT1cAU=#nHh+&*Yx={TxuiA{qR^CV3gym;6S zJ4*FDqVfhL1ZIxYzZcu>ZvEg-Q}SJ#+nts4Go9!n|0x;H^;=4UsSRGa>TP@olOeA{ zDHgFJ{tt7pa4N(mIOh6EvKN9?*4cOZwXH6Q|T0-wrtRhQW zp<49j3XP=ZZg`5^Pphpm#1(olfViAwMzj$PI-|CY*hB18> zN7E*P{W=K}yy8#I69EFN<)1mq2b~K)PvYPoyH54yH5)p+(Gc3&+GtgLtOK@C7>SOd z(~#Ul#yPfCon&62^Pm6K@U=Fv-trQ+!tY9DmRw&1si7qW|MmH`Qc>`#Qd73P8$b| z07l0_QlOiu!u}dGfw8MvDYoBVwP?W&8cF`N6^d)@yHDsXN*;Kh%kom4 zq$C(tR$VU9!hLv~?Gs!Vf^8(JT!)U+;epAWjbAJDg)=A-f`VX%EbCB)N`E0u6lgnbv8a-a-k`iZ-i<+XsUMN2=zj61084=TPFz=g6l6L_?-nM8hKFhCNaHEl|xehrmWmgD3!5`u!)bPGdVsSI=~Xi z-_Ped?z_gl%`eL#G|I!y%EMh-ILn?#ui1%bUf=db)EnxiPhv)z1kq7Id!6?eR0xFl zlNMnfZ>?u@9`aGm&PYffbB)MtW74eFdiKr2NRBB}_L1S|wd|+G-|eE3CHu+EP-bXCpY&D{#VGzh%0@_l(s zz_*;(m<4|_l{H)6G>XhNKhd4N1)qurKwI9-E)Zor!FM2OJ8kmS z^WHoB6I=R@rPk&D2?7`W=oX+QCTeA+vr7t%>{~mhI`rJ?(DO<{m6gs1w3?MJC@EA~ z=^{Y?3fJMo3so1(#wKH>^{a}@=IIN+nn(B+{Glzv43x&P;Z2ar+px1vUo-uRb5==l zGL0#%D&Gt^H(e*4bM_fU@J77Ce+~sDx=JBim64yXjJ%^V@(Y!b|5_RO#mdM#DGLcdlS`Sr@kZ&YvSH!BPMR%PT}m66}BjQkFe1$_aOv2YP) zFzjB;S0cnJ)J1FpRa5t?N??s#&XzWOrgCF%t&IGa%E-?GS=w4cA&^`GfA$f#m4#iFud0 zkg35qpqd(ZJI@_uPtTn0$*H08KbgxpfjPy-t28jImUFPeonD`QWsY z(0nb ztEcfL+h?A0wqhI0#$+EERlNyWet;oBPoI3s>1)r5YU8;W5A_@0ZwDEjm>=m_JUX!m zuT4)jrl#;h;bfdabQNP271s_&#~*;}$)zL9@b$)v8{-XrGw=A~XW%T{%6XT=a=afc) z{)kN<*jH3w6i`l{csx2XIm>%T2BKUf7$QOdq19%7 zdyCG#|4yM*yPwe(`k6q}=vYS;&sa83_;V~gXP2G_-=jVFp6$V(*B)HHZ?eSn+#eZs zAGh#2iY25hrv^Id+88YR0#5dE-E)PDR!H}=!gGEIDW$uD?+-Zs;zKQ0c7KFEpfotb z)(*6aC)_nxwlex5ZnzR)jLP-=$Umgl^Y>!+#>ax=4SbD5BlsmHjg>rYw+XkB7t0Q# zUus}8sK9+u-ggHOe<^ezo_W1P=_%HP7`&f6f^c#b@d8Hr@(SV={1t$+MRB)P1g18o zysA8jCG<6uw?*X!#(%{lC$aFYt=-;6)yvOWRZ94ei0-*dF}v+Jj#PICn^Ro-r^! znEgrjJo~+=13cc`0UmGZ0FRATdGyoEg7DU=Jk(n7Ht@j7Z_WI8sW48ad7bk1s!Av! z{Ayz}DK{GfsyLR-)8QR$;;*QJ?~l}b7x2`t#N?*B;63Hhk?7i07CkroHD6U}vU!$! zuSNG}o;v5D-`5uU{pB_9kJ@&%Mb9t3=Tk6Yc%8j)`f4UI*Lfn?S z&^Nb*{^z#Pw*Xyavm4W0jgrq)!K+@owY>I9`e%VIaF-KPUJ1Xgyl~a&w^tCZbpKoh zxYGUG<#kuWzf(cDYWfe`ga5ca_&x2x?`;ph*}^^NpD~|Pru!A(oPhHu*evw_w5R*; zio>sxX-@gvAB(|fFwb2haMkpuqTK~X>&Y6fe0Dp)i%eB9yb}L(E8f#_F}P~{Us>_K zGrSmHslR;{ypsP6tA676S~bx4RZP69d}bB#3jZuCzKr!0d>6p+=W+X(^2&C*THzJj zz8m1BJ*Pj?{<-am-?NH%)%xcFkG}%8yH-Y>QvUgX^39R4#?Z*}+(U^~7PR6BDlBUB%%yJ1o~<4Bmv{T<|4@nx2I|s)F$T zsO{HRfJf-s$BM)663eMx|7|gNA1wDhfh*ioliFWLE#@Y^N?e*dtD*)&Y0^$wDQ+W%A@y4q@AvjpM*E z^^p;Z=F^!dBr2l{=AOKjoNT{=pH1D3RzQUF)%X*U9N)|{^810MjwY7;vsM0CHqUxK zS|rWG;LA7gCC`;s@;jJ{J!PQPNdu*L@DrM`uJrd@ipRc59w~~jzzi*r{~SN1TN}nuWM?rP`zI81 zy5~Z%rcsc>-$H3uN~&*n^)gLOIVr_bJ(r@W&@cX#(9hIAJESz-Dh0+s^d9L-<2c%3 zQf)D>5^_RiruYvxHUaQLHrx| zf_k*sw}hA6ZWQd7>y!34K{ekPNK?35dt4HtTbptie_PBDyH+X21Jrc$Q<`eAsl9ee z^%I>;Hz~0PJ1Mjjw+E#tsK0ojyI=NJCgd@6ZF@E4nET2{)PzzeMM{b;U_PJ1uX@U2 ze#jGy;&#>9dpRwpH;Ps5u{StN@7JADc2>RbpK^?m`;>o}``f#ee=MbxMT){e?o<@1 zTjEsDrN(XQbi?q_QjF7SDgR78ZdZEjN-^3`jo6(rSj9lM5V9v8d`Q7hSg_*%wrshc z8H5iZU*mUI2!&ZJF2vVs8TqK#40!D*MPW|ySEvF5vO} z*3fjTFx>%m6r19)(w3UvV%Oky+#Kk_X750L6*`BH(yqtn>jOL1YR91rHrR55DqCU8 zZd7GWTXwT58@FY*sr~n0 zD7zbF7pk($EZurlcBw79RF$nq*&N8&sLIZ@bXTgf8C!O>Dm&ShU9ZZHvt>7`vZgJ& zRh1oO%kEHRi)`5@Rd$3eyGxbzpzJ}k_a0St5X$aB+5M_)ZpL*$%dhk1?f>wzp-EsIu))b}9&(=wzY05mOe5Yb#XQ4Jhk@&c~^;>rr+p z2ELS?&Pm{AI4i*nS0H~ah?=N4!2+E`*I1%ec|=!RqLcH8uChd@<`G?KiDvSMF1JMM z@`yHCqI2_zE&~xwvfdKWG?$`m6YP1hD!bUyU8>5~+p^14*@d=jqblQXD^SMes*FD^ z!Lln=*$m3)dpE1Hag^~}9;9rH{g|5e$5F@%29xSp-t@Sh)y%a2F#2DneNJM-5OfXT zw_D+EK_`d+Ni|<1NJ}{FXm$mH8B@V$YsKP?_NTNmeK+u&Ca8RzG zlR*(PoxeqQCIs;ZTqtNxrv=@R5X84*u7{w$TtUZ`Z_xt@LHxO)%faTzTtS?hN}BE( z99(O!<4;SihcgW43OXt&NIO}EdnW|(_sS?}ywidX!YX<$^kblVFS4e)BUexb(_NWp z(Gd`|JIuey3Obox;Wx}d(9xL8>e~l4kH9eBD92_8G+VRj0h>i&bC+i0A4<2PQJM|E zVVBo;TQ|Bmb(v2Sg8_qVn=UT7Aw6=3X-(NrwNAI3m-vW~WypvFd-H|tAPNs6O3kG3k0l%=z zeC4iCtV}{qN)~W6iAmWnS&$OzKd1ekmq&`JyMR5hJ((eV2*bN}1L%%0$7?~MQC%h~ zI!&xCFC!6a#U5@ck3NOb=g}AYcxnC%vj!Ly9&M>W_}5sZr?{n|gGZf;?WV&XbkL z<>o47u~b2}3AxlW{EuwDrC={Iac%^JqBoPmhyy&O$CIld+vlu=OgxC|zUF-?7_3a=EzDN>!C^7Vt0kP-%x zwrrGHd#l(~n9ja_9q-$ef24Y@!agX)qZ0+G35CBwZ#-E%=$*;QG1a))_p#%>--&*y zz$4W!6?n`-%64UHAV0~wVTU#rEn_<1{a)A!Bl{2SQrM7S>NJ@_s zj})X621+qa6__Fw^hy9d3HxDrr2Oe)Y4uu4Sz2Mq}r4N(k+ zgjm5w0*LqrQDeZUi2-Bc$A3r@G?+-D1QiV6d++vb?|OG6ZZetc%x~U%^WK{`(>5_k z3==}U_x`ppbYk%kAtphej742D?P#XqYK9}NxT4A`aRg@OZ~Ull5cJr_Kts|{bZMsn z87$s}p|?K1vuA>bjPej$swi!UXoi8~7yAxFM>58HfTzt-tb}xiiUt^-vu4LR#BK~0 zVq_6kEtbIFJKy;5!lJ6Ym?_?TOj_Dn8S{(}FAXkz0=EG<14>tll z+=pB553c}tgb%a(Dwxp}Y>tfdVc6gOY+ebHM~SfjJ>7hL6LbWRgB}CpdEItZGc}q- z{^M$m+ss(11hsnFG=Q8Seg+BeoQeF! zYS}zLon1{&rzVQ00BFD5JL4tjF?N%o;WiA@(&2d6j$(jdTs4WE!{XE8V8C}v_?jH4z=X6+s+bc)AsY{`x`}USS*u1RdG#45ULPiQ5RP z$|r8#;)?0I+J8u3I8iYdqX93aqYbV3>-B!f`4dY(1(gy8Y?=D*_vAq1t5i^As^=C@)%W+MKQ}Go; iQOf@t5on`0UT-&aAXzfivM>mj3_%0RR83gtUGD literal 22808 zcmYhB1yo!yx2Uleic4{KTHM{WXeq_rp@aKigS)%Cw73@?+#QO$!{82sJ^p*&yZ4>5 zR`!>y9o<>U**W8aF0Djbx+2}DuVZHWbc91=L4t{x-wCh#F4BzT#JWIY-+%sN z2>YG)dvn`)Nnh%xl$0C(2iy<(8rs2m$0+*J-aS;0AVb&Ov)Q#d{W%|dkD-6|o@LN~ zj}!c^kfpiUHrq;#Hljfkl0kz%&z=+fM?yh{CaKRa5_%c2E=q+q{BotM{yL3w)$1EkTm;kTMX5+*?JLT z4uot7uwmkE;7cfA{&@9tICteDP$_wE#PztVXA(Wf^{vztzjL0l9mo+>#crLERl3t~ z(tt_~!eWm8JIuO0UFWK^+iYS&6GoO(>L`)Omt9@EYfWpVt$u?P!XqcZ+O+fsILooC z=df#z8C5h}%b5F&+M~&XK9%@JFU)r2{|!UY(`W`>#Sp{c%=E!4YINeb@&eHS_0>K& zY!I2mYd(h@6HwZSc@Asy(oj6AV$f0mb~#-xwj^~KfrKaDPE5q4{x~_iJE=ON<3(KM zE-z(hdw=-6Wa1$?>2&wxMvKeI^i`4#7-u;%U$C1bsleyozTWR~BhG${>HSMFBnf(MWHYktu0V=o4}A1MW){t<|!uBo#2N1R>!wfZA?fTiYzH&u?GJgT+E;^#9{c`HMZfPcvhcI z$ORxHTIE1txqt9k17n)j4X?lF7$;I+q`gOSEupD@tWO#@U1 zytc@mbjdh??eFc!K2V7fKXN=2t+6Ute^Yzr;}$mqlSIN_IWSZBW2l5FhR@cWBbx)V zHl^>3^KJ4u@8W&D7@n#}?8r&>>X|T-`y73wvXZcdb>a=*kREs6if1HI1C#WhN3LBc zln#@wJ^V>bhU>X;j5o6`1KF6NXVBSo-O;RiaRRJJmVf=g1lHDJI*$@s@-yDZ)2NtE z8xc*995mldQXTYJ6ctv1He)n4HxuA}^eZq1Di)^HnoDiYeD$V=x8RknE&7i7KR08OlBm|0TMuhSQ$d^0go#&y>;bQF zA{1a_p`%>-bdG@_}--#D5wS|7EdEEIO>i~xX(T8|S zC&H&8xqH_|4{yYtTD#U>lu^cETVI#zZSSHJ6gE$8ECx}&^reK82M;ulAe~zh; zdp(R&Tkca#?^YENQeXo}o37)`i1f(&4~G8!@N*aZjlTBVv+YdhjQ7}s<~#_CApGmA z`&K{MmF=g;BLF_cDDIWkl+;>RLUgY#>gf2_*=!hEtoDG&W#q-~Fr~hS2dTwuG0=PW z;VLdx{Q2XpYP#ItC5ep1%t1*g4MvC2J>`-4%exu>;og@qNXEv7h+kgl9mjhQB=r`$ z&%V$n$}Te|sAB53!!T^K`(VNi_40iFgoINNS-Lyz!($dc;yNmFt1#RvLJQREta}>< zm-MkB5%wu}C}IYI&CPjL*|Vs|B0$f349E*ey#u9f2+J#|%Jj;ildyYG zG}yHRCn%3J1N!x5@D;wJ%!=N#I;z(~wt*hN54pSAr)csowi1V!~xfhp8 z4$FArf#fMUIe$-e$KkQ0ZR~)80JH1^ZLVX+;Gjix>P<=l^_8olzL1$O<1bMo!C2c0 z{k?$SigKxIgOh}m6h(VhWVw#%p5`LcTHn+}2E!#K;Y`W+A+3$kLe&BJ_EyA_s)RP)QO6hBTNfMs&*nP*Sh5(NW8rOWXuqR}_==1E zv_uvGdHa(!=`&nTy7yL3o$FKd``HiwE|;D&cdv8c7f3kmI^NR0GgI+R+U*o0<|@8) zNObNh@HJV~7vb)bDCdbq{T9A8{fSuHd$qI0GIbmSia&a11S_)fx(pmEd=)Q$rs#y( z*nS|1BG;4T)EaSTdE%~iH~2MPEqmAb@7Eh$VCe~bcx<$OBZo8u-0lpSS!IM0+;+}ss+%#Nvk5%_&n{1j+-sX7yfW`~=EQr79?Oz1O?(7@9#&gN| z4eRke45P^J+{$?OL|pPA%g09x?WQ+`)7ZVX;SBNzciG)jb&5Clq54$TE_;mUFB;xP zqNAWfZqb@tK(E*7UHUD4ol;HGf0i2$z4Ki%lM}+dNQ-^%y?fxN+wo&euXQSh75y`hnz z@B%pFNc0}w{$AyxV%4szx*mdYvSaC4UB8cbw-(w?^>jDd$V!mwi}cLDISd6w)aLGt zI&N-pzF{v`w{RGb)!sg)nbkdXiDo@TLe3Gp-Y`6DJepzy9ovzFmY$7H#fjr=hpRJR zuZ4Gg##uJlMOy~W^WGd@H%sGe?;Ov}2^VFru7-QRbHA~NzH02+qqQ}Qm}E8D&$ckF z81q?ZkK#8>w#D5mF^XJ}*E!7(ii@1SWvTm4iN-YorDLA+vgdzg_152sV(NDa1;~#z zo%<9#J}t3PMPfkR{Xt4^j(fA6xpm8$g0ZHWu^x5$S4&|2kGsRA zHp^C{2g@NqHRr2z&7UIkN20#i3`Lp?H zrpbs5xoy+khLLw1I|buLGWj9Bi2aL>-fNf0)hdA5knIhB(Q>~!z`Oo+bP_{Eulx3r z&t?e9YDJ7e{TBG)u_2c-()~6!545|pbEn$?2C=xTRI|c8=Ni4GR^Ihrb(>g_+N z>h@5swC^;o9`(Lk`agTW+2}Y6b37^^FglIUyQ&cKJgp?F@az5nkNT#*s_Z{#j^t{B zPDc(1bau&8eXA~YyW4i#u5#n=?3XPQ|Gk|%J+u07R=<1$`+)e!M4J(f%MH5^8bQMc zLj2^tXds&>xWI6QfS2DyZB82#%{GDB1|~p%)x+ zM`LUCaT>>aM#7?X4Mi-FN(-!HZaPWJT>AIzv#1mvD0JlH%HPB-67%{~;!97O^$g*t zs%%DR7N>;!Du2X@DiJ4#Y5Y2@=9~DI{cZ|Pj$o?p9(?n#5l@cl}3er$el)OWn zqutx|`twgVE)Ic1w9WB+i;ajmKnK>(=@Ze`Ei|K+4EXhvfdYRHJk@Ti+`n)fF%y>u zyxT9Qr64-!lT61cyI;!-E$nz5Q3fs71r8U^_`qSt?ePe%>5bN#Tes7_`#8bZVq+@@ zm!i)`ThHC-_I}o7ugrl;t}c76ErXtnY%!!(Ok}nXv9NkrN~keO{si29QQQX}nHCw8 zkX2%_flsl}SK0@H-+A`^5se%ODqEW%I5#`(E@q%u`zP5g<@BO{hWUqp=^+{eL=x0Q z4gVkgG+3henI;MIWq1Q+hcT6Tkolyq{-in71xxtaqulp_X^&-EW$Xo4BHj`5GbY@C zmL+^mBKjq2qdV9y^^HQhI=ea9nic-PwN?V|J$^qhn`AQNhYtamsG^tPzok1-|;qOP?U;T2P`@Y-RUzSR(OL^Cz`M+;emk8f@68RVNsx9a1*iO2^Tz zasfjeWcGMAKlgeU3N2#KO?6TY3##DsANVo*Y)(H@G0SqTljUx|43HTP5Q3xDz)`b4 zgl!d3dY7fhruWoq)V^lS1#9hJH$<(K+X5F;f)ZH_<1~54KFg@)oR4r>f>~#?9w!u? z_r27dO{^xZZBIxu63-g`x}|73^B{VYswlVZECtPSygYwP_kE=)UCUjakjz&!8ZS7! z;~BK9?;Ep?--qt2>$~N*%CT2>WSq3S-LC*o?{t8Imb!vD+3p&j4BXEnpQn!OKC)N0 zQTDZ;m0(_{{Qf(EeLJsRur9E6JK#9c!0Fy7U2&!pskN4jSKE5bWa6r+-3O_v4V%+9 z#wu?EQ)#%nN>|)1Z*y#>@)7XyIpsMX<14p$j?8e>N{kW4aMPc!A!ZR@%#x6fB^c?J zPa)K;L`oN>Q3MDYBr>LHBKO!K^cuC{a|EDSRv8Jig&X~yjq1l-O}DRAswripXzs$* zsnKq^?HE}HHqtlekkS^WQcKj{#n@p9G8!_GKg{C}rf-~myo29DMXSLe$Ah=nCpL0S z_4!>JcKU^OUW7@zZ-U`n25I-XrD6lf&zMX~Q?ZZFl8n_(M({_~TN@AvhfZu|su_EE zcFx-KVRL+VKLZx*9W})#lL1l%3_R{wHpUH4b3Inonka=G`q~7ir^`K7=bCsjUY+v` zvL(xo{KnNv5sF-x4*P-()YxEX zkBO|Z;!bC~Dl{p4oOGehO@^7%%w@r1=&))mZdyN;vas!|q>Q^#NYq&bD&g8K0Y__j zJZTvE-&oz{ot~pA;w=}=7>8v*sOXP8;*UIkR!6j**jimfquk~^f2n3#yWM&o)iD3d zj0R`TMc!GB0a5`6uBl8Z0M@K^Yl`Y6M&=rPCc3_MH?Jeatz!2~V5BFWqQsC@s+I8= ztFL@P#|d8eqp%1qd*@&&!L^rn#QzT{)K4V8O7aFv`PW>jIo6V?v(A>Wl-+NUN;`ZX z2=-WescLI$-LEDTKJOMJAs83Qt@rD%;MP*c4=#DUK#NN+oIj~fWl8MDyj^jZvLreW zWT+hTV86JkxDOYd@hi|P-)&`fIm5DutW$S$QnB!~PJa$od6Mqdup$}?m7}7gvGGnq z>i3ZA>L*M)Wcu{s$E92^Z5$(+ljmW~h;T10*Y=5{;|y`2K|di$_WV-%3JK@9O_Ktr zy#UWsNCu+{iLmXSlMv1(+{MTz7!^AW;j^QIyKmDnZ>++5W5t%)A_{U*wv|B@fz;#i z`2#hPb7P{>Ks%WcQ~^&r_3*02AEowxKZFpM2jYx|B1|l5eC-$PM5wNB-A>>iFaA6A zvYH*7JVM{3=jP-n4PJerLyn^Z=pg5Oxxx~F1!GG*2?gIJV@4p>wKILl?JV|K9#&v@ zXb;{7N?1}GOUGA7eYa#p3!b>g6KHrvo6u(eHK9M>Vd<$t^f{ALsufYe*yXEbxQu2_ zozr70Hy|6blp~9{n*9yMHRd>D$+{{DAixwJV0(fkb0EbMHIc4D;4C}qLVLMTQTW}g z(Ag7n&Y+hxGpn9XGF*RR8B1m(|Ze8MmMGqc4WTg)kqj(YnTj!+mXr&ic2DT3nO zE3haup!v&rlh1Izd+U*`g30$<3q!{AkKrSbHt0iBc}&zVk(BfPq0&A5sEXUoFDCew z--)iH>hJ+{HYxOQbTg#Dprfj1pBC`biakHtiu~MsOd!V+lQA^A5czM}?siGNZXUmSKu?qgn z0{6(RX03N~%#cfZam}dZ^Mb5l*cmXlP;GR3`#(|c$!c!~$>))j@io>q-QY;a)kcda z_Rvg$y|hYwO4|^*Cb#$93gA9u+T?~bKE_H&%QLy!KPHhCytllLnpCWJ);&gR*PeXP zB;0?_m9>_vxw&RH%?|kVXCfoESm0P+t8~S74`Kl4E;fFh;4LxwHO-J7#}0WwO_Lz3 zV!uxEn~^l)J>WDEcAZErY;O(_V}E-^+0^x`!pf4QUS|dD>muSpfO=8MUZbNQ*EA*U~HS* zw0zirVWEdC7nUtUU6Js(Bx#Ia>bjUcaGMo0g`=gnd@S)mAe#g8*QZUb69u2x*j7Zx zVQ86u#g4U}Ht5bI7*LI}WlFMya>fEsP%spB;OAH|Ak}fOsdgn>{dPIPElXW79!g$> z7bKTsevhEQN_m5TcX9VIU-P0?3!s0(#DO*VQS!>=SgxN{4@H7zvVqt}yL0oKgVzpL zd{ki>{Jl&0_>uJIa=Vfah?{D{&bDe@qv|2~Y0~!XVx%l5ZP2a%!NE1}SupQcvgT4< z;$UBLE}kApxW4q)?PiZ88&U?}!e6mGh?z`FN99$09K)x@(;_D}u;l8XzTVr<8`{xG za$#$nbv?sPncLAPXFUZiE6Why%A&!9%8E8!TGPgVwyt?OgeH<~Pwu)agVjltB=X~@ z76-l>eb$Xygf<3S>>QP&#^Yb?F0{O*AeCfO64c7aixztgt>2snLIf1Z>r)uO_1`Pz z57#R?_#qmYw<=9CfHx`Pu;o^~b!+^pY;nYEP7yohIpJ*ISs9N8M92F6^;RA{YZesz z7(0fIbHR@47XjxK_vM5|6H8lJ-)o1UZC5DY_HA?8k9PB20b(B-Udx|zERh~wtG3ac zt~HiCEBdzY>tXF`YRw(BZ55kN>UjqH*9DiSpxoYLk}0Yd{uMf%Dgr>ZDR<#ra{b;f zi_#Vj`7KzE*lwEcQ=5cOc9yt|XO!-03J$5YWSFi+Ehm@k{qKzSL}i~(9}Hk` z(}T5Wz2)c+V1E*)99aI@SSYbFrYsd!3mq*OwD}lBP`V-^ypJr{r`1*>jd9)|;8O=_Gs+86horCh zV_H-)p2i~0o3rFgF1oB~2d|Y?j_S#WvH_xxNH?BF`TgqPYhtq;b*f8|1`HHUJk_?IS~tGcxy7g^OsC&v^{(=0z*y699Fv! zQ;^9}yc0}R?H&w)fiSF1W%p=_G}6md zfOTc}PPR0rFonZ6%=TWrRk0gVIrfU?o<=OnM*uz_dx}uVK2XgW z_y-o;s15?xDLV&EBCpxNHw|deEb9@hjB3R#oGg`!+lQ-2QLfIZnc970ovS~ZGr(Gm zShURfF9*BDiewUri!zBq+cc**kxX3$4%Ey0c3Xri`3>X49!?o)S#)$yy&I!^UBEl< zipElkeXU`m?52fE5!c(k@G%i`jOeA3QxwXOZ4YzGUZF=?8yLIEiy$Fud~7c)Gm;Rk z3r~jXKo&$LAEZ#!^9&WMBdrJNrrk)z0SQy41MEbL*~05|i%o6rYg`qp95Jvxg$9kL%G zUaedSqsUn{cdqn8S>F6X4z1(POxaXhG($TwypqXZpd(X8BXR6K^BdNql6*84tz=}O zK`yqea;jP%h^>j?Z-vwu6HPDGFA(jSA$2FE6X_}N!wgK4gs|NH4{phqL`cbx!8~)( zArBj$rbcarLfVy|tcNz|DyudM8nEzQ1<9^}80r2T%jzug&bC_MKXLkl`7Z`^%zN>z z#wR_cz%PM3hcyIy2Y3STwx8SRcZ}MNSK3)BB&~B@r~2*{XRD!lGZeDwTlU<_JwM>(aPG( zcvnL$7m1fyi2!P;36mvJlI-Ac%Q#6E|;eTkXM z6E-cR)SL7O|AvuI3KUEepsT6Dyqs>8`9txgI8;v1T?5-+ee85libC~FUz(7nDr}-h ziY1FMT&#z-6@xvsZ-Fx(K71bgNcI@jFALi7C(I-4+aJ*G?!zBB|GU2SZapVRXcSsN zpCZMjwDs3;LObk=hze$@vsdW?=PjpR>TYY-Fm*xMMx+r0+zu}BPvP@;SA@j$tlw}( z7!vLC*ri~wtt~?mS(({s`2IFI*0HnE@IAidM~yjLC_7nr%lB}Q5T-N#+L+Z!ubW-o zcg#;g<@$o-6mGaMK@pWj{%yy3%X+|uk#2B<{@Ju?v@3V%`HyIYiBMB9NrF|#Ht_2x zm;G}3CSB_5{C6|U00pxQy_Z;rV}d&O)(S#rsSU!!4UtRIi@gf9rtq3aZEBJ z`3c4WkrMn_R@5pxjCcD`I>Q*|2*)vM%NyzP2gxACi|o)F@=UMXEYFB2(UCsp+eeOj z>1SV(f6hqT?mGlx8C!BihM}gPCJv|#LQJt{1}S{GW9ViEsSTn`5v(EY984Q*Gyj~i zO_n*yk5IM}IUS$zb-G2;lsw9>S&9VXz@$(SMBPx;bWG!CFX3&ayi*h~2qydPI_0{$ ztA>7NhyxMPvg8frCQ$qh%OvIeukkZt-;Po9Ck}%EEeFwFAilD1^uv8vC$b25@#gfq z=-=J55TDR)FhKn2ON@FRrF>BAc9c0z3-Gcnu3KnA$4e3)pTV2#2!(>|1Mw^A<%gOl znFolVTyF49YTPUAOtmaDQqEo?L*xZ+rc=yQWpnY>0C(m!>V~SwUhH)vj!YbSEeC=- z0>pvIj)>z#h?=V@eJ$ml@E0#llWu#5WX(>z(XQSQy<1-bem;pVzFO13M2`p|gYW(6 zI5{5a#JsSuMP=IsO=fl^R#S5IL^7&em+S7R&qY}Cr9p^=~9hv;h z&DV)=P)f0mVf%o-c!9m>6ji5tG8w6Y26UHP8g_<@c@u{!C#4>cGF(qOPF!@v-EmCO zcoTC$G2{7-7f@6K+cD8ck zR7Bg+*u>R?1#>S zNuFtAbYTc!E<@s2UiWMtGV?ND)V$wxFR(>126?9lN_v6B@BclsX7Ogw5uAN2t%edakeICtoj2AN+4bNHhcQIEca> z1Y%?_m-t3XlvFInWSw&qUsT*4>2Fo$JMG>M(Mv#gc_08ZaZZ`;>A>vC`)fllefdl2 zZ5@bXivGy&Xw^pdEF#U`TnIWdn3@<0V6GzE&43OqJJ&pK{n8H=P`0Q(Nf$1!_p)}M zEL$p1nbZQ8m6{%%6f?G!OhR(NF2F0(=7uO(oF|LBnfIGP4`?CQrPW%#W~bF!0+-1j z$gm-D6?)U`&UYi`oNXE|H)Drs+&*`86YBMr)rUIkjV^O_XG?26qgrI5c{yS{qt6N? zdNNrp7N^5coY5Z!)K2}h>82)bFmf)n-DYe>9b{Th5y!REwpg1T`Dy*njOH?`k&)ur zc@NU!AE)cr#ES&u{i}l(&9p)PqT)7X+h;IMwJ!?I=PNd4M=G?tV-vLN1IQ^AENi9q zWd~;n2awu)TvY2Z-U|Gz$Mu{`eSbwsbB(R8g!csIQ)fPRvsb44rHc}N_Gix)=Q3Xc zeP^oq2ZcAG8+{ElKAA6chBgrSI;WS(;QaiGzWiO3^;1FDI^on4wu?NQ%X3rte(d1` zP=h$TvnE3_*S_$&)Ops$)Td|ZnJ%vOW9La_3(z@~rHd8%tB%0>>4+<0YZ4}27H(Du zPcnLngB{mlw*hf~=33o(&vAh%Cjm&AGEUi_J=$c2(Fb8oMc6CPZE|@WX4L$bTBYB1 zQxT}FEnHi-zO;n3c10ByJ&bTRHpl0rC8j@%L!fv5QJRfFU?wDeE)j+nch9f2tqq5Q zRcURF6PqGkb?h1hl!m0Ich&5r8%6ZhH!`ZAeiLt7O*nX&ZsZk}>gg&o=FD-D@y$-O z=MYj7?n2&2L$`aa1=JikUgz8dI*|AUs!ygq@lEJQ5JnTX&c=bUUe49{L~#$QB|WLF zvMy#2Lo@yiNj3nHWB%@RS<_X7l3dC0;fG&$xdvlmI`@Y8^IVyh2_1-MC!`P*g=x^{ zPNx5uM>2s1S`RE*M(|W~>0iY@SNpy11ZT!U~k4k%q4HUIrZC;1}WLMFN zdC7|DeEnh>W*GHQ8g?)K472TnwGo@fE9o2cV7wWBBe0I-b8@f5P~uidEes(Wh~Vo$NZ$Vvb^XxXU^Rd3~@GQEDMU*rj3t~UnG`W z&6o!peU6q|Rk?mLIs6ZyMZn1e%0BX*T2vRPvB->Y*pVRA-*ovdOmj=ji9^wIlkn6Z zWy<{w9pt-8^W`*|u*MJa z1d&{=67HjjK3!0eAX2?)Q}~iUr&7E)kyQ7KgJVO|l>02Vp0!AxC-@i8UD@J7dGYu+ z*5L&PRnI=fPndqRI-uBBQm^86lNlS2k;DRFTs~nrlhQobi?8@OPl!Gv2rejkQCZt_>mk~wh(YN?W0G=;MUpG2{ovOvF?B#;5cvKQ zx?77gL5@5t1QMB`RWanPMz};igpC9qJa}Y@hB?P<<-+buWq~jcG-@P zh(pYXO}Q$;bslOuHha+MqgehM8yK9&bp&0YTEdYbOm7%A6#65b}oy2h=r#oC#y;0A?WXFK)~x3*Ed z8up!(c8a5>5RsSgt1m^&F8?I4nY4$`1{mCZ8xWEaqn|XTBpV+7-%EyxWF9)6egL{E zl}YI_#E&fl%YF~cVUyzCSlgVK&M$5PCL(z*L?%^FeD1z5?DxYKRQowYTVX)`QQ;(z zgGijheHdT^)zP!eh56gbt#d=~_+$D*d*4_1 z)970;>i4RGgB#{U;xl{HKK|h(mg)(91j4xyFDvuihLNFOzXm=CMI0^LFr{Dc%+|$- zydgRbEl1IQdKM`uj!#bTbcM2R9Qx9naF5 z{Zs$fouKcI7X;xWax^$r+-Dv0m1!1rW((b7rcU-u=_==fCv;})Eb~+zQB{}5t91_M z&z7*I58Lc9QWp=;lN6%LX$dPJS8e_k0|B{gym8fXdXOp;{;U6N9Y zuEpvyfj(P3&ox_r{;-z)#Z!=g%%7TNwI41)P^XuAJRkhw_BB2~I1mLo@bS7_x#%`e zmZP%QfWo68v#r+NvAHXm{O&Svj8S4?k0`i8Sy;nhNzPu&Z5} zvd9z;DGTuB0&B?37p$|tjvPqMH9<>qBsI%c@I^Y5pMnqaMb#jf6eAx+rWxyfl%^Ko zMvU9-rwMpNDk%L=qqQF(gdGG8vuQbsv{PRJ+P7CM82Q<=?epUD*0$2Fhw@_D1ftnx zD3^1!5!`~R*vrJJw{3n`0wxYc;2L|ISE~+tk311BJ|VsatKT9`4itF<&mLud#VC>n zm22j_a+2M~8U5WeEmCybZKn?X#?W=2Lvk#=_ZyOe{6gPOKQ$-3_?U5YHUkdw-*CQb zYJQpVRS7#k2jEpT{mylAd4NS?YPOF&kM0&lNZO7-8TS>M`(b|cJvuLSeo4SzB{>?o z$==EX38^;=nnflZSp6=s$dh5x+{1bmcs*xI?Cl7+Fx3rYb?iOjAm6Wl?kJUp_H&tI6W1tA{>a z?Uerv8c=CO?9)Lhh&l5s^N*JBk)2;N(++-yf@^7hqDd3WOC;yABHZxv+4Dg0P-9Hk zG=Sk|2I`%St!IDLL%|&aHwBO>_jmPrfgAm~eByG+4SiB*4rD5_T$1$=0mh$x85wYZs6;r6QSikDDTP}wu25(l*wq3q8zWg-`Ofh)~ z5qw}|NL)>b)a>^NLBE0AH@t|1^;1iipWl!L3TYa62S#|d@>>`$rL;|rY%NgCv(@p+ zy_o^y1nT=F+G;^D|t=Vg(-%(N@6&a)uqr>vh&qm{tr zG(QQSO~n*`0xIg=4(s7|qh^wwwZgIth?Z0dACW0Y15FGJvwx$%fadZKG(%raT@OKU z5u&Rcxjd#I^`-a8PbtF>I24>_WqXm^x>do@Gn)Z|Wf10ZTa~agwJvlKP@ROdL>R)w7#H&REZ_8J7?$EJ z>h2WpRQnb4=gfv-KN^LdWaNj1n@N<8Ji40i+WS>3@{ml4&X8jb6^23WWk5;Gjs#|x2 zGI;`}BYp+T)p<4b;Tv0Qh!c;__SWi;V>GvUyr>&l-tNa9gqsCCboAK!i*ZaGtuHX? z_O=(K=iuq-ZeVZ4&qUH!YJBpG#OdI#S7z>$$l@WHMB}0C5y6S$vL2Q+S?il!c;4*= zT2ONjmMK{%s>qlX+@MhFhg?9KAyeig1#~C}H`+Xjc*Nj4)02nFQuZ__&JDHgAR>8$ zw2E{xG;MAC9-x?4|7G2quvn|v-quaJT!M$;D-yWs2h$73#bes~@Peh{<{hQ*#JT?G z(ftFG4l~)t;hbM)JDG(2b>s-8u_tGT*ojvRndI$XQhX|V?Vu^7ot(a?S3ru|f()N( zh=)?`4Sd(WDbSLu;^K_ZRl=iGq17IcPWEj>{M)(9;u*7W+4KS9k^X4{Sz|wG^3BmZ zMC%HEIa>P-zri+l$-1x^$0|dK4qTVd4q%OmDo~soEc4@o¬f=iH zjNy^+G>k({!Wj|l!wYSlnau zw%{_HiqF^8Gfl0&&{hQqG2xvNwRs0mM#;c5jZ8Vc>o5!binQU$|BpJeP}z5$%2p3<5)kdc6zYIPCsw!MX^+*;T={scY?Mkt&454!IXOO~J&t>n4=gXYMlJ{R_OhOBIRP;sy2;$_h^$zC7gEYYeftG3vfxtnqzRMlH58a(zlRe ze%bra2(oY%aUIVvHE*Csw;4Tq+52Ut3p;?TB z05&l^paBmo)!@Bkt0Srish-WF$>g4kCYLW-*j~5hpU8fUz?LDWrY{D*O0oOV9~nrN z0x@Lp6>=e8Is}Q3_Th|waX-|#)ILOm8;J--S+O^S2DHn;^#+>%3=bk7Hc@u^=iPxi z8#w%ynA=L_)~&6H=P&U&zvoDBhus>7Lc5P<%~}g^7pJ#VHgO>Rc9$LBAu%CF>xLMs zGY&2#fm)H$`WNcR0I%$71X261Wx6!hf2_k<$K*)#7p+jECINS71e~!j0eMypuHRP4QJtYO z#5*KMGI-IohtZeuTbI+|`~kgZ87WgC2DlH|Aqmsl0h+x-bbA~4N1MGLb|ORYqkAUy z6E1yM2ZTMJ7vuM*eUv-`sNGH>PEIYC&6v@3(|W6z!wOJ*-odAXdo&E*F;04N_ee#- zRIiw53+Eswq8dlLddIPX0f#roo%emsk-GYuS|@r7Q|_B-x>~m|R~XUu=+^I-t?62e zTx-Pf0X}*5y5CD11jQ$To4O-+-oC}W4c+BMjueA$?#Co*)$um&Cy-h`00w z)JEm|)odUBtL>%@3=G_nv}%kK3F7^Qc!0`6Kq4691j5Lt%|by?Qa8b8B0A3G6p6V1 zizpMbx2f($K&6l|vbOc;Gw%NyA3WIoFPM6;pl6DSNWn4fO?$SNvkN4h886h4)S2yL)#WmMq+Pi{Bz`(YQAP)NN)5kHUJ5Ai{siCC^RRRbJB z_ljYI)D0%q6n6<|Y+!UR8c+OLVLkJ%Zrm?>%J#hpTrA@2N;wDf#g#~vTBU4& z$&QPw1UbAsAn#^JrlCgL@1XWtMtkQ_?=cfU790k7spDh?lmh-g99GkVvpy%-X-Vqu<3(noLt_Oo@_j^(QRE@Q)e4bQQ zwQMNmzLy{ktoq$}dbIxHzZ+!L;XErLyLC$(*wm`DL&~~A&~T{WupUxEb53YI4V5qb z5SoS?R4e!}*b>YfRA%>Bwh)-M{8d>&xLN1;6s_;_EpqznQILo5QHCo*rgSS^vNuho z+0;CQpL(cK`#1ypD!vL!l>CTjiaR0OKp%P7HJ{}-Sr#{!Hgi=iFZpnOviR}8UaL5O zb5%>mpCGPnwM~b6F zFYV$Xfyn2eQ6%JL#PK+@c6P_ZZD>Hhsp&pknl_0VK?7IE;7{cYzy$;SICoxB;s7?s zVS+*F{)*F#$A-VSg5bAG$jG;PG*eN37ujs5s9jyQ+v(Rw$v{)n+uHrjc3`xHkAufn z0ei-v*n4Szc+1Uo`PIIp6za^@I<j$!}fd z56jOn~?MJn9`L z2!iMU1MWRF47b_r?^y}|ll6aqgK5fO_A1eKgU4Je53CmQVcCBASLXj#@jrF_Kj354L>Mw!R%6!Yfb;arL=tC9``@b#gb>ydKgcfWa|6kP(PIv%qFGG>LIgPFHCke{!Ali#sg{X-1x8MGc*yx6a69-GR&IqX%jd;(P6=wHi|hszRBS0|{nAm}EAmr60t%TAT~W6rnaF3K!9dT#mEE%+y)+i10as`E0A3-5b-+#_T8FZCOfy znSthu*)2Ax?A@v2fz;FHv)A3J%;hh@LE*xB1khFPcLp4N^1@4(YT+{Rg?+2Jq=$pf ze`-D-KuVrb@*c{kbY5|5n#RHx-n(_MOnV4*`vmoFLs)|mZ$n@n5VGz%Ku7P-@Tz#m z@b<0sDFFYg+Fn~^5jV6m+_L+Xs$}S&cc<^LhHCv@l5r=pRzLq<3S_EUz3ILQBHyV> z>gi?fb^bf**}~PiQ(gP$FC(?RG~;&6*OKZRqo1N~4mQ3oi!vM2x4{p5C;5?Pvv?=N zacQGJ=ikKnn98TXC!D(K$eA6F3zrppKS0EX=aH2E7-??H!LahGF{UQkxA{8c$WKCTsyHWTPc5wQMx~OIq27C zSX?<*uG3|Jb`mqy=5Tp45mN<~t6wL8oJ1LJYX)-;2fci~-ihl~(RD!(-=j$YUVv=S ze3bwfDh(gs%-%)g$2S+i#pHX8X>(PcTQr=Hjc-}Bu6dg4oPr)AEHqsH3JUnV@46^f zv9c+Evl`m=D_cL zx&i$`7SS+lt3@_gdNbb~RrHa+Rr1r&)$u8Aoyjbh?9?IkRQUG0Y_l3x$9k*T(e`^*zQKm(v0K45=ekvy^{*L+mFr|&u&s*@BJAN#XC(eKC zqi7xPs@(qxM;N&00Mtnyc3!Afy*i{&CYc9aSRMMWfYM_ADp^{coad?K6W;Xu@JMsW zbB!P(5r3{F^z}fO=!#130E$biUopI9Vx{`MKE;A$UYUo1uDBQ;0koRQ3q$18sYxT_ zvpjQ;scvqwI&`!;bWurYZ|sA`C827FJgy{EX+6FqG`6?)s*+GOFuxj5K1Xo+>QmRB zvUJ_*H4}%ue*MbR*DY1z6UjsaVJi&7YpUCD0-*S-b=FTiUBsp1gj(hBaRB-33$|zm z7mUoS&mZs`eU#;|Eor$jZE1DrGC(;m4UdeC^FxqIY9g5^xXM{w1>YMBn5b?#C-Z9K z&+AJnRTX`DNoZWs+Im2z;T#|St2dVPHx`c7@h!wTgTvf#?K9fF*&l2A1jp-LvSN@KYP*q3o458f%yJAz4DKR*` zXg&wS1JLGUElEUtCRj5Ps~PEyC9p=X5fI4zDhNC+zjty=W#rc?BX6yY{I|-;f3J-E zkIKl~DkHyM8TpOM$lEI;?*OvmlKz{Om42%-^4pb>cUCXxcPcBrt1|NL%E<3kMt&bi z&$a;0M0m0W&o5Oj?2VO?U#^V22}n-|s|mt5`YY9;H+$O)#>5U7FH5*&>gt--IXX5_ z{ukr<8Yv%SrGV4dt(ewPU$A=J%6enPYF@P=!x|E^tl}6(n(vW!b{^h2Xtn|BLzct z5WgERuQA-bJ9ePn{M*D{R1?jh*fi zty$T74v>y!LBSlBZuo2bg)x!vMmLQ8Hg{DLf-XRgvM zksrq=t;CMJy6|kE+j2@g#_WIA2Pf98Jf%K&;k>^3L5o+eU46=$6JLs4Ye*D}eIX2U zA+n@F0!2KpCG`B3(1R_Zk7@~hba`}d?6w8v(P~jJL28x75+)0Flgc8DlVH6FuqJN}cFzoSuvzN01dH(Nq~t30|FkT853 zv12UB6kJu~eHGxM8u9(D!8cWa_W~1!2N1VV_McUNi)zG=;Hci>9&Jte6pW;0i>_?n zssdasZ=Ve~{_>yM%``csds`rxKPz`(kwL3f@pd6Pf1=NzmG0-Zgnk~-bb41u?kb~5 z`5qy>V7Xfae?e<-ej%%c7W1Ok;Clnk!j%}Fd*gcB$F5wzT#@md8szGBcq~7#EpKYs z*J^vAawVES(MrD;{8V4Yb_IV4;P^{+wL;y!F?zq!;22xmKQuhmTnlw8ryp#GD+Q)O z(CPR5B|D#Af#smN?c2cTqZ-A}6m@~oEOAxQd)(^5VPJH>4hxXMi}&zW`8c|J=~vu_ zA%i;zi+O3?IeWI>CQ)x}#t*97|NH9D#{i`#&F}O%uWO9sRF$(rNt@uRJlPif$fd6XO}cMM%E5@L&0I( z^xz+}%jOQ4M5~KF#6|qxc-n(_rfbSyUBEkBoq_jKMi5q2Q7>S`Cst6e;I9Lm=H=IR z#(0HZ-4dE#G%o8orTk>TS#dM)nw%mhl10X!5~}Z-Q3-x(`Le54Gf^I`3bv*t^l3ns zZt=ab`n4@-KfOF!`E4E0W!sE0eSLZ5akU$gP+%u?Qd!ceSS;m3tB7qn?pE$u2)rMB3U#*zXfov8}Y5u zfkplJ(WORHK53hf!IL667q(``TU&#_tu^@DTZ3N&IG6pn+toMRpZ~OHk^kP&1{v>c zgN%2zLB__aGJ4s{g7EIDGSpn~9>~D0hno4#coCeAiz?;fszxX!mq3OYl$(t{MNTA( zYr_)Dwcdt>w74?OKFv3ONg@WJxvSanT4Ro*>I5fjNG&s`Dfo}PP6ScLviOXv@W z=n|Iq#s#}FM9=hJG%KHfq&zxSUHd4|_{+aRSmd;ig{nJyl*B}`2z^zkx;ueTCmC>W zoOyMqx>`H^czJZJy7rId(aQ2`%A=L;Pk5vMEEm=a{dq6+&bTbMp>Jpj{e_m$Uj*7` zv74}6^^z}D!K+%kvAp$4`%OT5T;(K;SIWOqUb(9Dn=2?+wtuw(T-pA;^0q7G-)~L% z4=ccx_8(V(E63m08vOp&;G06YV^crT=9KZj0h|MH@c^5L{zGfF|Ir`5mE34oZSE02 z_%;~lwiCFj_Gh8o9=-K(+!l!7%5&QQ?lV;7;kOOdJ1Q;#SA~C0sNS`Qm%yviZ&wAc z^glN=KXp$=ImooDgnE_vyejGye)~{;8Sg3hE`a0D(d-k(E8p!JDz8}f-2gA$a(ZLy zU)Y-ZJ*%i!rGF9d`1A1H4$|vl+iNcdl=pRp8Uuri3O6MlMaSD+B=p82_Vu*?VU3UB zwFA8DPoP!l4=ImUx(@}~W6Vh?SMUyhxb4ZLUO#x=-RJpUMbASYRY7@gT=q{_fXC?C zXZ+!ZOPf=*{*WL1PBghA1g?yKG3wl-+V9YCW&GY1;L7;@0EhjK4x3P}Y`6TZtuoCd zDLesJ43^)yQn^m}fvpw&rGVr4=i0G@17q{Y3opF$3UF`*3sebT2Dr~)pHSZ$3pk_- zURC~~z?ZE2Sb1$)OX$O_==5V7iIOYb9YEu+=p)lb4X?8`cvoxi>8-)LTZ7MN4c-H| zUz<6>GT2?>zm7?d*ep)7H{-2u{;LX?g!eZuU-S&R|#I({yQ)2S824; z{t(dkbG7#byf?=GsRCTtz7+~yR(q_xwv#{nB=MYr-|2>fdx}{&_ARLo4id||GjT{| z#u-dKb2D*`T)SiQ=FPj&3pmjDYJ1{f|7My8-%*r2x>(ZBSLy9lnzt6ogEuiY^A!-L zA4Qrot)zEUX}&uqbUtU#+cyt`pSsWZ-R8}6P?JaCkP5{GyWzhTPvh+urVmHv{zy-S zq6>jRvi2taqrof;1C^8{5MB&H(@b(?2u8iOg9R=YYTo115c;|pQlW5kWUI|%HE ziPB~Yw=B)k4z+F>?wWJUXa`5e4l_jQe(To45ij9n^N43T8E!3Z4vx+VJKUoK2j~RE zt!0aFw4)lfh+$8XQ1@_Q-h0$-EYsC9re{XnOoQPXAkiG$?IR;JGs0x-s6fcHj|wu} zkj$u^fox1Wh%2Rp#}=juZqqX|%##ngZZm6#Ol7|$t=4RXXc?KZR3KCs9Jfew$e7N8 zCaPh1nvj9;m5BtzT~Qes`=(`NI3j}SNnrog->|g37Cq0H48n1bfza-Xiqx7yK?}bp z*{YUIRqpB(ni*j-hGklEhND8gxFxMqd_Hs&3swj&br;%5-x%yGqG8np&<^90qc{;>cV9XKF6fZ!?=2Muyg68lBei z1=?}2x_z}P)npp(8R)FyayCkHYp=cXJ+6G0tFr=NYXy=Et z3l(icNV`bU&JJlC6^%dObti1TMA7*BWh~oeiZ&6_E?2aZLfVyzwko7urD)4S+BJ%H z0%*5E$8Cys1ZdYP+7L(1%+PuQh%!8T4y`}Oz8wq1z=7g$DB_#ocZACAinL}=MO_fS zU7*J(;ukvkjfA@k6%7MXvP5g4h>l=jiTGoH)1YV?Colwii7L!Wdtz|XCE~*&mqS5M zp`umbC|RPPq+G|JuB4(P+O6o;lp_92=?zdcw@}gX_Fn50(>iPinZ^9bzc<;ZO{{ zx-)1GV%-0;qU{j!J*sHifwntLcwEu80S%UJ-U@msi-C`<(UIFI+T*y`{yF%zQ?y4x zy9l%$6z$KTtp#>xMSB>u9?*7IwBLe8cL>e1a`sGB&Vvxcv2xE)blb+ti&Bby4n;dd z(cbM=^mt0qPoQWRil&B&JRNgqO3Zx_vlwCyC@1E@l$d)%YYr|c2JE`=-vcr1L(|HM z;m|=S!|q6%md{?yfWie`A(z1KV3|)T3&*i($kH@{V|qf%)HETZ*1Z>ck5fiQsGY!J zUM7dD(eY{PPZh6U_VgW4@?FTOp5YI&_!h!mtOx5tKsdg@i%ctrQ3trYDl-p6 zETu8!gl4q7hx)Y)v!d3745S@|d?$-PDs+!_7*;_B?tLU(m|0z9gl3BGCS+u^gMfRR zNz>aQw1f<{Glt)#FU}$v!qJaim_$2`IHbWm!wH!dRKg$Pcu291eYe<4lKU?Pc< zDxeh4IXic{kCwzqZtix@H|Lyt&bjB_YHS>v#29=3gB|rSvFh=R&4W1>4!MTu2t#*; z?rrj{#Q$JjsVI+#jw1c(M;S-5*{H@Dv}G;Tyw^+RD0ysXh$ryPwbN zK=U*<3!rD~ZnVQh@NAedF<#VdS2g0(N#(80w@Z*l0_QXep&1Nf&7RwIayv|p%x&Sx+3YJ2bhyv2jO8;XV9fMETSRq@>&_fj4B=fr zbh=0ePL{K6BrKJKS z-G>+sxs#ow$pKhMOZa75?{^?0hbF^}VL@OKdma9|`QG3Y*dy?BKUJ2cB#Bwfu*ece ze%>-Mi-{Xip%Sx%m4Zw@(v7a}_F%B9duK=I)}^$ZLvNhuK_Lzq$?SY%d6!Zo_xJY} zN_q)=LB*U^9Z0(&Bb;2ungEdxezpPzd9ZzR$IkjRUny_?X5Q%0@# z^9y~4r9Ig)QyR-jN&MiM?@p6VRhOm|an0cD{#Ft@ejM zm&wq`SSz+{RBq~|dziI>y)QSOSOpU;W}#;v`lD)bhi7i^M_3HxKki?5Px5m6X7qt7 zTGsBH_X;E?n^p!!&3rZ62T)m2`JMrY76wdiA?%eS=4~~`HKm%Oe>iaN2x`W(OIlM* z*NBYpn9ntIkZ&74?r+aU@CAlYwV)ZjU*K7YT1Fzr{TfQm zr~Us78y+qX8>k(HEnUKR*KT7iu%BQcsM%51x0nKhwDjb!FLnSN3HHUFKy2qo3xF+oE=%s-6;fRRfRs;D4ujxS!t4~oQZmVJm zB?SG3P7%CMG?KnAj@G|~x{#H-nfj8@BSursQa1oL`cl(+&zS2~=;#VQ{%=Vc=qZOG zTeAr#V2Dn-{+)pU2*{x+Frzg~(W6|YU?nc~QEQQFLvhCWNmqw3W%SbJ>!wsCTcp?J zadkYW+sbB<-ERUB3PeFc&t0ico~i;j8*IIZ5zPr!&~rbxF+FA{wPxxsCtuxr2X_!&Bjlxs?sstEPvFhXZpra{^t&h4k^E?+ qjxz6_@tmOIJ&3ZD`bQ+7Q66tJp6LQXM%|f;1;K=Wdj10d0RR8`X`z+? diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 608e6ab64864d051317409553fa77cf3495c1179..334180a9825bf226bc3ce0ed35a9a4f59f54ff30 100644 GIT binary patch delta 2667 zcmV-x3Y7J%7vC3u6aWAKtqTDF00000YXEBiGynhqR%LQ?X>V>IF*z?^EMPzAoX>V@nDF6Tf0000{3IG5A000210ssI2 z000000002r3jhEB0001Z0qmIzRL$8Q$9GSe(u)W&$ApQ0!jMMe(f>~rAvG?BnW3k2 zONUAt+|e>)xZRL4!%dn($fGDy9-V*2BT7RaUGG!~I@MYkOz~_Pg1$+kh6!1yl zUxAMU`@?<%frEg9fkS|&1N#DZ1O6CT0A36H5pWA&PheAEGvEdAek_CYF#!K};5P*Q zd(cgPKwk)Y7OZCu{+7UtVZH_EmcV}pe=E?ffLjB{!u)>#uLge{=u3c?0xtuO2i^eVCsF`fA`uP)`cz2SHB*eJ$`F@asT58^ON`I0N`GE|Te0Q&;_0S5q21)c^R3>*SH9assR3+FWh z^qIinz!AVvz_Wp)fxiKs13VY_Z@}LI^S{aI@SlloSsxb>7_Jzl^!JSnR)#5mT$N!F zk>S3O7x(J+8~^ z;riRMc3&@xPc-{@lc+swUI%ad-xue}*QsNr$i4D?@IUy==kxr3@_P9B%lE^_ z^7H2FybbfU<@qrm*W~BL{qptsyNbG;c|CGw_UGzH{Y5NakL$?t^W$Uu{K);h7Wsa; z_f?-KUa$6@=aJ`^`%&ll9!%*C(rCU;e~E-~*Uo zAB_}61HE?Dtm(gE&I7F8mpKDZ61DWqT=R{3+vOnwzJeMk`|cnPz-g zqcQy5+5ndM5L;&;iw9X8#3DcM120*d|9mUdXrjieVmw4M-p|H=Lp5WbXOG6qhJT3W z&Z^A+gVp@54|X_9nD>TfFoJn^zo8fF^k5Iy&y@D4x<&;^Ub%HNvtRCh9R~x8tQkvR`LnwK$O^w)dkCd>jqy zqi)xU1$*e@>+Zxe=Yh(4WR`GqrelMwQGN{xE`919E4+{vHlg}BlFp2MWJ6D6T?gP>kN`MW+sz`Wzq z5B+T1qJKMIr+dRlvb#TDxX_8!d`C%&sF`GCQ|9vH?p`|M9U4`09eRihPnD_0$M+Q7 z9BfE{>n`;gdHFrXTZ>y0?^!|Abof}wr?yIn&mBa6%*UNn>rbPr1-(V4&-UybJ69+> z^9?CZi>3CB^QHBZ`-!FgkLc1tP5#vKi-M1xtu(MBgCFDkt$pU2_BidNSS2|NvDgWNY9t3PA3_Q7gH*!*I^SWv>;>0{F^Qv zzK9f5ETNr~9;psm76=3H4wkm&n~Q!I@6+#nV`;@^C5io7S8CbaMZA&{Nml!>qL-5G z$r|r@w64NLx|d}teiGi7T(StK2~Veyk;5Z@NQ9d;=`*R2PH--xL$8+5DgFD>8G9{t zVr;L{7Rx>9wri2(vS|G5%-E|!YHe!-@3c6Y5S45=y7p|{=u2_b$f24Z9g<7;4ZA{g zUFuZPp}oY6k~;>;v$xmnD4jzRV)9fr%8$f{$;s5IgD+8(TaqPlt!TDmJn3Q`NRrZj zuTs+PN5c{8R+kH}I}|?N?jMlV>IGBPePb97`bFd#y8 zX>4R5IWaXLF)%MOH7_zSGBO}jLm*6PbY&n#WNCD1Z*Ju&000000015e0000000653 z00000000000068D00000004La?Ai%X6jvGt@DChEf*=@w4?w{k0*eNSBq*NfG@_W8 zcp#qZCJHhtaty*~6g40sl89$4MKmblg$g35@ty%Z5RZ6^CMYR&HR5^^6;OHGZoO zOG&+vq;4jEslOwsn@j2q4Rw35REVduc(xHwckxt+CwCX)qQtuK_zv3Eg4csLfHT1x z!JELF!CBxf;2f~dxNt+cAN&*e68Hl69QX|Q6!-`5ad0uX2z&^909*hb1J^$eJRTed zjsQo3gTZcKcW?%*?+W;5@Kx}2@D1=U;BxRhZ~}OLE;t!H2mB5AYj6^{E$l}ccnx?R zcr`d1>e-vu`TPd5GS1vQ0uGjMaT4R{KSXA5yVa0_q@^v{F%RETedcrJJv zqnaAU~#htJ1C$X@~RCeXhrxEZ*A zIoJkl3$_Ed0Jj3~f%U!zaVM}d*ah4Myb7-072d}Fk5OsvYR~-?pi3$vViO@t( zt}E!S@R_JlD|&~ig95`80U=`}#9}~{I$X_%aaROJ1S@)~f4ZdOO(V>b@A|fYh6azvcq9r$^zqky({%4HozsK;h#!wfVUwH8D#+?79 zrpulYLo!S6Trlg?8mj%|FVjivEBEH7nzkXJbP{_#EG#~&$qzH~lr z<2ra*Up)C5`FOnKhxB@QUuoQ!XDoTXG|tx~wK4ByCC`;!2mc2FX@5R{zH~j@(*5Oq zrE&gjNUx92D>cuT?gxL?q;brDk){24{P!%qK7PNY>*xLJGGCiC&g;f!ke7Vyx;_tL zN}c&UUf25h{L=m9^GmNs4A(x0{F@IC=!31rEdJ`|yK6#a6{TJZi{N6$bfS3&{Sh~k zC~g&z8A);tv6mOM9~k_9JN(P@-$vf_4)7;HywCr=(QkSIZ|8fv1AoFD;P0&3SN89} z5cSLFAEc(LGhV#Z|BSkw>Rv2;^YOr6|0k@vjjIbPf1-wymncEC@Zy*iTMUmP@^8a)W7*C?fyOvWqVV7Bac)5(PuAirG+SC$$%UX_4U5dLPTS+RaM*82ey% z6M45Rr|L&`I<2#Vhfse1XSR2mD;0HdXWGn6YJbRFeo^~=wN+F*wrFXh&Maw)vhvGB z-OWsEq1fjE>v%7hxeXgiaT&W1iT8AU z`kM+na-Qkuu#JgRHoL*tnY38l2Cr=8u;SX><3(nFLc5NSwcQG1m4)7M`u?5;F2ad! z7qz)Rc?tcTiuL^$P(LA(ZPgY`RV$yU1j-mSg;wo5uPv2(3r`VWlhFXh_y&w&%+u%u(h=i$|8RqaT;C`l@pM`UnnrH+#S8f~&hy z(<_w+z0BzIe}z(8!Iw-2USSq02RiR^nAxv?XiDQ7&8x9-8$o>+Yw5|^ek^6YiOEhy zV+u%iWl2sQDXF^)(bOpRG$5ZoTzZ1lQ${lLnX@P>-h*--jd+lvcKjG=V ztE}i&H0>{VpG9?8OLl$&3oX3H)_b?0abCUYzHdIOo@quYv(0F|lRu@;?5F=)`S^upOc6JdQ6-a6!Om1Ie>V;fH`BjH_3z2` aiyrG=qsB)4rW+fLZ(-i}9{{sW3X%acsr7jP diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index efc33c39ff831f9d6740955c6aca361e3209287d..1ee2c6681d05638d0f1c59bf734d33a26572424b 100644 GIT binary patch literal 14949 zcmV-rI-124000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*G)t0RR91005yl00000000>S0000000000 z003n=00000004La?0xHRB*~E7J5Q_C&YqSWHk;3dWn52_oT0>* z*qj;731_<4EON@*?4qi>XO@B>7%&VN@CW}7_CH|wuktrP;7`Wk*1un7WK~pk^@FdT z$8}*ios|`t85tQ785#NdgPEC`@8Ivd_~SD>JM(?~)Z>fGs1F=t79VbcL8^;}=UEvKf=(xHMnN|`HK%d2cM-O`jy;erYNM13 z_sUv?UtfYqoz|7<^iKY5*zB4I-PmH&4U>zGMZ6iePa{VN!PHUxz@cPzfL|M=ebDHg zbec(YX?d}Bo`fOG{=#PGlKip<67@RfAc-!*G+_q%fOK%6TL({SX8WK7$e zR@4kmTA{%&k$4bf#ilg;!n}#PuRwc1T||x;#46~TE6*1Z+9OVE`JEW~UG~6Ij$btC zUDLWY>6nY4-8&1K-ChD(pIkj~n3Ell2V;a1;`YjtK>U5Lnq}Pp;&b%=P ztuO%cNq7eKkp@teODjbj!Iz0;#nZ4GL}b^X``}f#d)fK&(WA4=^T4y{d_>`xZ^jpo zLNLobrC15xRx5sE8oi5)Ah{})Iz-O?1OCTEOY-;jU##W;HhiFyzWGNs1Z~2=QP}BH zYMunW^RQ#Tl>9e4`|l9@FAIMqfYYcCm;xdT>=2zSgkAE^V6I_DcN6|E(ohOEzTmyM zJ+~2_1-(|+JZZ%z=nosCa3R*S-@<=hF!k^BLmycNk_05oJ<>SeL!{-3%~o*PfyRc> zGuS?%fitj#xB4MhH@ryoXvW##!4_BUt*(&VC;j=x?0aaeNV6 znz^|o3{H#gl0MD#tgvusvo?XzVzzL-Lmsp{T^4I2#W@E;))%kLi2(E4r1T?6YDW>gyz z9<@zie!1ItV(s&Jvb~_r@sjHzdY9H-2DHxzpGu$E8x+Z^OOfA3u-55>7cg!e!D@}& zvVzJ+SYRSj44+8Iveq3b^eq1-eci3o6ze!O?}`+ezK=zLp8yIHK$-H^)Nq|fR|S=h zQj7;d60};@!;pGe08#qPzB3{t6(9l1WgmlHxD>Xm7vd1T;ZVBR+^%hD7D*&H{d5pc zhhfKI^^A(#tEZueQMcV%-ApqWn}`FNv^u&;yHP9pt#dlTC$ib@M%^pjv>OmTcmTsM z%@+I{UF*<+=O~HbC8O)cbl=3L-McsmlMZ}19k{lfSINhr>xT0UNnpAlO$3Kk6Am!z zd2%px{K3;@d91@1dYaCo*CCvKSC=7ugVtfg=+0%>jLzTzM25iB1g*Fo&YL5A@&!61 z=+Em!r`D@Du>5Y^#enX{Wz)9^k0vmt3khDjIg1rZgIW{aS5cS*N%Pg!ylx@O*t6_C zmu~oXtHc@=hDH8~PXivf(Ch}yS1`Le^awY04+v9yX02_bRPyJ5DAj2D@Ht+17K19m zNP2_;OMGY}@lSC3(?Pj=Q%|!jU~|tbM^tW{Fppuz{BJHg=gNYl;aZA$qu-*uZZYiP z>;Tlcz?_ZkHE0e=_400d_7s8$PEEf&J*IDCQa}XSIyvl!Gxeug*%~zT{BvYKOMRp@ zX5K*P`#O!tQ)^0(cYz*7IT3+iXLqe3%{w{$ldqgq?K`Ym)SlbNEFT9p_^SZ*6AZRJ?mV8@?rimYX5z}OEH`cPlqZE%$H(*cvw-h#Ea#P`={)Y zKSna?%s1R5FwGpyM-MBIxaL(BG4~4X)?biU?h&#mO`9{82DN}Pmf%7y8cY8KMWj|t zpTlja3NOi+<`Ewllk{4`I6F@4Itd$F^53kb64zGH?wyBXWnL`U#- zd}+*nJDhtOckw0n%-Y_A|M?E1?AJn-eeiMb1wgLico9AS5rT)|IekotA#D+gnj@SE zq_pnfrB`Gt?ZOoiUADrnbJ^iT>63Xp{yDy*h1u;$SD2a|IS(m|9@NX)@ywle|F^au zrWv4F->oJoGNLdqZKdrtS1ZD-9%^!0R;A(P<^LwX)_-=Bgg(tQ18~-~6{a+RAWr#U zN(1V}DIZK}Kt3ow(#6xe2Y=Z(ef%c?o&6a9`R?|vey5pEX?n+OHD#$OOHEnot`s!$ znM%}DqNWlxm8d(j{-z4$?iC6IH1{Y!-s9*rN=EweIO91>pY>fby3T8wc`0e9WSNp> ze4(3i%amLGHgHR>FT;y;XIvA!{b=7j4%%NCI}(}e-Qk3t^XGOy;t39cz(FHO z;MRM^msr_v&oe+K*rAY^i}*C0*Z9nac(aSSi&Y%~N}dwKey3C#Mjiic zN+nN;VZT!<4Wo|#Hl>oM#IWBfm4;Erf16UtQ)1ZfluE;>!8)c@gF?FOwJ*ZlRz@O+{wM!yq`N%rIU9Za%bebvhxh6s) zN)N>nQ+AlL!;~Gog1mt=fOxq_I&PFn@y9(0zp;1AM%##D4)uk8bhT z<}d|tl$_Gx4(I@8%RR!~{}hpOdizhzKFs*UYz3X}IGoNE3d2v>{tH0#aW>DFyVeK5 z=N`fQA0rZHXyd>`UR`mBQ*d~N!Z@efaML_DzHgNL+2w@OJ6@)Dyx7ZOrgywd=8hMf zq{<~yI6C4-`0*!Mbj)FR0T;um+2|!!xcxEj04jZE?Rgkf5MJ%v=-2|Dsmu(Dk7ypN zGeg{&gcDLduH&A%VZ9f(MsU0g2B6Oqh_~DD-0-fY>*CJ?7H&k-@lYO;^`J(PBT)Vo z5Yxw&;NX#N(&H^hmUGfGQOv{qmxD0j3I(pYM-zf4 zWt9-Zz^y)v7~es%6qR?77`gfqSC21v*0=ozkGaBihsGZHGgK;h=-9hl-4wUGm!f;j zy0no#M(mM2KHl9s7>d%g!2b*X{||;}NH{2*RoY?9%)i0Cc(3qhZwPhYUPrij5oT5j z^WX5De>VNItzC1{ikn}#^kfnH48;J6zxm$;E5U%#$IP8-e*gxAxg7@o6FJ;F4Tz?@ zdez+l1Ouq&$Tag4d}#EVO~I9w7B@l-gesfIc~$m(fOP1I!>2} zs`wDw1(=Q53;ZQzG_u@DfDVjBAH|n*t?)IjGud<(8w>_#@~z+^YRT1*eBZ78%4~1D z?D*~urFHMTiD~m-cX4UqsIst7Uv{y?O)iVw#m0q}P>puxRs{E-gQ7t*kjt%xTC6Ub zM+TXjhh}}%d}_qPq=8W2e9LcZ3joJ|4-JsXz&}dC`-H*H?k+fdm}@~E?3jv~R*{3~?->gLK za!RWkbiQ&?>}J$uzbT z`@09*JDZ2cjqN9UwWDW;o5$Pq58>fyhLy!ib*-|nwzRUoG+$X+t1Pb|YHgviQdzM; zGwf^U=7xt2K5uT+j-PDqZ64N+HjlTS?bVOA_xEZ$$9tPcJKK#TmjPXC`)6nFWl+vM z=QlhJj!)tsIX!lF0Uz^5iT1fmXz+7)#r=!2;!fgT`xIBP9(S67=!3g0^+#o;auq_* zI_6EW=eYe&r2TFSE~Reg>f$681wiGoz5lqj_lx7__4@WcUh#Z}zqvW{5UVC|?a3u? zw#F!%7vMsk&O`fRHIyiWL5)i@wZaT%X70Zrj?wVYl7zZEuJ42Ue=e+fe6;EBIU%lV zq9h05t>bNe+j|4^V;x0%>12b#?6wZ}UqD7Te|2=+cy@5G^YZxl{?1YD$>#CV{_)}F zlV>}%qy0m`;h~?oI$wQmU&azo9tr6RI5^yFY#sse{hjT-C&!Kboo5tNmlgddd$D;M zqStIh*wFWam;E%bzN2MoQ8Ipc^k5$x?*DwVessM5?C9Xx(ecLiA>Q^6U%Fh_^l)rr z@0~l|ef+$s{Lp*#a4B@wUoyM?n?cFxHMoQ9hQK7IS%CYUu}v`){YagE5C&hF=VFcR z!Y${oU;YFiU2j%DcxKRVhUOvqbl(1hE2t$iVRrVJW%tP-8qc@!x3KUf{w)eaQVqhc z;79klQe9YIHoK3r7?)s460m1;3vY@L3rjAhB+J$6YDtz0V*SucOs_QT%T+|QB-e%I zGDH_70lPM_F)TrFA$?!oLx?amZ}yJ1MT$8tuDh5)0+uzv(yD_j<)ZK5o7{tBp2nSS zJ2l^ENZ@MLjN#bZA|humay}K8ushDM*H|F}&v51->;7fX4pH!ns9g~ znwX1|`^tjn8gj(fYb(bq(*8vbxvP9j*yOIV9>^A!l zK&6AA`^rHwOBTS}>-NGf7qGeylzW>6&!~4%?)39quC4m>em zseR=tw%0Br^43)-tx98x$WfU_aIBc88#~69?0{*!lwJ>$&od)1%k!tRyDZpoSVFtk zYcM`dGg2IuF9v%j7$x({7x-=LJ&5fX7YVw<>0QpYyR25TVkB)k85Otv5T4>w5lFV$ z;8KD@Axycx?8?Y$orS)@C+F1{2Nf19(*y#OWtT6)?n9Hq{*qS6lGAt-WR@I=IqSg} z$*XQ$p|+OZiK4)(<>17(D)=hs#lg@k(n~AoN;$NWFa^9Y7+7qNth!l z53br7qB6Fb9VBTf?_2_ z&rk#f$a9`)1{kAajw8cCCHN#u(79?iUnOxn?sZJF6$Z(p7FWq<$%xI8G}Mo?bir5& zy$zO8DGO1_xbDLvtvSgP>qjY+bFVhTR?FV1*$k58$~3vASkhU#36oIVc?3!7Nwq^F z-!oCxy<$EK+H)9m;GS{cq~F5V`r`5~e((^@0<4Xnu?+83z4fA5FR^ep|bR$wY7BWXU3`4!#}mSBbpGZj zys!r#^%Ch2mAGWO-li8TdqjFGdVPirH8!~3)Vf_1hz(iY*fhS1E{UAm_O;-G#1|&- zU)wjjx?x3{&IP^u&A4zsJYiG6bMB>vFPm+9$2IPZ#<&3UF{xX`uD~p~Gt8-5dDB-0 ztFzz6e|U75qfjYy>vngXHpH1MgXT zr2L(T9JGR_UFu;r&)~f68d+L|j>jb;aZ=Y*?u7=HAad=rb|l_<6I^wSkiuPN^SF0* zhB>#a=yDp6C#2RPTr-%9bEI(T=)m9Jw?h!O(a!Vl(ZPm`y&fEmth6*spE+Rp0=A)#F+_D3C>{3zT zCO3>-Z9{kkhR=9%70bPFd~2s)6%M+6rBYPtwO%*A2rz_znz~}H&PFJS<`|H8fLChg z=LtOD5qOW%8=OQf%q-@$^FO%I6OGCh#-GHk(Y z@>A3~`sH4fRqwh{=r6tTH7o&+N3t;`R++*7W=T9}T(ly) zLxv+0qF44t_O_^(wOUz%d}b+w%XXbQN3SlKW@;V&)R!np(t->7*@ov*#pkU!X*!h+ zRS6-hy^bUJM^n|5siYS{!sZpx%Qb24#SV1+G>|~sKI9$qT(kCgIHLAWk6!BCrm7y| z68{LF@5ggZ1B4E)%*DNk7(67QF_rlW+a3>5$N_dtbxu%runY5R0?T*yB7S8Tb$)JL z5LJ&TXwV>;;{u0P1Xo3ei-qQTmvESYDb2(B&M%%d4rMr>YxW;!S-9h3-NIvZyIoK-gfLr9dgtfl2KqERw&UGC zum+!Gl;h9b3wxFWiV*qkEacFK&Rg3?l2~^OI!yC)8w0SG**bVqGbnMB2emks-%Y)% zWCSNX2;eEcQy=+>soZQo^`?9{UR?0YABMzoS}T_W+37iAk1OlzRfO@BM6n(6mr9Fj zOihhp?eGy(2WJpwI1tf@dTKOB>D&tk)SF*-6S^vV<#QQ~puP2Y`1GvzbrN%wyM2y% z6$qKk2*R$isB0JN^Yd%@`88s z=ieR;$gdr@=Z57;q!yQz!zz7wh%dEMPQ`XQb4Qq$>A^C0#uvnUW1`tIqje+;aBfA?dutXWV41KOK~r{; z!h`kN;o(ax03XXw#reK8U87#x*c>+{`!7lPWCx2ccg9UMdt)L_d@b&Z-6-po3Gl@ zZ~S`cZ{z#ppvA%FDS~+VW61MhK6o8uf+}pE`^6B%c2$H`htm;?N;n1w*)h@nW!RqE zNCK>{$R^x0S#+4o4g3)J#mmO_i&!cV0fA>&=Rr!;xKPFva@h?N+o1=|B<{ekDYKT5cfbwHl>M^B%ntL67_NPIX{zWj zdk4WuO=rWP)yJ3s29Hjr!=K@s8}@O+AU>lfBb^wpb7I`@y|dZm&F36HQfO^mU>e(9 zdLR_$`A7QzI8I^VR-Nr|WPuu>YXg^H!b2t)(o7vor`hVd=r9LLB9zFf-DyEXAIE2B zb`m4y92+AyV7&GXmaA3nh3ZB8t?VhVfB`m`jGab^fJ*B2Fmhfcl4JIeEgp@&4m;h= zHRdEto40kmrqi|lpIve&{)#dH&wJ(Uyn=>?p;T;^l96d?`|jalmz64Z+C6z~Ryy0EZ$ zB!TFNoQ6Hbd2U=+x9f5rsd(0rrL4(=WcgzbeBLZYb`J1-I8OkHMN&L@!z=$*#rG`t z#+&f6E2E0r<@;qW-@Y>h#zC9hgC%(QA5ZSZj<~J+VE5Ut=-A*=#ncy}*yW9;=quzzh(lW7r=hO!F$J!ZN&sShi*CW+#&?Av7*~)Ip$aZ7C;#Xp) z@6xpX-H-Uv_x$&CL@3|WaYgZ+>Ozv>hVx7i9Ol6}=FXmVd)t_K+ zk%b)n>i9x}k>&Ik>1*vepIk z^vpuvMP{W7Qz?4b6`O8zURuufEpvj$CBo?x9lYS75w^Hw!He6R?XcG#=jP7~!0Kcy z+8ws0)w&UjCj{tC(bO#YMX$tQ$VO`+nHzL*sjLA!9#VjKFBQ=yPa6E;Q9;&y%+1&; zl?tlnb2QwFzJ_^u5M$(HHW~-HwW~}gTJ(*0* zFv4p}Q3|M?29h@CTuD_(x2pJqI{xR_yD4Ct)+pJl&I;9DyUmHHdziqD$bpV5)_>UL z65~ftA3gsZfOt68tYX{erzo+yjA=0bR_2$fqw$cgb}Qo@p;K=0veWR=A{?V} zIRWMf;FNFAowwo>EDOjbpbfYrYi>yg*K|1$T3?lg73pYMw5LiRSap6$%Fdf?BshF4 zhE^sXFB`m*(#24meg)reoSbq8N0`OF?2G*lK60V8?3Hk!B!0dJ+;T%o_xU6I_?{HZ zocl(r!ZDA7WesJ$i8wz_-3OwL)gg9mn2RCW>3S3M>@tg`=)n&u z@4O96POe-UvTE6~7}7CY|IXI(+X*QJi}=ICpjtOAvcXvC>vL?ucxffZr`vp(c{|2` zcyyRR>8cqLA3Q$y-0VC*I@%$u8xX=-lDIdWmJPqLTZ`J+C(ms}Lm6)?4niSU2r5{? zUKcbdd|TwV_l|PNOYdAjUb@%<#;SEDk31P?ce}Bl5#ApcQNUfHrVuUiM*RHWR`ZyL>4@bq@gQ4*cQGY$_q763RDh;q3M z5RHRp4vOJL#VzH;7erZC)eZ_)5vELHi^Aa7Jl{Zg4{N_(_$!Ay8#MKw6mU~$swREgGT_3v8rFt7@W}K_#0o_7$N%v9BsL6w3Xi9bCN$W51}e zSDY~tdqsN%J7Mgr8hh12DU4K$D3lcwDcA{PzofA**E!|bE0k4m!q_is?3Hn&Fe=Ah zHG_hk5cafpsB;wZ>k4_r7b>XaLZMmGa~1V^neM-h#uV(e(5@@SQ79{wqp(-8%1%;s zDnnRT>JE_iGD{Vx7(}sx3Mv3qIn`j3#obG6L47OKRIr@x3g8;Jvkh)%BzSQp>Iw%% zYZX*v7bFYGu7Ua;u*`}`E0ItvtPoZ~g}aJXRh}&Fs|xq>9!g=UD)%nXeNEx6)Qmz{ z1r_cpRtdpm>AtRTSNcMsrh*E0#VCrCCWJd37+4vw^&++DDfsJ(Zxnh8X+`C7fNS8d zRn6_jRatFv-R($Z6@au6aVnXmV)UpU>+Y(kvfB{X+f6=v0bv8igJ^p?V|ye0}(bwF>Y zCTx^!k;DCa%)g8Bu;hw6SiEQ4QE`wfnh8q%;+%5gB1&TyQMyd*T*BdYwl>m5-_C{p zJ!DevVbwKeq8eTNr0YU|h%a`Rw$#Y4N%Q-O{uti}JKsrq-bafcJcN~OWbLC{9A`h; zQ;73lpI~M9rTa*&2X}Yuoq|?-jWiDwn_h$UnD?~=ZJ_tBvT{*#`0G4Ll+2+?eyl8* z%Pj{;H89r~WRi_v?ml%Nn3+Fqr=T_zNt6;*D&JR(;yrSLLSwDNIW<#SdqS+;ZRJ(x z?GLOiR9^LWDp=C;?@{H6>Q?<1_#Y43prYDU@wW;p-Fp`epCcAuhEG`$;;ver*1?m# z4M@FIj|5m$J&vliB8#**xub*tnvt&?9$kG(>Ya&wR)49Tt-q4-k@Z(}^OAqvI&1g| z*PmJ+^MpCAzo=SQRlhu_$o3vq-+2wOU>#(@!-lAoTl(d-FKK)>;EmNj5g6*sx=Y!o zARX3!v56l%EP6!=zLIt&4tK2iuE+UzS$W&?8~K)3c651jE1Hz3z4xc(ZRKC&gx;Sk zN|mlEui9H_h4)|R8`<12d2m%(GpdmlLnwgv+uWhXN9}V{%d4uHRrQtJ_m8oQqI+YV zN3ofl!Q-p1{ce6&UcuNcuf9;?J+Ho=CtFb!EpL6r9(Ud2O}6!^_{jX?0cRtwDQ%}} zuX@4{M)aljy6x9gl~u63_8&ys`$<;Y_DZddto>d0_-}>t{WL9Wzp7eU)xNyb`ykrh z&-B{1S4vIQUeQF!y#O$sALZQ2+IuT)@8^nb+pAVqwO6qpTJ8O8v#ou3k5^v%4?^z! ze6_88xzT7Fn zwcOe(Z~gaM^6B1b*J};@;34b#eK3Q^If^6R?+)M2dKag&7FFYq43;!LY3RHE>xM`7 z_;>2pwvE44m&Rv#G)cscG4v94}x2A3S?ZaQ@+*^L1X2HFs_+7cTO4QzU zn_I)bjix`$$-Szk3M!?iVoNH2tF|9qdqUjRe3s?=L(lhY1%KVoV5KMAt^kUy_n!E+ zEaziwOpf!bim{d8t4b??_ge66+c)%rn&om9FOL-&cu(7&m7nAioFAaPJKVIoe{ZXK zKJaBbZ1>&$#x{QNka;U3dwQkql}1p(l0M+tVv*}K?yD1^iO<^aZ?g7oH?gekv2$D- zxz)mJ%DJOxpy;K7iX1B02+C+G4GQ0eFuAmB`hlyQNSP*%&HufsN1ifzShS{GA0sJK z4)6g{Cg&h8?<^FfsG#apl{NhVQ6}d+FZU#WSCv(= zqUx;(qr_7Iyk)}NrJB(`_jOga99*#U=_s1xLtIP7CN-3<@*B@Rc0YvNu?} z$z+X<0Y)C^qD`44MFCaUetswrPQiXKbkT;)vZ8>}Fv`5?r$B*39}Hcz8MCbP$4I&; z5~<(^LlE?7j45VD<)CFaye4n^M^6v+-F>7wkK z50Ng~fLT^NFp@3`Kn34_IieGe$I4}==FvT)&Z^~}yXW;fE?Rvax4JlK9PfB|NZs?p z&8>W_>XyC_fGSVY#D5PBj(EyW(xlPAldtfDhc?Tc+V&;nW%{K>p0l_(etOo%@5n;JSNve7KD&IVq646M2*%{j`r%<%#J=l+3$ytaJYneDv+-NaIO*bag4j`Yu4| z4^k)5yBrBR&f$NGt|Zr*l2Rd;b*j~V?fVJ7M%g)HrTf&f^H52odfe(=w6ps#S>#Ln z;VD{`e!{SzQ2M(XLCP!X>mfzD9^w)TP~2i+4K6A&7Ulu{_lUoS{e8nVelqI43aTjFk^e@8T#XcUWIe|K(?N>6{iJ35U( zN*LNACFD^GhG-mS+Xe5Cy;-1MROb6Ci-_=%4J+YOJf+vT-4j;*GAEp5(dzHkEv;%P zAKepHEL_vd?^?D^&KDHf7bGfE|U8B#c99fT^eF&;Xt+hJzmp;+ zw5k2{-@Pe;e#DgCE;$q5Bj)GdCWx5U=KWooN9<-^pxfy3s@CQGJ)KAF-aU)`O{o;R zS5pNQKpwl9ckxyfQ|jDnsRCm9l*$5+jdN&Zrekh{r$6VDLhALH!qZu}>TJ-rhp4D} zi)jC_h2~y%amU-kQW@r6{}supkMn$ch${QN+TUwX(9+W_-yV|6#IN@EAZ+vOG|{(* zrI0TZNY&z0jT13KmV`bT7Fmd-xnCp1uiLTG**_d40&1lXU&r@V-AcXb{-M7|B_bHV z`-_(NdM`6#ef`#w?jHI(R3f4-fSMd$eq9>d(RU4sn~8{8$;Q{ow4-0oV)2rmpdT-7 ze@qB3rSF#{OuQs0^wQ?X_;|7Jz4qiL3FH@ZSYoNJGLMv=-kbzdN|!Gw4__WBJ$E+= zq|``YN%ewxq_n*~38d60z-#q>p3D!igaz>OAXy6`fLZKyg^QVp{rrCSxLX09|+>CWqkAtm}bYn?3QnPoCFp#FMKOCHt5D)MCafs%#Bx0G(& zldbfb)_LlXi#>Iy9qxF>-k+#yNg{Mm!b};>j$oY_$0$wgd-?)W?Q{?Q#E?>UcFCGa zqQR5%_tcs=T0#~} zt^Qu#+Ss*U`}Ir{BbIWYEcbVDz772ypc6w&nc3b3P})I=<=3N43@c@4d)uHNs~ghl zHvR#BiXwT-+XwxK`Elhn$#pBll$Ku^FovuB+K=HTiI~##-el;fm>8ak`oLCF>h5(5;3L9SB2sGDdvT8l87m@z2tOzp_u3W2_ohX zhpl9A60sqU7ou^$4zhJ&p3Fp1 zM4{50h`F$?RQYOu=f|CA?$%bVoRr(=-j*p)zVy01e^acLyZ+8FL(~r;*n)2bQDwHT z_IHj%3+qv|N>y0i-MYs&ooZ1@`+$>2Gf>eEJ@Xe|Gf9f6fwp7hmc@l3bbks{mW6)7*&LLD2jvJk8=h zM%-4f)iSEy*m{jvJmlidb~oyR7-^)fcXR?G=~zxa{O-x&+F@;%DQ9Nvez7Wcj4xIf zKX*}1;#J3@g4<5c{Rc8;#&FR^Ga>_6_wRdAvkCV^{>MVt8rqWYpS&BQq69GKbcYY57 zzZJLL41+Chg&71(Bw$|w2QgcRA&~)#79IuMw@iKz8f>$|(&#~;mTcoz&*q!oLNzE~ z3!2}VHVBl}ZWJafM#1_HeHh7z0$d#4avB5l8o7E)XN+9^aBd7xKfoFT)DL;a0QG~A zF+jaRG6tx2wGV18X#zckF$k0;awct@=JK%Pw3mk+r@^Rt@>7h1uyv6BH1lE9vXHqY z0Ymf5t?C#CiA52hk+6m3jYH{MSkgKQc5M10 z-?8Zn*sje+2<-!JTc z7!F-r-ainU3aPjUWFRnWoZsJ21YPiwPj!-8yI+J%bp$ibujwg*rkPT1xRXZiUgT>L zHqBOGHM@_3)$BeB)^~m5;GjigRKA*pN5N__8U?GxXcVls7RITYHy4J&lF8U^V-bzE zzuuEO5Zg?O#>$s`mfxr`FlUeNSPkca$7&4^JQiDe;Ia791CJ#fq{VLTfQ*5(AZUJw zmp`|R%4sWT&%s#BJa^=Td+IVTvd9onKV(i>E>! zOdk&Ock0K#S~-4MIo?xK2^I0PGEbYC3EP>Yih1Z$IsAB5k8OWmTF~NHzn zkhp~7)Msl@eLyy8AC@B%3pcONzs~WTuMg-3KIpFxogL0-zBV8H*IYrKxtF92*4Cff zbaUPo!u)4PX8GUO2`q;?sd)pxy#6Nu)V%$LX;=odE#_aY$Bp00Rn}wRq)S+kHC~kP zpCEkeGJjoKrV}*9F(Pv}=gj|DTF$DauGEK|DZyKC%-{0ZTBUzsbg^DtC~bd@zFD~X+V;o<3=WFd zNTXtW9X~ufQCHV&nc;Z~ZOM%3gh@M{()h?m2OUi0oy7^vwu|_+H|NL_Zc+X3lki+* z^)p6xd(E#*&^7R}o6}y%Ie?ChyNr{rISsnOvETB_Hr;OgUbLd+vbfVVXF)smR;7Rl zbC-g!YeX*oe8o~2c4tR%7jsMQoLv*2x%yzz$Je}Ler7~)ID^vYV&<#UjhYDKVJXjp zRxh+~GvCFJ%MjHESeSI&2WOE=mA*h+R$vNgdY!0!ZhO>MNsP*NATK#fs3NWX)3Gzi zCSk2$ijd9?O>aeZ00zDr9$tl94jBqmbi!NYiNPdrYGSisK39c&e>ODjK@xVtEmu9VT7XwuG6Pkui%&xr^;gXR_j9g}VD%8}=1W;~{C`C?5Qk&f%e7pAP;? zCvf4b;#@j@3tv@ZIIeB?E>18n3^9m2tuprfnUMyd2H5Sjy3u7T{Mt_=3!{@dJR15% zblQD|FdpiW$3Z7-aR^sS!obGbp&#E&M4{_+lU}piOZ?D}YX%y@YYIf^clP>TM1300 zb8mhfTmUVj@pERlE0uy+{ZcBG^L<&p=SuttAAN~XxvPJr+P1&g#X_-*EBRt7i-q?w zi>>Hl`)IpXD78{7RlSq;gQ)vQs;)1vn$f$(SkKC;Ca-Qy+q$YAh1TWgszM+~U0veg z#zwk4%9gmMOYEcU@Deo%awSqh`SvU*f&N0P1;Xlju$rn`wwmJ*meuqwE@GCW>vEyM zMU^8<(C>woWXH1?<3Buw z+OQy+al4ILs47?2iILPmRe`iQ%+qE8fU8{eVHOoSKLudc`?|$Co2~F7Y*}}C$6Ob`^`7sxDZW}iW@Dt2qwuw@+s$7`afx6qF%9P0wUkCp<&)O^c2JL9yoVT3+%PFb}h=Wt;C?qs>4X> z&=Hb{k?$MNQA@bTa-!5JYgijAmCDFXdSt>}BsXlI9LZPaSC`)s$upGMI3nixNaaIv zgdn7dIcx78Mv9pKzmy{xDPk^Q_+g}oIXhAJSTYJ1WgML!6K!ye*GAuAXF>|{zBFI0 zz9ky^I=HG0QjW%pAA8tXsark=e{gX|2EzI?gA1^IB57g!IyW1u*#7?m00960cmY(B zzb^zq6vrRu$2vdmj>Ab5M1)B63W{Aj*0H|D@T#IHm`B~egN@FysQN+A)AM3ky) zsr&&H5>bePh$y_b`zATX%OvwY^ZmZ>%w(Yg(g1MqF+5m-Yb91amb9%#fYhe(lS{Bz2U9`O^GrDx zD${ZW(?&GoO{Tl2is@FSDNZ?A7rEETm3c}+MHflIm6+8@lF*Nx)#K}8Ekio8@GN25 z!e-z4$oJOxJ)`MJO=l_hY=9hg*6zL@ae)90^~J=LaD^v))d*eK?o?Z)pHwWSBga^v zWZri|rklV(zvTJAk-i`;>X=1G1Uz91hEO!I^m@^NYaqJ6F{Vx3lu-xGB}YTW^kpYcAi`EN1n0)51#2wt#`i90xI!-^6%$;K1&H}x1>2!EsBQ(KuFz5XN00960v5m41 literal 15775 zcmb6=gKsCm(zR_~ZM#?7wr$(Cd$n!b=C8JG`)Ypo-unx_Y%)k@CbP)yW`G6+1XKeH z{0{;F+5H z8A}&aLQxY-mw)0rUjMVQ#r=g-2=3&M4JmyY*h^iN$y6j-Q(E^i-;7WE4mnq>_ra1O@Z0UR{)`t>LM zHNRI4Grqqb%Dq%6@q7#1#mCZrnV9xY`Mf;wMTj+`u+y<_s{)Me*JF!`at%31KgH9-|FvS zj-W(?{tRB07cnAe@$tcK9~eF9qYUi0qUq?2URYkEs_N0DrEJ`e`iUD7H!4#CvLF}YcDyqx% z*lvY6l;&6Zy5sRX740?p175azsaKVYIilO2ek7mxm}g}m6cXId5wYw!cmHw015@Y1 zwHMO8?1}Y;X*k!TAfF4dt!6$~+IQUtZmPln!$5p{5hX}82UQEvTH|7tS8U32|Nd2v z?$NNTc3scb{?OH6sag!%NqIcs(}?k{&WlvB+oAR~u_r~Btw(%50eUQ|%NN#Tk3!8i4*VrGW)%?Aht{{4a!ruLK65avC^ zg)!foVz$carx+;Wi6eIZ$tx%SILFl|RG;{MSUOtP;nKqjK=sD3(zJf9!H(7HeI7B#d4J8S zsm*v}<{Hl*2jm!cpzGDV8l)amoM{&xUb_yuS2DGCJ``RK>V@}@_>cUgTTFlReut;x z^@rWGIV1~n#pIUm#;=R!dI!Vy)fvNlYwLWzZaobi?hT*Ln0sIvMF#E)|q zb%Y)6+rIM43v1UqffIyom*D?w;kp<-b))7Q^4Q1>h8CS4+#c|+G9BOY7)8)F5khN` z9NKXELk4CJxN+;%A@1)E@1+5w5k&XJ$7>RL$D2Yuwydsv#NQQM`fjCj&M08^h{xP8 zRUxN*fU0){-w`7o^kQOeM#IAPF>}qHr>rVVODEj6$bYoD=UHuEmnpQTrS6CdULlTT z=T)6P)p$KA(6vH_y&Z!0_Ix0`a!NL1?9Id6xH zy`V0)-w3G(>Cc+t*vIK?j_rraUP!o;p-G9l>{Yeh#0nD;7cR z65U3bC|d>C7v%+}H|mNEinsN{%{iE{d{9ZRn)i&F>MJYkM+64P9-P^0Ub!Dk9gJR> zdsdUWLS=a1!nYllj8TB1cZt@|qBo|wL(SLRdNI@qI5(5m_P)S+1uTf3x*5mv$rDE} z>cPO!W5Gu4U`LI1%?Zz z!@_9^o$a6NhlwscY2f3xnD2txd1tKEd-2&YXfcc#_{!adnK85!4eM9&%&EU*s3I@a z350;&ko^$U*0vW*7Q=ejPZ}8hlKh~QoIp4$(P9e&uWUZ%O5!!!gfrMpyo6#SXPpp@9lL=ZW|W zvzS)^MbRZ*F2OODPL0w6T`n=@vF5<3s9Q+yZq7^`pWW14l+%`AlQT9zniq`&44`8_ z?-O+q^wmK{x}C>RoQGiaqOk2p5u`Q(*L`MP=(5AoID%6vrXPt`6%oH!P|h&&3yTR8 zwG6W-5U)ibVFQpPFekG+gOn(_%f$nXAQ_EDeG&E59?fGAtt7ujDS`Ud?dvIqknVjy zuiUf?c8*p;EYDa<;<~;Uq8Vq7#%Z4fCV$qJioRKw$|b`5Nq_>(M>T){0E1k9gUdQ4 z5ZmsT9u*42#j{a4` zadxh6_9w%COZW8OlmEyzNjMvk>RCZ^?cNcI(e$Tbp zLO07acvNbMa|UNxWOAgZxwyp!vmt)AS;RN804D#ie^S_f*61ut&f;V4y48%5b82SO zk}UW==c{LY=1aL}JkF}H9S+B>;@@9SvS*6@Oot)1x0-VZg^{hixl)?N_#dUbCR(qa zC!xhTNv3kC=iJ-b+9z8Nvw_;o#@KvU4x=?(A2fiAjMXot^W}NRs=^rUqt^9LBsO0{ z6!Ywn;LR-$s+q{u_e66)Cs=cPBwK_~LJ-U(5wkX3{e2W1tb0D!w3WT#F>J_F`A5wu zmM!%lZpT0UHfgZloOlIwI|AgKN5~ab_16s2J{Z0}=U;bk)NvoV6jgw^MZ@j8 zzf(pP0VC5DN0dxA?s6rLOOB4t)W4--K5~>T@mzJbvZdls4*gD5aQ?q4yO7k9G`L?Y zcYsO8g&dCwT_!IiFI!*Wn2uvyvu?9%jdb!KmPG8}J?V{mhaA@0(G%I3ZqhLI;_j!S zh_;43CA6(STkITZ2RO!hTZOXz&qkO>pDUMe4#6SX{C8= z_zwo>tH)Eg3gev8w!erS|cpxQ+8PJnQBw8l(@Za21>jThZ+K&)O z42RWX{Vc|8Tk5!>?Q{5C$*b7j1_>`A^6p}9<^e8eC;qMo&OpNBFL?-iAu&zWfK90@ zWe|QvfWi_<%jy363Dw#kZ=})Pyl)l(xCVR%feuBmJ(d}x?=q<2oQrn!#M|;EM^z+- z#$2(K6GhKo&bw5vO&q8Sfl{~rg0&|j;rD564g`SJD~HGAGobsJCeo8-o*7$Vf59K5hNo%y zA8ZHxYLJ0Z9kdy!+aKdfU#TA7=i5|wq+>@5q*}6U4I5P8eR+Mnv$vJx!(ct1^y+<+ zSEkUo;`FX|!5@`0Sh2;M=227XxTi;vhnQv&>Uf5ttLF$B=zMMDe|;H^tmYtLW4w*} zpt0|UL)l(%U{4iQ^r8>oyb|31{1nHth=PW~27JJ2z9M=o{RQ!VS2aR?VefBh@Yp5_ zd?ScB&6E3ax>d+=9b8Ex=M61(GiDMv*!@NwfMGOn2<~u3!VUyBya^ll5kf$e11+@7 z^=4-<5^Tf8Vy629Lyi@!3I(*!K;m!Bui@=A;QYv>w5e1)YIZzomJFMx(r`tCUx2Q^ zcMn^5TMd0J(QH*Gg5<96zCdX*m~#t@_-Pj?{X}trZu| zRUX1YJ1dFjdSfRPrF1Ya1T;LFt!ZDp+TY8})p|0TuyQ8dda4To_-rR{hb}O!{C7NREz4$>t}U&0LXla%lGjLOnp~+4ONB2W>CW(IY{dyNy?4MylPOVJ zieoyws+(CCfQgIQB~GWQI!!xk^u5 zpWpQ_kZj>l>|?U}skHS845d=Go441|>e>N>(=)ctNCVBn@C|U|Ceu+?eYOiplU9Im ziVw)Hr^V6lzeQGmO?_Wn^2kLw^{6zc)2OvemTOvKuUn26Mo&>tz^E)u?OgwBDT~ z^t6aS2L~zpgz)T=_vt`f-v&Wy8UUxK8~n^1 zd>-N6CGxXESKORz_8EB(C8;-|lI3^K{v%&qg$FZ(z-_!bdC%Ip=+H0P7l_eP$De@9 z?1z#|*lrmM?~gAG9f|Glr)5nGa3BUzGFRK7oZi}7jsboELly-?RvGt)>+nzmL&Y71oHHH2_J9zYXQEx#Kd|mAF>h?FuKf4Q}=A znjm&c9*&xMosu6tD(P_5;A@*9vn)1^f&$WBP9L9uwQAOVfUE3j`_!b^c#~kQGv#=K z=CeO`LM6=E_N>_N^Y6A6i{aN7S8v>r> zvT`|}k56@)iCIQ`rRcn~8dTT^#CJxebGL-&QfhuGx+&7 z_q+I1)K41g^Fv=Njis2k)U|QTaILwVh-L#`QtW{-chpx%H1B(1umJHdst|x%;_*U; zv^>8sjSUZd^9U1vl2X_yOf5Qwy3KFtT8{ikUm-+u?N-QoUfIt-_`>$ftp-zO6P~z& zNqn0CNIdU_1ivn2e|m?8X&>Wgx0sr-IUB|qos1VkcsNLpP2}hWBsJAm1h zjJWQKzyMkO#EIO50dY_FyK&Mx~IJ9xd0qkEWEf+wcpQ6p^p6ZkKvSN6BwZ5B` zQ!QK(LnXX3b(9^kN`21xE^p-H`upal;IdsPOI-W;x~~^%t;xxA!~H!6291XiC6e}h z_H*dvAdT?(hQ_u25G2t0^FhaQ{0y7$_k2~F&uqUh3p%@VFpL+;qpJ*8H61u5Qm+}u z-0*ax(r7}c+G=`}R=A#gqdc0VOk|TehXs}qY|?ryz3&>K$@BNI=Nv2__(#%2&87p& z{{mkv`S8jFTNx@+Z~Bq<(~Rs5w;7oR1<=Qb?KH>G=Sz>wT{#bF*2O`za;a)9!Hn11NG^@MGp!MY62m4>Vo;b_0`tn8GSvBWI}r!4SyGdK+!ojO zaq)jB2}DXYeyqXapH`$oqJpnXDdC9(#V>$;UD{nT!*uQE*JxhAe8@C@5NsUIVJA0-Q;z)%M@7o)ogXK|1 zJ&$*QP(>AQMb^!G!eg>Kcg)(67l9Cjo(0KY_c*f!a}?$8cPY3?X_`eKiD-V0h=H+$ zD|u@3j`*`frYh|Sv_1x_zq4 z{z&acvqxN|Q+mlz-?(~JdC5!EdFSCfEx=JW54=|504R}8Sq~N1df~i8X0jo4+4K%z zd0&4n>TdV_DcdUjN1k%j{uB4q(v>z$N`WMo;j4UIyY@1lbM@8>83$22LWz#wY0YU` zDsNraWLJTxa<);2EzWCF)&^Ph(0JmAH_jX?hzOnBOMZI=HtH~k?%JUv&j`OnY!54M zVoV~hNksEUO-PDcUFZs5y7_%I+|a0f%J`fvLd)IIY06jrM^nTuTWxlZ`?mYCx3QS< zR*x4qJI{EZjgHGQ4Gw+`wRMGWN=PG?t(ft=J*3IM`jqVCv*vL3WIPVK2OICHx|mfq zkIaGjImKLRnlSw>-|oQ&$ZQY|jyt3v*I{BwouRv}_g$MGCW-oWVrX)RXVL(M(L?Ga z!OyJ*b;^_^Wgn;uH8ohYQS2F5AU?*8Yq;$2fHR0fHI4OGnuQKt26!Ws4b^}d-Br!!J_!|iHk4?5V&~Qo@ zh-eTfET)F?jpN;R)<)7u*6LEsZEM(%T$FJTP`Wel4@LNvlzm*}`&&{Di&K0U8LG$G zYc``>7%ZfMZG{Eu=&fHy8whtp2{lPxcZeDWxa?|rwCx^frI zvVO!b&`!}Um@#mN>G7+{ySwSo5?5aVEI9cMWTpE`7FC+E_<%2n`f z0Dk2h7U_D=vKj3$dnl!dG5kiPhv+`UF>2ZE9F7qXrg!+{*(duwhk7!U&dOG@dj#KAqGpe#v@YV5 zMO9Nq0w-w8{$#^5I%25ca-^}^Qew+8H*&HKJJ7hPHWZS zVx!1f>u~$@FYWv`$(R~MOD%9Y34RXQt~-cG#ZiBgfk3sWgXg2?z4T2Q&OW-;H!y|H znW~K!s##jB!ob*noEOvfgE*gpJni^M|I9eKIa{Y{am)doLXlj_VRYd}krcQ2Xc=v} zTAhga>@;+@)X5d8?6zx~^5GGcX_-Dg_w%oaOsN1SCl@0N#c16PRgI`xeY)5OGir*r zaDcCxDY}FC+v`rwFg!5sNAz_Rhid*;x|D@$e2rBcAp4X}>&bkkPtQBlIr_?~b3W&W zJA>^$cmrU3@tBFAMo#q0M4!?$SAE&siiBnywEbDywG^*kl|_c}#8ZC#OGcLx zcgbjzbI01uF(sDrE17y!PNhU9-=VkPU{F%Lq&gSej)`wEfrWsyjy0^Gc(?NkV$C}i zs@;D7He+>Pr>h1OMriD>0Uws`6-v%-j{~kq#C7R)^+KM^oX8**r-VFoytkjbCB;Mi zDXFegvPpuUUAqIz^Qt}0N8K(@czfC7!U_$+Upu`;EEyBO&={Bd*vY+Y2;nXz=*fa6 ze^3y+tvx|0l@3pdW2fJbB)s|FHI!FF)z+)FpyvK~X;c8y*o@p`>Z&%xeXVy$S;Fkn1_!zs1kMH_c*f>H>G~bxRrN#}cxj6DiB1Xr)5un3;xapeN&at355L+~ z2b7t4qG#Rww%P&amI)t)ZVhJ^aTuHZ0E82}4MDu@VZnD%C1(+hY=^u#@0hC-J3o}t z$t;fD1vBlg$L)^(gb_cmWLoU4=3mdfCB`yj4b|vtlk#jQ#+j+S^Y@~6)T*ZBeYg;_HK6&X76+L zo^=r^$k@=@0PvJ8YYz*jJW7w&gp%#Dh}`2FYDdo|G;gtBOg%1;H3ibpNou|II+%mS zE!^8rJsWd$_sN$gnO@O~v1YWWC-@_L< zwCMr~)*bsK$iRnSwC-ia$tf5sjun0rDkK0HJPJ0UwhaOzngl#KHGy}2A)WnilSwsd z^G@E!&4E2B^NI`&KW9_OGQd+%%OOpD8FoTt8&-lus*5u3$C#gS#}WGg#cr$Rc3ajx z+3xzQiI~MGOLT8c06P;eD;%9k;_}z){|N#&LKs@^=3&( zskW2G4P3CHQkzfB{;P-7ou91TjH*yQ%U(A1B)NJLI?mLn_+GYEc!g|9&Fq_cwlv}$ zHqNq3cEFe=O3lYsR+r)LXsHxo-8eB4j;9?fd-{)GZk`m7U#pYn(r&v9Ojyp#0c05&u8wW>AUs=b_W-Nf z<9TvOn$H1fhD*NV28;wL57EEaI5*(>f_*&DOizm3v8ZHix6C={RRlxUmj;W5Kdrs8 zE=EdDdi$1Bx+^pcYI^Hr407x=?ZtZl%7(_??gIbGS5Z#9^Gf-O z9brAv*eq_-XM0>^d+m@UR=cu(V&LkB?UHCYk1$*046tOR8w2!ngG_o*p(j{vz_r9= zA--x@KlP-w>F|)LD?19_D7q*c>dX^7-?FvPT261kJx9z2&+tK6fqj@z$KOov>1#)1 zP^km+@P1yFGS+#5Jpg=(!HEpsGRzPUL@HbJhi&y!ku7lqyBpxF$B{h5g5tw=X;Keo zq872J(=T%{BvI8+l95G~-ayYKaD2TblB^2D7M2))kp#$w&OJG)rynItkH$xIH$Tk{ zt{qOBamxv6D5*()svGx!pYxnTq0u^G7(n$(im*OIx)k?RqGyZ;GeT55Km-k1M}$a%f{l?J;VX%e^Uk<9OTyC z(hZ-6<_(O$n@PRFlb+6i^w>MXvi00?UBkA!~&HHNa>GAME(}LfC81CmF&(0wQkUjUT zMk>wbcGJCz?UDY$SP%n>fY|}^Pd%G)+OhRZTp?%K!ywVt!Bqs`_f6h#IQ7BITF32L z;S?0AiL|3eLQ_QpDzpsw(5|GO1>F{rp?m>u4|5Bz5%0Mh|5V-VsLJ*_$s?J(L@nV* zTT{7{3v6PV8rCh-`1fre7Ik21B~*#RD_tQ?r2IM-1={U4Qq3t(;aGxgJOib+Nwg~Ogd0uQpLtyS4Z1^|**01uOZA{96H{7SJ?L~^&y&832PP@q6RL;YF zjMDze+Wt#L-PK|R%I{$E6>FrcuA=8KOcMX*+&V@Cd1&Sty$MPGCcB2!~1$<0jz6?8l-=3l)b1bd=oQgJOqM>V7QOX7_sY+s6$+7sC)18L13&VNW4+?r zf^Kgf5bsZe&CH#@U(z-&Lx-b$|3XC~C6ODo23|xcA#Da|$ydKs+s1%+RrL3G(pva; zOs}UiG`hwkpX1-<%w```6@tOw!Foc}{|JZ3<;N5+Q2tCoEe_&>`7>N(kj1dV+o01x z7w!zwIH4_;zJxPoh!!3IizowQWr6`yo`I0#d@)EPI%p8kte?}j`+ff>nzIUCQtz}S#2xFZxolzNic9- z$y&O3dAg2fO}cH_@ma#2wCcMnxp%o=kt(p(rvq!&m`6!4m9L(2m5N@g)e332E9h`HL10 zP=Um>Rv1*zVJ=^PD09Ha&*!+ucA;g>$f8E=Jvdh-$X3U92y(~?O|i8|Ovg#U;O5KA zD9mpYdNlL5w9iMWV#YfRkU^;Bj}ENj$UBa1xSaXJW(q$lVBp6%Sope zxN;L4(BC<~9qr#|&FZR?R8@@i6?{W4}lK>m;CGeB8Z|qF+NID$4i;xl-zEu9~{+L)} zbuMQ6&7;w`heJ&KD>V~e&n^pRa~>Z4clYop`vF^)n~S$LtKN{a6fsD5@i!AmcSyH8 z!)AgW``Q87a{T%I!J79Wn;goR?d9Asim)jLRma3{*y0dcebl5eS|ulFdkl%ljQ-4Y zZqtOs_h;HaC`~{V%i*3x z!;;ZY&+ll?lFLDZhG14(BR+IfTiCB)WdE~WDqb3{{kq!)WPh-BOom9^eWxl7*=^3X zM54fHBUPMWe9a^@-?CffE}W;BvqBYKY|6>wv5dey7u?0hg%+))+HUr6g)3cCxe&XZ zZf>wvNE=*`ax#2bdy+lO@JL7-aL=73TrY390yBunNF4`GBnt%`BkVHqU{CxHOD9Y%N)aq|VmmIIu=9Qky%YefzhmrFNX&2N;d) z^gM-~wxOAbjyc4p_AC2boZB^t$w_;ZvBRiNP8^#=Y$R^wTtmZGJ=($^Z3WSk1nWd# z5}pXP`nrB;HT{ivv+*i;gu?}FZ&m+0#rM@3oh4olX?`cIlh(r_`%2AdXqe{x8Dt~H zgap4YelHHwA}2Nacoc+Au3O~PW*Fk={e}-7wrh%?JN?LyK;!#gb@k3$5aJulZZ_D z4LD+mM$~U6cIjbSeak3xSU-iSbEbduh}jRd;HeI@*<_ZlER2W~FZhk>YN#FY5Ja=$ z2{PSnL=&3C_`$Qr4(=4ab$YBfv#i6)gH;;QNd=~Tr-+1Z^>K2yC(&HrA`zt$cnoUi zxq(r*Q&AaoNUKMZ`9^e)lDI=v=h^M%cW#C%;tUbY9`1}MHS*(N^1H0(gh~3AdiH)3 zRI&Q?4;5SOqwe(WVb!^~y5MSbaDBA8_=h0>b0-}EvO{P&vhV#3>Fm?5(1yz1r=U3Z zl?F*oo)ywmy^3IUHavj=iY*sQ=+GvvixfHMV3CsJnoZJ9L&-&n#&hb4Y!6CFHmx*u zLA)xnf7SDQKDT~eM{e)g4!XvOviaH%qI=?cOP$?n;)dGICxRZx%_`HkF7ILOHf6zD z4?ETHB?uq?3UYWaLuwdou{0sgvqU@91b=%P6yK}EzUM~zKT##}cxE-|gK5kmBg+p!Px^PJ?;fmKI!-&)GT#pLE z8IcBd?hIf@kP3Ia-evu_Li!ICCdOk!gOn&QojP@1I^p)=q)CgUqnwrnH zyAk7y^KqwVqhI#%!m6+&`kF_PknXakt=3sxJjkHpk*5eGNWq_PS&sE@EKEfPY$$Jk zp^XFodhY^2PG9cg!DsSBvB0OuYt7x2;z5>|vr;j*cCQAi6yL1ot~^^6^KClB>}-uu zs&RiZ+|Xzh14M_Zw3GvO2-VB5WD}~gzGQ(fPK=cUIK9qDj#b=5ozPk#7IeY*kAcnx zWvO|)HcfSM*U1hX|Ez1HiwQc_Ph_wC0=Fm@T~K{{qvfTPLE)qGExZFYH`Lvf2ff_z z!_ipPE(>(scKt`_|0D3-{t?C$gC}mnaV7S4kXNK@73a}DWu^9ZX-A}ZrKJoHIhnly zIZ?ewb63A#8qQAGr(k@&)0dsI{KN;DO`kOcf4M9_5xPxIrM~f)wAPgfQ+nE4Nx=c?m zQhYXW_xDAOZ^DwMQ$?{8nYp1UTWn*&rp4|nIYBV zT2<+x-33FkmdB6J=0y`!WXDK_CYbbg`g59L9<@R#iyV|=^xIH}#O60*6apgw5F<79eO8xoQ33GYszp%68JMHYlZ`t!>}X6THee(`P0x^(9JTp=C+wn&%$=~pPXk+{Bt9)8NKj)e(ugp_Q_0!s<3GN zDRwjUp)Ir|fJ_myq1y$Z0Zn`%wwt`DF#0VaVMnaJQC|1$5d*dVx3 z=?usAv1e@6NEj%15j#AGgOFkV7#4K&lH}y$-W;%7!#Mog++5&kc5 zssWKo^**rNPap5{)gGKeuZ++Yt1a*?{hEcmz-UWxrB^;_LRNA*lIA8FoSnR-2vE%y zt}6?Y1M~^}W^hALxm<|uR=W}xh4u>p^X?~o?z*s#Vd)miy#mY&1P>v;zGLePjBq__E6zp#Yjvvd`kSB5=9;Q`!P6%TjA^h(Cj60n z3(Ne|I@VqD929rR?Szzi>}A;3ZM2f2rqv_Y)tzY8_CT|ZZu|JKId>$Vkym}1P)Po- zKjEc^ZzY2b{Ilw=Cd*u5=7!^YCyu~N0s139c~z7o{Rm??jwX~)<>F6tmL}Su0S-GWa1yiG{L!*XXMsm6pG%&$=xPFmCet?{2+M*SR=`{cvLn|C6UL1d8wFu@{$e zcC0&T@GG^*Vw&w)6&^<=* zpaHmZYx-?V1GP2M1E*Fvli53*$YWd^Y|p#dtcf`qA)09=Db6Lf#cvJGPS4JCUsK7? z1(dOq4kdl4@Y3h%dMTlH5kme14OxY8pY+jAuRZj=EXR%ex^#+mralcRIt?k;&0Mq) zS!gIq)~r(JFYQJwEo26zylqY;sbG<=6$e?Q1j~i~q!;fv-jX?y>EN}J7dwcc;|CYq z=h|Yl^h1J;oVs~PLQ{1P-jdPQub$>c(MWn+DywO)|S|dm;yn zXP5JsqvB1L6GJbeFECb>a*cPqpG!<43VLKGvo5o6j%cmQD{ZZ2C2-uT9V&xOs9D<9 z9`1$R8GPCU>&U-?112g6dAFY{ZwXy|(V<&gU*|@|VC0!hD17J|2%=+k_q#9xc68(i zB=dqVSkhA$dbFpyMfP9_1~h1n^Yz((8%nyERI>Uw7RA^>oS~%?UjQv#7?1{*Zo_ox zE(xi0GCi>wJ5Uoam)VQ+75*M@Kn}S{o zWM#5JS*q^P7OOfbOVmKaw2TJ$hY+Z&PF>=-u~S5_pPO3K1Ln_wKu7!{349=`LFM~;t^)m&>$mPtlJ_6@GmI2T*}M-6j}nN+py5OWvXoH>r(7DEvO*3E9V#D#*SLu)&;bG zkK&5&ax(o%jQMjoED+UAUUV!RcRqTquxar_v5C|=uTvG^N+vQSsJ59I6T z$t|npRet2_7d6*_^szHh}T30w4Hr4W_17ulYcVLqgMnF-EhL zxrGIXv^<*!-<4QI4qhGAgl7>QCk|Y_qodD#x!(aXt8AfE<)@b7R|GD@F8jjN#cAn? vlr?vtS*|Y4!n0tQo6h1Rv!!#_p9U0x*#ajn&vIlb#x#AGpMM?GKR^EmnbP&p diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 86282424c882e5771a771c87a6aa7319d775da2f..029896924ba69a917c80eeabdcf8420e142522f5 100644 GIT binary patch literal 102936 zcmV)OK(@aW000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*Gt43jhEB002yn0RR91006rM0000000000 z000=00RR91004La%)NDZ6~`Ahz6&7)2vXb{w7}g!&K3(+w75%fE$&W%0tpZ#L4y7HEtm6QuD zpaT9m_!sW|zy7b34xVx0hc3#0{+4%SX<4Y5#HFSy^qYXX-ud6Zll})CS1ieuY**fw zt^5;L)^wG^mCk?tcCGLFTej$WM^gAIWK1SFSI{K3_P)F5M?z&O?@J2uj;lmc7T5Z& zzg>0y&(`G~X_3E0>k?PCB`Hg&EaP1G|LjuVT}b50e^R!yrb(I-E2XIOQDCKZssCQw zkx#Yj~$-lfWd6v*LqNcnfH6UxsJCaXn1$kde{Yg;v6u6S6lre?4 z^G-k|H3>~6?#H_DFKbE}CG>@WO8v+?68DX`FMrF{U8zYP0q?nvIGo+Vdlg%lE3QkFHPt|U~_m)uI*NxMjGOD$y=bR@2nPD&)95?7Xz zS{70Nk>E<|P1b)c?nn+L=Th_XPI7@IEhYU^N+BsrT*;y2P2Nu@uq0GU^vr^fNxzb^ zxcYirS@DYOAW|6QaY&(i7TN}1M-e6eW$Eqmx0F}4l9M%ADE^#TI>6{sEC%^^e&a!`vnY)D+7vHuA*JP>}lqhQrh&ZUeXx%!SdgC zj3F`1mG&5QnvEJq58Y1^e$P&+1yn-YUwDwCr$pQRqEea1PgN7x{S|hZznO4mo!h=p zERc3MBzDGB@z^8K>}+tNuumkfWu#TupT4uS!@hEzr&oih-}8r}?Tg9dv5RuE)=jjn z1RFKR-Fq183@mC{S!A8r3(#(TNoJPqQp()+aiHO=RR4slSbdf&6X?d)-E{khwnhtuJqUu802hDU z?Mp}JO6>D)@tki1aX)Zg(@bTCmnm${+1=P!q*$B!nbCc~d4crJ=+a+{Xn4sMMj#Up zhe=j|uA2vwxc0IyHG6$ru-4O43upq9l;nSU1CVfahe+iP85wN#ht8GOT8C@iL&ac~ zZx#f00qC%O;cv93w70pgKyM=}n?$q?=d)fxx}iy?)7%VCMBBI6B%n@|Kcl_5*8Hi>MV$8?H!iDUvK+=ds_o?L~icVJz}AV;hz>)8*}NELS>j z<-u(ihRakB=}yPzMbqv~)r>!s&R?@5YeSi~HnP?T%0B&+kUM~pgxp&}+(^#g>*vPO z>)p{bXTu4Dl-$4*ajk_eyFigEQiv$&puFWC0^~cZ#oBtb9UV=2LJ)7u1{E=u>pK&V zWd?L*(1~BKJ0g@p1@Wqgh5lLrYOp82neyf{BP2oFyI2eQ_=&e^QubWt+czbRluB#a zq4PhOmi1?+0P3A0QncMo#n@w5>^KXiE8_R2>E5eVjQs-ab?~(tKP59O6fA7+|9Oou zOeys`+#w8wBi9nj6MUaw?{!M<+VH|mD7KCXq}v_Ni?*+;7+cS+=sAF0R$EGLMIR8d zLp_Bp64!f3fBXI@@;xZSV3vxpyU@r~*kyS2Z`AK+jxn!U#$&}=X>k4nSJp#^FC(}1 zhiQ4r!A5^3z8*0)3C`3QL0+-IWLnejkyHzt#1Anx16)1jA9l(e+hmrbwlc{u$m9bO zKHoFZ-lIR5G2vg0D@tqKkvD{N9VUIE@LgW!giJS${fadaUNP**)-9jI^kufEd0}E} zW2KTCWc3jsF>UZfD)UiBNeX2#IH6^-Gih0KULp7Msmwa%-Whw8JG=+yHQboQ&N?5+ z3jNW1F|xJcq1>T6n3%$hT18q+qEGQDH>GmLL5QEZQ0n1FhiUDDwC1|SeT@c+H3Ld* z$c6r;(`4%RLK@UhnPec-*19tPR(r=cs&JvOIjg}gBQH3{^^zfa4LEzfbbBbG+jkLy zLz%cpJx3AR;%Yi$G_CCzI*98t<7_rMyPB|v-FG9CH$NN2W8 z>h2w+OtKhi$ps~)3)0L}XG@!ZtvX@U!d+a~QFKG)hRgTT+YG7Af-g22TX7fHYB2pf zLM6g&6(#F;m)>=qYg|-V9np5chw(JD#08qJbucC=U%QRy>+i;NqBD-8D_Nx0 z7BG_Vwag%1IF1X|cH0}zjjwRAgxAfmYt*(}c9B!PltCAu*=$HKL*@t5h#Qi)zlyQW zVEPGKn2_Zy&58X{WTq|3phbaQAI1DX+EdxoGCR!Tv?nrCKW0Fm<6gDq15KH zz+Hxq^0f{OvFgXM)_$6Dit6?ICOXM3ig-Wh6FETla-T@UR!B!~tbFYl!2WP#Wl#)j zsw;yY2Ir{}-BX`5MycDaAX5XVrBT*>l%r!R)9X$( zqr9@%DiE&))-L|hf%dPTD-zdQ#rYg}Mm&h@(4^pax@$_#?ZnlK0s0D%@VL33w%$u+ zCP-Wm!D2_t}`rt?X>E&TVW|7OTz@ z>NC-H&zBV`TdsxFd*B+wUo^)>O`CY%h4U#c&mFpYzphbQajvTI@W`_rb-NQKEVfBm ztR2*{9%jq*!#J{TOSSl^!2u^OO+Sx5eqY{5YOvuo)w|(s3{=E_=Zt5K1#1U)m7{zS zbA%(eSHuT{_z*61x8*~qhKE$kY;H|N$t2{W!}{#RzL1_X;uagaXz`AKN)N99aCAy_X6*6s0ly53Z3ZGno= z3Lw$cHA0bcA3%+`&Y_j7@E4VG%NdrD0`+la1y?utFKdF|XPVW<%N)J#nDJWad?y36 zU+~w2G5cxbqEzOo`K63KO74vSiw<`{&tWw3w(R{sv(81*EQN4M0S0Pi+ecFhe5V2< zEhAb5k%6wJF8a`_)?2Dl*GnW#dnoydMX)f((ySjRQOq_e_XOoF;ZT?dNK_8pPoGw% zGMA64W$=L9LIZs)y z6AgVapBm~djCPDI;`aoA?(=Ezn7RXoA zh~u^+(Dr4vjg}dC?eO408tWsyWiPkg2yNeHO#K-KZL004=Gqg*YOKH*-Bs7%sL>_D5_ZF<&*%;NXmKb zaQ%gJqu&D>!gE1SPJ2SBr$9Fsguk11K1HRI28~oo6@<5QPiarEG58;-Sc_)j`Ute3 z2LC69zcm^v)@HJHt-qLtJ|^QA@=I3O+}3iVk<_*~>TO}ISUq<}()~VnXj`S}&V(I~ zdK(z3?+#r-S5{u4)+2ixotbkHz^S0ra?s7xk8>$WJE_$B%(+||Kp#32ow#y`!Yln8 zSJ_E~Orq$GIumck`P?dbjEY5`HJU4T5a5a!%hoKsp9Ww4L`~Pad)pJuS?DJMSPRy{ zR&jLhU}SrAv1oQI`s3UMGtiLUZ)x+=5aT)HW6!Fz05+R0ZA%rptqP z%fQ04tbd?r`h-);sNZGh1olP=}euzG1JXC_! zfd~V@XyZ3gl=u02a{J_KtXG0rpSjtq2-9Q9T2kZGql93klwcq$ulJXW(}Zy=5(=h( znnuf6upYlAv>2~m^7I#-q!JACPKUxuURW)6kSw4gJ9OW%1q4RI3Ga++Evt!|3 z%uW~z-axRcrkv+^31olBcKXDZ+f4NW^`1z$^?J7r=NSZLU zUKWZ^tED!dN0c@j6^$~)Iwa$0z0kYXfVWI)#_O49ABbs7ca?hbAhdS?`)$&R^q{)z zELt;h5k#P%RYJP|Ei{|CuVC%*c&+7%MU| zMqEg_nwG83YVygWn}42}ZJcAlL@&6L!PY=D)jxa{g|>7TIhB1$w#}StdQqf^kXfr} zNMi{GHT=^)W3qP<6XC7HR?*5D65NBcyim(&%woT?l5}P7UKhU^9Tn@vzA&O21_!?m z{7QY-d6|{=lrVOyUT_N#8-v6E&#|;DuN+~|U>ga8hJy3ftR-C~%>p3}F*ywof^7j; z4cTJW;3@+tw8R9V)NO1Dp_XzO2kzm&bd~mo{9WB)k9rOvK&oIoIWVAn!+2*3~^ zN6HQ4(fJFVSlidgspR&8n*~6#egB$Ep^fB_>LVLe$Q_38ngVRq_P+0}j8<$=F(Au_ zJkSWb8RHX7d1lJ421|bedo%;|yr`oh6ghPrd==8MAlR z-E>Skk$iV_nd&js;4OQWcTe{SKaa7}TI;nGTppL=>l zQIcK~tg@a~93oFb+oQE8I$KqOx$~EDXNS%&qV1S{Q8X!7f)!_?0WAs))c1{|$}J`M zkaDJui0@$Zb;FWvqB)r-QMVteI8La>yMRs~=G1CCuq<^upMy>mA7CU=O7)0i#8hbG z>hNINGAM#D7@VNop*48KGP4%CZ5jD>km1jN`wN5SU^4n!H^x?B;8$|C0kBA#J2=Ly zgWR_MaN0~te?aDQG%Ylj6ft&GGn8j@itqlj{DT@BInoiA;*zgviwe3h;Y2Y%Rm&54( z9}a8nn^HQ zS%yJ%B(AXl-}!wtdH*26li1!OX>zvpwBkSDcJ!AWbT(ygp4IT214XL#narQgRW}>v-s+68$3o}Ks6MT244v34^yHR|J4|u8z4RtK5;zd9iO-J)(M^4elBKI zPZ6fBU^}OZ?(#<#%yI?W*qy+nNZ796yBr2BF!-Mhumi-EGnKP6?;+3=u1oll3#waP zA({;Mtt)iEab?6a-Vf|E%Nr9Z@OxF0Mmp zwmi&RGzC$TV>;crHQy+xvIEkk_J)aDb>@Uo`%y`Sy`e>cjfF$(Sg^F@v0*ehLV^!* z5Q)^Y9Z9ng5|;`h^uYEO6hT51st2yt?Ss)993{NgANfLEw|JWY9nTw+geNh4D-0S5 zUrQHqlCqckMqvdajO0)RE_ZMxHqNxZyp`6Sx=(#~EjL8}1aKYb{0F9G^=z<)`Zl^t zD>^MPyjgBBr1~4tR}>zVwrnV!DJe&Lw%ni~ub9^xso+9q>dAoHN6>Z0VF4iKhe#S6 zF2R%{e&s)eS<}0+m{y)oJIL>7N)r>VI9M3hT14MsKtj2&d* zbyqO*T!SQR(3 z@LbT!#}-Jy+Fd30@0fG+L0igGk?#A&#bXCyCfOIgpo-Aqxi#+*R765t=1oRGU7h}Q$QvRJJ=%N*lW{0|1x zg`o2nL2c>N>!Rd2wT+QRMKEXN0Bs_QsPcp9=J8O$8lHoQD+<^{Q6$e>ftrDO1>W&&zv1b9Q=0CuQP@Hq( z4A2}PQTJRB-E>5XrN6q0wNj9YM+p9!RplodvSNNh*0un<9)g4Sqn2W=G(+^fs1tg*BDFh_i(1A`F^VeIZZp6_kZ9#2=h8B_bAmOVuF2~a z47wDo8F_uEM3qE~ck69Oj;&G1XKs;Ff;G$~gh8tzukll4r`9mpvsVrZB0Ka&2&&0m zjaDCxeTuc2+=t328D3K}uP@JKV!<>tMp*I@Xhw znvOlgRBAKD+6QFY>_WQuWr=dd zCO8(TwlRFyc|F`;Ygw!={W{4Y|MkI6rSi62f9a`J0w>eJ8yDzM_wh6Pjcqm|g~>`|R=c z85{pdqqP#OJ48;0l&gB8T~Q+(=T+Me*d*F03^(t!sY<8T=A%*}D~)NYH;95e3_$Tm z<}K85&;`*OWKygxVB-2TwD2*k1Vs%AO30e1CW=kh3;C2gBE6+N*B8m76LW6$bJcmd zqw^f?6>D{&unAn~sTcdvh)O1z(KU^Uv)+CZoMSZTy!JCE`q(&M3g4Tk7Zi0w z-K2rOK1E8)j9gW5J{NLx3(hez#tu!D)}k3x-_B5b>`-TaoRJS>OI%new%quiUhd>4 zI`uxg=~d6eIIOVm;Kw`uXEn=TiPDswE;uivI1h!JZG=I~WhzONeG=)s5)`%p{B>CB z>r-i*)Oi!dd0BA9b6Q?kjDHpz;j`LdZ*~?tK&EhIea^$?G-tX=I~u+>hAE};?lOOE zps-8N*VO*c?81@p1V>!U&}=xcixu;wVL!+jw4IUL0lNvXi|`{$-(bmFTII;`MEF0& zT6C$Zj)$skCwPRnFZsn~qhl@R8e)CM3Bs@Gyi25eo3qqM=n$Nj zRh$b7yTN&6`4Y6|{i)B(pm)iz$Z(DTFk zGHR8DeB2H3jgV&bIZ{n&VTv?bTy~4e5KBJ3y9%d z8PvyBn~|;7;4dcq&(va1qFyi-_Fe^#`o6-~$1hxFWR5(Uk(Xm!@Eh0BRR|7GKisiU zvDOF{n*$OhvezI76{Lt7p@wo)Z7i1dlk@Q1^3Ji2DmA@Dr;Bei_yLN%GGkIW7=38c zLTf1C=2dbJ`DDbXFnG)LtiPbOr6oVpsTN-5nI%VzQ>txl)zZbHrB~EGIvJeGY~Z%f zSf{{w5JVNh{+PDg=*aCH$4@D|Co9%Mfjvu*D3Z!NxkILVp2x_m3$S~k z_!i!pv|5RxB)%5^*Wq1mpYSr$*QRqi6Md)?tRBYrL8)>f^*6=H0eplSY8toS6_4lerUV= z^!~K=-Y>ErQ*9%$YsXQsJeUop>X17F(`w4Ne99TH%6Y-y78&@NJh)~(Yt zIvFIfmC7}-s8N$c%JMwAp1iV2u)V0!1ic_HEU@rHS)p^|+h$3{La|^?6e@8o8+6&h z>xGH0T2K4aMz`{GFno*ANrgcu+>94T0<`?GYbl`RU)1fvT%$0rQVCZKVG*6GAMklECZ}h$Q5d35QsMRNW>4n_~cyT2AJJJ zUag_j9Y{T{*r(Kb>NS&Y}-=-(9WVa za3yZbeH+2a5C5G{a#8=8QfgYbIPXYe;p1PM1sF*l|7#gwZ+>$pq1@;>1^5s2M9GjH zT(Rvaxx^lAWkDTRu#e8cAx{iDlhN+?V{N)FLw8 za}aaIw$&nbrh7upe+9RJHLxY&-@zbb{hU}WHgVnv#Z$4w7PG-Opj7N{NkH7r4rig% z_9$FgvmdTUVQ|3Hs)QD^qlDL|c~zgqPT&p@R9w?g^239KYB{4UmKBKJi4B@fS*#-? zTV)fs4MPaxcz~cMg`BROLl5HmokbQ`0@l(hFs~z9+ULZq*~F7TBPSE9`O%XE&%}?CTqI~4lQePV2j^j|r3BsrUIch9mtt3M0^$sn;9pT`Ib$avZbwNt zOzi^;xw2-f1xsc60dVRHw!I|x1ecxxnh@KZHQeW3h11FS8EjE7@!)T zf#4j1KGgE-Nbh?4Qok$BoX1}D;byI13;~`T>`YgOhtkLonsaj`)yZ9a6^G~|-c#Po8#r5Nuh7F(@i zpwG0B`%KnvH0((sGqQr8F-Q@|Atcsa5bynT5Um>cNX&t2DdL?mw8#x_sq22lCoa<} z0Y0dR=K|;LFi=*+C+00;cq-yNI`X%cAq@Oxx274U+~nO-5f>sypbw4u?UGOX_ERKr zc0R#*2jtYh5wJ?B@5b*pa;VI;1R1#ni060PL`##sqL`Z9jlN2$PK#O9Cye)=MZq>ztN)k2eDGZ(ojCQTln);`mB#E=CMZ49pB$5n;E7;ysZ_1roz|sKpg*k38DI zTav)nT)}n-dI~=W`~M2&Cuf=^D*r3k0S^8fEQX2y4KA;+6KJiP(pqB-$iA{}5~yXI z(wY!n6#vTF{|C4>5<}|$lp8yC_5bF)1B3sETCg4XpV)R@>k`MdtLMgb{+09dtaF{0 zq7%v;sMONX9!k1mUsh^4U=Jl-!9oq+u);6%Y($uWP@vhg!t=UiHi+j{|z3^ z$p1rYl|lO-*tXVq>w;!IML4^*ZF@^4)~RkHfK_LKSGpel?(hCa-9F7TwyO*O;52o6E~-S)Z5d3_S`;X)gVvh0&3Ti(S3n;f2_)Y@M4io`(2J&avr4Y!Od_ zyl9BN9 zG3dmn>E1|d&rt2w+w>t6BqYVQ`P!1#ymK$IUTtG z7|^%upr3}ti38T`@E81@Lz(u~XiCym`r06N2O-!Ah&&m&Pq{|K(#)Mui@9F$_S zA;y*f&taVlrD%hHjik!=?^3Fu*2X&J=RMG@AT$4BAWgjYP&jfk_4-K!EY_Kgt}E=P zncSVO$cKvfYYW)uR@un+RBB&6;cId5--Opt;{(-wDZ%hhnUU=}*?#*#KP0K2(2;GZ z_I%HK%5+3xGjd|$9;e@v&nXE8VW%UXL)uHduFS4RW6#^3=W@Zyi)ca&8F6(xt^82WC;DSB!*@dcw^*(`{44&sNyX* zrT=m389ko$quI92Pe$_uIdud&lokE`C|Ulw;wS=~i0Sn6v2dnaJ`?H5O_}a{E48eF zr|!Zi=YHE2wD`vuaj;w&R*UE?_!U<^*a^aaK0l0lo;@bKG`;bX`-tUZ9{f><$pbu&V7}e zyzRk(0L?`I-FNhK@`+g{Si`PRK|F+i=wfw2^+X=)=Q!@Ch$q>XWwQQ&Nwzm{NY7h( z(}3%ObeNY!U8?Aub|$glL$|>*F4@pEe|-sSg}`E(8K8xY_ECvV`woNMTVEl zsYQMXj#G}zyB#ep7De0W6%;&Hg0b~maQ+I!Ioh4R7M(r4(M54C1egZ7FZY;1wRZKV zm3QYE4&}%nu)2-2`>Z0>=g^w<@;ynkEusXkDr>_LG$Ci#(`g>Ff?f;d4rMsW=zWkm z74hLG2Z;CPgfl$@oiFh7^sgpR=2`Nn&n%oSM2x+Iqg3T8Hfw$b#yijYLrdBfwB7IN zOez#{fL>6HF;VGUfS0g=)$d<6v)*&Y1H6JuB?8;mHn~F+_sh1Qr(sxsr98 zm8w4+zk{kYN(2@pux@0P=dt4Ok`ip2ThKH5r2MxNQxm=UN-D zG4cKqoPm1}ksUbD{k2pm%(*iuSN`3UFJo%wn=yP?62A+`h2C+HZl>$(YliOJVg#z> zfu}fnT}-%&@oNy}+I^xnZ6+Bj*q~xC*c{DH1PQM)%js5r`Oe;tYUF`~Uvz%r!3QvP z` z1!L?W?q-JaRcLX{NznM+wBv<*wT(&27`uYRuoOL|*Mr?uDU-zZ=C(zAcY@ZyxNR{{ zk4?r&m9Q5AyD#d@tGbT5tdrPi*VddCf;w&5ucdE2CD;b_vx&!^SWAZ|O0cc9a!@Z% z9QpX<4(sUhCMR*h&;Ty6eU)Dz>9dvw^poJa+q_j?XBx++J$kJ#lSU^qREolc87%AUw}CU3O;C%omx-Rab8z6A55 z3fcBLRD1#wDl=6qx7`?_dO_~^HThWKbKn}v`sgC1)RT;e#zLYs0rdYB)oJ-*M21_|D3$#Giq-WexRoyF~6;H1Cv3?&sK{atWPT zx0!K#%28o+@*M8R_^t@xkr+)ecYNY){l)a_ZMnAwzA0|EOYVV%Npf*TonIK@Qzi<#n=y~Q&0#~o8=k6d-l<_}d^)40 zUF-XZa&K}D$VA%}Q3e6KL9;K^>uLOmi(eNO#J3|Eo9t^^nWvBF)p-fl5-iq&f|h!1 zI_hyKLL`qQY2p`anJ(KKg1KUUe-pplIu%poKjAH|*hqG-pqPho{Hq#c>vOhzq2g)f zSkYF?1%~Roz+aA)U+B0;qM;;q^=kamKj+<1kH~MUQeP$F76ks<-jD_4Mz}4SK9;eHN(6{Ll8zT?lZiS(dWWe5kbp~B% zxkBok5x)WZA~X^Q?7T~6Q0ej#yqGN^I_TnP+eC^`!`Sg>zd6#Vp~We*eF9qQyFQq@ z+^j`C){Qm{6~KI7({i(2w0`$OXi>&{qV3}B-ojg|GgtZt7*Jv3+}K4bLiubcf9nm@ za%@j4TAg|xt=MwXd1Tf|a3xxZ`f!=DUi1+I6E=j55^j~(TVRs#idSgK%S7Ob;JhPi zNWa(P3Z?!k!L~sKU4EO`(mJ-dLg_wAFm&#`L?Rm2V?W&=`P0A5O9ZXaeJ@k(GzA10 zJMD#1eW6smE^NzPp;2n@FUr~4K!g%7-j{0b=Bc<6=EkgJjeM+BF{EPK@Y8*+qxar7 zkFppt_0(pXf;jfb>;6#PuD&VE2~~W}Sv7AM;@K@&uYqRyXkLEbz}iCxQlvC5u9|A_ z7*JlV;R!LwOq&-iq-o19QQ#pzgV&wqbr;iL@v}<)0D8|$aQLIX^3=vqdJLq?JO7eT z(H3%ZyF}o6cq1NzV16^t1q}NOl6O(DoCg=KVD!M^aY_%X?!u5oQv4Z#^47P$Xw5U)hrFl0SnMJF2 zH;yT}!JxGmf4!R(KugxkuBH?d7lR^x^G%M=`CSKh>N-e12+F~V5MzfYjHl(`QI2iz z7kw`oVq6Od&h*v2pau7HQMBoro9RCGsgYTA77w|KCC=Z83Vuhy4ZKXxVwH?p3cMI3 z(9`S3?scQ&S)|x-S6Qo55taAnOHY-YNIyBY4%hxA4BgC<}_{8=kfHdwzCfvdYy;VBfwYEPN0#^ zBzP>hCbC0zOmgS24t49zaT<~;nb}}$R&QQ#lh4i^S`f9{Emv!jE47G9QCJe0AMn-Zo10V%VnaY`K;#(^-WB|T`%vL6_1WG!9(P;a z-eol#PN;3>zp+~M-(n4$AL43OAkzJT9eb(iAvq|5pNkpQJM{iv(2uQox0kM8lVFun zCHps>^&VCPlF(n)N5)<9TH zj5lvE4SOeb*7i@3kL2cdvCZOg9LupMYymBeIwRV)eXT!&$en9j8SX?##dOS9Z>CvaC zA-1zS3FFt*-&<0b1GDMbz5YgDo*N{3Fc6z=Dm@=955?KddXhab1iQ|R>WX-oY1@ot zDu{MMumWse>qCX()OG(?x_@DhG3%ei&yCzgXG7%k5-8QVz9x8FH)j_m4V7TGgk0mV zIyH7tssIUQ_mKAHQ=kI0YZ$lGy}gs-T04mg0(`2Bzg7_Wyky^GHswd%KxqU$?}tNZc)e|&$G zmT!~9`6-i(Z)Y9lEe&5hG+wL5`E6?+@IWyC#aAhyO&as-xM4^*_UZyh{WE_ z2H=J^ZkB@L%+uUyjW%!?kcg78=mn9R{VHMrV3R zrLJP7im74;80#%abiQzbp0)BcjXBc|tfva%OJFQa9rRr_LMf!G#I|>!tzkrcEL^ev zrulUBSgdFpV<-`Vx4`))6y5k#3jd}O`!rivY7KIKKnp4}O&@m1Q9)@9c=DQdraKca zO@#}5GQ=Sp3LyVqIq(fVi7jZ}PcqrC-!J1&k;IP`D@IPGh-}d`^iZIIZ)pg@2HAsy zZ|kShuKtp9JN!k~7SuQ0n@Y*%NwCe?a~4@E3w!ThGL`=7BEezmampxGw{=+9+vO`> zgLw*_ka7E~5U}0iw2+f8_?hWY* z?BLn^C8t3}h@WPy>Eh(8jI@MCmXrpywbmALkAbg+>|IR5u1f4v>|(;#_ZO#VkSlXDaXhvF==i*c+%5h+!9u5CVk&4!9Q_*;fi&TU2pUBLK~5H7DeZ~Nm`Ax z25%yiS0vK?YObP>R>2!!^~4u`|(#Q zda(A1pf-wx}v}7Fk>uj_mTrqb|LAC)kRw5zpOi% z$I`gRa`^76mj3t|G;hT~2b)80$s_f*0b4E99I zRoKt=FEa8g)&zJq40_{1D0S#7SBk((BFUD&3Z+JaBslJ$;Ip+iP%%S-M{-PxMazWdnaR>(*m0O>k@|VfIG@tbCyNOE7r|V?_L8TQ16Qy!A=&W%OW<(i{6uZbiKgJJ z2}iO0d@L|Si$q&7M4dX_hmv_#o?{Zl784<8%Vj^ZT(@_IgTGiJuPkWx83w@}+?$a5 z??ougbF*Q076?x31gbvVyolN_ctqbnj4>LquZg6|&&T*>CD5`QONin#(-D<4E3sQ? zzDPZa_zU+>#s=jM0}uw!u%_ic@+%!KnbW+Oy}fZr_1`;D4`1TY>c5^u!^b)&ntY3o zJ_mMjM%Frin?xC>NN{$B;8CMozY?30@t5l*Y~Bgs)ewd2)q}g557^^@^=9oO{9HY` z$3Cvq>`kJ21#VXV1)Qsm9C;fwF#Irr#8nNsCWKk;uAtixhE32#RoEV2dy_Z$I+$oGW>Tj06aj$zmf>S(U_8KVj*-z{6xkF z#!97B!GIsaUoZBYk{`}&ZY$W|*ufSPSuqBJEkssK$*U#rYs-ZFKy|VF0L;=#K|@OJ zpjw|C;w}i!M4*e$QWTq1oXpi}P0tR2MrJh-J&N#u&wp9Vy+f#LgzTt(RKvLpNFGN}ps=etN>-xoEGFy}%oSSi;_!D8F?b)(chq+0mxM5&gh ztN`mRx*9d=Ia=yh#~d}=I{@MZpwwT>`BLGKY*cjVT%#2e7u{TL(7gbq_N){}ooC2r zvDevzB8Vy>(-%j2SC6QBcvUf&BZ=LI0ag^c_sHmewDRz1k(r*bQssaQklA2BDOEdh z!@+9>(pwt2u~I$Z9NSCs8DxV}n|RooL@6~8_MVL5^v_GkFU4jMa@D-L8w(c)0-ZnTO9#qe$@9zq{vwIrHoHqEw# z>if!vj`kNRL<^!&f{j_b+ObXTZ*hXBJ2Fsx{3{mxVVNArwv zinU`1kX%SlyVoqCU-$6SmanypaOKEV8Ck!FtXOBla0*EyyVzvxVxoJ024mes0B`QQ zfogYtPKXj-HkVfl*gHQustO05()J^1&10{EjHAle6l1&?KYAV&J0a!fyVBQQf-6x+ zxx>_!-UcTJ>8TmOl>o?{VJKULJecvfp}i#&sxsM z!cFP%qxmTLJfoH3e3?x=?)oZfobw?SX;V{pi->PQTok=K4JY%w+^ONk4j|MbAl+EA zx+1=@|61du3c<%v*gOp9JkBkk6~*t;?DBI&l!y?_4uuI4Ef1}ueREQ zz<}~KH(pBPL)#=nN0C-ev6y&La>~$2EVE0uZ^A_O`%!j!gP9tL%vPqfAY{;Jt| zsn|Zv962G>;IDSdD~#I~pBJ^Z7;C9}TmB^6?NiS06Y(*lmVOS2s9~LR^u$VG7Pzt7 z*r?p0D&!79>dEZ8fQDp_BXdgIQ!JmMxBr`5>g7&Oupoy8*l{6X3tlC|#~{z9-p zAdGDj_8CbZ>osy5Kpf!84bzH8GwT*tpw&CPL{5!STI+>u%Zuoo)aOi~YV-aQ*?~WW zDv3{q)*iuZsvq;LIL&ciWyPB4qu3w$jKiPnQbb<|Rq)zl_^T)?0;c(d80LJ<9%@`T zrCE4wW23(U17f{)V-iWzjiUi4#gAo@12jfSWn7ZGiJ!s?TR*8oB4pX3ifUZ3HW|q~&yvuaENCbTK<9&$E=~?G<$lQ?d3Poy8~Q zwW-g>(!yZ5U-6upDkiZz3x)lhW{Z=Z6Ls&?BiGV}1u|iy=|p)gdCqlI?WhD#Wa6S9 zwByGFkj&SvI) zw#VSzurjaZV7Jk>!m1IuPf))RU#ZoL(MAp723+vxB>c77uxj5vqv^MGJE%ZxE~CBj zmJEpRo+2ym`k87Mm`1%8R5gC*_!j-xON8KT?3JwidnbC~F2@cN)%097!VhE{j;550 zcF>wS*Z*x>{-S1AC|6K1(F?-&1Y$3YK}VukHtZ_x^e8UC%AVRRZdMzMqRh6-RHvfE zmi+_cmx0_5q1is3SEyT82^PH&sQ-vU{MBjh6@E)Yg5in)X!%e8tmi6!m4ad=82$-> zwi7+tAP!S&d(c&iPAgf%x8_A&I}NV*97O&cN4(B6Us+=uX017wf&`s~i=yb4I?jW> z#mxYuQ}HWkM-)|SF2O2qY5Wy0f2%XtKu;3g2bSPPLSAw8`r5Jg$CO6+VL z7h;rqldI^Hk=K;BRBqqJD6Z`N2iz2I z8wAL1)L9uYiq0-tBT`Ra6=UcutaWHxVK3~u-`K2tu?mtBpG?6gf#y3$KG!}G-Vi}A zD59hb6vmVF08O{X(cSNI!o|ok{Kvs0{QYm|8zK&VGlqRldP^p7B~<6B2h(tWc@VH0 z-w}f%r&5Y37*Gl5g&w&KwgvB@kipJ7hIz3T?9RmxKuBgb;BV;oG^mBk0BwR zB4`!1|4Daxr8Iw?*xTr%1j`AX&xJFUY%`gDOZP-*tsS>5@>)LjBP+tyBogfPiPWs>*oRr)v(Ulcx^y0nufIi^gqg>`Pt0&#`Cx2v1?C}k2M zlPCuENNV1gUr2nqI7Sh7f*(~4rf*4P;%cT`@jJL$hGJ8-Z{&BuVXmw>#n`N{!@*iR zwu_eM%|fcwT8^3crqpFq+hUuvMHJ9Wf}wIzp#kVxD0ZG+K&#>xsd4V8&{_ICCV_ z!V>d4*etxRu-%`IF~kvikf%N9W`lI?4^N@4A;;;@&~Zk3)x8UmMUkQTQu=#Qq6Y&` zaE~lYX>ub8#`)Rp09psN)B$UWFA-Wzp_V8x;CeYYzQqz)S0GkF94e&-7N}&nF<9i( z`9R!*@x`%HeW-16x#qEp)3`G$CPnU3(-t&Rg)JutSBF<8rNJgNmN$9GvMd zj0IHNPdD^+E_secxa>r_FaL5P4L&(l=-f`&`50=Af>pmy4WfIsrwMQkwzu#WjHa{| zXhEgiG`E&JrmGMffpKbO=FF<)Xh&g_i_xkg;f6S_5v+DVJw8?1(g!5jNE5U-BK+}T zHK7*%ynv5pakDmy@6O-!<(x1oTy2~V~%-Bss1pQ8zQOm{ND6?+97l({buJI z`=VJlc2_-X{&1R^>xOv!q&$a#h%pbejc=h?`%?u_GTyll1SEJ7V=d7eOoaIraoA zH@I#BM1LgKr8VbL%#{6fspJu3ic(8B5Jw{pOIJBV??eA>3#kzPV%j~F@q*ld3bw>< ziL{`52_xZ`AEL?cgcB^{=nd$4L$I}bqG|Zg1rkm?1YJ>FuNO^k{+4Y+O-_gehn%6` z_et;@p3Mol>tM#x6Vj#WG>*RC+ezgIt~8!2!J-g9yoSxI#rc*}4z~=VZK2SF)Fa+5 zA@}!k_|8oyZhLO}r8Mfh1S7@-@+pY?3xu!MXai-PA;I`Eu3(K9-udk_2tV${IGUVs z7Y*5b*|=cmd(ePe>m>rW(fkVTX8*ue#tcp#PG`!;*w(?5qbYcnbj88kH3{PU!Vpi_ zAa~Y#+sL=IyP3_sol%Nc-$g#ZhQu|Co6^^;38azpCHAkZHPOu-MRwSPrQ6LHwo%6B z?&kK`jmBfuf0staE6?m(T8hoos+H6l!r!U6S>Swk>?Z16UxJb6gd^`o=i3XMC%eoa z7)$TexxlWB&R6I!OQy|qsJ#TwWvw}TU;$Q+yu&k@xBcv0Kt$nJ_bT0Km(Rq&L>wgR z1mRcI;mK6<{6R|jc%4gb0n?(Md3XsmT_)SM7fdyqHNQVLQn{8AY_9}68`$%42?fNz zev(ivqRx=}OXyYnt1sV_#T-z}Mb5C=pRKOZ+pv5lmV)-M&V|KR1G6x4{-)i(QP@|x z0zQF}gj)D;rTA;h*=tnha6U6n)i2KFb$(AN-ep%se4yZpUS`Wr*9@KAK{(SRSVQ2~ z-+Y+n-YP1>z>cxn@FO1F1?cH-9j5HBCD_i_TM<9}YOSV4482afCrdEakrhw;{ssnv z9ZnvmUVlljn}XE<@m(l>N_L&}4iapOvjfDdq3HMQ8YOKi!FE?u7l;+WTA$L_Y4RWm z=4ZDu4EVz}%oP`Q|BW|W3#s8dfk#10z1e!I_i^VcRaZ2d9+|UB>}B$r;$bGqIabWS z6RjNF*)@w+Zj<9wZcq-$jw2&yMjmmd$-bj!3MxnU3*@F}9^pnT?%LN!Hm{Za%`E!*`3ZTq zTV=$maf@9=ySQdHMK``h0ms6PlnQ(socBlZ@^B0d$?{pu4GyZIMP6uR8j6Tav#D|! zxdY9IB~8=|seULfN-mfBgRn&dov84zCkBFEt0wl((%TOG@Yc_+Ovz{6gz1deNI4 z1H`g~(Aov|BgyB+Cu3>wlDk4JY%!U*ionlPuu|>$Sb|Bep!^BRBZ+4Z+TDWD{4-m(o_3Wqw}wf-;_-TVQ}DxV*|R?xk;^x zSza*U{kbq z3=x|65~{As&ZL!suen~lpWa_gxZc<%QGPyZa~FdZMVjq@gi}B{3AQ^bCw%DgaJpMdg7J+j zVUmJS*hv)1Tde zqrC#?iAL3$OPM!F@Mc!3$ci~I+Plsjiakxunz^NO?(gJ$bjhK#xk!+R66i`eD?gr! z52AqffwXC%1Y;wy812nOfRsfYB^bVEv0M4MAbuEi)b}iw*BE2ipI+c~oB$nr;j~+o zgDJ9eI4#&T+K7vb7Yttxw!{ePagW(_Ibc8S>vzK_qHHqLjmZnI>(}@&CC}y|zFnG5 zjax*I6$Dxj4v!=Aq8w>9R%?6Z;OG4?tQlG&i0bjd@+t?8n-6V`L32&MuTBp6?z6H&4O-f|u~S0?Fl=qJbN1SSz&jd<@vD}0hr z@NW~1HcF}dG8d0%(01>^Q>k<8ez6>Lnbjh4YAKApXP|`%?R!ziS#k}Vea(6=ozYcZ z^;@V!{qfKL@kyja>0YVjQN&1tT`|Bp@et@kLxZUNAM=E#@@cZt6`h1tcEE_Mpc2dp zRwFJP_BHvRYU%oH7tP=u#YeryIG1{z*elKHbSkxT#Fc1npg70c9?LD)_MF&VEBB`I zk7ZvnlHEaIZ-TlT!CMse0WbNv3*m~3VD(O{Vr}M>Db)G?A|W^CSt7S|!APzmz)J3^ zdyYG1s;B4Yf$aq`efIRFl{E)bwpDA4T%7GhZfU__ogLHCK6P0|g`T8MI0uI5EHML! zeilaKJEjw0Tc^1(ANw6SKRS{h?DHXOT%RW^OfKQI>3bOE?IE%4;rmw%p-UrsO~@a{ zAAFYJ%+y5c-@@y&)f+ZV)>A+Pzv&lhMrDBYvaG@`;TKPma{Hpw>|GZY~{p6mu?iJEnn)|BZe&@g^xaB+}>R9R1)VKv(j&OvMh%p~VwD@geO)ooXJhe3s3wu9Q z@tq27zjcl8e792=%SCEnZg#Q=))hF@y$6%Y!>u1(d%ee*G*5y5aB#g~q(GNu{T6->O^J>XvjhLpW2K3JblXI%wJ^=otLVxin?$Q0KQ z^|V&e4`kjPv@ zvSkf1i#=0s#DP_~r@XXN7>iyC|1V#3e z+mrEIH}Vb7ci_k$XkF7Q?ZvSp{a9O?5YO55JVC>{fB?A75NnXOWloD6$|ecwRiT>$10vvMCz}71J@FV2ZT-k~zvBCgkZV-* zl8-!$_dJNcL=M}WZ^62MSJl@%HlusBavvBv$T}^+qTqA&F=9?zIaGvWQfFoU-}*FRMWzo6NkzU2Md=QOQ`lHe@gXpW7I~n^ z)8Uy;+z$~kBfrTE0b5k@)emQgsP;uhnlv8p9%w4hRQp?y^M#5$tRi~ns3Y#~bBc2N z3hBM0x4YPb7NXn(xv;;En95yEbnF{j{PdreJk$dvwug!)r%rPC-iiw6hW!DT_BXPX zLwkwTX(N@1aCpum2V7b*WS+@X`n3?XCxoxfI}IV~XkbBX;V`xZ*!_-*Fdg=uE{mMz z+X@8`)}Sm$n+t8koZR`vgX3#0pV|fn46u7b!<}MmmpkHK=fb+b;#x8iyp|A#R{3;W zv1E;mTj+7gwMQo9O5Rml4%5lRWFT6K3U`l%PGp=DDjwvPucp(s7OBYhp$WsF6Ux3Z znlpzEv^~gQyNq~EjppXh8l|^X_I(CEb%hT#tW?|(k)zyNe&660bQee zIl760*`-MKDNS8YbxWqIy0Js$s|pS*cB>sKLu>cbhevlFWi|zh&Na`AV+Wh*!-#8K zw}pKq)@YIWlU(q8M!fWzI8-!bzaB?A+x zOX}x9)SU&ts@$9^9LFShfLg+;i@HzJpIg-(_}eUf1F0exev^oHl*cox3r-h-?PGC- z4X*H9F24Wc#;MoZ#>dmey}1(XpoXzX&O>7Lh;mKwJn86J`d-CU6f4u~vC~D4^(WZ` zQ>ek70@4RH>ed(EGf8>V_Ur$eg~kpvLXw?@g`Ig(u=r6(h88dbFQ+`yFGMt5CBY*} znOGzhp{b-hmRC%aXRouCLcL9F(T*cq7}!ND{;P!OWn9*)DI+WC14Y5F^k24%45Q-E zStbG2hU2A>Wg{xfarc+G;@GUKVr{)Ddi5ygUj*H7bz`Ksd_XQ?H6sbL`O}xv=)F^n z4YEAhA>y8h2dQUq6iJolh2NoCxdEtNwB3HeE#2`HP?%H z#a$StCL(2GMLvSM55SUI*6k%S(| z>AIx+BVlvzKLZ_AJ*c(n4KAHNYX#utA&r^zjAKNZUP3!lLfkJ9rqecGnfibucM;vE zJUCg5u6td~UfEwytSFCsivEqM87vCXNoKeMXTp$9XmWLAu?~zAp*1CVsIu=GFyH{l zMHN2$?RtKXibYZvGh`Ga(|NurHi>CLa;X*$nW^hXjj|a3`M#rwcvDDJcXra#5>vU| zxlrySj66=p^%GYwN_9{jk+z6h?>K;&gV=dTiu)raI29QOYiei21@EB~s_@lQ&gegs zKv%**;t_rBG;wZ-89U>-@@DO?yU7H(?!5$X@WE5fQ%(~5he?U0AX3|a=+5-#24(mW zC9w$>g0|#95uUIRHJQUVt56SYjw})n!$ zAg;uYuM~L|u(u+cI&6&i_EQFfN0kXS0X7{OV9nO#Zxi}&{`_Ep3F7N0Ij`tL?Pa#d zgpwvl;pY=h6kpy-Fl4|}y+2|3FHyK>GFiC)U)`Pwrc(&~qKkvx6!w@2&H__W=09WT z1}823$dnI}$3570&l&B-!MXXxtw&R=57OKKk+gTtUt2nFsTf)Gvbfr1qrOz}{4_*O zVXUTQu60tlB0rv>yq=Z=bughtjIAQ+0cm4UAMT+0(uFo0&*UhxT{t3-)G<5YaN>xY zJS=qym$r!V%O&*C>sb^09Q8awP1z|IA+8 z)7xjXAcEfi?cnypNGrLPko>t<;R+iIL9Ym#eTzlgil(halGbvoH1Sjlv2%?}1JokI(B7w#mon|q}s76gurK&B#W|MMH1{nV_5RriIkPGb9p!eY=k ze?1|Q=A|ts%Z(LDFUx5OrfXb-CZ)~2V$5t|F zhRSJlM!Q%}PZdMf-4!@Y0FH~*p)0EcnEF0@fJk}qV$?nqcrtzR3lJkyNxznZZt-;M z`hWEfKw!h;<5i1+e9u&#xW;3m_Gsc0fRuN@4i(xeEz=!P^$;egcBu3lcTA71%zz&n z3briv*&-6odMD~8u4a9(g293CExWW~V(w5W?>|Z2tSvKvIFu+c>poZbsFhBA9D9y^}?fftV`oMJW@VxotV@dM4L; z73(A((9|P{C1sPUxWV+PN|}Z?4tCP`Ql=~*x+}FqyQS9=lNRb?z@;&IzDTHc4@A!- zO0<}HCW!FiSA_4kSb4&fIxK-S)`9%?#WdHkl)5YF{GR$E)iCVVa5Mn(yPa@viLN23Ne?6V*$C!qmXkF&zlf~m(5<7~(H0;9Hs@9z>&W)7d z+=Rt*nx8>hCNq5F!eqv*jt5QkgAedb<5l-Q-4uyZrk10^oV@0S=ewJfFFape?0w-B zCt4iUizvSq2=k|}fY3W;Q#Oeig};iFjW+0U6zTM#BKp`BvIPehiei`ViQ%7W>MMzB zUcTkWpMGM9Cj0LzeX_*HFW5O)-6CgR{Kp9S$Wzbjf z&~AlYIfVwo(|S2dB1ZVb5d9?(|!E=gSHTA zPp)?n@BK?~Cuz>N_yGJDQR@Euj1D0Vyv`z7MiVM5l9XtDHLNP7TR2K|EFlG&nffsf z=W3CKYM+S54uyT=T!em9S!g!+mfzq((qCUAV*Yr~C)OiwVV8Rcknh9=$Hx7O#r?AK zwb^k|I>}hXL${*5Pg%x|OvsUSpB4kA$=x&DHsIv;k!Wt!Q6 z@l!;V*mne$=FKxqO{muM@>Wst@)x1c3DXxwF(UHr{tj_?g=n8b&X~L+Mz9f`0%>PF z&7g6@AWK`uQKKEc7|QO-8A>l%%P1ES7k4M?O`qEGWvHnBK!V|V-b;2^#93F8kM!Fg zR9W{UuS`$n4}nGln+VwvQeksrlt)Z(0w1FM63o;yO`=+P7W$%DxU!O+X*~9rSU72$ z1dCg9<<$Ni)t4MVq`4ourUY8|_IArF(m_Oic&CS6R!Y)A`0p+(HZQoM(_vQLDYpL> zktHCG3n$OiSIT5Pw7pv|@u9k$F`?s$q%v)W#HNsg(Xu4lCjNRJ$Mu0;oBc;wNdTcc zdcl(FKV2X?&%GzcbZ*W*m4l+wX1k|e@E4QrN)xY#s^_F?R=c!;_I@b?C$YAoBvJ{jC&1SuEO5k{k(1{$_pVbmQ{N6vu&W&W6cOQyKCn96mmMd51ttwp*(>s6=L z=-wN`=O1_yCidO9DqhVSug|Btxs$Xge?M!fI6g%(H7V82o#bn}aNsy5Q<0-gV(|x> zwt+1ZinSBJmu<|HS0}c}?%j0QiY-U3?R8?&oD+Rl)?X_EjcS;%s~GdDggAC^hTcXs zj7FTAgT9C7r!8z4ChknWDz20$sGlRs*+RFOg`av?Ukt1%dqJEyVfmJ#h>Rxp4-<{) zSom-EkLUwc2;GyObDTgCP5wD64t`C>`xVUtm`;*N-0Q*AsdU0|sr%CP;N@d00^%~@M^g6Npwxo4U}yku=jigG8xuPIG^Zw#|~L3$g2HbbI=9z8xpTQ zE=PP3vwfT{9Q@ovc_uvONQicSJuq1eI4Osz_}~iLcV99P_d~EwaSfYDh$V79l|Dv6 zZ?>ZFzAf8{JE^29(&sZJX*B@u4zMz!FYO|nTNPUb`p~{;{Hd*-#k9=Dg-?e=`XD@y zv-DG`$5!xJHhG&>wsb1sVv8?&*7rSi9_sieUyFg21lAu-$9>FA0axY zlU=Mo`73VNJwWIMUd=sp%4SjT*aybOUTAjMouLj3L0aYChl@jzedru&H)Ah>GoAv{ z6kDR~0&9ha^#Zo%&Uq*jyS;^&t*H!b@5H*+7G8(GoEBA)Y5Sw>LoFOtHx@+5(aPR{ zqBc4v91?qb*-sRDBj;Q2J{%W?kbn8d62lR&j<4XkXIe`Q zW7iV^j>JLXs$ne)E^?Y*W>^AjMy&L@l{ntJfY|YMl1`J)GJPhP`zW;e=KXP^R;C@| z$k}T8H)X(C@l*wHVZ*thV*h6uTD(^VToI-{ii$9Ifi0igK&Qw;Zil!IMIQg1uv-op zEy79!vcv``zea19n%2XI2v7zbW7v3WyVDwo#1C1k2Gzy0=}xg?`(d5VnwIG|szqA? zD{f)sqv?Ic~w%CbaB$^z#0uqJN}?79no1roM)z7J`wxI&Tsgl6@7+ zJ1o+hE59bIKpqr-t@v~PLpETXamb2XA9{2YhE^%wD3NETG=B{FdHSt2I8p*a_f8;6 z+f3%4~b zth%#qTP2P=(%qA*Vo3|+8MPptHujtKU5EQHH#er>b(I8(mR zZ@yI<+lL%tE|jrz{3hWlFTvQ$&&qV3#$(!gj4V{)^V2NQ6DiW@yNZ5VDA+Qt_dKy< z)J2Z=5CpUHSOJa<15@Kn94+$YlM!|~RU|RyuEl`JE57>> zW{}X^?d?}KM(5(wu@atc4+CuSJl?xw5?31|^7_dEHs)~HpLaoM^xR#yj=E8qN;cvln#g;sod$Fc6 zb~p;JhQfma{YAW6a_cN4!rcj-DHO?;+3&`QV)-YscYvm{XYxV0|C*ROP6?wCVdGyBl5JtsRKs(uUyPq{Bb*TM?d5yi$iMcSlm^kE7d6J{XLi7)lp zE*|fHD((b@>EsN(DGCl)BBrLfBI?K4tkX=0cPc!3x!BqAt~fHax85MS`L}*LPLx?G z&40CeL5#~-0-meFv2wqPA`fd6OP`+hbGKMCRcwx2d#BeA6Nh~7=)0}k>Z(?jgCc$J zPvYo>->j8AIvSY*aPT}wxd9?u;4YE3=nI`cr3SnYu(93X`HwXBMBXDAT;*vOn0X$T zDw_7+1Wy(FhR9jy-qZ_vInB894vM2IKk)>4YsK>!!~mR3OM{bU0iydt8EIldg|WL4 z`!tugjZu}}Hnw2QV6kSVv@s|P@9NzJGx$L~v}mL&QZUKsDlh{d?{|7ka1*X{R4C17I8lZV6by9yq-=TUYrO4JpXSRfj@Gi#%&f|JX%ET5L8yr~(@)s`;5SLy_ zwqS;g?R$<7;m3v@s=5(~^1%lvxD6VXm7Z~^Ky+ZHKXm?@3&4FL??!atp49V<$Wkmm ze}AkNiG*WC9vhs)gIe-H@glD@1E?DBcfj|k91p<54fz7aUqvJs@9fEdj9Tcv0iUn* zJj!AEO+Z>p`23D}2a9t-QerTSHmGnOqMqGow|8RO=>WvaD8e%(pt{+&BtZ|{1d6Qc z{^hrPe9i$|=o|FFtDwk5d^(9I8GdIQ!y6)AnyRp82j0SDRsCA%Il%=S9^REHJhh*;bZds z)To9V-;9DB#}+!NKU)mB4Wumf%UriF8rB#!sKQIvdTG7#lM3=aicb7Y=KUh#d6n8@onoHF|A%tYir#zxclO0U5vET zMPL8jqGs2beBzGP&2ddBI711t20?HN1LfU8d4YmQ z#ffKfN78qyo5A?{AMJyqU)dc;#OB^!*cs^|M=MZj50ZRdOE{ z?H|g*+3JCV^F|%7QN!wAj*6PsB{&^f2{Uyvuor@B%1YKI@#R@buZHF8*-2DyFCEuW z;-#0{Q@V!jjDMSzg8)uyJLCFKXY}8rcXPKJ?iGI-FZjYbozNVLm$Sh|DvH6vK>Mr!a8_<_1w%|RBxk}M#kBR1}2mjN&KrK-* zR2Sb;PSy!Yrn;un+7#KXxb_5QOORsfAz**@ z))teg8)06z!SfpxJBhu0rMA$=(4@9>^r3cV2hUaHv9G}+=R4J~m*!m0kHlldwLWb` zaE#H`vC)y-p?^Uor;6;mHuJX?@=&{3QzxPBbYT3(&XN)RJI#X>hImFMUPr+frK&6B2ilAwX;n@;&E~>Fp{RJNypB!#8* zoOj6;bh-~Y(V?{ImUf63GB#pT1&dBF8>yN`ll5wIkM{j_X1Yj9rz3@Z&w zn(Q1Wwr>mLx}Q_stPWL+(YY5y&;>>c(y>4j05ZbB1=KqSAX?jZ^X7Z>j;@6);Qcpw)vfllo7(_W3- zBN_yM5<7-x&C^ABhsZ+c-^AkST&F74aK%U#p!-DcfrIOZi^8$w$l^5h-`r6R1jA-g z=1_&>Sh|b~I}{>e)(y%xL|lm{orgJh&vl=LPAKQ`G<_TAEk)XUGkouGrj&TMETcG; zH%Nc0BvuOif>tCx0OH%XX> zJd;d|!L|Iz;#^4N8cttjJhiH~o}bEOGiVL2okLb^*JPo6mIKX?W%1RoZjb1JB&DKT|i_QaZF^r0AzL`^Ac zO%^i)kBc)^*67)k8MHJDPnBnhNL~Au08?`*rY`> zkvdYEOzm!l-NZ=|a?WE{sghuVt8b=(sRUh(E3_Dijpbp&Q8&E+e^`9 zQOrCWqEBU>$nilWf0)O0>(z0ccC^Tp7Y@54jHpVwz2ag`H=k6{LNS%YAAWIfaF^yH z$?c6yc?Q+6hr~YZGQ9SHEFXR6HIe_O<#Ki=Ei;9Q(>BW_Iu-g4)Ux=8$M0y8v zIR)puXq8aI+r=TGPPhbP!IPawUa0sU)S%2CQv+pHtXLjON@`0RZzHD$aK=I(<&pQ3 zu;|ru$}-)i9cZB|SyO2S$lKj3Z0v^OSEDSdarJE^y#liOq`*7(e=8HT9{H`zR1ts3 zEke^vssyXOaavXgs~ZR? z7_nVkj{I^t?T3+Uxdgwq431UxB`-@=bcQLO`x3Uh1srR~GDXClNohDv9in=Fi@sKa zhKNp$CC?F%ard4BzU2i-Q%)0yvl8L5oBIQPBPB>{uMHBHY3PFT>M7Ei05K^tr4AuG z$2fZ_k*osZD`b^d)f9^>I0fE&zpeb5McR`DeTDn>I`K2kT0L15p7S%4GcOjOSN_WG zo=Ed{Jumhx7BA2LCwTkg#bVh_38vz_bxpN9G<>$TKXpFZ^c6h6HfW}(yIh{JV45Hk z!7jAe@fl)4RS8BH!QQ6>nj9M<5ff&LG+QK?6yMF~fLrbUuy%$BDJsFSh^bcio|*_S z*XCLrSm^8;g=>FO4em;<+X|mShIrF@k^WnBx7RuD8ZltmGl7qzIEkqo3|e(xdox=^ zjE$VjrB}4&{J9BNQ4gZMWJJ0NkQXZ2d$IG2-Y|MU7BkjoG30Ir*PYD;^z_kZYaS0S zFMdl9E?$mkso#jQgvF&#s@_l3sUuy_17gd6%oHuG{MK1inSn*$!&Bo##d?)_ANF%K zT(iKL>G;K8KgeNfIIXUEwOe81t-HyD&5?(NeXrVJku#k%qIeXwTN2}E6!y4ZzUrft zNQTpM8XfKDuNANGPF(yWmuqNYIt@gTHU_Z+eOcX4^L6(ZXM$xAyo5~9i!`OFefL`459H^__aiW-2WiDH2Ujj4NO2Aitg+3|^Ei~eUb%u)7u+VzF#kXHdi!_(l z>GWwo?-Z6~waC)-z39=eIa??zQ#({U3E9r@TUz{ReNd-Y*1Xd;eZF}5>;v!2d7?T=>!Ai&28s^9$~^~nsol($USRh`WQy{_ zDJoh!4yQoWeUUvK)LT3%KAP3Rp?piY$u-rNOnNiPNyih(Q~~^(gTjYZts~k~_>TTt zR~|VVgw+X!kKf&2Y}zNy;8S#oDYiW7`@{J}6>c>w<&jV^b5<3xu}mX9n@{BZC8#zg zID2wsfM`@*TIjd8k@0yeRQnU0EqV2-Z^-mX+_0!UFqh;BCf)OPT%KUG)}ksJHtoZf zA=NQZH6B*1C_fQ4U+=8s&7V1{4Lx_BT`AVhqjmpR4fG;Dkwvcs%6$t;5}xfQsvayZ z{#moJZ&pcvk*Ss>EkDJ`2QenHQtW+G zvnFAeWz}IF+eRJRwr$(C{T|!4ZQHhO+h$kKd~-4XpfCC^Gji|DCo&@Q*;;E2rL%f% zXvJ#MQ!Oy;{nYqP!LAodedxv}`x)fbqhC(>m39E`s5!2R9!h&cX`W6lfKg^{d`RRM z%)J}En@GAUN_UPzEmQ7K4#t$4xJO~9uV}zrG-lE@{6Wr-W|ANbD9m(`!|2~EC`vyI z!#S#?CLROC5`e@Q8@f|_?DE+-e&Teb0Id8)Pzn4NArd_((>iC`zEqdRE%@`RN72Hv zIN|XsIxtAg`xDY%l4UQZJNKv!K96Ix(jAzl{xzmTueJdUHHaSsd)A4mJAQxl+|hDW#xds@W%{6>bTJ*!{hhoK2v8vJEz6`zO0&lp#(EE%B+Fm=}P3G*Vn-$^bA37lbi<8Nc3(D_J+lrEUjSzynn_8)ox zW=Mq2H;ZXY#`I>Cew{TLEl3e^Uyt`ocn61XUixsn+gg`G##0m@&zO%S3sG7jIQJao zGCYJ_b@B%RW2@)erVyF_dCzdJHZt*VH805OIq=??A*_%USxJDTe#(>g_z|^RbzTk% zHMK5+*gx3U;g2il%-v%;Ayj5X>P@@hB_I4g=xMLcj4<_fAY@(Dh9~OYih`UYfJ7QR zP%n5>=#&`ywVtwKT*C|6K$J&oyU57Z%4ZTuu zPSZa9Z&q-;5u9jD;WpfMr3oeJOjGR%d+M?FbXR#?A z7MZMFOUj=&!U46w*~l+2>0%%z#>Bz%bU2jhId4RKhSj^ykkY1!n2TYMHdWqYA%9c) z?cH_^dJU2BqpH#HGBvcq=q0R=9AfUEk5H}K!u@Zkj~1RHcd5a|g%|D9M7q$!K0kef z;-5dook8b-1plqEUaTXgx(LtW@RyU-!AmtDDCNU5iGOe`L&Aqz^?Pe9II%a3^iwCwgNmhOSoZG-rK7(YeaxuS?T1_HZ8I%-5GU5F*d}R`!a^@9o${=_2 zWT}igCrFUrf}JE1>SKXAxr6eJ9%!&jXj~yf%PD$wo!`&jObT`QM)`mes|c!|MY3AX z0xrzcmhWwZl47}pTb$DSJG&8GrmHe ze%#Bc+^wTxWQmKdyv>1`43S34#_3!>`Cw_b3T%`eba3h;!zgZyBi>mz<>LDH!m;Of zv*{kW7;mc`%|6yy8%7JUF2iJ-ItD@jBY~`3UVP~)i>4Bh!7XDgjp@oIp;skSKHhk! zN8i(9Fa-sawYF8MV+Ms6q}POzSY>fXK6=vU4&(`DxXKib3CL*wfug-t9<(}083ojk zCgx7kMhA!(R&4S1{OBV|^L0UMux)6oVSuGFM>0wRS_@k3Y?Oq7`dR-SjMjxVbIjN)E6DKQMJdU&KeHFRM=O{{2 z8EY?s1A@_eDAIVewkSu^}b*8ahS>~v1JB_95bE}uq)X^?PwsLJGG zX7~vN!A%SPRH~{(Wa`+5NF8vbkFQW#xHu?NWSEcL-`)K>%D$ z7HzUt8yUsNQv+=0HrRNA|9xLfNmyl@E5p$-vKoxsH)JBMdb*}nIi>C#%hDb)NILea zBpUMF)LY@7hfgkb+zQ|<3*nJbO_l^QPKVN!J-U&y zf3yhKclldI2b(tQ}}Go72|&-D;qYpw9&8fNhAKkn2|%E%HQ7^yk_Q9@WMBL1fJzr;^#b`A=0J)2B<-fSB3H)`n>#7^esyL$K6=7iup?mxNS>=tH!9f)Z9*~E99e|7}D;kQZW>vZj*mo>=2I6^3P0PrO7G|~b1Dp*J zFp0D&E3X436i`q0@7u=lc+StALE=;s#-+_e3!tYw6JIA1Ue{34L@R)kwQ9H>CTl^N zTtw;&YMrz?3v{R;+*d$-Z_D@;f!8#Hnq-{gOt-NXO`)UDt#Z~{kyJZ{QZo}#GaDp$ z$JR~-!7EsIbHmkZ)?}ygK2kH~Dmo#;m!tT{K&_!6`AB(=4AsN5a3I`**y5P@dNuPz z6;C+#wVu;eACU}8J2QCXfOz&uA@|O->KAsdp>VgthU$uVC97fa}8^C0(@8 z0NcN2{cB@Y#{wO$)!|KUtWhwP#L~42Nfs*xDdVU8KGQ~ zi@|6)qtwNgkc-u~*Im3k+(3L&?%M@2IWF~tvv(%$k8{l;7AXg7EN~2>5T)&)P!&U2 z|3TNK#S|s1f9Y4>tBPnz=x~Kg?L%pG<6rcDt$g$!Gb#N$uT2G;)U%b^8}SN|X0P1q zFNc?KXr1a-RFF$Ni{T9SyiJCTbn@$F?jT9&0N9tErPS%se|t`KpOhu)Hj9Vi*Z zp0*>kTd*_QiUFy_iJ7wYxvmV!WNmqxfD2t*Y7d;PQIST?x}kDC(S9q!{1abH`C^qe zZ&SDwkwCQ0G-}Yk>*~?O!FwLA>%q9m%xS+I#yDk+HD#qGiSTD0&POXV^+lzif4X5L zd%F9RWpy61%ulA=_eQ>{qmD<+4MQO|2bY*J$caQ!Qm2G{%3W+{#ISQn=@))8Kg2g&#B{fY7q^I&2oK2ALvK)jg zphU8N@~BfXFET?GrQ(F!D?~-@zitHfl`_ve!zYhz0a#qP)Ltdn$1XW4_szYK9X?ll zU0|P1i;4OgwW*&6iLWPygkPx&LwvPCIhu()J!G1uKrIp zc=ofmm05x1p*l%r{mANGs1#*%Ngrjdl8=yN5k20RFY}}ws)aQ> zPJ(B#NFDf5yuAs{&q1{}ptL7iYZFg-hbZBj$bKBX5q6eh#v7XDQi*_X`J5be&AvCW zDGZ>-U4+-=9vSS(UFceJwK@`cW(wHSHsXew(R=`4g4>^U3ZmT?i zC#i&`O~LUHBzwFOHzar(hI^NZYI<^zau`cos4ZG`b8x$E8(&^RLnih+B&u!8IL%z9 zE!Fjvw(SY0JEcVsXAE?uqduA5c5Wk$HGONHh1KYavw zzgr2f!=rQH+#R|U%GS$Dkw3%X&E98al8jLI!E0kOK)MFh${VObyJPcIfsD5nAtjd4 z?L*0CzsLtsVlw>$Y#hhSb08dKp{o2r7Ja_ieW*>TXs-%EoWdn*|Dw4VzA(mS#4t+@ zD0Z1#B^bXjKH*Q#Se9%whu{n!?@F&F{3%M+_=U|5YptR!{WhB=!$Bfg+z{#;!Ji?V zEMt&jt6%3WyvcPuy`JA&yukh0G_Tnmfz;;EQJYfUa1Ibe0J-^f5ubF6cC^oZ&`ztk z#WhP6MApK7s_0Bam&dBvl>jN#xXJA-we49{QZf=+ec*CWxn7m;>ex{N8p^SB=rKmN zzwTQJ)h{9CTx%hRsHtVv%|qJ$`^J(-n;6qUSqhg|{RS(mF|7|u=<^{(__L(q$z;wn zFX3pD*x)km1}fjG=HB#XlbFUkUhkZ-OWMx1mxTTi5OnoqVmTR-A@t669}zqA4~%AM zS0lE;e%7kPz%hgLvOz_m5_Pj4x7&;xLT1WXyITL&B59xz1aurNSp9*1Ttbu^V+pQ> zSWzKPH!}z12%X-`?4A2CGQ&<~MdOOzw9Sutx-2r;L1xi4Ium5561AoPblr&DSag0H zVX$Nk0XAB(HKVD8Y&k7<3mV^|+L08bGE+a=M%_NB1J;6?vvY{1C@FO6q>$_ye)g}H zxEyswK}VX2=Fx?{a*bRuxPWvIgCKVul->E;8?HUj>kQQ=g1qNq1mO{e@5IpLZ!MD(^*m2W+{!H5q8 zuB@ODZA!+^5exlWzvtcOv;z*QhUe8gWtv{YA1kw^DJ5&+jAo*Ze^lCHEK>e+HmGLO zjb$A5)zj|#hM*86{atO#x9eJQR~d^Bm&9@rjU!5KiAmf7&$Ekg$jsn5J`uBK z>D;Vk>7shN5=h;U$1+|N<~VI00ousbWH0LT!Y|5K)dFbIGGttm!*%&`Ds8MR8Tp?y zDo1VE$rCoOGQ3@pTV3L9!hJtLk+i`Yw2XEL4cFI*st210FzY0XXCO2;N~Qm}>)!P# z>5s*TxXqoiXENzkKwJ~@K$EXiPhQF-=T+cMarE7PZmmBm4&QtfNUAqRLMYNi(%O2X z1fj>2#Tmt7+BOSLiA&J#&?o~6s}X8CJ1|i|fUzPbt%O$e@ws~++(te^p<#}Kg)jxg ztw^DbFx{$a?T3NZnzaI&HF{Vt?BaM#Q!fq5>|8u+W*d6=VIV(*KGSe*Q~XbfOZC?Z zG4j0!hKdI}pY>&VxdfrlECE#(Zxo+n(Db&EAV?G3>)gSA=%|rP?B{o94BdD#|Jc>w zE$KjakjowryGw+8k%s!5iND=6h%m4?M(rHI38}Ted)JP(YQWY4rnWp?8qI+Y^Vhv; zYAc-=M^O_!;m5bRpY85iTN2d>QIMB_T-5a_Z~SEIbyZCCn0374x!*=$Jho2{ZvG0p zgke0@gpXu>K1I*rxI~mg4K?^Eph$B^1F~qf212%=2G@}s7Ub;H?~MT8hU=;8O0y38 z2qzD+bD77T=orF-KrLjc%u=+MODJ-8l+RIURo>O%UT8C?)2E57Z;gshJy-czuAJe;(lmqf+sjPHnDEu2=NE#f5VH6zaYGjHqVN~8f zTuBT{Qs3}u!_ait|C~Sg_pP4ZJ9p5NF=(G1vPK|#nUbu&beGcBN|{xMv=DY3i&KA0 zCjZIoO~6+k$kE9brL499+uRbM`(jD@{@=j~5!g4;c^@R2=piae^&;fT0s_qA(p;9w zcNVqKH%-D&P{z*VyF~6|e^8H0vH*|h`)!DUMM7q$`5#?tL#V6(1Z4<3hx7+oSJWHX zOue|yg5bL5Pd}=j*@0rdx)v~7gu+zxfDEFHuu&4#9?)hL_umJHY8_~ zTvuD4>lhpAcL(JT9e1T)L+SV5mRa`qBJkd^h8A7r4nJ?HV`P1i$=6NnwAVW1WyO>| zRENyhGI_3@W>NXwo46ueCMAg9aX}vDZ^O-N@#n| zH=4ROd;O_zbh>&dI-hUAt6qAjFO?p*H45bGv}{e77cWF=ILVBhT`hSiq0=VNF zMdPzo^gM+(C_T;txSeW4U~%8|D*mr^R^gVohZ^8No-xE%afKx)t2%Sf;o0wca5$HF z0Ul-EwLIInFd_R&=wrr!nIin)NxyElAVLY;L z;xKBPD;~EhfYknpTCd){7Vib1%-J;4Bl5=jjDRl0|2=>$2#BUN ze#W|Cuwmh$VE7&!0_qjD$uOacz%o+mhbwH&tp?tms;FTA^UgHXl2ha1J-Zel9N@lq zlOU?S!6r)0_6|!%0o^KCHfucVo(hG6F3b$v?FQD6xmLG6TxZF-*e3x8&U=CzZ{}6g&rIW3;oEyuT(HVX;%1CKQ%h^LS7+>&#HW}Tu!@Te44S033vMsQw0CMyOP{h5s6)Qf^mav#!#5* z-wRuMn%d(Z755mhk(5Z2+n?SsmWeVP0^}H}5jd0|ncD$d+;SBlEwX$ap}uz8nH=YK zc#V@#ZSb;fB;`oO;xW$*RYY{24r6oHrh?&&ImIYY#)m4QF2|PT!|oButw)8d8Tk%L ziB+4b`2a=5xb6+f+DuVt6bsHY#I4S0CKS14Qg%wMgamiX;Vg?ewS39^`6t51LPN1- zLpI_gfx)jI^@cfmR@=tGgh5Rd8vQbagfnskX&HUZ4}cuOF{hJ3X8c@pB$&$WPY*dbb@+06Jw8 zoID+7_*Tm-V!_9!9T5@tGu-G5$iJ)sl6BpBF|q+r$LE&}vgX)}N2P0V+ER$iu*?Ym zh`JV!5D{5jV~P=jayR&=g#}lQ7gd(kfd-1DJ{P+X{V|dS6|UKhCu?iP`@Ih|``4XO zsLwU>lL-bdsg&N!&|nH+!4d*D_yqF`6P;`RDNLh3S6v8j0DH(@VjX*7^fXuP=9P1f z>9_nmSn~}&(l+i2ZHJS`S;s%j5?cPoo45txihk12<3dgxr8KKc8F;yA+YzNfL<|Qg z%mNK6iA$2G8w#~Iij$AH_W2Fh^Glzz{)^sp)MZZFPE-;U(s$sfAdWFoX&N4w7``uE zCD83q2HJ*NqGdU>#TI}NVMBL{^?g)0IVY!Sddb!?%O>_G^O>MW-1oXgb{P|?X{wUrL0L)%P~0K z`r*e=B_7QzvOfkDxrL8b2K>c7zqeAzS`p>RjfPHr3f^)7CO)T)oQo9k?Xpz)Fv%Q( z`wh`)`B?IX@A=WcqdbCsBrr0rmUh~I2c||I9PQXd!!SHV5n#H2U*NMQTX4P3O#H2; zs=vv<54J6k;0T*z-HD54aq5)9zVkbO3}T2TUB6h~#xC>@r-7)mL_{4ov5 zfJ!k}(`nBQpsRNiyiXtkDxe3sGq3Fmvg>|6%@U0Y#k{-5Zd|;HAST&p2ew;-YsuMw3FwwLYCVtq{27a{6K`5Vzjzd1@kj0!v+ z7m(zfRDopU)x(-y74Po0%b7F;_q$^FoQ??iYrkD5z~2boIKOyrI1pMtxzh&}v|!Gr zN3|i!yx5zSY6TFV)sJ>`$uKAlHbc=H(f z!7xg;ZXHHxd@o%6=@cMt9smW4x(fx|@{U2W>`pPE4FpP)foNcs^%ceVNEZVBAg6+3 zXDQrvH~h|Duu72wz+%s1FrM>&l_kY&*?Fd;@CPYWAU3X|brJ;3o@kVD1s3xSGN*~H zed5sWW}u8Vj+5)Hp{%9=*=P8rphxZ(@{xFwZ-*-V0!`-&nh1$gy(JE4=VKiSMaFx# z9N-(C{H}Lxt(LO^_}}X-R+U(;dS5AlRtHYof}Y>6SVkIUq1xF_hf3!?FaydVE6Z!q zw{c2OQ;@VUO{G+wlLXb_=2|_DOwdU+z_Esq$_lF2{ z&iP};^f~Qo%AUEP4-n&M_i2P`B@~hN;8{2sOF8_nwyhO~w+_hWV&3+dJ?y2Uk}J?V zSnky(*Fki&w9=0NaI~|r!UsaF4;JeN4@Zi$eq5u!-bh%sx@M@X{&KrwMmP0CEa}m& z2KsNm^^xmsCl1iFfV(ww<@s&}j~Q9h?0NMCD)@ZyBl%grLS?wEP~qU=gNZ6IMA4JN z-TZ+3=up?1l+qCxUW1fZ{@_Ckq8_N~7U=a)ssNDXKP-`Ampqnw+2jhtK`~(heMZM& zqHPO5r}P2-etf`~zE{?9Lc{Y-KWfe}zx(lx46{)gvXjP5^`n6M-Hf^s)*>yG6|ss9 z;K2HS8O7YAvXCS?YN+M$<@OczJfG**(A!zaKrzAp`HCB2f2@e3bp?V#1_Dvw_Pz4S z%okY)rhyVVkl?N2tY=E2#2hZ&M>QjaFO_^*nN1-5F^mR1hYH*t?d{P|P{0%xlkMiW zF6Dh%;UWBJ<{CwD^KPW{zjh#$%-w@8%QN%@*jF1J0cmZVf(HyIESrDXuRY%2uN*-C zrdd#S`DF%9KeE;6=5Vk!D1?f^q;S9BV1t(bqkwz_<-%peEy zXePIw)$+A0f?Ro=qMKxzJ^0zwv{>AYOYCSY5l3$YIKC%n%L{?iwRgH7=q;8 zi>qw;2s1N_B@B};2&P8)^awEFd7O}x0)XuN>atljPy-#{Oq6i$z;Nceak5I0SZ*M6 zq>0O(dBHh1=n_b(qIDFZswJQPQDm|pid%Lji!9Rw1U!dvskQ)L@=8oZz6D;TqknP^dNUoc5 zF1c|rIMMpgFX6g>@`$%q?1@WZG*WrJz(9nCDcy2j$|>TXULM2VP{n0@#ncaz2#qU) z4E)&RR=>;pDS}U8HRh$O5R6R##q6EGS$RAtn#Dz6)FdorjTx^Z*qdV{SS}d&xvn}v zE)|Sk{Xhx@M(gnv@xK9Bw6Rr!#eB%bk|KA7*RHbU4OF*O>4kmXX=)^;Xs`3wX%w?e z+|e`)0}I^qVtmHBC8;A)4=XsnlXZo)Thz6FB&dSOSf8PXPeWZ+!|gd9{`@9g?Fz>7P(E zH7j(HZOZqU#GvFylt;oLPYp4WCx|?72Hebt!)#BN%jJanFEr$tzBW++^upx$HBe^3o1NN3khR; z(I;R9pD2=*Q$+nqj@E_J!t~BxDDQhKt3U|Do#vcTG_3)H!78}9O5Q|~u%xaUjZ2*!7;yts`3q$}8_p&V=hl{$&u6kk#wP0u8yYu@17|~3paWMOG zfz4u7*HD76t61elVDHRv0n`XVqn>KO)NuXPL=InFyvk9Z-e1^r6KnILu3Cg zc)F#_5^D~&5w!-re0t0#QEBRiY5Mx1oKZ_wDX;*}UiQZ~`k7P)E5sUO#}0HRxHw!D zwjJIiC<|270bfhDomEeZ_`k>%-TlK}1#83NBFbY>8l?X9TcR$eKU{_;S>nSE9_bez z0D}vn3YIhfyNx-+Vf_C6cAMU`96=YZJqp9~u(n|>k4Ow;QcFd@3N>2@BWJ5QzoSB!#Lc{>8U{uy zvw0x6J40Nyv{Ci3sapq5#X%i9%z~z4&=#@7p;yUUDnJYWl$_eEc?QkVPG}Wied$sC ziwMFv)vlI3x*{zp-_cpi_-xEY7lom3S7@jw4Y<4$)>5VLi-~!Z9x-vP3cMYcKAy*( zv;oDvp=Q&oGg)>&WGhDxxQfM*5|nO@k$ekEI3jNy!{n7nN>Kq!<5xaDZoIM_U&FGB zE`aY%N{IbjW1E#KZ%?fZWYiJ)np?fm&@URpMH^MxPrh?d$T!swPH-*D{+Cvs{6Bv8 z3TXRcB0Xm6@0An07v^XND#yd({SJ78g0^4L?Sth=)k{vmJx$M}Zp88|W;qoutNdsj zLNv8#tFD;pEi&X{Yw_rRvWl92QNpErk?3F^yoD9&bg}a~Su0u)896B!a^Z@UgZ(xw zVb~NGDP)OWyzfQyOK`D_UR71ZQZM;yJQJLu7VBbZIfgZ-AZbys9bMSj6kxduk%t{n zD%o^yW97Cpbt?8Jb>%_K)CHM&dWXhJI?X3|T9N9!hCFtS1g}4VIuZ=%cYRGH*gOC0 z4*(^C?FUbd?3TAy4DPFO-7KZN0*iZMgW9b0^5zvK@)NTlT;4=R*pPE>^7s~hYPa^x zLC*NVvsyyLyx#znO<2KcN2u&-Tg8NmjHQClkS?F89?Vyn&ccb@R*wQOfS`cL8`W;W zYrP#1F0vzfL`{AYczMPij$D>aSVoBs@rGs`8r&p8c3Y+Umq<4Ua=HJSg3A%rEogfJ zXkrg`e#dF$D~$%bBjqo%jjybYbxawAfT;gw6mJ6N&_oFx9m?b&sXzjoT6qsKV6Iq} zOVawjK#~S#$hVFeaObYX6@W>OvH^#pBbfZIZeHNK=>YaJ)*2U(?dm14H*ua!kNq`{ zQOqK*R?ya>a4e=(968ry%^m=5*_(f|g*i-rUD;BB^{sA+9$^Q5Mvd(E(GrzpfnLP2 zNH-@O9Mu$5^Xvae`X>#y{% zdc(8$3i$e3Z)TD(SsPT@I8?470>CZz?W(F9wJEzwW4K zo>7q}1($EeX~B?bsy$qOYqOcHi7t#s|16y%#7~-u8`Y{j0hG6c<*JR$4WX%*x9{bn z=Bl4#>;G*Rm0|d|(9PxWhu~ zkv}g<0$`|6YgxBAj1get*5i_ZT~;+=@?ED6P6Sr$3f~CX54a*_hrB5LTF2Z-HOz`Q zcAgsJ9|M&EG~R?H76xZZOKRUjx(fyTbh-I$KeO%r6{JjAuI}KwDj{ju!nHG;AQu_o zAUhAaOW1N;NSv>x@zG?LN-Jn}xhbG7a3Jco4|CuNWft6EWyZ`!%wr$Tgvi#Ti&hn3 zr3TcSU#=~rtt|Ae)~c%)<(=(=(j+YxE|a8D^L|YSLei?@T+sV5X_>ZjCgBl4GAS|n zv+q6E!%S$sv1mKiP)((O!Yvu~ewpBNY|432w`!zXn#Rzr0Jjg@!XV*9 z)5XTUA0eyOxg}`1D+5SF#IoTexT zIq4`=ANf)L=KW0c_!CdEP`;-qI%cXTvyeYXW7ba*^RZDiw()^uVQ_-mE%K_LASkgk zu~JTi#goxCD4xR8KMYPDhl~5m+z|u1q^;X8_y()0eweH1o6RI9`fLKpT>Y>lJBpd( zX=KK>$Z(g=0p8|vdn;^bk<-SC7^y>svtam#guu?1n@PiFnS@2ZIh*GB#lR{67*Ib3 zFz^=ne2@#D%ss+kk-e8ax44Wfj#gccnE`1tr?KO$JClY*=<)V9AQ&*)h56RQWiY&i z0UJ%27V;=uHXXD<_S>vUeG6HrIIRL1e(o`~t<`Np!3DgK(3492slLs~oZL2R*!WI) z;LMvoJ6(+k(VSwWdzxh#MaGKq<7(`+iA}E2F2k>!&-uOVloRL)P4%6E@pb)j%eY3T zUcY^0c-5?d@SF7A&U+*FMfN<4BEvuouOROhG>FG%H1SCs%oCG>817^OzoLGCeG%!A_^r<5{PZChsA4~+^tMrGL2`^{E*_{k z18Qo%XnMEETgNm+=RLsOG?jR;1D||iIvtP5v^mw-Ulw`$Qi6Qp&<)9!phkz02hX!k zd?3=DzhzQ7C^5Zmw!mq9SGG}>fa2%1{3&uiIx5p%Tlbr# z-O*xOq)5lizBdp_9`8&gw0COd1aZd(dXDYl(U#BLl{-T10!cYQ?n z0)NY)xmOu>o98|I8?7H(pSV+TFE(j?FBsxMQ*d*sKS`$jgxJC+JnY^z_**ZP zfT*r_7Aik{p4++YAA|cR;m zZ7FGkl?hIBERF!5>PBCfg4SCJuZ`v-V%=B6YW!1dfg-M{ez?i`30aSm5K=_$T@z|N9?|?NS`)fMn@_+&tn^B?vW?Fht6Xo}%WY*E*De z?b8|B(YwqStb)g71YR>w=FCopV4KJ!QAu}_to)Zc%=8nWUYFzc()-q8MyVseH zCJG|ABnyG=jextEZOkWyXhowKjJ)>`TR0Y79wrU&j0{5H<{jJR+TGp1EhZTFrET69 z8T!A|-A#BRAv&L_ZQ!y$xGa@m%N zV7rm8Ue*7C6F{R52Wi70L5pdpT1{P6X;LucCCC_GoZKX_2A(?^4G$lo8YsDgSa@kx zuKB}U4Jy~oO8}s}wnf>TFEeLa-4XI%%Y6~$-SnZ} z9f&nhUI7NRn#ZV`_P)iOLROv5s@tJ4?d_iK;IWm9FA<;3c4@;zG@JRDK5xF$C2ZA= zHGz;*T5bQVlY79OGo(?yP~BeJtQsNr{h>K26yO_+V02z&r6uYQJ~pAl=ASIDTi>q> z#xiQY<*+r`-$Kh++NJ=51k{7vPIIj=u*09H&_{DA;o7;U9o&=0VyrP-OLFd)Vf-Ly zILYOnN4Oqudp-bF@QZE`)D^~-HrckbrA@MnDFrkX)Z^fO@a+R+@}dc59(aa3X*Y(| zqMPX)1~Mc!%C{^jS#n1O_)l8Y%x#44%JgXM*6ikKQO-;+SLV6pi@&tycQ&oK(q z7((UuDX(K&=duHHNn;fR2g)c0*W)2XgGecIDNN$CsQ)12)l?1)P0T8rh)xe8-Rm{o zwZnoaqEnF6@!0rGkZlbk17qU+rkU20bdl3yu#m9ua;dk^+3r^6-z6%_koV_b!aUpM z4ztWv3WuMa!7ajM_fKKt{7bqN<(?`?n*@`A=DACz8b^9|FX8-#6T_mTYvQT8UY!cJ zGn^;^c=fY_IWQ9_t#%>kWG#FfK1*z*~%A+D? zTNM5SGl`Hqt+lUfh+N*vWqP~Q#7W64koUN>7`3zCNgluA_StkrUjynpj4zD(zK zTL^-H>{(2@?9K&>8Zd>rf9(kVK36)+|J}{Ps`JgC|D}Dn`7KtkJ7RhcE<1{qRJPt} zTzMewOWpdF7tBGXa##{~j=I~`ZX2ODh&GiER@Va`ID>EXTFZ)KRbADlu=Pu%%gpA! zbBpWsD%hmY>x~8R7vhtR70FanYlTs3s?mXoI3>9=8(r~}4GMOX5|kNRV)dNEO=I7pCeM)jYK33O4+0t*GAnr zogyymCYU;QgH&;B#)8XYB_Rst_0$D72O`Y^LOS~+=va{mM6lsmS8T%h=YxfM$jd(-^Fks($Y;Y}KvG8q|a8U!ZSjktarb^NX%#orp zelW!~QsikkGIVtu)jq7+%}f8@PWg?SEDvmt?#DaXA}c*lIgIfibt5PR=;O+EuzjNF z2n!asEp!DLwbm_=FX%t^%&GiQH>PNpk}LOCRXhr7)<}X}g|x zQ^-Cv`?Xd=fbqV8bTQeTfQNBHSPIt1G)8CTc!@O7$dQ!4$phEv_=g6!XHXnVK?3Iyl5@GMp_?gzB)HQps-YxA=%RtjJUD4Uswi@_0_@=4Qsl@k5k@&{`V z-c7uVsYaWaEaHwq3%V;YXw(**NAIb!r%19|@BO93=^&|jSy!Ie9DaV_O;`nsy+k0R zx%B(GUtqKk&BV9w&?321>!p7JXXcH+jHP6$*P`v2h<<@z&_hThc;2MlsQQUn+3c&F zOPP{u`qmYE)^4l~>`Wid?d)}4t>J!jwZR$g(Ce3@^j6BG8o{j05KH(cuJH2?SFxq1 ziG=@pzlvxRjd&F;rfwHY@8(JDx@6pLULJg)GeOe|UVN@)MgnbJhpl%4*Cu{~_s3I7 z)q6Tlj4M6W)?%qK9chWCky{7H72Q;`m#xQ|ZB0;H0Z3%Zosn~LDOukpzU&NONF2H- z=~hR`38d^`(?B2bd^U&8gZ*3hU0EXcX(`&_iImopIw7W^blY_3o3Z7maR)lyR_T|H z-%SQx(vFv}&#hpS#awD>VQfXo8t3wtKfaa%^|~$1hgSj=;ee;A3M>b&x=c*%td}6j z3l|lKe1}R3R4iCmxI(pVEKcy{LohDAuOupkyc5?c@Mt)w`Pj;j-DqO0Cv{5Xj!_3H ze~^af02^?$_)bJd^=lBoQ#LM`&78TuFTGD+#FOd-D9D}D{|NeM!%PJ5p({L zlxR`wqZ~W7a0&Nzm+IXCSuW=nax8`jawLGGrodA~uPX=Zl2bt3N-q(GUZy@S3oMVX_TyS{=UxCBz*dOwg7=nG-FK6O()%rVA z@iqZ=gAu0pOJ_l%qBWQyn^PPcie!?)F-2c*$7MeHPlVTTqSfaNtRs<(x$DmN48+=D zKpU1w==+*#UHgkbN(SjgmS$9ngD^eh_r1JH(dyW20sa4!``+&wm z%CF<6_1_^34>@sfnfu$-QlLK)-fI`S;&LAk&q3Hj4qT#k+6i=se%kmSOl7dADMY6q z@8nHb~wOpTFi;@f}OhD44F=zP9L-~+8S6km|12KtQ zjpJxxyv{MipBp`Zn;C896wW8<$Qx{WZ?_xt-V3oU1{*T_e_@n;tCe=j>?o3J&~SOz zV*wnVEG@&KEo{Kn2is#)DwpDiv^BR`7HGW%H3_jY-g-uJT_>|KY|W@m$sL*^9gR>) zTMk-Z$wO$3cz{}L;^OzvT{?|IKUtXi9AgP0 zT>$LkpttxMRHff;z`bv+I3{nrsk)jy@^k}>FeGCeqwBX()8gE9dCj;g#ma41-7)Y~ zA7wsv(%J=#MCrSbZ`(6)4^9*$&)6k0ZbP>+rov~I|NfJC1sT5a<0TkHAnG21$tEk=>Uo!TM5i zNEWG!`LuVHYP8}A`>YEfK_W$zf6el%j}b!@>!hnnB2Fey{31QvFhkA-aeX|s(#hDO z$%vICru;AV&a$b_SZl*T(W1qj;_mM5?(Pm7Dehj}VdHLvjeBvYz=q=P#ogUr&iNPb z%$a=3m&`MnWF=Y8b>C~qB2I&&^DJ7GU&&}(E~a?u^UB|Q028a{c-?-$487y|kZ+Ez>~EOO-F-UQayTH6f^^kQtfq%Aq#q`p{~^V0w@M zmQEP%?nHXr?s}V&oKnXE=&gsMDlhWRF~&R8b{USy0qpp@D8r+RAPMALiTPX~$DowT z-%~W#N4O0fa^EXw+!UH-)eNb5pBok#)++;w0f@hgtuBKmZ1d;L8Wc#dnQ?F5=_i*# zGbpQunl;5j(Mj6JrF(W?(E>}g_K-&D*^D*A^K zAl?~Mz{VDt#{INyH+5LjzpCCX#SM^T#75(Kd-281ysahDv}CorA|&N6X5x&w*4W#a z0}(Q8RuE!;dD2aN**X&Fdq&}f$_dNDIhpStcGkGx8MT@iz1mmxE*uBjuzS_ zmSh+1S9YUORH8qu-b>6N)OtH$hFJJ-3E^wDW}JVhdF`1rBROH*JuRmX?RwQft*qH- zc+!R#qZ*c7C&eMM#SE2QjYGS?uDm+3YcHvYYeY9$5UGa0X?@U}`^ws*26yG9W;3*; zpFvgY?axs9J?m;(6f=l8`jR?b{xP?0&yg(-5bN>yLUC{?u+WipoUSNv(^H)~pEi(A zq*7r_7lE-$aDl(ox|n_~btm7_R2rcSAuL4J4$ptay=S6?Eih)I@k)Ta-w8PUYkSI+ zL7<$JDN@sJnJQYOA(0*IN8kl%#K*exQ$q4KAXP?-pL(`#nIaPpBj^mWD*RX{D%CNN4ZG|e z3QvIn(;m9p4$*f043hU5m1{Zt`Fz5_0X-QFNxJHEW13zMhGb~0IH$z&Tn+pI{RL>I zq{#QW>u+Y$d7Wy^Fd$xFLwM(DvwnGz+;nTgLYrI%oO3#ikq^oY40kqT+xv$m#hCC? zz1QXK5}6%g)4>!j7yb>T&+F-on5g2Yd`#?1lAw^$NX|enelD5&Zz2?uAn{Bq1fkzu zDJ?#_$B2Q%LmpYz*!>bk)&|c~f9C!nfSpx|c9r6u%rh+4?+0V?;w~o8OTF)KR(7wv zCF&7M&Cxw|<3f`CM0zm^|Kzx1gBaSJ%I6trgb%*nIsJ}J;fBjQ#>QOtloa_T7-Q0U zp1&qv6Ywp_j^#X=H*ZWeQSQKh%E%FnupC(; zCL{4FO17`lQ@poXH9*T`5bs#25Wv+U z*&yPOwl?Tkb;m;%x@)JARPk`nFB;kbm#w3sM#qZkms9j*(Va66Y^s<$sRFjeNUoH+96#Ag8EtHb zWkS9MZ#ekvakF2XoLPsaw-+7sdXBx?NnCOvVs3~;v{!MbY4Txu@4k44{)ozHS5Yrc z5WS8FYm6xUiO6>)Mc-omd^g?)Z`C(2NeLwZH#O$Ns&E=LzC0Na58p{u<@_>}i ze*R}I1UtoATmefkCpMH~$iUNnLQVOf1ba=TpCZLp7a6tIlVg7et88)>&+u#=({dcH zWD`^;M~bp2RmGinfzcxdM{^85mKVfE20}8M##B1#g3R`k5%LliTQ<*EeCLrzPgY3AI zf#TYx0^3^pOG3b8ux7D&`-ccbc(Dc77_br=iiLo(QB^_KqV1izXr>`?q_`AxEiAoG zKc0W&b)7L^>koz;0QjcagX04yjy&MTRrPmi4<{AIeiARz-X^yh7HOb^MJhXx1A7i% zO+3y(KD||AHzJyhA$=dasKf>-)Yk#V@*zd)MNGf0VGf8Vh!`miKac4{9F6g33g8YB zam@V)$=ZrQ0*dLJW2%eX?Oaua;>y3aq#udwgY3XaNIY~LE>zp6>O3EJI zf-n(a1QBzW+_!J|{#$hB60fPm6Ac`p_E zmE5LtaV`tV#I~c*bH3FYgufwn6dG0QzppmvCro5j+6W=%-&EFnF6!|7*F^<;m$AO< zil8*swmEjU?CUc8Da)fDZ?DZ~aT-h4E!s~oDnc~$SnkwQ)pYt+m;V^I(e~xEbH9|* zD|0m@(9k}wa&vvW6=6)4Gfxq@Pz1fguM;V!jRmfRHi8)K5z9-`W=1?_3Fyf&3% ze}G6be(ALD&ozDJR(Nb3Qra&)6cOBg!3=o{#LV8VM}EObIE`Em<4jQ`3rJ`n2F2_g zdb4Q5*P`>K`8RMXnRmTThUSB#-T^eW2`S-9x!L`yflg50rCG5-+bK1hcY5X)GiJm| z6P3)A(*k6!w*jdt$ej(30s+0Cs)|jJTW(}ynZ`O25Jr(J>y9mMQQYtwtFT$snzfD# zkiF9ugjiMIsSKFWKl~)AmA&izFA*A;LzyuHDw;Nos1942RIhb}12Hxp6+FEa#QY z-r>--6r%S*I}X<6#TttRm@_YF2oAg$p+pO-d!j$WG>!dGT-wKrQDJdXvq5h(z)jfl zr-O1MGw}FU$G}ced7MRKu*sz`dq`2ou$1au#aKA>=6OC*Di4ot>oqOGrr0HNU*e8G zl2l9CWhr1TtN;`rrD&YbB1<1FzNG# zHkmlk^$VY{L*u8T7%yCucV5t9RXaU{)0@r~pEv`cYL_o*ERIu(s$%P3fvH1xur;R4rI8fa*F-Y- z)W&4CLorT5E=sV6E-JzL z7yqc|=P~q8A*4go1!tK03&%&UcdlV4} zO}Az9_Q`%yM7+yPb)YVf->Xfb$#EJXB%#$2Z`DXPwO4_0IiZ!Ui%v=3k1Ol#L_89% z@I;d|jL!OnTZeMybtEj-Nk=HYS!-G6(S5SMWZJgBS{7v&d!C`=y5(HZIM6Blnvrh2 zWA-vS`m2_|Q2tb;c54yQ<@5JpHja=;FdhE&ipgiju3OD_)7Y0+(-ewZI$!_D^p{4> z5JxYStPzJf5UukhQbuJO^=(zF2wp;(XElh)(c8QOWvw_T+Kfp$wf*@0XD-<@+5K4Z z1HYC1f&+vqc;UA@#L7Rn+}d9wB`CS$liH~REqL57jFih}xkIC|`K?amJSL*!i34cpyOlrIcWI-CFHC~Pm=S> zYe9u}Ei?!l zilud1(ak!zIurK72uhI2KIGRnsi}_&qlx)vNmumXCJ{0*@f0SDuh1z*vi$m*Y>;wo z0jbc91$`7aD4fY7W`xlnI!uoh0n0YOqO4rM0Y4+C+2)k>4(&KLCBN}Z*9DD13yq>m zsHdD}B1tyAC)fVRreyVB8e)KdQzX+r#hB}Dl#Bfq^6I|}{nuIZ{;7jNMp?!PEcPB^A3iexu152iY-3|1KP>Cka+Qu(1DW98%&S2ydMueWSiRLcHfxXeEWGR z-_^KM?Ycg#MEQ9MzU#Q(iGw7vv;~*E;OW~>%Q*Y~X6GlQ+F$^X3jMzzgQe13f=L3V z8EQ=jJRAeZm~LK_TBhX++x`~qd&K8qratML*3beP5&;X_*FND<`>ndsTeYEz76D6R zCB9nVyFz-l7}2d{=*CC2!;HJUCE4`(!X{cA`r(&6W0rEN@y}f|sAIjMDYV#0#-_g; ze@z#2?5}Da+-CMyU;w>1QsiPL@I}8hC6bwYeCF{y;Hf>ahXGSyShb>H(B`vc{j>P4 z1qb(Y?Z3U@zEP1X6qM*Co)WQd#h;BJLIW>y`m_0>D8ZV!JFAQ+#!AIX0SI%D29LK2 zBICsAFj?_g@#}xc0E2PkaN~X-Z2fP*|IOk5md*dGoucDzqdo=xU6XXM=ghiMFR%yo zewsbY@9KlMp>IHs4cq|sLI3B9Y2~U%6+v=<&Jp(H zR=am$INp$doGr~r@FH`m$@5ybxEZzFT$weRyyeHE^J#f1zdj=(4xSO~=j>V7^O6wel^C?7U5sTuP5->!WQG9XY4T^mx)@19mrN6_YfuK>g?|H&qoD>FfT((_iu~QRgYmfD89!cl6$$TJ=p(@tJB;5 zQTAXwJ8rK9cqi+lH!gNrBFMH_sGP4&AZO&URQIcDYOf9;vlehOb(zr8XJ2Ut66hhMB zuQxVd1lXUFVifw*Dq-X})XJOz0RRrbFqS2W$mT1RNhR_If#t$?Q4L~Vni;x zQW$@K4gl^bpMl%{d3wgoOV7_3NGIsGJ%agd&E9!~p=rp`dv6R7k6?LDPXA5e$=y~F zAa!-Oa|X3Ts_v#~QQN59m{Z9eH@YQ;-KwMMOmjUy<%+HqJ1sa1tG2EHYi zrUuwBgYEsj-;ES9i>>~E=O%PMwJo{97zM<9oXSRUUSVBRr(vgtq8@@VFih|}$C`7G zdYh#a;}Pd$b-MErVA#0Y(DyKU;@smpei+9&;VgTp%VEin?v6l@_9 znfRf#blFH6C}wi?kyc((o#@7=xBDST>Le*5!2I@U=t?d}RMR*FHIJ~DFTFh+=V%q* z3Ic4j5`vOnsoIILy^34<{xP&lN_oAllD^ewgzI|sNu*3_$r(j`tt971MZvb!+nDP# zw|^q>I?1Oh;Z)-0^0ne%^>$#~lrF>g8F$&+;O1JsqLCu3zSK#d)acvG@>!2N{9|Rs ztsXE3TWo~>D5$N-vE3(I79lBQICC@nHGdmf+k>SCsFVSsfmoysDi{4Ghh1xi_Pc{Z zloMsM*MRAo?)lPzYSFqiTXaE+6MDRJPJwHeA5rv?gwwoM6Z?tWnPzYD(&ctJ*Q@k) zgKw7c+Et{Oc4>{bvT{rcZo~PE{BS73zf6-0E5vG_bHjOpEL;EEYyNRMXYNLoUCYYz zSF|9~-T9hN`)lu)nSCL8)$HkfU0bn0|DRRiO;h^C~<27@aP1d0jeZ?afSL6PD@)Rc4^3BSYZhW!%P>90r0I~2) zYA!kbr60+e9|~DvPP;;zv7dlZh569_*Hjuf!tUjUGTU`wQ`l8#YqQ()Kg0_?Tmu|0 zmLW%04s(Bcxs`TVe6z3735gY}Ydu=Ji^x`~w&3)QRW;)6v$NjFE34%kd%Q(K8z=!?D$pm=_#`_7IE{b4 zYyzJ3D4+MrOXib18)&D$l-vbF%VMD&cK}MqQ^NZ?QpoiAKZ>eT=}Uv&{S)8c&xIKD z`)N&Ko-xLTj}?IvNl!l&F~FVO^96gg)EKBhfj^x9`aPzp$KH;JH`cumdlNbR8&1f_=ip(%S}g82CtDLL8KV{FHS#+wA<5G9 z_gRM(YkkN0S9!J9u-%w7gF!-&&SE**_ ztt2cb_r($!qEz7`q3rVG*!Q!wcj`-!rG4ln4)uV$u{OIykDb{@%dv|3<2^=`u`Qw= zz8?7^>#7`TOBZ|kE+krFvq^)fkPm8DWm?7Gssi2O z-Z#scr(@-65S0X*?d_*r^YwSlA>$?ASHrU5deO4zGZK$9^cV5c#@#-mteF)kP2_0J z%aC=Gt)1tae3{Ab-qnX*F@5jx^>!PZ6-Hdk83;G17)vL47|E>Z1*AKeY)uW*^PmB+ zvktzjw}S^iyR~gK{F4?MAt|ov`|M!eGK?akL`Y?BDp|?h-8;WK&Ggp$S=4@u8n%4d z`^#=@Gd9!2H^^geALG?90RhPnH%Xes7_}P5+wQV2gWTovEiRhBWO6Iye1R={Unv+r zh%#FD>>RiKv7>m!K!}^&<8v44;2bnAqo6p#CyHt-+@fL<)cLYAL(^h#(TbUV0`xHK0sd-eUDoz?k-Br5(8c2N|x zMNccI(`vv?BpcU&Tl3}M{(5(oa=3)B5!b^!OeZ$&_~$f++v#QZP+c+y;kI*h4)Oq; z>HD6>KB_KK1cIm+rA8o^PJX%m`3YYsW5ie)?5zrwfy(GyGa(mMHP|AunDD$E)J^+6 zO>z4zwTY=piRaFb7nJTS|HiLKTiLw=paI(N@+GIR1CSX!CPW=m22CT_LsY(Yp6~p6qG6oo4Qa7T4{WNqgFW z5km;`&~MdML=L24=%CA9^>u}aAL-cv*c+@%S5_k^TDN;$53~_={b~_NU_uI({c^|nC@-z{1j1r!E<`-t&KGQ3{uha1OI3?r*^xU#- zvp&NcN=V1I8vrhGX>lhJqG>H9_JFyW;;T0YA<4ad_TlZyfxFVG6F#D!DP-O!-Im6igKwPL(VGvVg{kC0t9WAQz_6njQwfU znwO&V!GmQb4lp&x@yym!SkQSJj!~5;{TvZ)g?aR^lso!ZDPqD}sKNwyJ13ce1#ARU zW+f(#fXd zGNr4;Da#O9w$Kktz-9`j!+);6h zKio3vgn!Aph-ec6wQih|y%{mBEN+Vp&v2Gc5q3>o5}#ItfX0c}3&(Y{-rpBcA5b(1SDZ2d>C1MR^y@cg3Z<+>_^{=Lh5!ANaVVOI|G9tAYFs2!Xxs&2hE z3=+7X+31gY?HtQubhE1B6O-b`0yf|krTBsaV{zSD;$5850EU5+f^U%7=ifo5Rb@GH z*-VEj-BJ&Pk32lYhIJkRxl0&v*DJKHyhkK!|FM$!CLU{qgLVXz5;U@|@K=N-a|Dmzm=n-9VBgeOW^miZ#kE}&0#xJtZ+!Dc0-*{0U zd(slABlLab6JqAJ++^QpTn%veHuyT7$c5rGr&OSmY*EU98PE@gf!x&NGW|}@d;K$1 zOU-y&Q`{w+lUkY52uGuNWN|v>MJdnEzSw}-l9tUdG_T-LyXdeX8G#XFPY-ooO?D%q zqc}gErK=h}7b5OtygBJ;BhR3{nOrhF#Y}I8?JOegVE9%u8sVjn9 zjg}4|IbwyYW^&W6M<>ZIPw*4y%!gF^!L`O=z#_SBwvzR*?|=oO$^P+(lu{qjYebLt z>j#iLTMByNP_YHrfEaT39}1+(&|j)}h22R?*^%xHhd~3tvkFMz)&pl#PCKX9ZF_EP zPOPo`&Z}^oevFXIR8GP}jT^%|5C@~z-Uz}_Xt=t033a=|jUizCl-4sbx1_F1@u z%%7M}CJGb$nvb(tMd8ejX_VM!GF1vxPiPFg8wzF^J0zzEG>D7eKN>Y5Fw5IAQq6ZI zwa-^O_VMlOIE8Cb!z0fxa|~|gqUj=-O>FXnNfFo#d@gz!T;&u%4bwpA1o@D{yKjQ_ z)3}NcA~}S3M!yzoSl+#n$Ke*DL0{S+b^opkN%|S1N@z84_%V}4%l;zc7A|M}Hn{=J zNR?d!zIio__V~86DTCA^undDAq&R4^v*hzB*5}CvH@@lvpG$%*-(|y+D|r;PS;to! zF5qxGSv>VLp9tP&s0y}24ESmW?+Qm^>P96<0V=>%``Ac5GDXeM9pnqPp>BNJu_X`y zvQr!$jbOZVHWf9PTQTE0GxV+KZ-@+lqnh{d`aJI;s|ZZYHj|dCs}fu}?B@Cmf=T*O z9>Rh}+3P;nD3L5@xnq(=p_{xCYUdauJzm*gXlDt}Fk^b{7ZXl!qUs8=AiYtgH0}~k z-gua94^IXquwFb5`dej>XIIKrKyh6$ZpDw;+=LKGNe*DiV@y- z^}Ce75Txt;a0FJ&t@pj%iO_7;e&PK~nTy(ARx3%igs_mJUhp~JA&UR(Qez-_(+H=# zsK_|_QQ_E3z%0?2%<<2+m5`5^<%8iJG@$rl9{SXXFR*>L~`Ox`maBnC&JHf^* zK89qBJAO%pqT}ddAPhbP&}!iHJ;)%uYJ;$o$a*qy=|iiizfCXN=6j$`Mi^j}s(mi| zt~$oRTr?vFO>6lfoyiv&TsMuM-UFJ5-&dkSATx}#kh;V;94ak3Ps?*mJ{WP^TjloV zNZEm@f$^Z<1hGpxTk|i%c(HEZB!~$3*}#;Rz!S=)5i65)8Gn;{_FICGRb>k*ozLZDU^_`NM*dAgTd#GI$KB zIQwDx*%G0=KVDNWVs3h0}bT18Ezs{ zSVrbh&8{FyEp+?$|9~=lKH;Opot*1~SuHK_Z)Up{At`!cY1~Y^J`FyU?38qcLW{@8 z@u>c@8o(=U-Q)3gQ&+7Rb~)pmHtiI8y6e9-DjX_0eJ{&3iXEvf!HudE(8hYp8y7r-)7wB!g8kV#NJ#_*%47j%JvL5V7 zlWM$C!e=fE*D=H{jl7Dz>k9X(fQP@Mzs5Z`0Sv5QOjr@pehHmub$=(FHAgT=^qDU@ zcM7_VIfg^$VHuL!z$~uZODJsrMz>G@LiElgdSE=QgL2hVVdGW@ zm`iO9(EL}LkZY2vv)FL;s}o65Rm|z!MR_6mH9OH%@_LLk@@dxO3(2=xnfN$2Y5)rg zfg}ULTRp)fx(>&hNHde(@)!3Y7+BDSE>}3OI_;e$=v{5v&t~~Cb|!jAo=F}J6p8FG z60asVmC@*IUlg`gY||-9vc*c9adY$rxM@$HVM^ci$GfAVL$(Dj*%z1WP$I_+MvS`{ zHIXJ%0E#VWgET0s|Mfh)U^Yinhf58Z(LhJuM)S-^@=77x z5Di&GOhlnMfS3EH9J!tdgZwR`HE9t5wZO|lW@yEmBOWqq* z9wp7JtArF&;0W-MLNW;uo1IcTi>7%HL~_ptmtRD2oS2qg>8Aq zgO}fMcP-!P>xVD0oP};?;b(`wPl^e+oqm&V#@ZdCCiN&4Q<|kPHdSAhS||61|ImC4 zi@Rq;q4HVLbEM)ftlC$p?e1IX&?AO*^;EM>^VWhWFH@Fej_##MU z-)*H&{|u$cn*qUS%4v!K9;TC>3e3{D$T26$_j+CSe z|H4494gvL%$sC_Km^$H({*!@VCA2FZli8o6Rw+Kc1<*-7bF`TBEAc)*)Ao;)`IAs8 zP=!a~o>K4OjrB)=(N&4e?+-e~e90Y4@Nk?;X{juYLxTe8yz*_UYO}=J+s|J8_nt-c zkvBC0_iuaX_>;cA7Q%PDJScb7ux&kz4mNT`5vrJDUn5ZUQApB2*Dp}Dd(OENm85>c z2;mLb^3sG4OJT=fU1hn9{I02U!5b#-%K>DP*pu8wZ)4a4`Xl?~4827Dl^Fzlgi$PW z$|YA<0!YLtfmgLumC502A3@#anh`(J22MnFNEIksv<1kc>;W2b*USp<0N_0 zSj)_;8~B5eDPrQxdWnP1Tn?tfQ4iI1j4c2T$fu`7HXgJm8J*zkJTrqfbqgU2CA;T6 zmY_}Hbt^q6x^MB*d4Zsl+JiNtq~W3o4%^lnDees zK4#l4dtreN5Qu$G?F=(Lk``(#X7K2)zLxlA$O#Ntn-0h^%R>T@!N$8)Y20|ndi6pNTdcO)I*MYe<8X_44d%`ow+6;g&XWPwCV~%L-T7Y z(Nq_0|2|R3SKh%(RYjlCYen1lA7s%G8NaN6Tn8nVQb#?N^=NJ;$7{ND@=4kz)3n#W zssm(3nq1JIIHB4fC-Y^@7ikk6bNo4`qc~=h&Sp(X}}i4!gkfYMP`R zcTBTB7at|6C6O=1ASbuQ_`+FR2&X0A2}j4(&_05ggj_qF&h9oZouvq$@g|AcXuh`_ zJ1O(yZ#m#_4-knCF#a^24izC1P+u2?sW<1wU%=m9)mY!xfhJ$qqFN${3il&C>y1Zvci3L9B*In ztV?Io9o`Sh$39#&*5_!xrKOV9rb7Pqb=w=0P4^_%bfA6E1?AxC9R2-#up^ZOc;vW5 zMX=LV_+`iHMGtL6kFP@K-u|mX$^Pb4oP(<*6}2Ge&r2uE?5#|2V3-r#nc{8hIQW#T z2OcRgGMi`b+^_I%gZ4FZ5R#jaZ`Ba-fb%92<2>8bc?&9GZmxaGw<6JVxrr~i5c1%* zYu9gQ-sAs6TFkXzE`8b3biTao{1}5d+h(n;lbJ7*ge%8aFsMRtaZ&68B|EieBu9Mf zqb)`<@2cUF-5H1i8oe}Q{<94QI&jtYzur>g3FD^D7ijM^DBXp8;;cYucZyf7`BF1O zDT-5?sXfcW&ciN8C^_wJl}b-Zl7r{&D6!++vqUBw#Zu8aFV#WzAeqsP!9_%fLZizE z+^$aTwcP%ymZNRA0SKv4v%s)y*G6%#$LUC%LvS+o`rDw`(3~S`#9cPl3D$7hm-4HK3&QF>boz? z>_AOO%ga(?00)|C`Od->}cmaO~Z>hKytaE{>Vpjw0TLk!yVve?n}vnFwBDId-B zFKbJomlh)GrOjzWbsqwr`nI6(weI;3b_d2lR879brF;AGYRlz~KnZn6ZyYK_xcy! zh)y_(z^B@BU+2u94=le^l`QjcvIaT4PkN5jQV3R0uFi{4g#O&G*cir-hw5Qu{jngs z9`HpXal=>;hpdm2E#QVxFvgCy$2#hZBg zD3{$HQ*OCw=9ctI%TKNFrifftsbc+?{BK;1)<-hTC5lN{F;O-0_>^n!d&J3#JQ-!E z2}0_OcxiH}6a&8atK*;-{)0RxD0=S6K6`Tr6X9>{=ecLck;s^BuxU37K5rPpsD@eP z)QG!otOKY65>6VbU=E08kE z`&nQJ^y@gW09K$8m!NMD!#BhvF#oK_J!rH0JA_29qo&5}p$2mKb6h3CN4xOAG`?U& zn+MZbR}ZYm60D|tuZg@gQDPs5!qSA*f5Hm{0y{UJIDD8rJ-ru4B!*L>9L-=?S!kD` z_B~m4tCs2#Gg}4IdF?WL^tbMsZ{`Cp-NT1uc>&rA?bi)6L}j>Wjb?p}SY8?|UweLW zJEVX8ee@EEQCSx%VXED0s+ziM06iGcWH9$?$CHZZSkKkjs)4ExI-C0g$=0L+JX5^? zNLILRd>F0N0R0eAN-e-@?o8J>+k5=>C2Kofw$w%S4kl72q0mhgC-=&2IMl;_Ey7x& zxlWnsnJq?&D67Sown5T7TJlQ~JF|4azI~p-91G_4Yh&68X}2p$o~V1Sz#FQhRo6`fv^))9I=TSj`UPwsz1%k$Gw0(&LjM3a=s zZth)F({F|abFV~pe68BnJTWMb14WA6x#%LKoXX?%fXQ%^3oh}Nb1p)$5j?qn1(+?P zaN$1s=AQ9m*j#c8-atRKR+^vN7vsy(q1w44JYHtnzPL^9cvSAkl&W=-L7x)5{VU?K z>WNdk=oLGRGW#=Y*AZY|ogsH&h*qxd*T8g62G`{%5oiIc(-LpUCae8J{S5!^t6w8I zd1uSCg<*xhc2#-JrVf-pwUC9WS}l0>$Vln#zNtTB_I7lm6mCfNv7iQPnlim1Op5UN zQs3;fi|xWWu*vx!+QROS@0pyQ?Ny<K(Reo6HZ`Zp znf6TBz4QJWsP%0cP$ZL#{J4{F_B9^Y2fx&ru-WSBJc@s_+$RoD@=6IiN5Q@j2hwZC8Lcj~mN=X;DsQzRNR z6h+mDeE1}MFkh|22BM=PY$bJE*xgRHzYsTy&;nrWD8Jg-;L$~Tb{^1Y)(VuuA#fK@lHC3bjG#`AZg4F4cea<>c`A07_r&?_P(47QJPfDAk*- zRkc>TcToq;OtTGocA>45@i!EANfjp3z6)9Vk8$dRtaf1j%(~{~zF>TgtdNV}s? zbqODBR5kM6DxebS7Jj2`^xSI9N-v|-qlUv({oQ?0oA`HzeII!mS@IqCF|NeCzlhUg zN>B3MPabAG-QMarCha`CPK4r`dHy_np1w;z{K4V4e?%FK z!;oyq&;qD!npqwC(M!wude=ao$gM&K-7dF#2L*t zti&1RI+nCE(JU(RI^xP2`jalz@J(`E%j@S+RtrZ~K~z!NoIYu`clvRY5=BG=w7F^# z1pzAjjjBIT@+*%GqQp#f78us!DAjztqEeVR8!$FTfYowl^Y}7SVfe`1-%>Gq*mx$Y zei~94LPM~K>!$fP_{z^Bw0jOx-&nc0@?wOWbT&p|NK*{|w@>kYdjjYsEyf+e=YpL< z9|zK8Nki*ngi>9_n#`JInzjtJHN2ud6O`Zg#@6 z;(Ghh_#{<4Y`L!- zvRN$ZwzZ&-iOl`_nnujovYmd3~+Tr^b6^`Cf9&%@R#Z}UVb zmq&_E#(A3x6ROABIgp50G`K`tsLLS$5#4nxdp{uL(;*kL)QXDeZdS{;p3%>imVCk` zY>km@&DbZqu*EIkT|w8uagF0AcjxoZYObni9jYX;%gwzgSsZVAP+In3!e8~?6AAXS zrAadJBxz|;LY-Hmyvq_Z@%mn9FBy}`Uh;+bHvxXWR!wT!!)-46NFup`Hr-WbhrmD`WrLVi$7yo}h*hok32<6lW#O>s6IMY8?3Y9O`*KJZ`tM5YH5Vn`7VQs8+kfOYJp=K z_EHZOiT5Qjpq3&f^+~(W8Gp|V2VH}cl6k(?S5zFn^Z^+4YQ1o{4l{4?k2mDU#V^+; z1m{RE@u-iZlZvtpU)N$K80i{H3=4uyIGn#RNUC4HgA+`Y>HTWG15N}KRoF?$3j=xG z03W1%e~bE8DARtPm_CNT@>Y*qdmmCM26^HxZ%J@JM{?_#f++!BXR10}G)d(c5S^dI z0aNLT5&J#t2BUzj5$eq}Z=xO|%irVcS__bnPMS!bFGuULO*OI-^#y6+Z7Xvs@Y3b6 zV2#>xM}33oAgQ=?iRU(fCg^xkGTFr|3j{WBcY@B^y<^I~PiMJr!NYozr<-Q;fqll( zcTsV*kTF*1BYS`{xK==bis zqd*@~Z0+gEu*BM zhmG}QA9a=9i>UhPHHMuzrk8r+Z};@;8FAt~G(pnkkx|kP=H}>yg!Q4uk3p^uR)f2b z)aEwxJ|ok1bx%AJSzX34v3bGgkg52?(pcBal7fwzo(dgS?bFfMspa~N&(NGl4| z!ysECyZ=JFnBrXb<>#J8c=|)0xs9o&z>4}xv`YQ=!J1ZH7$Bfi4%Jg^=!l({_GN>aA->10PN9KOa*!AKT{{2FEM}9EQcF%C#8@> z2J}mB0}g~5i z*X>NkD>IJx5=cnqHvL1kH||M^JzrxHPX#5#rM)!2I$D zM;dfLq2a!V?#T7;Pr$CE?tWLFss_UR` z39|XKmXkh5&6jTGx*LYyVy4_t61IT>9BkD%)a`XCX`M|rJ1VYm&(~S}Zt71IvWCqK zly4i{Fa(0uQMo%94sAjvTdtWlYBC?~o7;K&1#xm#BAb+PyIg26{2re54-E)FR#3g=EFTqA|| z$iz*jqost+gMEV zAqGp)aXjIbK<>jGtQ+n@$;jT78puJ&p`lhi`V5k`{U|lG8r1SUu7ZD3OuZ*O-F7Ip z0>$t*lBv(!*pw`+`2^0f<3t38Z$|Y`)9#djl^JeM5#jMX9w|nqA!B)(Pzz?u8uXdw z9P%j2ljW6OwzqgXw`_j_u&K@1YdwwgYv5=T3;ffcdc2oy`S7vwz14b(y!63v4pu$i zA&mP47>U(06(u^FtE<8w;2n>QKBdN4%-o96Vb#cNZ+RM}&-En6yrXV)<~P&HnSlt^ zd5X>v8FD~m-TJIiIwJky@fw3e2^#fdJfY_C3aAWb7&>aJ*2=oV6hvzz(;}B29ul() zHxv5FVJ-VNB?jH6GLd7=Lvl{0jti zmw|!}L2qsFRtFm#g3c>zZS+tHCTQIurQ` z$}Zn_ZkEazc^=0E(`hK{F<&kAD?cXtW%@I1iSC|KAyzGdc#8|YR>y?ToZ&y zsYR(YWz!IDXk@7!%Qq(&f4js9L6CGzz6gdd=OSa>R*Xc}AK+!SES8ChRz!FVfQxGM zuKzy(j6ie0;8U+Z;JcON16TgZA~AT7~FkH;w;SDsIxRuHY&0Sj*tJJqP{}rQ}RlVQDp5wVVjO%;~3XNDTyj8-*T>;_cf)MNmS~kbtX<`X+a6>HAF1-zYWE@eU5(RVv;%A zyq>u3WqGGqY)j-76yeZ^@5F%m;#Q4jZ)N9vGD=S1Ne|bfWJA(&=%Tf`))y>?>t=BL zi5x8;yY4nWbS#lmjJc|L9rzwV8mRgF0@s^2<>REL&{2Lv%Hi7tms<>#mjJEg_ZH~) zu8~SnL-Y&fT8*8@e~4E8Y8xq;Pm$d^0F$lA9pL+%RG@yd_OL$++XO4iRwJ3Tu2a(X zz8*(mKar=9g9kT^>2ElpNQJF2RL9}PQnj<~=o_T0JM-{Iai-onYi)2$`K4h4tJd|A z>rDe|H?Br3Dq_rS_uwHrqI-`7by7|?C+P_WS4)Db4yOboPd1`@ax(c~P4EXPsd6Y= zTr>RIwA*$-Co-9&x=mh&GPXz_zrf;&J%S-rIci9Z$0CmG4ZV0cR-7Q_D3@=XM9Htl8jlOxfyDy*ft2A;THrek&y0l(nE>F#T+ zuw6-!w-87z>koWT<&2cl=R5~2_H$Nx+sYUI+gLVC3cn|jO9V+f6|xR540AJY zj>u3cwX8bqqO`~9VzGXc-;(&mN>s!KXMS^rq`XP$S5Zk>t%MuVi2YJ?O9HrGewyv`564_8) z&iq3DF;L}<(Tb9>qM=bU1xWn`3KIOx>Q@mkxz3;NZm&i`A_O;x5JPLlOH~uZC1a(#L2S@^o^a>T z^^q+u$?JSXa405)oycs?zg~dK;`(51RP&Xi&0^^U>fmtzS}9eT+`kOf5TVlFPyp_&FS8X!QCq+Xdynd zXtbwNu7`Q)Vi|D<8#$RGnc&NyQJC(5ZEkj2^To`fFGex+SjZqiCDN@v$cbd3*A63% zA!6U?a2v(j@KlR7y4qRi?Y7eYWHI^d+RGBMzaU*#JC7$DJ%h^j^rTox zPheO0`Hu|Qmdk-2ha^hj)gLO#FO}vdXkN2y6s3`Bjd?3Qp_e+-p0Z*CSYCHb$_Ez~ z*98XW2~cW?E=LaUKOr14;^3zyIKx)+T1_9I5`v8}=U1=X0TI=m5@FT!0Afi{74{EF z%4-kC%y1%yPHYBa=hc_MxPfLs7TP8Ax(}KDfWR`Z`@7-0BphBwf($wN;jJ0A$ot@M zkHGJ9q6_Q3jAf&mQ2AeDA=u~3(y6cPm}t9e0xT7$@MTdVe9Ko2nW{dbEGC7Mj4`tJ zN`l&*D~x$MA%V|1(s*tMSa=U2g1OLIyKHr%FqTDAIFSsu&b?GQR|O2sHp3|G`GS-3-XdZDH-0V zx^vY@sD>QwDiP=tC#~W(MQnKKoku{F&yp2p1d$_Ndh%0%p2wn+@R57x>p`sin4{W_ z$Kl{E0*m2VK_kmZijglz38TT9;Bw59-qGrDD6q8+UO$9sI`J4hsxwVLl;C4}SCdGD zhL`h#IYUYNqCZKrKV719-ke%y6MSnZJzosV$X)R&p#jX9+2W51$ae z-$I0~?OkMh=2b!CX3((X%5|3chk3hzc$x7r{M5uSEAt%$+wc?evQ)2Fxv7Zq%Nk)h zA5jh**f1%Nwhk)2GXP=(RGc8Y`lGuLwxsG!k;3{7p|V|lk&R?6|1Ak7D^-5Fke@Hq z`f|rvCc%={T<1m~mLltUdSAQloNXPJ+WM-F#n#KV4)kg zFCoAELGMe4f$patwn@r1mHw`Dr zB&YnI#I_z{2(k+J>A{*XGN?>QQ{;>6xAzghh4K&33>&cV2K2!kKK`?@V z6KiF1*Zd#$&I8V_qWa_WLg+=RptKDg1$JrDAs|Jhccg_t0z?xMLgJqyWNAu~E=rXu zASfVKlqN`%E+|!`_p0RO;R_#edkSl#_rU-!(aLHONy4xP!T0zVp(^ne;ZrERB_&dJ zDJ}EgC1>(v;Lhb+*}IBfLayo*dYZz^qCaPtWEtBNaTxlAGMl&SjwTgex|}(~We2{> zw-72j7s8!fEURB5IpBor#J6WcHZI1HP!I3SQsvs5)LWllhX>Un+z${I!w z&h^E3>#{WFd44^#=s7f>W>_HqGpU7pQbf<+qR26)52z6Nviuibx?GA0&tnug86CH~ z?J01H$G+djM=}pU{ZQ&vTZu@@yOFCfmA;vl~(yo6}>SexEw{rF-6=qbh1)- z8VPoT4=-Ef6mOUfEbF7c?i_nsVO9Fo+ttYHJ*+d9xUux0&hz$tO+?z`<2-i4^r1yqhnC)U~?DRdX9$ay z4DgAN>F-j<4j3=$+qc&S!u;-B>cyHKS2M1uvsiycIb0^$%k|dnvLw;owy~h$y8t<* zS&kKBl1x**EMg{OLV3+(5zC-Se9*@}fiAL_s4P&Dsjc7iIs@)h$@EIk*pyfno5_gp5z z_<Lq4Mp(1G$t4>;W*b0)bL?s z_yk`#)Zjr|kbFKFF3Z=_H=Ndn2W_F2N030O!to}ji?7JJZF15*iykRYr)+ib&_-=a#)uA-BA>J6S_3y~Tvd1BPRz zteV_UBzteA(_oAJmD&?sOmF?1?@RM5&#o=%3@mG4EOA~n2CjH1MbAChqIleKh1T0C zi!%Gd0nW4Os{xoAXF(=ziL4;pF7@&~(Ijg7Jrr=uTu1q3f|aBumEJ+idaaH^PZ1l2 z@FiYDH9$!xy70wCgO`qSS^kzQ3s}NOQi|quTO&zKv@&Lvj+%zbAGw$-COO>wlM8Xk zNs0*9km7Gyry@vybnaD)F!m5xl87E16D>Gf6c~Q8q|R*@3^~1W_(RX*Zp zAD)Zo5WhN68bZuQe;pn(OJ2Tqp<|FU{#^|NhP9i5*JdTd7RY2WlK!$?s^dj3&sY9v zS#peWcwVF|IZ#ke>Xp+$II(s{?QRyK^F5y~f}zs0=l`H>OGz_?bkEa+zKNv7kB4qC zVE}yEClqhQ7j%L*+7|#mAFNiTbW#ENH-OK-!hpH&#yQ%q73jQLUIzH&M(g%sE@h0? z`OCtcwI>88zk88+>@~E@o|y{1O^1n74QGtcBBJLpya~OaWVErFN5Sxc;fsA77!`#w zFW<4V3Zr6EVKxu%769=MUkS7MeXL%~>N+f=Y_C$5lDfM$p^znRw!IvC3%hQ?6O7ijn+->d#QEe#GLroq!yWX_hvo{<*=gSd*MLI(sKZgLJMxS zOxxVrFaLoy6{i~6XdtSK&kj15GBdQn)bb_Qi}%{uq%{7b6rb<`r*e+QB) z^Le)}HWJ>*-VcnU_0hjU+VsD=zgvm=s;EkpN@1J!(zx}haMflZ;}T_?GA|wZE~~_s zuheoV4$duKv4e&K?Tcx#@)awp_@+uo)fQC>rCwn!rA$Q!_0_)(Y&$}l((8?X0^j#( zps{|~E}Wdpvc%7RNd~N?FaR%bnux00mM<0;*eWa9z1ont@7=b`L8a-~ zLcO*Z2Jvn`vbCv=g&waQKBBkYQ7Eh_g>nu~$nZ7v#%}PTcpizT?t*O}7IZ+Ie>hQK ztN$(Q%{k8iUk1L#5OeNqfBmD;ZAS`)-o@y3gyebpocQq!cL>_#Dah1kMIqPA*YQxW zG~BDI@~d_IvK-;rUekV=6AV1h`)m%P(b(4f`LB0wdr{@9m9pe4xKh3@@gga4ZxB+m z&!_<#DEJhL=zi$@71?t10`3;Gi6Zh<_9velFU{xJU&&`{VKzUY`wEKaA%=-DM^$Dj z5*vV0!lNAZZ|$TWrB}O(9#|#iF;xz7Q2zwCGX>&T33GGpX+P=6c)Uf_Kcp;UeD2r10XZ5vKOMoX~jv9nRGX0 zHrm}hD(&SlT%Pur$d{tAm$uiOLQL%~(t-y4J;nhoE zi1Q9>qgM;LUITnv5@ZX5A(IMdn|6iwO6s+UeAQS(WS-~*Wq@6&*NgI%abY@M+#V!~ zDIaEu*@niMJ09K_JMM1wL7gje}JwCxFQG5H*1C*2~^+ z-9<3;Vm>Q*okD@yr+h_$`iNE>EA5%F^udw)#l*N4?)-#5Gjzu(hP#s?el&7*>@j{V zTZA%Tc(uq-?Rn5h7+^nXxNI(x;(f%2mh`RpELCQ%+FvxowIIl%pYL6Y2%E^KPSJUY zPlFHFm-hwW=SY>k-eB?9eT_K{t#WZI`f8*S9}s^sxj&kIDMh2KGBeVwxbLM5P`=_^ zeJJGKK<|4n7IxoMO7`x!t=F92dY1g-eGl0CR+Aaf^1_G_I*s|q!m892x?=ZYS=O&d zeW&f8bMEQ|)&u2VZAVjbp2J~l?bEiZ{NuTI2jS`3wKSz>Sj@ouT6j9Z$8`)e3|Dqm zl*0wfAJKO5>*IVk>P4?4L%UJwE~*o)xX5zZj2x94nC%lJ#8$K9 zWFbt|Jtf0Hyi6RpukdxtOZ)lz5C=(IKZgB8n$o?(mp%nUK0;GzsQ+91w<1kd_ez!! zf{8T&_!dP+sj*b}!TP<@wM45LD#1vKV@ zZ9Ey;%EI!&Sd#N?SGKRp$|JeHa@=r##MvT}MP;zBWxcHM{=47}m6T%B5PMy+q0&Th z>EVoR+^uu7ruqw2{+YgVJ5Swa`D}`^)&+$43X5_$4?F!i$G!s=l{}&ox%x-1#9dUYyenvkN2fRi{B=ivhxMGw*jGZv zxiCH>de)^Ruh5ibrmD;ZxX{tdAfmmtGw`AdS(W}kQuz+?Wc2({xKfpQVIOV*=%>m1 z8rQ0Dsf|oEghzY}WN!rqCl~cFKA%e|%wxzOossq$lHe1m(rCW2caQPs1RkcMw~<7u zO#pt)5TAChzT}*;3E8|fH7i*R_|bWulAlRlwe!i4g^a8AJF#56+eND7w&4pGW0sLr zQ@WuPv-U*!;tp_9$syoj9zcdeF8gqxg+vZ1$) zO{89>45pi0hc#3UYd1Ry zg*fz5%v&n51vdG03bFlb*-J#;J3aOUm zD{e}Ud{Ik(70x1`&sxsT$&yyPTayeqQ-n!L@boigk0W(=lc7FA=;gnc5^YnwTA23I z1pcph{6v#!o6?jjdYm=spYPE5{u%k=%xYSCv?_;(A?Op}g%9a`FH;QfrK$Xu0v7LZ z%GX4}z0(uL4fgC&rOEx;%^3ONen7FT18@#Z(MNq>YMWg{y%9Z5Tzx_srD8cK85}E7 z>A&!eYQ0R3%%BLKo9S+T2*wPG*@!xe3X%M9+`X6;Xw0iLtg>h_559%($F-VbPGEn+fjx1_iSEjbBB9 zp?~kc80eFVLwqX33ME5ELE(`pHaUg>3jg%k=dJj`e4rHm3Z-_rc8F}(nnV2@%Ca=o z+mfonz1J@^qV3wt_d;)kMi~hjmr^h70tD2czE-A!o+cc-7MSgm*0&Y;eW~rPe5ovt z)q!eBhH9HRgp5inGZNisx82LtrB|R}0*w9s_WQPFFYn&Q%~)Pl9u67z(A1jYOp33c zw-LkNPwDtR1?AwIa$|^_DE#I9=Wz)u`jnDFw&b!-zqADTX_kK`Qb}Yl1oKFHyFDRO zpN#|!Zv%`#OTJ97N~L(*h37Xk)lIOKE+WsQEb1eO6Ki|z#f4hsz_6`mpiOw6ADAO;oE%M-{f_*B0meMe6E-WoJ#hd^j{v{ zk4fcUB}(uA3N&bZ{2k>0!@xEt6go;7;3VbVwIJ>wp|`l~dqtnUeBA|cr%K8G!gNOT zCu>vQ4YL1`uiD^2JGUKi-Qc#1m3S6hYb!~6W;!8xrKl87e2q|_JLgs7I<>Isc^`Tv zHNZ`KmS3M(_Lcq>4w!z!Cf50K66B|k77?JFoH8r#qQ^t`BCWU&@~6*_wQKt`bo)>p za$cYeuxUXd_qB4n;ToDb6Nyfak&~lV#O;Su8fRAC*om?p;|s&~kN3B@k+pK()_e&A=9s0^&P+M_t6pb!3J$$51 zf(3gZb-ECG8K|;ALr>x>`VWX(A0cB7mJSgBhVbs?f^B@v{LACBI6`zsEJR`+1w(qG zWSGhM0j0;d6jiMcS9uTe`jmPp@lsq+Uzd^BOxOU7ZrDbBtZ=4hn`cNR z+m+gj8BUp$3(vFsxmz}@`BfM$#V&@@19`(evIE zS%%@QT#=9!-Hp^gJl{eproaoZ>^Ml%s6%0L4?~&jQ;8n){ zy)yQfNU#9#s%HC=%5q7EEVYO;`K;wJR^=-kC)ojVQ5|D{9Y}DW-uZV&x4kV4;9L0} z$hbRZQOPH?@(IFMHQAXb=VFPk2>v}-4xY&43mwJm3yc1U`Ty!9yPzkCuly@suemJi zyFwf6PNQh3|68PzJ^Ag9J3Wfm#j6De3WdLxq{XY#gm}L;D(4R88OGdO5px}z|66tM z3s^*Qbau(Tg|=CgIUqT5L43dEN?}a>Z@`rG&z%2V#h-P*y)r=g`Uhk8_iMg4hy~&q z;4zTDK?K?#&B5~XB<0>?Bw1}UxSQoZS{fbYJNnCudU2`%Ylu&aj`A6nWwBpRM!#*F zCz&1wpxU(aQG~#N%X$GlN77#1El?r5`DcrGfIwx7Wo`@DN{Ylf@wf|ICV7))}+YR8rnV zDm@)~@9l9au=698{uS!~OKo$seJt%&nS^J_l+&~xma-~VrS{7$Y972tD~^PB^imrP zGfzCnw$zT4y7<`xIq$3OTN2L`f2)v}aeq-wQ^{F);hCSla4cvsrNcIleI&yQh4}X1 z2Dya*V53s}XawE?&tyd`VvK2I|Z40yQx#Avvl>KidUL0rT-WLi~ zOK(0!<1Wdo_AnXh)JNelzLu!UtQCF9WE$>*KbNw6zg$wt0D%p*^GaF1?40m(c=j47vV19$gpVE? z`vIZQH_E9+h9@5K-8QUq=~E(E-izK|R#UwVAP0bk=M0HcyM&}%ZH=xk4jhmJJF-lC zpW7XLLHEYqFK>O#M}uyYXHop|t$YT=JrlR`83L4Va^VCa!AUumy*zGAox?IEk)p_SPwv7YL~Cn4@3$mDbomeem*49g4| z+OqY6?%3hJ}c`B86q165e83_Zd1@W7cN*yO?e6Lb&LL6k>p`Dr( zkLQhVBH?F|N+0~gDk??$6j541i!Mxtzl0gjyv+G2)B6NouSH)X!vjG>Gh~XgRF$ux z>~%kpoWUL`^NWST4xB=V2!$C|In!b=i#Aza2o@2Z!5a&Yd3d*^wiocF%Hdt*-rrGM zsPkLiv{;%S(<8PQd|J#ar1A;aH731L>kO*dIN~;Y z4~|2Cm)=|#gT=R}yTeWIk|QUK1m z8>f0>0yG>lPzqEbD!d4O2;IB!#mXb_Ua1t0lAPTGND}uu;l(|_VCehho5*k}$iy+} z)tkTDwuaQybJV|>beanxWA@Zi)RWm&98F{qm%)!10D zXq*A51&ix14h`3+W-BwqFvC~xa0&A8-VEqx0e}2>cx53&7C_+*eU4LjHK1Hw68j~< z``e<*Xn@DIow4mO1?u;-*Q=t4YHDykr&T^1fm}$wGF@JjlSBeMWmz2GDkmnj%JF2? zhmjO&r7)Jt2#XIN>G#m>CS-VRQ@+ynl5t%1;h-{X-!NpWd&@yv9H;UJRVn3LmLr7W z+U6ECDqVKMQEYN|hk!h~5LH=J>vZJjc#y{dmA&mE*O~7fzK@tM-mtw+q)&M+Zm(ZU zl`Fl2R(ZCfu!4GZ#*@X%>cbgJxa7{Id|0Cu??ZjDkAt(tI7`PT;|!o|S$;0^`3Zh~ znd5l8q{x9CnY#iDRx_Ma$&hi*1h*f=)4QS*G0eQBcOPAsTofkfj)-3mmpN^y0=pV) zvp1;{c*`mzJ?uk*yyx&Tj{Nc=&%&Zh$13G!<@s$X=BZevh?G|stZ`anG6=2Y_2J3T z{g`|e3u-cw49~%2xV(HZZ{r>RfVUiF|5xCxv1+!}+dH%^C1v>xPa?zD!7#3}PbH^` z$|4sTGBN(*qBD4o@~wG06!>CcHm1hlD6auNO9f5Q;7pb5NU2JJ)(9cPbJRvC*rNrF zOh+8gE88qB8D^iu8+dThuNp1j*rUZ!4`rsTO0ys|T6%|yB4SL$6!%_=;iXbR_a;0)<2vnr4+4W?pK4Em#mx`T zC(KrsrN>J`pm!vD1{Pf)79wGY(8^1|qHmC)KE*2yDF2F~6D=?LaAmfgQ{KRRnv+7k zt9qFUzaCuboz@RddbRaUVE`{Fi$mNUkV$8VlQ%OJUcRmvC4UV*~Uh!zC;SN*F>3f2KG85A}*4FTI*%tlBDUSpP+y-S0oi}Yp94Dnwh zSIw~StX_xqdQBqMJ~(Y%KS{_i(DTNvQfO^K=}R-lusA_s(s1_p{9Y-ph)@NV*EN<}##4jpU${vFC zVg~RX;&DQTyPBp%j$r~o@aDmD9d~VWBWZF?S-Zpy&sNf2o&gr;|3v^hF3WT8yI}Yl zDhDqNrX$G4b8pCmZ(cDesmOKA!kw6JLJj+ zcFI~K_d|V5mj0Yj>0DpOK&c%a+#r~!g46* zQv7?yLzq1{TzMV!PkN%K(7jsaVzVKuuC=9+bp|7h&2P|FS*lP(EPb%L^us##U4oVo zw()1(uc~P5D}}nhzz^K#i;I!a6g1lftbi{W8@IHV*N3~3Y9%0HjDkDqj}$1G7?(?y zfkgF20o0?gdyQ1u${#6EqXtU7GE!-8{$C~jmcqok&}>7zr((E@x6QZJT*8R6V6k$uPU8xqJuMmyyAXFZAR%i4wnN239Bp6vkT4UOvx zRk^PcU%t|@!&*YTKccgIrMt$Y#V7c~%D#$myCSq`dlfADGRQvyEv)M?j3Je;vd>UX zzHcvf>j<8~B=)afkyPHzn3a)HLVRUbL>ardGpM9cXGLUy6rO`I58ef1E{~?APm+$_ zYth?9KHc14%!CtlgA8BR-=V-~pp7C5w%U|v8fJSCb6>AF0pcmRTT{Wkp6BNWh^66f zcpgvF*W{IN%82;#&K=NO&=qSUfcz`Oh%tNH^=4}hlnhou%a@(fISB2Kyde4#Vi?iC*M%KSWlxCOS+ z3>VW>!-4l)AmPv<#$Ux;r7!Oa+EbOolS;FS=90Kmk+N?lcW3Dfi^c3D8 zq=xjWz7AFO^%QOI6KGQwBl@L_Mxi&C8K2g}u!QR$&d27+_$8Hlk1jG~`i(WqWfiC+ ziwxPlh(q}aA}OBur9k$`xHq4u%He5{J(}KJ$0_5L_>vS=UtiJo`a4qjF&T2=Fa7fT z%52|2DrX@>tnw>w`k?i3O?6s9Ja>O^2*6i_c&`D*6vX49gHz3ks%`#;!2S|uQyq1W zH`9c?btUJl9XiD=u~ja^XyszNEAEy68D-0@U<2is$X4s+JDu5jy434CIIJy=ru`@H zwO$jH!W%F(QrS))yErVG!u46;rH ze%kAjXI5*I<9Na|ksp4K0;k8YLbs?A|9gSOU5irWvQ)VXygZUFPb`0$cqOe|r7%e) zyDr5ikfuyt-G&&SN>l2qfo1XXYXa?Aypm}a53i4M6z!>K+@V!2Q-3AyH@k;n4U?>LvaA==9{5QY zv&3T9w>xpPmkx8u!~9{JtfzQ^oevhggj}`%8mwl|0WcFbi_gc`HMnI>iC7dLFe(7ibMIDdNIUplX`iBlWRTQ;e4TKSfP7Yd%as)n^Ax&t;+SJ zz+!2PDXsFkU2^qDkFs5Mzf`3#1Y{m|c>&7XHf2&+lzwHfZ1Tcd)&`Im()reJ@;V98 zx`2A?GoMiJe~(AB_N8)a!0ecI0Z-nvPTTpPF5V{Y%GHO3JC-vaubYJTu{a-_lc%`d zuY-AjHI;kIY=5=dj;(xN(*Z*H>c#nX_h#z3=~0%N>U2rvTe(a%Zl|rh3;L|WW8Yyjpq?-+>T`H5ba_9;jdh>H)J}b|5SS^GjbzNFZY^*qt|p*~5UY zocp?-Tmgv*&;iOegV1*?qB*tWI9J>2Kj^zbbSyd*EPG3;&!0E=xU*(?w-yitWrWDWuM~$SZzRef=E4R4rQ*J2@9QD@%4V{jVsh{w$?KZoO40YA) zYpV1nk=OcsE%>eN+hEfVQ{_&oNoenQ7cWA+20}^p#Y(s(bYnwW%c2S9q&>%i(1x_>=Ebath_>$nba<8h?ET z(-F*?t)ayK5DIJXA-9IH`|cAZ-lr8~p}ofS8C*I2t|0Hrnw=`o#~?$2nn!ii2W0pu zCF53)BiT45!)N$gX@`t?Y)0BIMCvn;O8@1jomUZau5#HNRsPSY3mchpz45B(zjDgu zPZMNtto&fc4!6-%r(y)MAc=d&c*XF~42u84u!8I3zdZk-Htq}X+U8i13-<@U*e5L( zbK5%7C>4FER*E>kRUaMfU!bp+r-6N}4PJdnx`0Pp*V0$l!FFqlaJWI2ZsIQ^-d)J> z1JxtxtHUY1{FUPcZ(k=bv#I)kCvj@p!e6-mf`h63h_@T2#>tWi_; zu~!XRSt*p`@+bJF^zivFRbT(mapg?F`yk>X(-D5bdIdq_BF2@UA_*%aE;_E24}LtZM9CQ~A;f|10&C9KF%*?tvL`KWDP_MrDAl(erE2 zQ*j%b7)xyfQsb5t7}ndcDKyWVeg-2PPCb)Q=y~HfiaAZbiY+-eDbF8HF=OP*FE7%s zutTZx=q1ZT)ZVDk(Z7ojamxUt{79@6kA_%@a;+7bjr(=+`x+;y%3jZBt+&bSD)Uj8 z2T=tQb1tJ5XQKxCd{cTy|9cMku6;-i)SN5M2>O63eH$(i*LuGt=dijC@egnTF0x!W z%WY@?HNb(9&pIK)3CGlT26DP$eSnOoWk!GOg72?XoFcm;8SaYt%?T?k-*ftOnD9r; zFQ?}Iq-&WPds@-BW1{4|By=QXtV*x;AF8Ym`wRSUF}B4^2fd+W?4eEhGfesAQC}QJ zB^0)voN^Ryq@1VovQ83e2@S~jlt#hEEaJ$f;6TPqh}K7OqwK9@6p@`AU*T`o;8YxM z!M2ds44}n%GtBErY9ZdUu5Javry56w|Aax9X=i2$ z)6u6|m?}xaa#ebuWa#^pm#C6|#d;JD2Fz7f`V{|OzSdC-Rs&pN!3qln3d>ijzNAA7 z^(tTCr_Uj55>id2@M02s3g-olg@Bh-%+K$xz24jCs8?wjHAQ}=w&=m|;gY0M|HB_B z19&8!l;*3c|6w7OKZZqT07Lc@bMx9WDwRu+*AO8p6^Cb_;9?kCpH-C)H9*8#&ft_o zj#es`xyx6a(~jTLiK#d+m_w5`@Q+fv_gWMX&sI>l5npulIPh#M-OX?5Zhi}eH}l24 zx3U=DdZ*N6E4rKI5F#;6%ZY_z!^`7v)WdwSGl;!rzf%nFrI<@-nVWt!)ZeB4a$qnS zf`IH^w9M#h=kasPC;u;-@O#LREy-E-O_J&}PT<`FGT0&Fmgg%;C0J(eOISqu6si7B zm5yUDlBC*{Vh)oprOVAGQ;WVVF|lNxTD~$Ren_PI^Fopg*CVg38xk+K4rZAQXtG+8 z%9qY*`~~#|@%KT-Y7L3sE~eWPB(5ES_-mot2Wg{xQyWF$5w8%LJ7l<2tbmuVR#|w5 zww>nQh=FQ&E`z66r(WD9bHynOwH56pgG0Fmu1qVg>e88c46lOt-}9vzeoM)?r64}Z zaKnOl&2#~gWuKuBScas^*w-s#`&Wzet?SDLWBXTsAy+vmp;b<|X#GqX`wTR|@n})a zQ0|lr&w+^fAt2v4Lmx{soK3RulHx<}he9>67JWVk&Ew&Y7QoTwRILxSJ&b?A&Mw=(Bj zWSG}n{z!M-I$+;ZTCdj(%U252OA1u^O1;xRS2W~^A#oyvj1xA#L7dk9*1C*h_zITX z9gu-HD+WvfRGFaybzWV2`S(i3{#sUNY4ufY^#&Q1}9kGMH3QxX@9LwLU0ag>TBMFo55L80{!4B7N5Ufz-+ zYelHRw~+k1(XBIJ;S$U-XAemcl`dPGQub~|&!a*{O%7o5y+i$O?z>P?*lddrf3x*A zRhs8Rw-^<2OR#iM96M6fYZ$ean}l7BGbE|kusY;VlJ~{*(GYFQk{Hg8!Zq>lbrkyd za$l<)xBH*gw>0(`$oMW_T&ln^jD?O`ggDy?P)f2Z6zn)C+(Z~ZOH%!gysqI(pCZ+* zQrVg;tIB=a)w0Br$ue28D4!zLS(J4;UrDOVrI>;^pLDeLdb@#<4@y$`(4WLf50g&X ztI7$`{T&)bF)Z#+kxMGWiGP0IDDzR@)uI<9M?c?*XWvemw<{V&SEXl7x%a;7 zUu@;GMyfZSS?#NBELjzj;;ZGq&;Wb9|F70-RReHXtNT3|YQ1FAF}S*kglFzW&|?1q zz4?toytn6D2TE?@E?4?ueOD=zZJb$+TV+L=Z_z4?cdff`!godyH^3Lr6iIK@adDTika-OY{w$r3HI6$Kh+km?|+ zOpkZ>;3r!zS2PYJ)v55Iq9M5jeVFGff4BjdRt9+A%H=B#DPh0fUE0b=Qq0f!Qhj)+ zqH#3E?86s#&@oe790PJ932uN)SQG^-`zymU7u+eUw_yVX+un+L$pM%X+zw=jKIGcz zgC&bfm#xgUi?&xuyq>pwfKs?R=Jm2-aLL4YI9zVDw3iu*WHzRE+X@;SmpMOi;p`6%$-}zVb&; zw^&HwEnh(bnHI)U`D(|f=k|9>%54|b2fe{Crl7ElKxW9-C8{^dSCi2j*ilT*d`q~Y zqS>^){Po{uX8cm6SL}tknWo%RuyOd?u*Ghrfq9aRXv$0B4Sb1L8oyv8;;~*)dQ9qi zN#Pi3x2Sv-!-_wswY&pt@q&C63)U~H5S3Ko9>ejz&!xyi9j^*w=`RpJ1mg8+k$-#- z%cOPfVu;tdl;Ws|H4EO*R$ekKiwi}Wk=}uoq54M#BHmrF7!#GRMfbMxIV;U@k59hV zhQDJ?W<@G9x18&En;gT0gIov8+<#OI%d&JLXk87u12nE+*zvVVYx)Cb&rveQ()r3! zmRXIZ%$i&+JW&*ppJzLbCXmCDUB= zJ`VJimup$&D{k-@{fiNPUw|)bPl#VB^bSY0GHWIzg%$8iA>$wP4vbT9t$#y-+LhYA z4aez(!rg zjp(nSEmQD|`=mHCRioGGvEmpXbkPyZh?1yl;;$>vfEZx8a?4xA=)T)tp(Law*G; zatt@=#LrP2C(bNAf_@;h)Jv?u_jef4!DD{@C1c93i^i~)_6Oi8$22V;pzGK zLZ$eg%3O zR`L@I9P8(oB+9aIw&IRhKOIW&v$W##e6d%LDpN1tC@r+dVtnnkOt^hj2&|&P&8wW6 zxRf+XNyglQMzOdciK40dcLj|Mo0o;c(*geW42ROvk+2-16pL`|hs0K5N#RGjnFoK6B3OvuB?W|9qnHE$W=j6v>?M z^6oKz?M$mc7z?~D{cLM^1eKZHeL(wqVNdpHKNvcX@A*+CM?rE{z0S`6+4Iu8>WXLU zQRRl__~|o6>QmdQ$T#9Yi|s8Zt#$jPPAOk3Y`%MOcSw)XP&uE#TEwDTp8mCbkOuPg z;rV@)4A(|haUZ!CzaOs_7u|{yPYk}T74Yrt%v9Kqn;;`)a)l+*>+ulxzUov*5}S|W z#Zwd0Zsy6948KPP3TMePqGM8wiJQvlhG;w~y6EEj^8U)vvPW!ozE8-NE`m&*O8CH1 z@Li3<6(?6}N%kJb`0Z{tC3R-L-l=CBvRR$WiY~M#x-`}s*>ch#fzQRmaw;mGc zz$wx>cJ)=i|DmscO&Y+nYvUW7*VtY^5QkTuf0O)2uNcB(^}agJ^Xc}F5Z@na zciF`~`E$hA?x|TjF+D6`wP6>RrlhK2{cm|3M_5>{bzkoC4chRVcMYi}=>Buexqd^9 z*u4LL%JKhg`u~&q|I%2U&^`2i@zg?Zjck@7X}G@aAa^GwduGduGVYqRuTWN~y{knS z4U|iOxLh#;FCLd?Bvfn}a+n;u^Omo(IHf`m(P+VFwvpv+Sv(|Z(VW&-$jOO`k+N4r zIlZF$Y43OnJtU%qgXm~6q9y*;(2&J7KNZ$f=CulSG}=WEHe9`f%xzK|8SoIrOMF6L z_CMVH@!%xz4e(20Pv zk2wPeU-gzeJ*^81lt~chF|NM;`7GtR%d1>7K_9JBQgXu_SGav8yNmeM4*&JOAY5g& zrUA0zFVufsmwQx)zR_WE%A(@6x^d0oxqKzUMeV^nE4eo!!F9@}SZzOj@J)>-DFsc# zIw?u`mF)Sz@H-X9oTS6Xw5LcO?wIpDhP7=UXO4(C>^d&&A};)aEf?w@RN^ye?xhI|iU)aWAveBfB7_&oxFrt%e}`)EI+ zQtTq#`^1lBQyC{~mxwQTU0xry9Uo@#%4^0eAz4>$-m8DGVX@SH{>$rBlRS+`T^`U^ zvf8>&dESw#RM8x|Po8^yrN;!|RX3T*AN?t4?cAC>Ts|bDW|R4Dk1msS$6p;(k;UE{ z^C;R4hrwN&9iBY`?rtB|O?>%;V*_}tj*x`M zvcoFhymsrz%?pcM@ULtj@t?OYER&me@s?!jHn}S0g1KJv0q0<}u9A9(m$|l@aZ6uF zcoLwR90AJ*(C#|c3m6w_7yQr1#p-cIO4@218^WNUx+A>7P0p&+K&#;sQ$>eGSoEU* z#m~QFF)WHP1nX}x4^`fTgvYWd+N`!yPR?^e)`m%s8a{~f`jnl0E_lcNQv{nOA98U@ zV|Muju-#kOGl}da325@r6sz*_^Ei3M6`z4|^%N8oq6;nyc5yz1}Z0@`YJ zYLvy_9@=n5ETkV5iSPn5Kx5U%^(-ElG%rz93y!M?U%bCOl*_=pz8Sc}SkiM%-n-lR zmHqL_D=t=Ub)J*X!Jaec>idW#{%7<{<*uP1U3)HJ(N(QEyj|XN>(WHQyAjszb|I31 zvhelV2_Js`Ne$agDc!U*$!*8JQq^>;f-=RTGSaVK=UxM%RI5&uYLC{k=3W8n)K|4N zI&8!DRab~xNa~^cl_(RPdmh6g!Zq%hXF<)*70DoCs~N@2Me-FI9>WIyNhyS4Th{y{ zp%wh-DCw1fDO1`!Q~v$uRNeBj<2lUz-6(K~I`H8>Hgz4uElCw#CLZZbZ`?{%_tknc zrM%_28cTARJ+PKFN-UZPivB9gU^XFmu#BQOvm6ooOOhT^|EQl6I1VX)`80;vQ2dq^ zn%S<2ckoYLu=dj&6|3tPW3iYP91{Oak^wTV+9;rx*anyGS0OY%4-o7An=d45mH4-; zo4~#ezI$t6xbs5i9qBgs;;QS9Z4C%)Y_19z#G-c&Y55i<^6Zx|U(uZksT^xoRi0#L zS@gxJb8DJN7W&r;NLA0*cuw%Tpy{m&+suURoJYpkLyu7k?VqcEzFGKWhRO7>t&NQ= z#gl+HZciWf@jv%R=U_U3fG&-rxK?5weN;5;~D-C7btOhv)53N0`;+Nt*0$bjc|Ojj~`} zOs&Ot=6mYp3{YFOJg7Ip=X^2ZFP`0H=v|t70Z67tf5}u=RvykZ< zE?BN6C^zseq_VlUui(Z;Wj5ObspxHsjz3b75U3}+gfLY<>qmgSAv5qH5u~?DIrbIJ z#Q#%Dz3Z?IZjRA>7&6Zy#hN81l}&KEZx>$_EB`x3qHic4{o7&vkR_4@e2`QeAK0S#WZ>cYY3 zfAm`*F-iJ6ZyZhUKDv@X+zRuCb3 z7do@K%ifPWgm|*Ko04)<^}i?2`F_8If4iGLNlX+J_QN`j>z(Ykf2?Ki&mVMQzhco% zM$E}tZd0(e3i>tkB5Z)n2%rnhgA{vCH>v(;v8nM(7_PYcK1xp@{8J&F z^>pInTA8n}x*GrD@5GDG_xI4SuPJZoG%5A-6(K`EQ%hoQ!QwP&yq+bPP<58Q%Y4Ni zC>f}i+NZr-*u&4Z6+iKg3!1ophNMVx9OFFz` z;^)Jz3W!zCjhiqHx%UVI-#=t`IoXVmEI_O;Wc?0!G6zSZNIoYD2=_grIv0m3U>}C= z6lOPoze9t*M-^2B`WJ3MwEX}-4*!|PJ7!+RAr}_L=_V?ph8pZJhJG&w?0_esQK!$D zsWPmqW%l>zvOv8vn(~|p{ z7(ZZ_7K~#Xql!T7d&J*5%f|7EV{$~l`;mGo&Htg686>DRYAN}0k)YFW-`QNyvSn@m zOTZAOoLm>4Qke=>^kI}Lr4)+&2MB!6#^oT77DAWoEeq9b(oGa;es8fe5Lf}}CrV)d z!(PLX68u4JA;L;!6fow+jQ$`4Yc64bL;6pK<^GJY18EKN!3!Ny+81uZDNk&gxj%OL zXx)t1jKB3@jE9`NK$}>Z!X1|?s`W_1GXBXQ1;i zU3aJU6%f~XpR_llSHmgIAT&%Njwhp}U{Sy6Sl~zFx}1zY+jg99IPI&A?iC4uXwDr~ z#ieYCjQST2t49A@yS0w zg!g)jMt6<{>Iq8JO29q`V{a{CpEIj`H1nNh;r!|Vl6gvl#qnMW-x1qoK^XizEPl;|UG2s-(Xx7u0dGekjq>c2z5>z0t z?<;zIz5zgE>bfZ-ryqivZdH*U`as%4%QqDGVeZxXjg>epGxQ&{ybP{^m0YCXpzBPh z#i^6kLz$t$Ij)^>FCoN$BVA8?@s8gUF0Ce?eu9<8$pE#~`Ot&$5bQEThN+{z-Av6V z)r##h7Y`+cI#JY2Q;J|)rFY@51&5=7-^32$=g)nslNmyAC36OMKdGn*+%0UKh z$P@af){104JqggjJjdIAC2m_+YP()cla`3JGgFDM)=#dxwwO45x!Y{}U~d1*-6{JS z3N7$%X6@8yW|L_*OGc~t8eCv5&g>MczNL`6zD{UN*Y{6KvR8#QAxdxTVQ)nX333j( zzp0X{*^#Mo{`Md{=Z001(O=jEH^z8XrQf6Ug`rEh<4jf(@%nf1TlX^(%di@C3uB&r zi6UFVCFbKY{!%X%EAEZ8K| zpaI}2<4m~N@n9`vhJQ^j8S0yy7ZXeCPsvm0pFj54pBVH78(gHIikf0miYI<}c{HtU zV-|UycKAvkjX+j0Mc8d8wjc5DuXDPqcR(z`R=MvhL%S8G-fG*6n(&tn94j>h@FZPW zkf$YD;wA{0SxV&(x|=kGT>XardKl^tyY$EToB8J+L=a>?drcxcF_dOgCHlV0wuUc_ zy;=BVcHb^A>Kz3ls)PT|a{#nvRVsc=eqTS0r~gSXr#$z0S@+%pomO1rky!mKb&G$n zPPl)|>L~RPBK(PWAM0df?`SY-d~{{>c)vpDwO5uB|2LdrVQTTVkEO{bo|D3)Zjww) zN6S^g|5Is(D|n=PV&1_}M@D68p=q*@;FWBdSvuRG1)_gXb*VmRY_glhVzoJ1?Up;Q z_94-FQql5K6=4uGxR82Af8qfLTUg<$9gVnat!`u(@f`%a82GYr_$6I!HZ|j906u$P zL*ZaU(J1z$=A4O=ppF9xh>!4CT5$K7O`GrXm&ky*b%yxebtBm8q*W%@|2;VKO83>1N@m$m9yo|yFbAOCOS!VQdrVh%) z0Ge1T225L(dXz>BkdHWi_TWzwq#js_NFshqytLa?T#p`FA2U8XnF{hXZo!p7>=s;X zn#%93D}Qc}439f%d-*omQq&cOeVY>W@IvtlkR4B2Z%e_%>kjN zf;1rz?5&5M8Hx?Y-*1Cn?&KJesm66Gm_GZdUNkH^`f?51SKanIm*sWl&>>2#iIM#F zt(e*Kh2q`GHtbi4f$G8s(#;RlgtXVbA47;Hp0FWoevC`$j16GNV}T{bUQtk^qsigC zLO#iwDbJb-<-`Q){J$i-nL$ZQ*6{K9me{G-m>M_qc1d0aJ^KU9>IJzi${jRyJ@F^Q z`lPd&cBpzM+v%5Hak@qRioS@w@hQo~{H5ggio#dgkEIi>*hW)i9(jC87^19&8lkxK z@W3>eeaL`mSmO^oTXx(rYlx{%u6G`RX>iIU&fh~WO-4FBS}rt}Hux&&_pk*{BKXSB zUT?T*PSEkmsS5MkFJ(D++7s0I_1?CmynXsw=FZ#j64{|@rFcAlOitO9>?Y;JQap2O zlTcChPFBAEG>?Gm;LA*5i^s#v2P8+=r6X^>&vZNK9A>PO?qTZ7M$m7~DfE`|S=IB_ zQ^QM#17RPzs0EU03cSksz_pRj{c_UymZc0biimAjuGR?l%*MInji|WGUTbDv53Dvhe61PljIVtTUYxc7AMDHQsi=u!;>Eyx(

KOY}##C1~=i`4(*c_G(%Yx6(RS9HZMDD+ofRx#}3C+ zdDgNpXIE@K$2To|6%N^Y{OGXqQx>FAv-w)Ko>U~C>6iN}@^Z2>Pv@iH?=h{BO+soM z{62fzvUfzTnC!q4<$F`<@$njOQZGJ3||LdJSpz13_&Rv|Jtr!GG6{x?pKhep`8 z`n^2HTDD&M?vZVqpa%rIHM{8)8y37L;%wua+FQIuUm4lkrGQ!fjC_P?h{EN9?pKEd z9J`o)@wWYK3$DnKkmi8Q$_VEZv{od77o)J)_zbI{IuX&*5iTWBp%ZzWYmt%4Q3;pt0^hFKwA62K_M@cy;;Gt6U?POS!JOx^>&1MMfrv8$C9t>PP6Vq>`TNCYoqi|`MT+>6$~$ijJsaA8B;E_Mzo3U?Rx``S;XWZm`a zyFz-Wt@WG{K`OHT_HX0tmvrp#n|)5dn>y@vm@$UlURl=aYI`ZGnR}MaD!qXXq3esg zXhJ%h=%=!;l-2#F@JC5SRui}jD$j$EF-P-nYltNVN8X z%@(MeU8NWmTWOy_FKwl}Rm^dlf&adSn?>txM9D?TDXvh}(AX}%=w2v-_OMeyZx(N| zenDVBU&iE(=QETXy&oCQF)TQiWI&l3KF^3qJe-98-$lm%E<*l2)?sPQMgJ9NK&Zbd z+EP1FMRjyWyp=ePlf3Pz2FN-tSskAwc;a&Dmnt=Wm<-Q<`g(9;oj$y&xc{om{Rsj} zCq%=;;g_|vsTOHGrE>i^Ty?Tx>Wr#Hq;XPYLSCeoEvSM=s90=GW`x1V!=Q_CylIqv z@%`ReC+HxgZ>uZ-$Y&2tFCAFvGXYi@$3tXwj%F+!;9G`RQ5DrW#7q7C`l*SCVfXz% zV^e3{vqA^1BeuNz5)a3|Uu{C6FI0PIWwA*<90wxIeE@P2T=aiXle~vSLp1v|? zoOS-bNky-1_fxmrPZ|{*Yqr_8hm9{@w-k%3QEa$eXRHzir+a1l_#7fkQsK6F} zr6Q#wBPB9Z#0{15ee%Vw3Vj4zd|u}E`Dv>2hw8Rcn27~z)`%I!(tm2t>CpT{{PYp+ zTK)YWd`}EP$So)^Es_PG3^tX3#i!CXd zB9EIM1a~?nO(gomEV3||x3NJ%Z{Q6thy8k+NTzt@MjvPjd_NI(-ElPqP97ecnb`}m zg#D<$Ptz6L$CB~Ir_c*0h%q@3+#rcr~nbGK_7C6?lG2S27BITdDZ=dzu_|`pc3Td%71hZ@2H1#&jhQU6;akb$OKb!Q5QH|JOu#lll8i+rsbywA~U zjZTd3Xwd^rLqJhmwT-C5guVzSGuixVSr@|lpowr4i!6wCz4VWQ3Oaf-LC)=h9(N{t zB{=$i$Zh9Aba_oxA^b1}D=_8={}Z(+zL*LK%wq<31lST*sm53wK%s}um3 zvud66?|Zcjm^WfHvAzAx%Bqpb0mpW3_*#OjC08z{Pru}(l?NlfLAzmAHiqwX|7L$I zX6TNC(~QQ`b&w4ePeSKXwufWh@5TQp#H|C-CvI;{M zJno*Yq2q;$<^~ht!lvybP5QKy!`fcKC4WMOn6Gcyk#HbVmM@Hc55tDnBEz_oycxN7 zeVra0o!`RG2|32f^G)#?o8#j{Vb81R_4zGD6^eU~*t9miN?-6eHfq7+hJo>rJ)LK7 zv}!{SWB&yf_%!8~UgedLM#m1^KrQ`}7T07pIkn?h1GDLsIp@>Jt74DTT-CN@rrJ7|NL?4~f@0ndg5?S%BThR^z(r2A16DxAqN zgYFRX$I14SNV2^<2F{n@C=IF0mP7q0rVGQ0I=v#N7nlP7OICD(Jq_RG=fAYujva;1 zZ9n9}Dll+OdtE_l&%JdBL)J)k1I$lrV}`G)`%ltJc`eLFx_aP1;)=Xpx8}6wFgC{j zVXWBJp~R(fAYKx}8ymZc5d^enzhSOD1V`u({^c{QfVo{5v%$J9(3yBXrt4ehWKE-d zp%*-d4x965mzxlW_y;`-{nR>oME|(>iT(#cRvW+Z6G4|_I@c2kXVOwvl8~2K%Wo9{ zyIPO|fbiV!bvYwVGLhMVv;LD|Mhtw@OjRxq7W4q_FsTnVP|bkoS|OrflyLF%jroh> z!{JqYH}SmgLcU8_Ix`HrN-&W+`j_w+62}b~>@m?_vAv+}2Ln$kG6;D1W*STfVH2!( zDMi*JvWu-D`fEK-_3j=vq1rt6&$)JzDl<-{^(&u&Zl{ZyCRx^9UnW(UNGRKUNzSLc zT5ff*XeH=Ow5+!iBUcah0yeOE(AZ6UoQ6hDmP)>6S;<*g*_%*)^bL0&Wp*K)m> zty^%ugrLDVFhdf4J~I&jesJ{e*)WopV-uWU;AS}7Rsq9l3(aSJYWdx~*`JnRQ60_qM;qVyH7B(EY4Kf8&;)bJ6;$(T%@1wjlwz+5L+grTT8PVU1!dz^OuO zhU&Ux%3P)a89L=!wr~9Av&-J6w{uRqy+r`HfvvOIP}@o1oe3kEhNt#WzJAi@F9kM| z9fI2U5^72rQmVIHtDv8sVXOu=1q9}~#M<%?4k4=1Ve&YKE={ri$rqDllXQ?RZBRVE^i^qEevaq!4c9MMb?Dk6LGhJhE*QYP8 zZUrtGTqhwDT*aapR)kYdn#NifZridSNEDsCT6L0lG+;isQ$3bs!0c_A9MR&QHB^iE zXI^{P5&ASr19N_p_;w6xtz+w?J7=5Ta^;woO?*W#TH|idX?k%6hjusb6*SC!CWy)*t z1717tRNPA4-569f@>B=E&pACiAKS}NsA8|5?U>X~C|gLp)H4;0`tfJdW2{B^rj>`tarUYUc21B*Z!vH6ldsk}N`B}cNQYKD85WC=8oG3Dk`&HQuYD$Cw+k{-V^{rQ zPDln8qtYr}WLB%%C*o>if36?@LY8onr9^n8lVowqUm#R*6MVv<5I!wz2KQ31B z!l_nIxz?tL7ORKmINyk}Iq~`9d|q#9vgOMOvM!U3tMabX3FM`&~AP5SGzL^=&LgAXypu8IHTfi`GF|DK1IiPM)V-$FS^RD#1C;>{{qjIC?R^KNP zv?n%Q9C!S)Zn46!a~4DNll5M*MQ$>9Fu=;!!>V>4S7<3CHk)+m9A{T&;btX0=>~)!~$8K+&o zsJ%4Jtzy~Tb6JD`Fs$EFtgEYs4sP<_T`YGoOc=YGD&Q@gEU+%HF`v(yP4WW)ZfBjx zEoEjV)Zm35s!hdkT!64Xi7oN8mZUtKY?!p)ygYpvM0Dkh&(}%J%YDNtb`wCu+mfC4 z`&izUPb47tfmg}S`sB*9A(D7`@4-@u+LIX}fcDVBp@$3{_I%);*HzBSHF~~=9CWb` zn}DFWWiA`J`6@P(w9J-t4cHR$3C{6b*!(@)1n=nVmqV{4AvbGtlR%a~ZYhSQLb}dc z|2Uj|crqkX08>`FC7nL1O4KQW6<7XG{UH`hT*MDN2beXxH@J@c6Sce*l0ilu&vkuaRL^JLLi|K%HV1Doix}jMdhjIo zgs0Y~9B-YIRw?eI7J!cjOAzi2d=E&lqP00V z1QnW}6m3GHdaG#1(h90FDpDYYo|MR9#sw`DN=4JymT$X4ak*O8!Tu|jv#JCSbO&M} zd@PpA8R7(SI?j zA&+eue9jaVX~+ zUPP7W%c$70!cM2@pqEh^XDax67Wxr~n;ZazNwIGC*`~mqz2l@h-?m0yLU*$jE;@xc zs2=1*PHaxCqx4N?!vCE%#Po1ex&Gg7GgPBSH#m;}WwH>i0qmbhU>kT>F=K3nqFertSp>oME!N6+u@iS_@+d)dng{sy&{auDH%~?Xw!x(S6MP%3@ft4y*0oMWwnkQ zpSJe4^nxpiYfE*z$&a}a_rQ7LbPkv}s#Um1d6tz9B^#uERWKXWU+fa(VAgnp)4e0IS zHv<<@1YBw!!Tfp=dX$|_cles42Gat{_A7f15{3_i2Q-(NsZanyTvl6cwWzx8EcgGu<3dBO9UpHYgk3e_z0Ve8Q5~w z@pzYP|CL$(z$XecDD%}~{fSGL|C9SEud-jwj@M?Os5X=;dRce&hFFD5Ko$@EQLG*J`m?L(-_j?%0^J;^QgY z@oz{If`cDf3%q;z&0Fa#AXoM52_a0FLbhG=DDsNK5x4^229kJzt<|**q1_fM?&&El z4HpHD+&e;mrGwzDof|KGJl<&0?C$phMKCgWcq=YBc5=9nT=sUWL!++i1%ut^7)oY! zH^v@&f$8L2fOjv&S+;&$&!di>?qP3y&MxB#C@Vp&qIDoZ=8<(LSm|ztTr3}Km0Y@& zGfkh0YUC(@8<##@D-b*8qI9d7xk6WWu3hNlMq9V4hW~J1$zS&Xxv>T&I1^mDgRfn* z>S~Gby6(JSC|Fv>HmHDE#TH^i#2*~$nm6UYupT;!9Og?0NI?Iz0@}mBn~uc;2h?dv z84p_&fC=|-Dcn6%dVVR&skG2R4E?0x`Z1^@h~Y5RFl7p`n0I8+@g`0@3p6FZ7!UQ{ z_T=1$6RIAr7!Bx>Ok&nqCo!Cd&VV179ap0?mHor>!=9v~X%G`ptAOo|-l z!+&TfKfEtn2>IpN2s!(a`ao=FfoAtvEAa#4mE&5+($Kq+J3_sE@11{{ghhIz#G)m{ z-%>LAyypTq$qt-o+g=bzM5k|__k;tkFimZvfh-Eslyg4T!3TSnM-obpYroApEFYHs zeSBve{bvf#OlUR$2qSa66)_1DSVG@y$84Tr_TDt#$Qey(TpHpr(g7r$O(6-+lMp@d z0M^6HRJSaMp*1^ZJ9a*KEj_{QFI}K1102LHM%9MTH{AJ~N}2`G7N^(@Yi?nF9-`p*SXC>8cUB;OMESLC_}4 zo;5H9qtpibZW3~8t~3&>LLr9@6@6FzO^bVX;k=bWd3u=et2#bN4JBqBvRrUGd#8n< zF#S^GvDB7aQ`bM%L1~AWsD!M~xON=h(IJe7;lZz#6v$mn%@D`tt@N(d<8ui5(_&VgNl1nzQmJR$hxG#;fkOS~zFVt5??~UGa zUD29Y$KZQIs9lglA7Og6YgPSRe0wUh<_fjL;%18ebk$8pw(55t%hdvHPXm+tvKwd5gxQ+4t^6pYi29gln~M(%@nY^_n~ z&1MS+WQ1g-S(q??`0Gem9nZ0KY5PYP_1 za5svOIim5-MtR!sh^{a2wO#vay&0QbDrcTc-VwMjXii*eAanZ)FmX0OL@8*tg*SsY zLxnS=J*LLfIIckqpviEwd4*_Ejo#HI8U(hvVv4>Q<)u9^B-1xLGBA zjl{K~cCc(ABzUv*BE8kO6t26dvp6DVIYX1)n$}uW_9sf$_A&zta*365<&$L@CN$K- z)KuYVv7Ds6prncNxjcFlDzSs9u%+(_NLh&EYBs=OtGjMe-$J#ldPzrhfw(mkyWz25 zXCDf9*LNNWE~uzHtvr2YDOWb5fNDXtEVQ>csLnOAAVO%;+4SXDhEWTb{`2ma->{ks zqa4h@e{p+>4ohQmC7lVT4~KPxvZ}e-Z@r7sRTH;bAdE$UvBwOsY$PFM99istLcIKAAZR~GcX?%S#S)ahwaT9P>Ph{zw3Lqd!O4 zUL`o;aOtN7K1jvO?Db>jkMZdzf76n;>6|#?j`N0CbXj(-Ydb!vh>?Z0`~}oCj|HFt z99#lp5z@z)@#7HCy7}cO)9$?+n!3gAW^C zjSOFg5m-)$fazgJcTPDAd54jdg}+|wF(7JC6!%L(v4imZO@YaZU?m6DeV7d%mT z>tHElxpgb2SQgyMEZCI^6ME#)#=ip!G_{~ReZ^j1PD63B?D+HKY`Ha)Z;j(9pEawZ z-s?%v`wUt9qgs~jnb|N{Nc-_=W)d8~|4xdOIh!lxS`6Vqx9ZP(|NU3v8I0WHo~7Q+ z*OQ;D=%LRt)7I3eLl0Hq40xw$0#P6#Um_m*J!h}?r#r2>2#fi=mUozn;}k9({4802 z2+BGqMpIu^-g(EtP-*%4w^53Y_H@W4^2jgy6kB|#&YshF1U+>v(BEGNQU;&Og`s{Q#5x%d|*N6=u#G%%N z-|L$%*;9{Le?}X+Ta|o+-v8}(C0oilt*8#OEEKQ5xKnZW$wH z#BS1=-8uO86&H%-Z>a>D0v%b&QE?@#LCg_xVmFPZKXsi2j4r#D zoST+$NDzBh1dI(4?r&>07jiiJBy%?hfvjJM!^}>Hy%D6=HND;Y-La=L$oOzi)v$8S z_Z$p|3-ap* zFQ|9Fixs)~8Q5$Nh`P=^e|+R{fthC<9Y(=WuoIIudk&^+W+HC0K+S9U%C76K81cJh zhu;q2L;yEb3%c;EHP?!uYY9d|)4(-$P+?Qtq`%c2_=Bd+6A3wUJvJHgbwEt7HXI*O zETvuAoN9w+#3o^(fGw5HJX3f^b4}}c@U_PI>DtxQhSZhqAU$9M-}Z?Y`L%6R6|HJl zc~yQlfhK{*`tI29XVtXcaBoY0UQlXHEuN9(`gHPaY8@CtnST9hzZ7WWJ#zt_2E98L zQ-LyO&EQ<-X3wTjNZBhYLXOZbSiBDJxV^MYlCe~WmpS`&qFs4>5aIkScJ>i3$G>PN zeJZO17@GCEqn zMLik+n|9JDI}vurV&U>`s?eT2{kHFU zaB9QoRb36H>;vxHGL<9X>Mh_R%W7yUb#aKciV$3Nc`FS5H#~T!?6UFS+kk?#*Jm&c zdyC^`{sa3RGLBS$IP@Li*U85%lDP_xD?$NHfhF|akt-2OyL}ZiXopx_%*D{ML*n7` z5q@@c>hqlq`LSz`#Y-U=&X63la9!gM$PG5uWL13{KWU)mCUQ%F$w@on?&PxQZO&%* z6kl7~4UXbY(-%A6C$hv2^@-l_Ej;Pl)u(o=GoD#a+C+1{c;uAv^Of4TsJVdw2J9H7 zwC`y(Hs6ZCX)ii@F73Cb9q(r=9cL+c52@fbD#JEfYuc6QTG5~VMFY;2T5+FnkZ^xM zfOor+_4PJ|>J}!veU3#LD;2nvQO>u1I7zuyZ-x-6A1YR~s@GOXN?x=#-%d}vve7!n zZ75%VB3VG5A80}H{;rJh6+-QQ=GN(l2P?QkM`|_SU`t|Yrjxc2NP5nV8M1FK=B`(1 zg#~idJ|K+hq5EBeR17^Dee}k@pn#bJMRsw&n!w)DX?ie&BPSQC1Xhnv`)}Sky$Sx< z_RH!+cnrxhXFx#B%3uA*h^mH8xolaEC&9nGc@T&FIc&nUDou0MtQU#|vd|oquOuwHN?joiAOB*7|~}0%`CprJ>gm;*>23Ub73b1(PoQO%$X&3-Ed)*d* zAWVU&`>_x^ieYLMC#pH0vU>7`1nL~{o}uVk^XyA>NVZVp!mQg$J$lH-^a5T#}6#+tY1uD{sccMnYGt2`ib@M))qrWL;^!Xx{_?R~Ka z7m;4I+S?hs4~>#wq|RNB&>Cqv?`fGAZmx>7+Jzs!)IV^vDO!m1%$|ISQwM7V-#hMr zo0+2@&kD(E5VD^2gY1zk=+YpBdrI(O`$+(nujNF^eyF##Q08K1rd_7@&;UU5{z0aZvW{2ftov=-53D&9=o!Q18>x4A zLn7WiPTg=l+_>5NK{SV=NsdhUADUkz2k$ybR(25pdF}H*rYV_OXDWw&5Yqo3Y}D}) z>VF#E;oV~6UHu^Ogxc=@Hxkr83i5VAA6Ugnd!D*R-LTCGAou#k^oWdIPK|Now$BaA zxbUcdE882BKe%_w{-^6ZyjC$a`#}LY(2T;JKl}bW@vZz>j;-zjwQ^$N-@;jHACT?G zrpyq;k1`p{MwQ7}1Ssp3DmBfu(thsp0$VHBDXeDUxuCydfTnPn7vJ4|odasJ{eW

z|B)<{Oe;UJ3dXJlyPDvW7_uGyR{+HZI{Er{A_P6liu4Wp?pe~w@8ib!AV27f%K-S` z<8b}*;NRMOHoh~tZ?HK|`_+KVtsNM!+i6b)ZNmUYPP-J}%lvB4gx`-weLF0jv9jEI zva&QEx4&5bWL?$QGmF@uinoiUwGSxmRN6sRw^;rSLw?^&_g5P?mHE<8gVX+Ly%J5` zQ!T3h%XW$Oj}C2<(KFwBQTDTv?>6JA>|M$h^~qNet?p&|k^L{4`%vEQZ-VwnsV`}B zg{Hkay5Fzam2F%KTD{*-gSNipQ#oCJzy3Vd+5ao~Z1nt275QCbJrr%e{31ulkqJe| zcXgR}`B-amlzG6J*1(z8kPo*n;0O4T{sMlA+Y9+%X)oj#_0r%6_;K|)2L1>31^mFi zXtiXN1MEv{#7R8nlD-A<(b}d#N8GPKK9G;J8yR~=KIY3rotsR<509x5XKjsmz0Xyq z$r1A$<~gH(TXBgv32_qQB-j_`IpZDz{Me=TWAQ)mKkz?tzRWtF;{kD2;;ajOPv*D8 zSr^Jgov$Jv$OrN<&Q;j&-1jRhX4EwJ0e*lV;77*0DmMHtY48L506)MF@IxcuYiGQV z*V@Xyk4Jo+_N6+gdU+s=uvC`pR@PC7w`pq0bjru#S@qB%u{d|+y!^RU2qrN zorN>Fu}9b=>=E_|dxSm09?kCFOYAgu8as`h#!h3WvD4V;)^4n`cko~EU+`b>U+`b> zU+`b>U+`b_p4W~4?Fsxk{5t$P{5t$P{5t$P{5t$P{5tmuZ_aON`FE_|F_%YYP3(~C zV{e`-ebUY*7-_e(wMP4WoHOw~V~BTT$m6_ik^kP{9k&1V^+uI@ICz^&x#YbayjLxs z>a68X2k&U`j+Z)<_v=o%Gg0nH@Q#&o$vYN2-9z@}Y?M0~yb~SX%bjxPqTGv}a>+Z? zDJOi@JwMkem%IbPtK)J$%Iyta9hc-~okuNi-rt1_^2bLcP+z;4n4GWL&u|N71cFVh}KEmCFmv$>{ecJ45&y#mzKzsK|vRvBwwAt03 zr=5Hu%2oT5ws2pk&JV+;C9jrCJDGO5@-9cYJ)QQ9HP43DavCRHyE^64PChY@_c;In z0RR7Z0gRM8OjJP>hR-e!K^A!kf;<#ZfgCHd8$fnCK>Ga)v> z7d+2QJ$Ub@SLfMB2c=FjQ^gt!g^9Ol;_%HLGb~26au7=!qgb$84fSZ5pL|?FB&Fa- zlY;CUVHe)re7JOrIz^5P$u1wJION(Fb_=H!@~s2P z>B`f)Gq`a{-T&cR&rQ9z=Q}yG7d4rhw$fBiu7O8n@ADs1K2%hGaW#$5h2+cZ*E~7& zOJn_&n~r{8^UpmC;3n>?!;$=HTvYSUq`iQ9g*cCNB>9WX5zY~~5?ymacr zH4mggqY1angmk!wEdfIjX;E}yWP*W~^#Q>^YY9N3N(!m8V<@eR0nCTKsjUHNVIYd- z=d;SRK_2f%uJ^~T;!X^GcckX-cUh%9P&wfde#WU310CES`}i-3cDRG%j|0RKN(!Nq zhB7)~A6^|gQ&?1JrYMbT5z|(TZDZ{9^pY*mOc?zolng7a)JJ;TgPdqO*XrTCtQOZ5 zVADl@6CZnZfK0000003wh9000000J{YM00000 z000000PT_i000000C)k^y>*loM-w+Z0}H_=xCiIX!q(uDKycRtcXxN+MHX1xCAd2T zcUydMcLIUnA?P9t%v-p}Bi&s=B6l=go=t8aW;$HDzEeD3#!eif+iH~;s~G5>>(FP7p>^{c*D zz5Fk(y3U$;KXQ80lxIR!tLE$RS z6<4Z#FIbA&7ssm^#hbcE(M)8-61^deOyfIOhe~fs&q^nXpKn4<^{b>)pT9YvpgzYI zJ{A2pJ{nLoef6g7qIC66?o24S&*Za`S79lh)tyS-4|0$C=7rDaI6^^j@>srCHd0nl z(6{ov`mDHpE#D*(T*Zm{{I`6cT)tPIl~&a~N#uLQ&l9;bjeMi@_LqF4BvN-O3Mu6t zr5{DdSHr4bMd77TP*ziVPA~1mCQp=qSx7E35l`qelBA z@}c@(aiYFg{i?`Py(n!fzfx9H-}`!|(w>r9_5Q!Dx?jnndQmjhXT_)b>>Ha3OMRng zDhj^3GDS1$8>J0jI*NkARhISDyrQgnR~q{${-ZRh==f#^1y$4(9n~sar7J~K=~Gcw z`cWJzA5eTMe$<`5xGKujH;S_2M`0A6y@*#Cq`7iibG%RDV-?kG*{`_A96+h zv-y8qvDJTWn}R=8@iPqk5C18^|I%|ZeB-D-@b_i@948W9g#Ry-&}5aI zvnt{XT#GRrRDrIhCm2T0SL~*q8Gf+ZXyrBd#B?8iWa`(G6`(ZVk0-xgjjiUo?;!M@ z7utN^peMzvc1rs0885Q$ZwxkbK`<@%(hN;3>wP5Wrx{@M26)efiR8(wu)BX3iQV@z zce{xDc9oiKrk{WRulxnBAc(w#8>8Eg@$Gr#bK47M53{ao&I`egM;s^6c%5o29r&`3 z4t#55jc3j`Kx=U!6Aynu=8!kTXllAJ;k<7GBj^`sZ72{~_CQD5d-J{CUVHN$k=Mh9 zNvLqgB=+r!N&L@(8C;i5Bgjb07BD{Ck7qCLTGam7G^W2lB*m5c8xw!@nVUKX6WGNs zc8ZqoIVXZg2gBY|LbUuq4BVbJeyTtHfHk7(wn`9>R z(}exp=y+~2JtHMpxL+i8Wgs46&6<~*C$dwfC}wA?UEZp|U&_@M*y-4yjy^1>q?NiDo)EzQ%zkrwQuSe~??G`Ql{yv{%Ld}H+jb{& zzyj)W-}Eo8)zX#K;*5Z9zFhc94aZn^*msq^zV;1DT^*B~KFPXJ?Hh`CQ?{6>$BE*C=2G?f$C!1o=V;0UMHyK7v1+Mh_D&=WX?O7V)(1@ zAFf02GD^JM{LgGsA)Z}sX>R*&(xz5g?Q0#NwQSs#Gvo064*?_{Th4`e)JlST>O3n zg;dBRj$A`qYz?|yi{{0=ZnW&VN_)w4eAk7eBxk`)|Ie}Q4C``>ukF#;S&-=+p0~%xI9=ub$XaRh zbly)3)*SY3#*LG5L43Q^nA~=y$rG&oOwxO0=Tu@=&NhY87CAwSl4Y`*=)UEiTOS#_ zd-AF@chp4s@-Ck>Lfbn&k0r);XdWzRM8{^S5bUl)kPu@X$Kc4ESOX0` z%w6x7)_<&4i;WR(0Lx)cJDJ2T*r|lQvsMGEi&%>JI*$=kDBVJE66f4x`{ID7DgvF%<8%iqH#MYk#qmI^*G~4JxO#t2M1+ zH|{#tx~++CLpoiF#2T_>1x@d|pZ1UHYwblQ!&L<`HQ>hC`er!osJ)YRj!k2A(Ms(L zFFedTH?}1$Lu2NQr@l*?SdBGng}4{>EStSm!irDG_}?A$b%G9!Q-o z?2(i^Q`@;KvMTdt;FN6ImYD8#rkT{G|t~&~;y| zI6%S`kL7l*0eeE(H*|EizimyNY#|TIl?L|Cjpj@6a=LlpDCKx?!qZoC9(fo4ccgC$ z`Z%(Zl#=zdQcFPRpJBEsV@Feo?^ISys?~BE=TWn&uWn|lygg_QurZy8zYg7B}cT*m%xvfA_NES8%^ahtFfe)E~%O#Gc^IW z_7-G^2ijYvBS2n&eVrYi?f+E1~WUx8)N4un)x!LeY474>!7g2%?UWuFZe5K z+;f!jbbNcNUu`Rg<~$UTB^ZGxy=zCi^URg(a7J_90nsNUDpwyvf%TO^|Iigzde|l} zNF1VbllRxzC&2EZgSAYJ~CFR5ROj8YU`XX5KIH@MH*HA*14C2KR5Zp6GK zK~#*(*k3U>$b@ks6n?I;cZTeC?d@pd?ZS3j&9zz_bbA_{UwXfQ0)p<*oK?Lnf6gt!`5^S26`3=1 z_Z+Ho>!oncB%SZMS0f#GJj5n({=7biLH`JNv#uRFg6>eh**v`A6&*dC(MCBpg*lgU zt|*8Mms*Oo`$v zci76T$!zWg^X!agWVh0UBd6A^RX`AB=f=^jogeR_dSMtF^_)Y9<*9Y6xrvwkE$3~b#%L)iJS9drzfjK zIK4Ts%_^+>UdB2%uQLhfRQL6?ZOmWvuF_X4g$6eUc1c#MS!wbIYCP3n78a5*Yhtm# z;mAB<7*5HyJ!!*dH5we!7R$$UjgcItE^EIJ{@wEd-{QItwJb)c^!%KXc0PSe_Qx3h zaka%-B5%w>!ag1vL6c_q+b658w+d*BEkNGj=>^vgCpXaRoe!c~tZ-aLEQIFSfx#5e zP8CJFwbo9CGh!GTtu@RIdTG|~K(Iz=^xU9WD#JgGu+2>+WSz4x$%O77>D}KM?fSi6 zS>-k7D=_`N24{~*>`;+`8SP{<4_du7=QkM9=?v+DM{K34&pydm@|}(`rs5d8xp5-m zPp9KyDkJY_&Lukt_y<29U(3C$j_10z_iA7_!ALabc0|CPLMP}$sgu?TFpMi7!k{|L zoBHN{nz}linw0hP$KWE@11KO15vzxJ{Fd z7FG=Ad@b_YdHC=HG%r_;pgiMMl)xS8Ag^6y9?c9Ne01J=avjet&3QOPUJZXddp9DW zJ@-6t?$VAgK*nP@~tdt|$YR(u^qQohSrpT2k>Ds^84(MV=Z zM&QrTPYoXQ+(Gi66)QapwAOe+V;G`^A95z6zFpqX=0#JiKzxgfwdR;`Pk@AV7-Y{we?P^9o%BD1Iw+7 zl=y+eXu>d+nJ_aJ&KI*YIgb#)^@pFP&=zxO-Q-dhGZiE`^)H}y;eY0m{#~eD&tWoH zWaj%Nr^aDVHM8?7&4)`+^`{vHyXG4wa0^^9 zA&6h${+)lq{i#&h0IV7rC0VJ};ELPfg%jb;+TKXm1K|BpFP*U4+>PNM03X7&6zNnx zl|TB4CItA~x2Ny49w8s#+K$=W7?eIsCNHA=zrG`DP8DmFo=Nf=SD?`!nw>hL8Re)p zn+gRRRzWxkE*?gLj4K#o|MVkT*L9f)R{U+GU@?(Jcy7lN&0RkPY#mZsz%{gBJunb# z#dJ*zhP;+S3sx4^7>OQqUc0>Kqt#do)*TFNVD62$&%-IWb4Gh}>s{7G^oFZFBy53H z@*wRN3eIp}I42E$hzRY1NXqnAacVMrLR8L$gftaH3J1g(lt;joHRn9M2VvbjD9RYR z5h05!91Rw8+%YuX=a*I&&3SHs*TX2g-+iQ)ewpkibuU_P@GY+C(0P0IdULY5n}!zp zLJtq^vWDucxEaYf0`6L6-CFwi*JrXehFQTnO1d(@Sphm*OI)JfA5z;>u8y>9o%YtE zBJBZJe0ppKJuPL*69WF*Ne-NXP|E^toWAvE&?tWews>fgb;Z)0H_Wl{mSp~WXvE)N zM5z&4sZGFD4)l<)VSb94Z;EK`S6BjT7f9xrTrkY zHhjVtJf2O`5K+Pb;y7nP#}`~vV>bsR6&hb~GJJvSDkB?xVG>_3f2lZM4DD{@zf4~+ z+f#x2G1TnMjpGZB%U{U#J6OAnkG|j;n)5u+&;00Gvo+_t0sa$>FSZ_^jbEU!TTq=Z z7(GhgJU!=8hP4)jbL}if7()9PV@Kf}W)y61<4fEvEvjHF>4qMB!LC|li^r1af~jQ0 zl&5ny#_IpkxmHUECWY64?VBCC0SVV`L{ri@{7GkrrajYGMRklhxGy39Wybrp5}k`N zohHV-Yt_?g8;pcq3(GMd|JX&bFMntMRi?33M32B0XC~E6o=wAOXYYsj%?!OygEXGWFShHWbze>06Iu zQ^IRo%uwW$9$0=U>&6^%pfA;aIa;vU`vV1g8AD~#<7n*I*=Gy3)Jz*dd(?m^Ke*$*9Q#a*N+3~Rt8n&&e8605E}4@@EUu2 z&K_1r?G9-fYK8$^=HnQu8m!8>!z{Pt)BqO2xq}|G+!zIu=&{5>Xitam+Rs;H`oX%b z?d{)J?mk-X^vEsokhrwmh5Q1nWl$roH{7?Gg+DkMxG;I~_Z%wy z`X6hPmYXNAtWo1G^OW>L~wYVMDuDWg%aA47OH zXBZg<&7!5<6*yFLz832aYtU%U{mhk~9lFXr>{eW@-hEF!D!idb8;@9nbeI(9a!$$6 z@^~1%Y#Y-K%l@~;qq@4j!w6R!j{N7DTU2Om5_?aI@>XN!TxPMK;1281ck^4rDNZ4k zTUztDEM@Ewx9_Vp_tCj!G3_L0UwGGg@*)D_LbDBe-J%$CGTQJ|7*rA$ufzm8Jmn2E zJmzE?zE}BqO`W*b!xQ$P(N%8nqG6ucI>?&AZSb(jJhr(!C3%;P&i}sMGPPid(KkkF z=hfLgv^K^U@{8HfnxqB$3xa`yc`Wv58Zkvh$!*rT2*v=z*bk4=I!BZw(B9GsTI+|A zNPElmCP}Ooy6;+SS+g@@=5X_Jlyt#lIvo~lt<~-@hE46{M-QDSn6}ja+s$j@4l=wy z1AkwFXVLzp3XH@h0o(`aUM|gA@P+f98rmK5vf-UUFzBK&S?EouVF#yNYPqx6&ETph zH)hD-bu@G1ecBLy+DfFA`Zu~w#&xRGpu~C_J~^Bg7MNlEt}S*G)_B5=*=_A=TG#89 zaQ>@aeJ==wHie&Wxww{|CAv?g_7${NGPcZW62c@rlXT2eS^CiZoEruEE(<23K_Un> zj8*6Q`S8^%J-f7Ehz}z^|8pjLl2Hww&CO`I%sQu&2iBR4q8P+)4p~X*ADpLyQ=41A zao>_W5-`AQiG1Gw%M3c?sO$iPN%EKnlN82c)3Eb1DCr#qzN&SON1NtJ%pbJQztr63 zsi;?DTQQH$pTNGEAPrU9`;Km%d2Q9whT>U6ppgP{kNJF;n#D_N51ew+>Y^jG2mI(g z*e`$d0^JKvU}H_Qk9H==Z6=3FE;hYH`!;{2b+sy3>sT;}(1{2lzJ(VTZv;`Yg6fe* z7B-1E@>6)~L-f!j_#)*#nZQ1takzC-8+5cEBUXk_^t(5O^4EMVCaJ1Ja4baNipyI& z46YmEX{2>N3E&<~!MSPGpvj9YdR+1!OCHIAq0eY`fq25|AE@hqv{Ln))$VWwURVhv zo@VGvUmmF3@>074mx+#SesgsWci5oap*8fg0qkpcm_28S9 zPEzbKTdX9$N;NLx<{p`j)1FO!l<5AYrf7oY%*!hH(u;C+wmr_F3aK=MG1SGYA%Yv*-lP z%=b4@q+)n zvW60WizVPEnsYB$bDl2jyyqG(KM7>vH4d{(BL%ey`H~YGzFa zXfFrf2Th~uN0qm54pt`lhB{F0(dGN>&@vX&4iZ-^$NF)qLFdg5cBcZCTEu$FN|gc} zt5xO}m`y7cL#(Vrg63vcpK}lnr-#^X1yfmnX{G)Qci72)nF*#>q&P{ZQOt1@tf|bo zWW@uZ`v>kx^LREj>ZqKFwW2Z#IxtqlBqe9fre&GEV1d*^^wkCDSMScIo9z_%m3D`X zh`u$xoMY<16`InykdQ{Nkc~ea+rNW$MK5@CuLwGO$lqRayNQ+Sf8AkGv2oO>ys|gM z7V`XVfMHH?XiNphex{{_x8;QR!-wMh}j zpsDrBITg;-toj5>Sx12@a+l(31A({{VX9}6V|R}96w#>7AR({G1RCX6SEVIoJalZr z0xN~`5MGsp_D4dqv(n8bGiewt{bQq*SgU0qj5VDpm}PgbrZIc}psqj1_RpumOTi>R z1v8u>nd8~j&lRyBrr+#oqWeCAMazxoJ4;Aus+mcxuT9`Zjcq3wsTmYD5UTS9_hy4u zL5Ya%rp6ygW4>S)dkOlMrD$KUi+vn@hobQXyV%Xq?X~EA|H1(*eJ_F^dC*k&Z4E7M zc#qyxjOkxO%RPfRH?u)&YlFVfUx{*y+|RXAFEVFNUW9Y8A_0`W#5ftK+`fg1-0KU* zmLusKWs8vvRg5p##TG<1OH{Bc_X05e8=8N`ws>w}5E@_bXU;{^_jrWM8$74h?l9Ps z*gpom0M{@ce9fh7UT0kFr1s6%KiXI*9;g#nD>jL9(~obw9oUQV=AADqgSqepE^uzP zgh`H6%uCPCMO!Ft!w}WYyfuXb7JMrvTHDFXjq=B-|d?9B6vi_Xt$U+WE9 zro&^T^d|#oeD=vvb$%XxB-`|S!C9EN$o(;bCB!0I6ylY&y^p|$zekw*V!I|0)}-+T zk7bU8_!y|07d1G(BiDM;o!aYh_d-uW3DO((&N;0E)f@gJRee{`I<3cVd48}E)T_^G zMBy(7i&C>|UmJlBJYO`NjZO2@&Aw_u#a(^B4M*YWnqjP+QaE5)yRxE|w9J|)713|3 zWdoUd{N7unoQL<6sw)l~)O>-(`N95$sZK;1D!(m`)kK5W0lgMtVR*G-^lZprx|jZ> zHBE#0kpZumfVI_aFH_B|acn#{NUb^NC1GB#L^J%`k5tQCF08qnN3y{GHQkdXz#Nua zzzHlv*4;XnW6yQO1*7vnE?? zW3bMR???Se8~3N9?Yj}=Ay{1$jG@DeQj8AMMXAR%=T{lq8~_qGOXj9e5o$%$bwzB1a*#aCrxS7ooS3GR zx<+%(Th{`O-yyeF>dKe{J)<>ihaea~zzi~``d6f`8FSK*+q0}Rev$exVbEMCUOv8F zMQ88brfT_3D?nq{jtrt8V_m{h)ThX3;hdLa)YTOuf%>D8KaKfqL{yy*25U{wG(1{3 z;B*`H2&*JZDmtIftQpl|P_6UiO9y#6Vp@)?6$;Tp_!PfPIV#&cs}Oe|Sx$o121D)~ z9kS7H!RnFaR;`vr7$>e^{;i2OA3xZ0NDCGo!N$75jhQBXIXZeNEA<>d!g33;TPT>VWxMan50kkwA2HiZd$hxUnn+>&WXIjQj zb>`EMji>1Si*Qd)4d!Q(flg&eSFcn~`saKb;hgJQb^Qje&ZF5dIR|CDHzuk<+k!P4 zP0h1bz~YL^6&GmEBZ=^TTIb=x2R*!}H2O7bXnRW2r3mG@-P)=m`GW7*4$kGyZSA|! zxUm~#sL7yN!${=t*190$=(`e>c44&f8@HK~Och(6s3h*2U|S7Qx~r&1**biQJP?SnR|z@ zmPNA`c^Cgz_GErKoGT5+XUbbXlMr`9kj=#lNj%IM)1LU#!zwBSUAg&Hr$8e;);u)vpB7K>6xYO00(&=_ zmmjLq{&BgY5-$ww@@UeuDNPUmCfSnkRr}g}bUTi*qeO{2j{OAv>}8!hgCnEl%9RwB zOh=%SGzhO<(D{Z*dFjKh#zghMS);Vh6CthK1Mw20KGWUDIi+^U7e(jtN6)i`s9n)$ zqu_qX1h7*pN9KTs=atSI^S9y-za!WDX(qESLMS`(iS&UqVoRGnAT#38%7{#EB1$O`h&Ig6q=pN6qyBXW!8ylC1D zo<~}1T`)-UI(H!Ner-W(>*k|etwvY}yhQb38ILq^eD!uPEw@$pN3e~=rg$D<9LD5ihTl+{+)aVwuv+AiMpFrYj0RdQ_s*X3bkeif z!kFwGg*P8L*MrLBDnW?`EVTF)MP-QOu=h6PzD+~sQ-`frsl=ObYn{e!#$7ufpoPL| zuG7fiEcWkC73-p2>*)r_VpR8sj*g@zMbz?oX5Mft%Wu4%8fdWn4D(y5n>0BzwVkc> zXe+nIW?bGhgr%SypJ&tBX6nI!9=?rZoEVh;+uoQ(#U`i~vfDh#72+J7Lr=yt6L1p+tmD_X!8bbmtj4=l8rX0y z{BPufUr3SeOI)6s0W2>YUuNtmtTD2}4neLCh2nj&UGNGh^)nh@uxk<#frXFCnkyJS zmJM1-pHVZQ|iiU$CpSzUX%zLeLlNVrK$zsr7xq;00Y9%@LswqPv5OE%#(Z z>x=DjJ{{@)Q*^=HjGGXB%gw&nF7amEx5IlPqFT&lP5OSse@5xM49A#kj{6OMR2;tL zOMHcn5-?#>bgj941K7#ICW|7si#-hEM0@<{OI+{rG6LaD zx!E71U`OS=B1T|eY3STfU4Jcgt)D2ck6wY0p4@BDImn{OL5O=|G5&r%xT@>Pa zgf(_@*T&C?a^Jq+LKIy5mL;#BM;FZXRQb>rH~M0`;I44wDh!R%w+jZIQ4!6*Vgq1A zdF2ORM8!r%j)Lv#JToG6H+xGIu#5c%Sd#~szJ0sSGzZvgqsxurRxW-EOTFq8g}6J8 z$w2{^qkF2`cT?`hEQbQzw{I7m%B@pv=Ga{s%%YajwrvFkkfNSQt@(H zRIP2%Ixh>ROGHn5KWUxwFcM_!0{j2U`3017?C1aCOz*K8atsXkVsoxhoL`2>zp`Ea zoj43;*#D`Px`3O|jW2QhE!SPR`1a_2?iPdkwy4;DYSsk&0y8RK;x2YAj0TTkeqS*E zsRS#Gfglf3qc51VnF4Qval)ggdl47?;?ca(n6(rI8(+%R0ub2>=1bh|yAGHXMt#Aq zS{iYD^Vw$69Qmwv2kD`E^nog+b_ad~Bgm`^;eCm_oa0a&rz<%11-pK}7^`2C7#u~Z zE|}lS2{N&-Fp5%La8d3?O$+~(_>Tx+d7JoO!7JgUMWPQ>ZV-vw52M@L&1+9!tQoMM zFLC5pK{Vl{bHIQv*yTJG`sVj)qN>GB_vtZ64u%1JvGKPM|G>n}VHgU1!Lp|Z@L#~i z|JWOy$;GeDau9(PUu>7PAHdop3`f3TcN}X7d(Vt+Z+_cGu_o)trO|^gw##`CAToja zS8y8iofeHRm|0VW$rhxZrdTG^D+l9tE|Zl5*=tb^sb>k6$>ulWHRRI!WrpLrVp}lH z>jOdNfkN|WLAV0Dto?>=`DKPcXJplRl+RIMS8Ek`luMoz%RS4z5JrHXl)Y%99FzzY%H*wjBJ@@gBtg!)?2vmK%5j7XgvRGCq3Kz zgMi^(-@tn)l)4&99Uo^ml}@3sK~gl=nQt+JS#QqmY`f`HMg;~h4B&6k$m7pg?RL?h zcNOv5tS4D_xP%1@-YCyIH6jJ8-tiCbDpU&&K{E>mlv6{V)1Z<`>?P9&S?RUhy(74r z5C|4lZ!8_EdyS67ZEii&a#u#*|A3S6Lr2oWmTI*om0qp64|m`LqIgg6!t0bJQ(8NI z+9lQt#+I#h7ZLtk0&w}!UQYm14J1;PZMp#$pMbSbVp+VfksQkTJDgGvi#VqZaHUyh^IQ zE>G9du5W(An(|#{>k8zSrK+n}*HNPn3Jj%5|DOOpfM!UG_0%hu+JTmr6Ox#u3c9_4 zq82*o<*d-&Cy>6E_Q zpEUUO2J4bmDkf7#4#?fU=T^FvH@R@`rrZYT+wo)J8_e8Fhbt*>amUcdV4xce8aI3k zA7hkEuu%+(ryc@p)0w#WBxEan`$-XBtnDp_Yt#jC&H0hu`>o;3nygClBWlN72Dy8* z+e^!A<;Z-yy2PUsz#U?j*-webM)PwK;T_ze{{FpmsGJupW+}$4(*@JiF4Ol?>Yt(! ze+`YuUde9eK6+P2fvfTyN=&j6Tx5mns>aJ;k1Jir_FpvC`o>Ft!Z|@B5wJj~$$Y@s zezmUXa()R)R%mz(YCh$?mssBseUfxof#8 zZ*R4jxO%IWw^=xjtk}*PHG%#dx>7PS48a(@T^V)iCp3R0UqHi$92T(7nZ|6Sm00L| zj_tB0O#ct(@c!rEy%Q!h0xo!MAw9~a)WT3DSbbzBJ`#zS{~s=- zM#U7kBb!9V&~)si&UW0R$I!5Xmpzm8YnJH%ksWALuJ@ut)BDre+QY0TI(Y;$lg1k~ zllD!g;u)Wa&JXCZWH@B%gGOVgF8$m>sU-ZSW|uX(p~?N=76lzmYlkmsWG&H&s}G`N z7nImBMSm)JU>A+r9cuOCzGVe`3us)2;ysggQ_v@snOsN4d6fn~c?>dMx7$Pci>d&2 zEtZ#&9lUdC9xt+oviU2po7Y0&;@6nC({$)=YX43VcijP98)aCjrl92DXTTHf4)L5{!n1PUG>e!@$pm<27T1zGNm1&z@a=?h*HafX@4*< z=<))}7UMB}?f9F8Q|rVX79sqvz+%NV&7e?!^-2H)6V@u>Q$HlP5;14el=2F!EyB|A zeSD-BpYL#O2DK}qz;4py#T+ikKzv&I88q*6EK%wK9u0)GGSEl^jKKTq#<9bm7LgBEh+M;%xCA%#51(Iu!yCCd+bX6$j z9-w^9U2jC7brOA^N z*tHloIyx1QxGEexOqZ`Ha5a`xA!kB-ADH|H!q;r|njW07>?Q#m28=Bwv>eCB z3o0!4`aKl4rZNd|B<=E3MZ+iwwHyiGO^?s2!URt66%Mf(oDWB!U8=O39tJ6J4>qV| z#pCGq5)8U$;X$g|Fs_|r#9m9tF|u(6jR$FO(AphTZ(mArhflAaz?B$@I>V9upq6oz znzAKcErP{OWCS&kM{>Y^z{E{o><^5+57^-tEMhTn#}}LbbY6q=8YqfVgLsFwVf1dK z>KkB?^;sH?lQWE#^io0Ojzq4*8)Z`Oq{1H*w)-uV=J0WfLB=a%C(VAQz>D|`F-ZWc z*ZdLA^!LVt)U0V-`)d5}{rL?+b%n6p&Ie8wX6pwD>}S1-+nL_&vSRQVJ7VwY@T0Ft zv5$WVrM};kGxMKI7F=IP>5eMwIQr{UNVzo--|6hb z^iw^B?S}tT(A~s6I9B_^RHdr||G|zgf@vz=lImlwjTWm#l~i8vmo4*Y@wQMgD0D7a zkq^TRGI()5$h_EKK3$*Y1q-4Co5aDFNAqZC2L;wjGeiLb{_f~1N}5BJR8dS~{D2I6 zedQ{$lPmB`W=)F08bF6nxEol8k6Gfud8=}jg^O=z;hi-<)uYr01E}1-jNa3JZomuW z#@mB4*xy=~v?o;^Y4IaDjf=aF! zS4K|Llh*?3=a?!wSIjbEG3cBRhUL`-A24^Ou457)n8o^nUF_0u@%#|X7woPhi-gHw z0$(s59+yD?4}em4qq+K5YTK_$IXnKY64vs_u6_P1Tdq3Iy1tMWoL%5uSn$Hl{Ih7- z&a0I4-YIL0{(3Mn{SNTgCe9(cdLyn~WLp-iBpX8R7>V3c34IT~QJp4Q{ix;eD z)rLejh#E5384Ex61v5p(S|Q}rzKAhju#1iU)#VF*#U3b170|C>%{qRN-Y<-x1OWrB zOxjxnydJD|NHB&9XTMAV&*oTnO0xd3~19Y=OJ8=bcMg0u;C{^D1+}EYLs*uv7RQqNms5 z+TV9?XXVzMW8J~b&Wbn2ZW&Ii8||X8>v~wzH0N>@c2n3a=b{kW{?9++=cBpm5#sgX z$RE(0cyo{%hec4Y`}?es8haw--hx=je{m-*=^HJl@+;7RPBmcPPr92rJy2lqE28tH zF$gQcL1wuOyQ$G*1>V9((o5f0VbC5R5fHMA%5GEOirQQJfXG|_c->)a8v1#>8s;GO zE07=0|FCetXXYf^MV<)?n@>4X#MLuiu%=T_`!j2;7g}qTxo^d!r`R%D^!85*E6~&X zekjPQD|zN&wD`aQx>{h2)lD1xtDD!p9$iAq%$K6na?H6*6?=1}8~wrgf&PyJiuO@c z#YQ~(mqdJTfVZ^g#u(i&qg^?5Df?;M5mr1N$7C6*FK0Ys1d?0Gym@rsog(fkwG(6t zVq`P(hIw?|QDBx!$(;mF%7=~`?r9*We;I+ISiMg83TZC^;=6qNv9veUT;Uw$oM`42 zuz9sD$cXc0Ecv%nV0e#|HQ9mv5M$ks>&Md2k_wEsQ>3in+afPL|MtIj(6bei;_3(D zU6>Q&K<*ASI&KjfbK;W~p+|!d5TAxxvHF+KRQI=B_PP#tt!6s)+yx@Pvc>zjj_jlU zhxw#oel5O`uJ-Ui#9RkXFMAr$#X~CHSJBn|87A&@0O#it@28-<@ok*`f*&vtoeO`` zY-rY)zO+){i%SFnoRmYc0aJ(eM2f*sZYV!jE_y3o*-%;+ zuE0B4sZv@@z+i!6LruF(0z1>U;+raC zfs;k;u!Q@pZrYJMF}9*J@B1B;A-iF}Uf*2adlz@$vk-at8qNH9AvCn9I+0^9PdtQp zbvTNRro+?iv^9xgFIce3>dKBRCV7Ucg6s1@qwIvuRKQegn(kon5MgjZ1k1CPK0Hn; za|2f`xuAio%&6Hq&Q@xFM}b}Jx9FD7jpYp&4YpF@cM2Sbp9_jfit-}0aRYa2xikL$ zht*5_IlouK$E!kXJ-FH$)vbW^4XuDIvQDJdGGxC;^S~OgWH?Hsd2i4xJ zz!3}(cee@-?qYi>OWY4-5$O7{OR1I zz$THL>-m^~P5#T&si%CK4OT9lkp=AVUrydSd-;`k<;dK1^uF)6-b+i$D_`^T_NhO4 zO6{dsH~$m+c;&s+FYTNp7Kx1a`u*$MW@^xu7tIMj!>dE-`U+4j*}^} zB+aJmD8^F^7J-vj(V(fSw15MOV)#*x;Yh82D*h@O{!I;3!}PMmUbei!C!O(;UXjEv z&+BdV=P(fBlbDP-4B`KV_ac8AuXeY(ex4E;PxQL2^dxFCNP)jGaZ!ubIPa)fxRw@u zy-(OW8=#4M!PSz+8GN*4QWcWM_uD`|gu?E_mi?RDR0D({t$N zX4x+MBP1m#>C@xZE52W@P^3Mc`tkbO?k&`j4~I!md%pJ+QXb)Wr4=SgGh`WEtH$}| z#|l;v?a1+9+SCY@i9c7OYD0gMUwzr3hni5>CKa?ct58o`6rwge@8^L^X76Re+65+V z^y{;n-sQbVYnH9CT4r$=m}46_s%&cfdtMi~Dy z&!LAxi#Jj7&e5>3)xr75Iy8TrxrsKlRp0^~@RG1W!Hk1-5v{c%r}|s&tBmqfI@jP# z_lJ(4yKy$lLBL-$=PyxYHo$1mci#xgyiSDy4%!iC!m+Z>P8P&{G`~HWB_~tJK(&th zHpYR!v`JdggB3l+9dHzuu$BUzIst;|DEU%rvFC!05+JLqLP#@uUn3v2Ils=kwx@x- z+SO(!g}1mvr{m7Fwy+~hao`09hHs*=vDB1_w^FM0mMN$-yTUGdQ^DC$CHX*1BS?a% z8!FAIFzA>SgJ{i&K;ax^tjOJ+ZK=$s%UHas%)6Y_#dBcZtO?@!Rpn{+Anze52QZ1; z#QKy7T=Y_a$DGvDOK!S|D@KoJ*-%l01TKfb~ z<$}>MCT1=|IcuergMf!3=i>5!?JBTX?n3;NE(AvY4KKP!EFmun8qt285Tq zz^hHN>|#HQ#ZgrcAMC^rQW5^44aQQ|m^j>B&OTDk#OF#znnbf!;O7mV#aixu&?gX# zjeobIcW(+&NQ~juLv1mENK>QNa2m8>m#kX2udddDxA6N0ybQi>D8tLAN{;68^#_;S7d2X3UgM7THM1~y?6P7lX$<@pM1ep zc$?Sq6B;7_`-0^ifhbVJ7rc;b#YULL7KXw&dj&-S57NX>La;(?-Y8%dR#B+?5_iF4 zpfC@5_*d|*2sTL`c+|gwKlyPFS3vAv!Q~iWN>{#Mx0K{fSb+}jwfGOt6R^l;Ky=pJ zAbH0kI~Sv457%1ritgJtHuZ~1*e`hg9i6z#n)v%PjCH=)`eCXOiyhvqh5X|Sc9j|d zh%oT4;49Gi_tE=yvFif!f3)U;%L4k}V7!&C-h2PozVWKT|6;px2cgK2gQM*U9XTORTL^n%DBQMbh`6MD~TS*91Jx}(cB4GMx#=i zGSLL9f@5NsYl5c#@ud{^gCXGG*}bC;bDFgtm#+X*K&-!c=S1GVPoBO){@YX8n;Y)1 zux1zSn$iAux;Z|A!rry8I_Xi+dr!Ubv#C`YHTR#wrKAk+Y%%`P2xJg@!hT9sBbALG zyE@DTnUqv_p^>pz8S*4;O7Rk@;$Q}IE+v%^e~(tP*3xf>wIT{@FCthA^PB%pH~8ID z{B8>l#FeUtYiV8z;@ZJ!S|XTEUQwfeYz`u+dgKhL@~D`%9X~){7pJnD-<@Ff)wLpT ze&y|gJQ5k(U$3D2{%W$v#FWl?Fy%LT(R4RgQi381e2u#l;_cg zPc-X1ot{&~I>|a0;#@2T8GmtO7W&Yas^8flqd$J_Mu-z!JRLXAl#=tQ+t%}RIc;;R zH)D%h_~ectqXlzfHo85XVkcCrxfbIWa`?yMz<#Eu(`jxx1s={GC~BF@h{ij_lujPE z|M>;`G=s!b+n@%Oqou8$y(w%^u(0N*#n}zZ{sR-Vyfd86R2?N?ew?lx`B&U0N3iO0 z?(N;jo+;Xqi!mLuIQTpHc>vYeP?k0f*k{d_(T@?mCm}Dh&r#}HactvmMQfnW4tSl% zISBR(R2e~~EHy$++3V=qA@hcniPSZaDw6n?-{MyeB)&A*-ymcWV~G;e9BM zkv7Q@3Ml!Re;}os<<7?vfjIv@QJ|6W{a9L-N*$PaglS3;=}ruHZh*rZKWVLb!TRvV zfz^9jgSFPY;GZ{*qIOl!(VQ21lRZ8{l(FHi+Mt*^B-fRR9685x9K+O82dv%i*L|mf$YC7h&dJyVsOog}q=%KJ zEH)OlOzk_HyOgc2EK5jU>kI5BND-y(_N80l>g*Wad!@9-o2Y{foWAFdf^)Kt^3G!A ze9}2^*8)2xQR6K0#8V@*y$8S~na~_QHi7oPROgt0HE%{1&FUz*`8dSOnpfu|tO<1f z?R+8b4pec$+ARq7B=saZaC?D(D>1KP?+=LY><~;R&H9T^d$wz(dWlyb*o7*D{YclY zHIaAECH!l{k@?I7-b6Ock3O77 zMM@~v#%O0cgn~!tXp2=JR6?GQN#8%i3k95bSx0JfIY_j2mrWv^A9k^|NlH{&?Onv; zL&W%GNS?i$Coj~aI#cse-*Y#tK8o+ime1bhpSrBIgAz`SW8(malX?^!2xCoUMvW>V zdo84K5?(xab(rmT5Y0ewN6ZRsA z-Zb7$Ph)>)r-|LnYQ>yO@{qozdADmMO-!%qH9ky6ErAInCLRoEZ&{Zlz~Zsb2b-5J z_)U&xZRtVmJ|cG=&ADL9VK3TS`WM>nIjdRwnN4lhLN+)T(TesgEl9U7_P6*1Y<2Mo zCxOl;a2_XO5arsjk;ZJCZ}oRW5Nc_PFnBj`4Skpqt?J8Y(eCf%&&L zq9?Ai9S2eJ$s4J4=vix)W^Fl=62I`kzhznP5`A2iz^**Dq18n9-3VMAX8!mMmeI7O zqq3N`NF@LGZ@?@*CpfDDyAS>ffbJ?*lCeMj6&kW6r4+&VJ!lERS#WV#0eP@uAicVJ zQk0sW6PJWwMhu_15i?qAW%FJ376+*yaskW*@6EYM8NSAsUq<}O#G~0e&(1Lv5_zsg zPHnN|@Iv8V`x?&M`%_Ey0Vy!5X3d-R-64+sCI{!EV3~^f;l<* zY76=M#kRj66WhO`2J^AZj?onvB>%Zs_OB_5+MfoGvs!C|&P0G<7oT~j$y~~iS0!x7 zEOMWLr#3|5s+W5%-TNb!s3k}z4`DwsRQF~^9Q)$l-1hy$^Q}RecqxoB$lpfF*UKpQ ztJ;~vJu0o`<1S2|Sp+(_KQ5zRO+~yE6BlPfYIer3_07&Pj!+DGOD|V%u{LVrT_Knp z?z4UD7Aj<_)px8M2M`ME*OJ*ldRYr zoKHp==9@GkBDirr);>|*TvgYu+KXy3~* z-pZ!OQ2y-#!$58^?)3PLsy$V6V7Lc3!!Lk32Jfvg%tVWRqb#o#7!yws3@=dQeSgQ9 z*lrHRj-%c$>CEG|aQ+pk{4_i&-P)TJz9g=FBHL`s9SzWdx}Ij=L}oU%*%{R2Ti#L_ zWXwY|$lOdrrzW{_P)i;rCU|>8MV6->Tu%j`*jMA9OzuWfbfP&U+6YNex%4l-q_S^=1cQVCkVtl(%IXd(G6- z-rvE_09)>XQvdjNohmnbq3TQ+j^LsF8yznwWqYo{tO>?uaWPop{QFk1cd_W?RyU4az>-vg*Q2cuQ3 zKM&A`$_l(dJMwhUz?Lzi^|;G)yH{d+%d&}9AFY;Pb_cb-R_y(3ig>M(hZ{sEz;qaL zswc$;>iIYA_z4?S(w=M=9DwZgxyL1% z7M8-k-|@M%QilQWoafaVc=O}~A1FZy{&it~io7d|uL%Q7{YTTl3aZkq$T?N~ybgD( z44|=bH2XHjmJxWZ8==X69!FdHM?Se{twqz@%&@UE*HOz7kC~=$?v-0}UcK>9i!|qf zC@rR;XEfB79gUm(AcTvK~v1B&O?TwOgknUKq2&#U=vY%v|VRh4EiI?*UQy0f@2ndA0SaI#qblbE>I9R|XqUZ?m( zb&^z@m%mq`>G~Yew$ibNljtCQoYxhC#g8azntuN95_Fz$i?- z*getr>D3=8;j3!@()UF4-MjlIitX=ZEwWGjUy<04soFRNb^};|??Alvvqv;|xB?^P zdizcQ;$;VYpe_S`mA;ed(V#DsYO&Y5bN_p#N?N=zPibu|lzJ6|S*oF3=aR6uXDm6W0 zo4I-%r|R*N3+GXl`Vjtj>he*lUptF{-93X|)=D=xPPH6GJd2i_f8svKn1IZwr!A11 zhZ6O^0l!)jX!JtG6}V#%Ro|;7xlB`yp{&l^``rHfNRHQY%59uxS`5nfV z2wmsqHC@)cYB%0H>8TB4CB{8NV^yJ^-+QS4u#Mgo(J`2&wm|kDzF-seYjBtFQ?^(U zmAOHGPD*&{z-w^)LwXlGsl0ZPzlfF{H0ON^6!%WT??}OQl-xLpUa+e}VZ)$0 zT{~2}Fw*l#m)Q8tH=_=7X4I`*jSfA^MakP&vV!zrF_K3E=ZYVPbGkF#=uHdtZYoYL z_GX7V5MHY#*MwD`FoYvxvtJyuP7bJ=HZ z2DY|X>R-CcGP9I5ZC;fAex<3sl}BGPxzfm z7;37$9Yg6yD{usxu^IY(3>W7U9MwqZ<%DVCk7Z!GIe&U(EiI#En4Oa!36@ zNlk6<`tbAb;0{{n?T@VTG|_^u!ak`_aEG4d8_=!_dFlI*z1ABY27HEKkZ~3vxUt7s z%9ZFl`}*i%Ru>%xrO|gjgkaxzQ>l7tH7A;?OG%Mi8jX$Tf|wI|>+=e5nZ;YjQo{=> zLJMoo`6mE^jO|do_SA!mf<3Qw_@80@87!S)JlR%_KIHV!gE6ZudG>@Z^Mk9k@a9T) zwo|MXFDX;#IIE}TdLU+8e1-fCYH znWWJs$sQQ&eGpU_#IHehuXs~n+llIxfL1J+BC3D9)5a_YK3E zd|bA7{Kh%|a;`A?&51>Vn(%Xd&VR0ItE~sxTllq9LoF=SE4GP}rF<=W=Mer0ZbUD>W9#oqR}FhhXsB|6S*b9 z#t>RLNP(Ynj7gMm{P3QCJAJM>FcfG%5xxpR3x5d9fWlyBCk@j$&hv@tl3}J#^GWHniwL}&FG1v>Djlh+6 z+@w+M(%EC0p0W5vI(02`iSH=3jlS;xNO=BzUmLWsOFVUf&Xj0_nung_;D|Pg7`%IkaNluOhdE!Qb$zPQVQ4*qbtz86$G9Z|nt96#WQS+=1++``&wXmp2TK z!WAn)@lT(fp<=(ru@{V3B%^<{0DiK52Zg6qO9RZf&sq<}cD45CW{rO#1!eF+eS8H-*sD zWh%Gm0QDjH*TwdwVibar=r5sVK$ zQ0_1qh^xV$PFz^>%%|xA=u8m=+D)@ya zt|wPsq-6I~*x`egTCWAh&1lFJ6VvfhTc%Qmopf_CeexOL&vdRi+OQ!4xd1)yQoL=u$UyL(xAH!(v{0Jk?4>D=hlwAA9Tw=I_=2q zKQ{N=(s|8GJVnS)^kd|lt&~P{ZAv$~ zm}|B88gEGVnFD`7-qn7N1(iKg$uQk#5G*e8u3k`Cw|Sg(orz0)FF|Ji08gFs+aelv zT5T}rE$=Fba3r3Q7{X}cnC#8d$s-GD&_pb>JK0P7Xu0)Ld+I%?1tYNYwb(}L^7@%f zu#f26@&l}K070I**hotBOjSKN4PF8my{b$5THEd=WE+TgHrEY-HYr&r>V99XJNPr_ z-m+#20!=#;_WVcuZ%WpC{!7;ju?A_ubkBxybpCX@n&bqHyx-XxpuNQlrhcPnd?_{Y zIL@5^ANJllN{Xb31FXh%7iY1-r3=X}Y*^fVad-Dc2DhDN1{g-LVbI0h-5nO)UEJMu zareIXMUquLJ$!fX{}k8^d27gv`s& zixl@>%edt;M2$q-Ljye}AeQ2*=72jSX;^}DqEEiWu3xlEdL|mEa1T5NOmvS!Wjr2yQJfoB zkY~uCBV4Q>O6h=K*Qy*68!8oIu&MM@;rItpMYJ*cA+f2r1cMseFgA}n`hvvyP8Y?# z5J~(zH6e#J>Csp&n5p7?f^)T=2DTGDmCC^ZYoTCm z8v5_}U|{I)E5*s?Z$!L9E3GfaWk$U}!USi`+Ase5_*ua998tl4HPg48xH3u%e|c6erE3Gxpv+Zp?~~%m+OPbb z`-`gH4B;$u>(OHMHEBfTx1<55faB^25284a7VSNQ)c!=-#|i|9L0@FFw>X;lGjZj( z;1z#SF4rnsc}09=+b^1ZZ$X0={Uun4M{=eJApy%gC!|I%EP6b9hEEipND0QS^p+re z1vWR@nSs^bB8w7b19+r2XQ)vkd88=N#e&1CH8T8 zo}FeT_=pE!@ZU6RMS90e5o^U6oi-9n73zu$h}iLE*acC&Qfimp?U_!yD`eu&Y(6B~ zTH=Ohh0!yKtFEId?f@36!CzylPzueogj_~C|p3Q$>L_h1*|Q29DmvZaNZZSo4s|iDBM|s z&3czZu{bc(GwJ8s~ONK<|ET=|v+cgj1b#I(># z_lt_zwbO|msVnPklrmW*_Dd5Y3ip=_19&f%m8mv3$AH;%T=;mQx%FlpxWa~Uhx#mA zs*h{+Ov-UF@rKC5Zf_As>Yf!R>+aQyDR2)W?udfQbgsQk%!>b2oXj4f|3g;7@mdtj zfJsbGv|I$Hf6g-BOD)FTTuV&rwLp)dTI}aYMI6%EhnUCL+e#Gl^>du^#I zK0%SP?~;e7IY%nixLD4T&Tc4%m2-*t8@F4>H(B7e_cw~ZcVCOQ>4)ekqG87+g>z)D z9U>a#+QHlMW0B0Q;rVAWf6v!eq2lFVvZD%6=3f~yZ$cGwxbLhNbq2o@Uq%hq8!GJW zkoi)WV6`c8Mea#bET*nmtX&S7D@wX0xGEVD`3)~13^Y0%iU zzL!WkO)fgp0p1cj9^| zO*-@{Q?u>5`TcL^97iHKx*gakBA0w*O+6H?n@a{;c$IpDcvx1tJ&eFuQ;!j%Msw1Z zI+W}%+1d>G7H;nxoI&OEQ^oL}(gfpBnLN!L2{UdCaNqiqMY_S(6j*DpMW37WXq9l~ zn^^9>A(4)R9jz6{lOQY${T1!4G!?T{^sId0_jgE*=}s@*Pt-3ddtl6%u%1tX)EKO= zqYdsYhJHA>x4_uwxn^T%=T9L~`cbM#T7NseXtJE^p`5bS%WBUEp0lAdVlf5Evje`lw8&c>=2%z?(5 z0QT=6Yb~zlp-&$!f362AMYc!|sQ6g;KTqqzn=vC)_ilk}?GF?y7t2WvtSYithCrUz zyfw9FiwNt@x6v@|?9iy?f#XHiPII{4=sYaCeAOXzoEY&!Zp$A?6=UK8bT=bY6&qb^ zf%O^&&C}8sol%c%dD@7$sS1ht>0apOIP@xvvjXb9KiNmoY)X3G3mu!Esl5ak|YW_yLZApYhH3F6}?}XeGd!clxYMFbGC;5UeT3HKfT@=X9m# z&pCXjhaIDq))n(2^NO3zDznRFRs0KzqEqPOIk7)Tlnwcdo8+Q$J+(mhCOH^$+Hv~m z{5-(so`_B`cWW&_Qv-fk(_VDUC#M~Ykkq&*`Y#R4mo{aZj}5|vJE64{1JFsj2oH6yfzX4~A_m-d^*g$)vn z={Ux|3vD@p4&`93&7$*c2{suIqPBF9Sm@CEkDJ7y2nk+JGUs~#fd>7Vgk<*q%O?!u zc#S!4Xll#$8(|__effSUHIa1DE6|M$!!t)Nhl?6tB^WlwR@Rju8oxU`K7E9!mq)%= zlY(T zWR>`vHs;k{Jw>NO8D;thIy@HFxf{+CO~0HGQ7x+I^~p-OV#@$=gU?nq{}9y+Nw2sR zzX74owR#*+DZ`IwiQAEmFQs4$ta+!I%1Uyg?A&NE)zvtgjj)2?D?q%27HhsSRJ0l| zr51-sajSg+c4uTII){muuOxUONsYb33F<=~`%$iv8a`y7OVyj+sGv_>VmU>9$o_WQ ziF$K%+em&W`zGAe;Ca(Twy2Y$Vv`%z87k$#GzFscZFHJrYJEO|Yv=08dUNHt_JHXq z_?FCZXNV+cwCAX(csn!q{+~(tn0{MwI}RPP`8s7A9p;yX!5H*#;m=Kht=_Rs z#K|SW%7(O*a31uE9x2oHqvFw1N!*m$IOxSzWckk?73m&JFcFqsaV1Kjz3iH)$N>o} zSyAo=a8(dG5uCs+0%pi4H!WdvAIki3rp%yV>u}2qEbv}2PV5;bdtlUA$@Tja`45Z} zu0vJ_&1PU>tDXq&Ne)(KV1dn1JUCUcMod+yQkix=a+7e8e`s_#)13qh4>*RkeU6u4Kc zKlGM;D!c>x)YH^%jy6=bXJ}Qo__Md%o{p}D-91lfcUZ0vE0F!5@k?19aC#RAhap-lbgUF7`fq|}y>)L75If$0uVCMC6F$J;uil#S6i zoi^TrM5&XdMeX~3BIL|})o|QGD(>;*p)NstlJv01ojIXv&$s6K zD;hd5b`H?JhyZ-Vz)>Q(E@hsB=<#;O*gxz`P#PC`9!%4T3vS#o(+*N(9`xjfnl*!1 zl*};>B^(*u{{1Mt8zz%v{Sko>~pDof|-zFAhYN;<$6~lCl;~=odcNrxz zB$ImHOjV5cTF`sVnmM9+@_vY=YbBv;L*N>3P6`u^3dl&)99f`LnYI|=qQ?RWcG9ia z(!v$k`@ghVx-$rlVJg(sI}yJUcMN<>SG0?FGO@jHTG#&IK~= z);MP?D=C0_UjqXt$95E(*Ax|a?|mx?mHRQPy!pl5qU4TaY$ZNH2_A|y+byyrIL_dI zNCDVFEo?=+L5>KYIZb{hqSr)mtNaC#D|X{wh`x!H*l;`qXvj{YvtjMfy(Vw zAv&CQsSJu8k$3*Zv>$``gkfxBrYt~0n4kcQDj+kK??y=t3|Na#H zyL0H3xLwlEgb6 zArdvW_aKsrrvVjZIxf7OLVU@CX_}#JXd;kaUH!GK7*Mtmn;JMK)?G`cIGsOlBY_Yw7?0NZj64NfR6&z`1wA343h7TT$f%@O`Si zamE79k><1w(Ntkn{<20ozq7FwB9BgMuSvO#IAD$V}{xT*oEDd!Q*fDLf<3H*7V$U!H)%Lb+6Z|IEy@Ta<1 ztoui*7K_i=@Lp=&e~K|q&l}Ux?VJ`Vx>UX*TGd#kYYN*BRn7<_+Bar_7#ey}%m|&N zSEq_`liWsFa~CReJ;8Dsm&k>nW#o~4+`S@>*C>}LkIbUGiID1a%i-cy4msE}=dc-^ z1@1|S1)(HdF6$bDIolo@L}zNqC5=7=w&IpnXmo`g^G&Gv5NfO>-Nd>$a!1ldCDeUz z9-C2bCDbRa(&_V*+baVttd4p=8yqRB-5ew$T5Qp2D@!f1%#qX#mOGrx#R46jpJ8le%r+G`4UX@gYtj@hs6bJyy)p%F|+J zXxuceMz7}Ro|xD}jg{hX?Av0%{FZuaRk1KK0|#x6pfA#{9VMdvmEPfvQilNu4pI>x zW*~|w5jsyv#*fFUok*c5iTCc@d1c*Ket7%c)Lqx!!6QW(-$8pwPTw^$eum(j!gOFdFiwO9hg4oM&z#agrhS3(o3#o++4 z?Di<`O9GUYPzOy@+*G#Nm$h znNgzNk{$ed8G-{A>MDqvM@T=73l?4T$_A}Q!2$c0c2FBW-$4<7nIS+AQR*<5kR8FO z{Jn~^#JMFhPF<>cqNm{e16+^79#wjs9-U04Gq*S z%lBeWLSRFX`9-uB7t+fuX7H&Tc~~SBc1)kj&v?IGYWh?QTX~1&yCa>nNGX#8E^RP4 zZyT?Hh&M35XwqBDJ3z@il-kBagEx;>{qMKp)vgS#ou`)Rv~DcZ05H9ZD(0A2Xu8O^ z=@t*}(~wX(q~eFRL8H#lkrzAb$Q~FYFs|5Y*v~5}%A?9YU2d)3Pq9`H#Lpq~9GxXr z9lgi?e3NRi?<~;2f;~co@%`kuS@gR#Ku2NUUH_+r`oq4bg1C3;tt`~Ksd^&pjV-O} zZGqL^*vh9)>gFDkzLu_yKO!D9PsnQ)4V0CvK|e7T0mOtXt3~ho(s9w4S&sd$qkHd* z07A8Q)jkb$60+}C+t%$25+%OKaS0}$cx`S2d@4;N(kDpo^%GlqN^l9~Jm|d|Iz9!% zHSdZQ``;D&w12{njz`aRYMQt?OnT&|R55lQ!4UTvbP1*Yo+OHXlok6&dF0^`cU^C{ z*C(FtwV1Tf#n~c6vUqZ9JnGE@kI7VT2TiuS@ocoj z7TTTa?FsR1?~1CuYXb2$M5kmP&BitY(GQ~Yj$8UFp<2Z$8$0b><$PdvCMMj~ql38c zw1_y_YpgyZrXnYsGe=Av_n6)NQj!J-Ciq^qbUg}td(pdACwanjd%M0q6ob|#^6W|r|_e?myQ1D8DATME=*Y*R=SR)b-)$ zcI!9*3;gSD`nJNtTii*eR6@1DDd}MM&^S^oO-Pxzlcckh+}dMe)C_{7?d$mfuF_Dw zJuNE?60a+Ta(lx9xg(qh?A=65JOB4!F>am&e^z=EB2-r$+IXi4zX!KD&YZB|H6lJVgixQX>=m_Fn%NG|* z(q$0Mir&%bAX(ou;>dYXJYxbEwqgv8DO7FM2=Vrt9G9FV6=R`xhri4Uv9wKnUi7&g z-&OEzcRfnQ4xLbY>dfd&tTB8#_Cg)$;rgUn*x$-}yzD*GiQl8uV?jI4KFJeiQdwZ8lay$cPv zxEK5xWtTv<_s%3S`+*#;;W%BN?)}#c2SxgL30>prwd6DJ7<)Gn=c2W!RH5Q-q~siT z*xi>Wy3#9dE)y!2Es)^#F+G!V_YaHAa&C)OF(O5A^lDmsyOm$`{=84$p{!)1>3TBU zIUz1SjqeJ`ltu5ZuxpyG=Rg^k2pZp?r?^{Dy{)0*Ca_=YKr2!7m~2pU_Zt^m1MDl8 z+rrs{djlWW^R%p6Wc()IxxXB(#h8RL|9_J%bT(8?vJl16*vhR{JJ4EWI#)<+Je5tS z*&Uf$px6a4qS7^m7))=FU;XW!-dbUQe~SKP?D|^#dOV}++uI<0uxd~XoO9t+vE;05 z(9$ZbvB0YApc@->8ZpR3T19KT(B@NZSBa$+??<0*tqetph2Foyi$JlWp={84gv(Rh zdw~4~cIchpX!&5~FSCt8&&=G1fS$PxK~Z`KdhvgzgwKIIF6g-T1+cZ z=HKYhEoW_|ij7Gk+9fE{jJAEnKjnvsxYNJtZB&yiqt?)FllykOIP_jruI}VBEjugw zPK9s@r%HP+g@=ovq*ACMbeDy?2*Sa)x*UpldFOV$j4HbdYbu0V>gjUv{Kyl2k>WKG z_c8xFXX%WE?EI6)84wm~T-d{BUn?0$ip;%WQ7YR*8!oK5+}b1M$<9{U z$6*V({RfI|>tqN`v}I>OFR!@iTT`S=s!4Fl5!F`4=Q&}!f<%u=!Vi!SR1yF)A;J$?>INK}Mn!~M}MhQtC)Dw%M@`)Vf zL-gWgW0usIe7`ocMDu-*SQRn4^C|n)tXblA+{X-VMDD`sf%!p2eDUQ!_0LLMED}$y zPZoLU3k6uC8cAha15JLWf4o6r|76+K;G|feE>^XeT6ARWI;K!3(Bk%>Qu2i%SOIsj zYfYg}y%{13%#mQ)gCwPP%EVSu!>91pDkJ)2vaUXbwAAYHRzEx4fK^tk#n2cqFv}FVqc#jx|Rsj;ZxbZFPXaL*NEOm z+99_jM6Vdw(>`{dES~)>!Svqr|9Y$Tw`Se=bp#(P$&>yMacY&91td}v!Bsde@Wh0_9r|9cT)PUya2eD+yFA9L618A(_m{?FtmY?%%)Gw%d(`G}rmKcI> zxp<|>^!AbH)GSgoaLdZRw?7%phd(j#e?fN{+;WCn;o_CQoHPjqr zsB(U=ul#w`(Hzc=%4sUTX_hLX5V4LpM59Z=dRC=O3{D80c(i+rsQf^BMbkSl_&s!@ zWz-Duxs?Rt00-7Ji?}K~!HOx)+p25x~zlO7TG}#mJk~w-oYB67rTxIs}qSN{x^@7a4 z;=I+?7C-6*v&<+ZioDDu0vrSN`^33VS5r6XXpyttE#@3kLCP_Hm(1#klSKcO^5s*i zs8p_|d!(loPMQ&QVlY`orI*;ri& zXEvPrf9)5Dk4a?j4;(%j@)jC2HudqI((4w8{skr2eEr0#nCj+2QwKZKD_u)w4iz_# zttoPs&Lf6~ALZADxy81a=>2va5&N2C;;x2@mOat0W-oYY&=FDhN?r!%A|wu>znS$m z$$YNz&w;T)E!~zt40!JZ&c&83I_DNbjXj zy$G?;=fSO4K=67vQS(|4t%-21)Qk?IS2Otv$R=XOve2?%-+R6Vr=qiG$_pC{LWkm= z%y+oXq3qI#k(HHfE;Q6h2k`l(qz@{Jg@dw-Ixn*84^=;AJya%R74hxrNKtWM?7Ze1 zK^A!S#V;bHy6&nzb%5SGrj7mkI8v-@D!UqJix2&IStp5WQzaNuv+B(yD4Aoo1f<5@ zTw2uIiR|^UK4QMB56gUylKCUl`vNkBjrB3Aw#2qLKf7{-IQZ8n#)d~`E2#@rj056I zYU8Rew7lXP=-L+$FE+oi*f3WJyx5L6xUJBFOQ_srgg6{mIuF#_r2Z_PMB>0-* z++s~}4sC&V*mHznH|Rp6K9Sx7nbbja+S!;n&q;U7`4hM)dP`iG^^Uf=y)B7XqYWt@ z%}G-tezm1%+Dp2|z6HZNM<-x=>smRbYrml0hfr^y^uN5~shfe^Vm>Z+PpdEy?}7}1 z_o%Whl0Pil0()QK2nG%<=(S?nLW&kszNN%p^PL0mMj+B1^fLRvd9M;}#e`%9S!#9Y zLzx>O)U8Cuu?~{mbs>+4=u@x&kV?~y-yqAX)Ha^ll$pfw9u;qR!E;tp?@`@)R#U&> zqvZ6|tBZ}r$~Y56#_L)0zE$AgN$DKb!MUiA$#u`D#^2FAuPn46dh*TSe63bX=>N)) zYAk&ra1%IhYq~ve@LJN}8mt8-ZxJC**MiKAnD}8uyaI~tLkwu)yDLygHJ-&{65oYl z$79Uq4bDemVdAuf-mUG&_(941#)osxGH{bfZJ=w5s8e**3JDf(CzKRh>-gn0RN1#c zcRH2eac20%b>TooW-WUhiHwgj&}ly)-m6Dj(S62ZW~~&}n_CQGaX6sJiPrcRm~x{$ zgE7y>P2Uzr>Q`;pr#aX^B);ZZ+ao1mB2dN#V3Rlxq#qe3SjWH*4swNyL7A9HB%Jeri ziO*z?!p2!(31Zs!^btcusYw!>w$wxGFm zu2?0s1_jiXN5&TM0m?$_pyBbVrMX2Mn<3+>_U;a*(<1A)=%mPzE}<*nU>iNXYVSv= zcL&tF6U|}2EF#^W*0 zB?k%7NyvF<71^gr za=uw3syF+en)=tswc^cE2{!9(aS-uvjR>v(Ke4+ET`Q(7kYGacYVX^yL)G4U)0gKs z)e0s3NGzV?DSL@24P?A#ejzX&qMiseiNe18B9yT?;wl1b;?{jycAWTkoSd~lJ7Ghe zwjNHi4`jZw%{bwnBf)+oa}Fh~iYb}nbf=$`o?Bpby3_jhRrPZ*O)&TPQKI*$1FYv% zw46^Jhbl7(#d*~hLs`!`$_+r38JsEB7;)sej1}pIFKY^W&g(H8BeT>ZA(3!obBc`> zr|McSVWt4ho~UwvWQiA!6|+Z5@c#*M_l-I{xcAYPU0M5y)DAhdA1kSMgQ65OgkFEM zpYtkBpz}>DyW1?8@b8w)74OAco!(vc1y4`=MfCWX*L5NIi*>%Dg`MV^M+|!7#~;Ii zZ(*rf;8_`aiUPCb!k#kXSfiIKW!dupX#v42)^^2tW36bmHk%}N+;?>H zOePnOt-{*772PiYi7wY7#N)WKqqhl%ZqB=G+EDAOYpU!mu2lNGn5rl`RS`q{B1Nl@9&3-NRra{T zpT(Fj8C}@MIgD8IQN`LNB1PTdD@;=w| z{txHFnJ?4VOjlPAx_YYTuDXw=8rbrlk}A^D_R&TRgrCR#T(2#wcjO0}TL3L?8Sw+l z0g+M2t|38<_oGz?BD7raM^`X3oJx7AN>@O!c(va7IYS3^k^Fe%s5~A6(AW! zA;?lFX28{7b6+plXzisRY`8l;|C)eTmFT~8A2{>ROJiQOgCn)gCc)8<)ysOsDjU=n02pJ({WU&8kt#48b5ZSJ>-u}rKZP(5tO7YM?iVjXX zor0{tdl%^a`LuX8s1wstDz6l9DcFAnj?9?=dO}cD>gwZ*TmP2pT@&XUHz;#MRTV5< zP=C^4b{3(c9|NKq15HQ&u5Q6_6bfNE?Cl~Ook4+OBDY{IjA3~UMalc;bdDe7UcG7d zw;og(t79{O;Hqdc>n@AYD0%^>qyf+V={?EC;CeEE=x#!RCw~53E{y0pZ|+;;zSb>= ziI5JPb1c(N4Fy5Lh-~$FFpqwYx&GqF2N7132M+c6ZCox0$-1^pn^voh2L~Jw+Iulm zn?DZAo6ugk>MQM&8Rfy}K~O!U@khaFv5!*x04+Qre^`m(h|!9MN_XijEuI@0=FEiGOpPI@*fWbN559_3 z5zwQ!EKgmZ-0J$?q0la>S%)WiG?-ydw4mC~K0bK}+Z%+74QyDEqnHR@GC7D2p__4g zu;}#b&I)jzQ2JI|gg!dDHT92%7Qe7(^3gZ1x}lwgL8Sc_IN!(|!|^kSWO{^ zX75Eu*9@O$F|;uwF^N+!&B0YJ+Bqt<5BphTGLn} zm&0XJYr_GF*e7x-FI%pn}sg2G)6A%o)|HgDdiq&Js=|niH7x78q zvzF?-%NT|@qF087hd%I_k&B~IW(tiJmpSNOd!!<0?&1`ANujV8JB|)po6(d6p(7s% zji_J8YP2u=b+2jeuc>aggtFm!_peT}iAALEwA8x%et&}cabuF5D^EZmH%7PyB~eIf zqEkn1n~A5ZxBW2bi(Txp9eXksA&LDtSPKnaSzAzu@d5h-e#qqe$@}sMn@A{T=Q{od zuFKbnScj$Qtw6C}2wU;}F2B?WdW#2&V@h)pi&zov}pNn5yAdEU?fWcBWSfVoe; zQwMy7#24y^iip0j{h_1`&UfqU?fPX6A~Z{$uPm~NXHJSM6Yc8$ok3Lgh_YI)8F%;Vyb0<AB6|7bt{YH1WzW3j%{R?YEVR674M&SfY$RQ zDs2Q*%boC9zM&ucYcI>myU4cGsiE|7cnxUG1wY|HwOkg`8V(L4gOL?Bo%#VPm-{y< z4>^S0JydHGq*dwsS^z=n=9A1S?TuMVXhx4{){fJ(kCTUMFZ-}5eMR!0C|f0rQ}1ZX zY(>-%1kKj0JXWkK?rY6?-B`2fFq^%lX$+zBaij!3rvl%1-akgY-prrV*boNa=K$V! zMSDRfPpu#dJUz#35J(p$Ov+Q|dT?o3C={dw$0u%nq8{JWk=9ffH1a``J0?~Dq6{-A zUn{$K`#1D3*j3Odn!_vKAwsk3rp8%o=8;`eK%TBRfN)8t%zKvS>wlR|NzYzmU`KyzdSpdbXOg@Bakx`@`uLOKjfVzbFAExO4dsU~qs#Z{Y;g$&VNGW*KDe6p+U z(=2i@pO}7c9^rT%Pip8GVa4PfRR+!N?Mtq-H#7|_bT1{t!#}UL(g>Hs%c0t)!e7D* zZ1j3hSmt#$_d0@AAlAuU1%G4{PHr~@Q8L7tB&Cg|?B^X zLMzO}BG2UvEcKeb@gT@hoWfz0D9-h-`NZRQVh$M@`_Sc^f!9zz^}Xku;M7r>jtg<= zi>BP0vZIg?9Y30Y#rE#;B64TGenGi(O?>9iT)x_%Z7>e+?`6kI*=i+0W)9>@<7Y)< z*C6fg!*~`By2k4! zd*~h_09+A#O+(Oe&h*u42URqQb=gC37L9?8G(66V*~=U7XQis!uPcqiFz#(Vly|kH ze#%`q*7^>IS9JeCo<2al^Sx)ehNJ*3P5;+*d3|+owXEbwsqlf!_EAo z!`QG8wk%_iaq`n3mE|2ORimp}<)|_jJ}@PA(+%?Azuz!O&`6tH{9iRs!u1&1!a;Y4 zR35?|P;Xo0wmDG9naqCq5A~nzTu}`TP1E42qry=1{6*d`Q9>w_x|#W%VfCdTH&~^! zvG-@!#BmL!Ip3r4d`v)ETu13~c%{g-%;S#;`o!v)<-G4mZB6J%m8*=L?X6=1NR{P7 zXB0D?q2d7CQ}UkyRr89}EZ~V?#C5nQN2hCo1nN{JJ*{vSyoZMpFq;uOy~;9EkyQQZ zlyQ5RJl$Co*b6Hi%J96|i#c5M80=+EkdB#I93qD%Cv7<8F0Ed{Qjqu1$0N#-GS^jv zGu)Qg)%f|+?I>|EC=JI9Uv7#C$Bbn~`0H%-jl$B=XI)xzD}_fq&=Sn5v(JUq`f0R^ z?VHwhIdY=0hKzz;QT$Nc5YESfNv3=u_xi_VJ=xy3qq0ojRF~4rnPCoJ5N5W(Nkf!nKQlYvtR!k%td;_3SS>x*8cu7;gZ_X%f>xA2M{KXfBolZWR8}|RT#CsK>O~0Dn=Ryl}ro?Q^ z*)Ge0vL#qLnwur2&G<l4k4ub_#7c`jkmWBX;R9l@LOD8Ysue<%KY8NaD!W} zNRTks%2`B``E$NMnTFP0UJjI7PNUVQR)xVRzRB(!S51;^Fcl^g_YN73${N9>aUK%! zD5P5G?tZ&Op;5TvWV9JzYOMIPRxg&*HEjR|Wa*Zg#XHORcxOtk9I<#S`)VTnbHI7D;eV<{q)goVK;I7vIrT3D^FOk7G$k(^BwW+C~ zNeXE~nMdFi#cU&pQ7)XR%N$1Ro9D{|#PsbDS_xwI)NoP>4y<=FN% z99BLh3#~Q=S8>godCfnL;~IwwYrt+jgO)T{-#rNYIWz7-CMVn~twWVBRe}+2WiG)^ zKa)|q{B^4Q;rA6CAoeF1%+Xbx8i$Knlp=CWKT|05IR3Gk)&+$#^70|-csPqdp|%1i zRa-vmPp`NGT!52tK&6(7L&nZ&Jw}z(&Yaa9M|LY7S0SE~LbD(#6^!eKOTlW1l?Mj^ zlP8#rk`B!a>o+_OX9;?Q#&wcCTVzs*cA=y&JDD^#(&Q zMu#Sz2hju>baAQ)coF-tr-Abe9$^L>#%A?tb!(DW0|#A#o>Ve4O!sXI-1uu1&kvx6 zgQYRagLReYk0T5m*D7bW_1^0k30;IodSy>ND9P>=R-~4Z^pr&SXFxmPU|s7 zhJ(>R7&f?O$YekjYLI6H)473vLmPU1w0#}OUx!}U>4I%O&_BVi$HZ2#Z0se55u#at zpWJQ9 zDsOI%KWI)1g%W~ikBHu);#LlL$Qu>el-Mya5f=vzweXj8+oth_SQQbq6Dq63?KE|| zd=zF5K2-y-d(S3pR4Dai!Rv7zs56KcxioRMA?yw^0s1|gW)u6fStDkx!{fd)h-*w& z`c{4~m-C5rwkCXIhnPezUQqCaGlOWFP}K^c1`69iwr;#?#1*)R6MTq~9s`XRZ|QFi z4$r(&Shi@iXy!f%wtu&Y>eZ#NUPlw8?|q%Eh#>mVo;0G?rr~l3Qex9avcCD#+nF=S z!Ff~llqbbBP)IV#wZA3lHPg?%0VX&27HY+d!arbG(8NWfKoc-HPMsxARKo{VeQ%2c zJc6p&r50C!L=21m@`*Jk1&c@aY~fOfvWi~7c|%cN6}&TILl!~bK~4H-cQL0nu-a?+ zoQ%k}jy-#1@O#2$+$*MDsy9C2SK4pWi0R=AsU$V8<(eF(NQ?Sg<%qvXN4_DvF%9SV ze7gOT6*#QfAi{HiNj|w7b|k8F?m;_%h<3_=NsP+SK6?tgxy|TG_ePHd;hVBMX@TzK zg8O%&hD^8Fs%7|#-Ch#;W=+Ait~=RzJj0My1SVT)IG+^UoZ}#&KO@tjXl)(aDn&f6P0q-HgDKhvDQ5mUw!^Q=B{3nJVWf}kl0*UXGU~& znr+cGE1BEsoj;LziHgBwC1yK^wkNDgmAK4)tAj~0`AO^at)_s5{&I?1u0*?(ICKsl z6$0Y>*{FEpc*1%De2Ogo*^;z%Uxy`zgad+CBzT{q62ghTff0T=&C3t}7I`^y_5+Nu z;!||9dWi&}D?u|d`VzmUG#XRT6I>2WQ)}B_Lb(cq>?@4!*>9n(P`5j{54z*1C0_NHP4edn zf7*+adQJ;l$e@9H$>L2%Mb~ZjH)wH$Rv!5+Vp`err_$h7bta7O62YS9iFk*%I!(xs z#}LTHIzwRJRg0NIUJUH$K8j4Po_un2;*X~avMjD;lv)N4(atw`lf!0fcImu~qlTn`Ewvi~J+){tJySZ&tI6^fJ!9 z&|u>RL^?|q%B!1^NayYz+H`uwHq_K={!yCZ{*1a0@Q%}Ux1Qt7__f*Il*~xGVn4foMeo5e+_u21lmzGMh>R<8yeVm3BOX zeU|W6W5b_daPGNq`eVGISCpujM8SrbWR}74(>9S7iQD^q48bcfC4Hw4tc0#@K}Vf4 z)j$;m%rcdx<+t)9Ngwrq;w?maU?;kQT%Hq`R!!FOsa1P`Cg1E-ppT0!N2sN^4HOyA z6Hokr*^%8NIc;ANe7DK0ZNgDIy=saz__vtCg}V`->%6wgn>hUwP95e;p*l3TxkW?h z0IISIa;YJ_S686o5Yi9z5e$jdSL$5s9K(o>^<%W%Egi0C5NCS50quj~Ghw?T7m@IO zA~MdxTXR_V9#JuE-}@NTYg5|Ur@$tx z!CX@*=y#p~)2Bepc^s(NJaS4b6y>u%D`%P5}=O6CN2p&p}M6$RR}Fy zt6H;IlCG-W|CF8U3`IOg53Rxesx@^LwD7J9L3p0*79;%S{EzgO#Cmp7D(Zh*dJ><< zlkm{;zeKgJJ*h@`n(f2mbUXb~@t@kR2`;}+jlupa$&=6|mawg==P9y5<#8U!<5U+4 zulx3&4*k@~F4~gt(D47SWGu;Ld{GPPs_xuV#P*vW0`5hAU@Gr_mAd>kcTLb${r{50 z5}qWd|JU9Bg?D%FdoODl!4qgUdMo%Bq`qZF4W22)VXZQTxRf^37y>Wk$y@q+;%-PrQM$W&k_)b?OXL z^*oA_aYs2J4`DnUpFGbf6b^>y43lz)CV`;0#Np!bEUj)ZY*wU#xaJGzTz%89;)Q;j zSCw*UFJmEAL4Bx6v0>V7N=0G2VVEP*_S>OS8MW0@T~Zga=`h{P{knrVIqFOlZH9)3 zGIt7vk_GJ(AICA=u4VojV_pdhJ&qeEn23c{WP`3{wU~QkN_v75AGDu|AJo# z!81G=E5abxYa-m;H9Y#B88zsH8~Mdd3_n&zRChP6Y2=j4GqAhyYwJzgRihgEGTjLF znsNA7u&o|1guZ!?V0{Z*rtQOrB~~B?$_)FH?-QuS63Pry0$i@iCh?iD#KPZsosX5K zJ6PaP`5r9J2vK5 zTU!-%l>4coY4|otw@2;`pZOCkN^X9S}il z+g2nBd9^{iVS#_3E!lZSbY$%ls*Z2iD(JOonzGgV|YvO4r=TD^6 zH|?AJXUp}sVm!6JoMI6rYA-Y5@()cmWX< z(Q1a-!^sbJN>NsK=lTiqZS!ma%`1JeCUhBz04K}9?2#y;>t_sO$VglTXF+=_u)a#v z7|dyaXSDaj1^xrAbsTWIFMgMY}4mVpBGkeylGT0d5zXPkqt0P+KRq{F8S;4wCVWS;UPEZYN3W- z4_xstjh)xwSLcN&{h*=tdIZSyU2EX!7`6%k466kSnpzt*Vue68+Beg-xCZSifgGVR z2EI&kJmburYp!-19xrK&1b5+HivXgpAc@9j2?p1GECV!f2s4ruweVBjHo(v^pJCXu zpx3xqpi9#))zniBTk3rJU^f1IX>qY^zj(Z@f`oFwxc-EbUvw*8hXPF>R+A?Rm%3$N z+D;x>S*;|7{;Qf}Q%??4+I)We($TNu+;E);`2N`OjF z*ziH()w0VJU-(BoejqA{~OmVq`2B3FUF zULm7#3@Vc(#%trd)|QMZ#=F%xHv_-^Ax_inC;-wc->MqF&Vx`2lM<@H|JPi}K|nnq z>>q^uZzH$aeEt(kbp%T#26$o490OcSY&B#R7RCjHniUiJ6$oSFs+?gnJC^hzFY>z9 zT9rJl2t5)f;z#rZ(LGd&s9>rdjcnUrMGT;8-Jh>f2$&5TpdLXughg>rFu|%$*Pt=p z-%RWILHy{!%YZN|+uJWJ^%(HMg9P|5l}NbOR_M@{?exHisP36V6^?VA(8w{=iQ_&W zcyso4jv7U75CBZT>PVU24ojI`L%?A9Y5aQCuyXvo^P7@@k3>I;(~Uwt>d_fyYzxLu;8jOKdi;7r&yYx zfqt{t8e1`7(OHk#XeS5+()=#%J{DNbq)q(!{1`#qPUhc=qVeJbWx^59NEI@mEHp{d z{F(o7uFllG{VW-_^iZQg%gAUKR9^=EwX?1|hdji+|0Arsx$A{?L}ku`fYG@9FeIN9 zeshdkJ+_thP@JTXCdbdW#PJzWms+7TSuFgSGBMTNex2z&X7?!6*eV#(#n&kc4Tc_T zp)<*9(~QqGl$WeSGeXS7K>8AIVfqGQtqdp_GgUMM65+PER9?`or1XHdwc^NA%lPKB zyp;1>S^cuFVu5;8BxuYk<00!s+_%_CgEPZoOKCb|8{DBvt#k;@iJc7mNYA)psZgmLVtu zVFmipl9aAa*4MEZ5i{_>WTK%)sH@CDh88h@=f-4<`~iz9JjMVu91OkvLWnI*-@(?` zEoqIRjM0`5f_cV7p2|i}xTC0nW{b#)L1o-qO!VRl%;+2lK4e3~LYV%@SnuGk;v*0PP0v0wdbtG6mlVivSGc=32nE^z=nB6?^y zucqaYq2Ra)$*O3ecpvc|40b#!@^IT^8VF49RiV}nP*x{xE)5jQsh@6@#yAP4>@b10 zjP=34UrxU2A#I){#86DZ1eKwp8~N)WhO&CSet^sV8Hq93@-JnGa1YDa<&h3uZYQv? zBSl~qH^{_14P(D%t5Ic*xyQH-ZU0Gz3yUx|D#D+(=k-)MY*f{c$UA5Oenw{H(cxg= zO|bNg!7Aal3V-p8b&AK+jngN;qbTp)H1zFHd#M9UI>pe*1W=SghjvqcuB&TM=c^df zgda&fmBIKPG9B3Z@I8q%xLxhRWg-}G!qPbFHw;Z>gOf1aa|k}^p`xZvW6y^9^ZHRVedWxS z36)c$Oe76kB|Qdd4i7S-<{^OE&va0uBq69_&*nr3p(w+|lz=jVwO6DaYfe57uNU)o z=tJe{Hy~|o(7TJw8s+4as-tdI0jI~DP-QqYyDDYic~aEPdYEXKDBU^X%kXGM{5&D< zSR}AVk8Nng!Dov1fJi~Uq&*%z!V`K9W^ZNOGCf(_D$R;2|HClmN&Yl-2o;S|f;BUb zliE7GgMC&!<#~GJFirL&PquLk5qfMLHy5`?ffdF_U{%zLhB5FF(qG8rv-e{gVdJxg zJGYB`Lm?7%G%OLs{TnF)S;e`jcmVIB8vAT{F$(k~;4 zqRUgsFb~4PH%6p)efs`&b1Mv2qbN*rr`n~};iN$=Zkxm~D&og5>aA$gWiTw9MDSO` zKQr~i!_%K=jCm;$orL|m4`r1=3}XtFx|P>eP7m=D9r_52mW8H@%CYwnuAfn(WvJse z0XOk+S`b=5#T$u{k&5c(NyRFmIoE@)J~p4T47XosPWqjlCdBR~Mh?koLBq()s@`Ae zuWgL$4rjOOeoq3@e-?WjXXBUhD|EU^VR%f(QX#yB;m<55K2ZruDaTy6ujJ|M6l}G_ao60bQpSidY?J?1a#&d%Y z4|d1B$z$b+BF1mPGJWAS<`YPGzSVpC1+^}~r`}0jpSnx_7{@@HvEVpI9Z1rOxOGTt zbo&x#mz9Cz${yN8ABZq3~C|cBYs1? zs{SPuz#V3A#{juqvH`E2Ikxvl`OPz|1fiP!;-|J{L^O0>w1lY$SS6&1bu<>r0}hf1*v)k-gYDardcGOu zv@1(a#Pz=9zhxJ;lWVGryDS9Zr4HY|Bm%TzTS?#k@ddI92eh9?QW}2nu|xtbE;0!8 zyk2m1CVFH8-Sfb<`scrhU6;E>O$~C+ok6WSLta{HP7SS~&n=}@L8biA+5j!86gqx$ zPZEC3%Q*qw(LNPb0P-WahS@M)(ULxIxK{d3^}H!rlYWVB1ckNQhCT+W zoNT!OyG`Iw|5!>)W^A*xcp<6;!pZ;;46s-=)-P2nl3&1p>Bb%rQeyMZ@#O+OWhqM` zM6vamWQ++MFlC@ObioBiOwy=q2&smVBX`y+S4${D!{@en(H+o#X>d-;ol?F2RC4*3 z#!;tz|5_C=&JpZd;bgWf-6;$URF~6)XT1FZW08t-ffvr#fZ;{}pCQ&CslW?vL{&ni zJVxa=DGE<+zoa%bO3f81{nL=nXsan`P}U z$D~%+Bxx!IrfOnDI8%*)H{JOWvP4CI`7*^&QU}at0H8s^Ar125^54aUa4xoYMQ+tX z_^T93>qUFO0w_71lPdWu;!`S>gIKK1zZ7Z`M*?(^mT8#4RG&z{3WPyzF|RA9N)GO}u$>E?$-j zf4DNbY`TX;QHd_qA#R6%(FlMr@iFjwv0*`Sz5vT{_34{59T#9LMb(ig!7>GOL9kK+ zUs_d_53@2183gKZtD58ZzR9t$vgR6;!&PTOSkMq;;gy$QIZwzi34H;bl!Q8){aG%|z=_Z2om4x4q^)u56m{YWd<_DdQw6g0n{j<1DOZax7@a{TPSsVv zqxmlsDbq?^Z%*XZj(77n2^m#IP+)R?60^-;;HXD%$yA|CLl2jl8nd?=vz`H0avQJP z-dA9FepIU0G;n^ruv=J&6#%Qi`N`}^ZBQ_W!fcrxSg1t(rK8eH_ye_d0vDiedjDP+ z;UAOpm;+K9dV-p%>wtaj(ox--I#|j<-d_yQa1TlR5Sgh%8fnTgm>Te%i(me&JP9bO1-^ALQcc$ z*2dwOCNM!Gim!sU-~m}-dH0QsbF7tgDVF5~x7L7e7~Qco?8r8*LK}Soy}WmV z&OP_?CRJ3gsvivofqQ%YvJoz-E?+b!pS*;=&VkZnz>{sJm!1;7w>I88f7;LVxglJr z;70Q7K^=S9Tc9tNw_KdRvHG}feOP>~j)jn)-K2Q53=a#*NQ;N1uS|?c#g7@s3#AMx zael%2SE(;bBvJtH5~z-m2bwYF9n7AJNfl5x4@5OLV`tTHD+W2SkfRbFXGCCGy(9)^NQ7-8;vL{%xQ^+v}dm%!@zMa?TJ2#AsMOfo0 zziGKo$|$7IJBOkXFC8I`-o+Ri`lz9qd#s}-CXosM9E&ueeg}Mh^e|4oi8VAQ19fOx z$K%LzbZ0&;(0WKDt49cAW=(w^5NtMubsiAqXql>X2^Y!}@TCewS!QCOrch-LC4l3Jpw zO3K@`_gO81LJ)^(h~2!gi&_up>!A~%d<2NDDfK=*b{Nn=cNa?}eUza;n4_S>U)By`!?L>Ke=URbiAAtW02H=7?OZGIN zCLXg1RR|cZ+sXUhQL0ki&}l6A+ioVKJuVN#Jmvh(hMtmZKk~awJcWVQ`ts$hpZp|0 z)n#_3h`2vWEF9wQC+@@Ic(49d(to!37H*4~2drTkSH8F>PXRi~Pl4Pr2uA*aT%KrC zpr1O$3IvRCboXDn}MsKoA?O;YPLW>;H^M2lw;lU>d2XIyD; zFL(@KOBoZTH5fQ}7-kfxkglYVkw>0=Thj`$UtF-|KQC@MTq6QP>&-Zb9#RAen=2f% z<5`L%?N^dURcQMyQqeZdTYCom8hu<>;Dv%rV2! z^E`9v=;C7H(cba4vGo5o?|U{#ywsTj*gtVtFR4qk0Pb6*?p**Nul z_6}cw@M-qfoqrHA2ol9cCk@lshu*hY3r7s!dGP|A<-H$NP#^Nb5i6#jSod~ADiz|E z8Z#5m+q|@s@KmUHrYBoiDnVDhv&V)bXvY#ljd$jZ%0*o_W+Gsv+QqZO5p)h~<=_Xc zRfVL1%#tC`sE_UMa14)Q!p-f%W|R-Q*N(J(+aptTSFxEvo0eT~3wSHQ*U=*Pql3TY z6T$C?}e=#(@+=2?~-?lthRSMX6`_3#{*d- z$Y=z*Y%+hA8~!l-nH8?6GBQij&8WgLf6B!$!T<)ut^#|rE4TB&Q9e~O zD7TbkOy?u;`huR=$|S1>PH1NdD7{R3jr$&!qXS-92Q0#(GJ}YE9`Hp1UrA@+uf_vD zTwx!kGXKFZM}4hW%?31R(|-PdP+J{?PQ|Y%XvpyYVWx2TwFP4~h>nhrTek&rEjWl^ zRUNrgYCcm>ERt*9Ts&w4eU)px$`^Ly`J@!Y zH|v6#B{{r1lr!Zdu4e4AZ$<+Pg^19|qvX}0sn|T2rRKR6)3y)i8;u%Rwm+vU(|XnD zrt)us1^y;Yz7vH&E>fsXTxbV-YQ314v@{4Akc3-&O0PC3V9{4Mk)lzAYzQ6Us{c(?1aAm#K@ehJk zi+)&oOik5gcA21*4(RE=QyseVIa!Xy0A6M$$DOiysMd8kq$AFhmxN$5DR+R&xSQW< z9+cqCPmB*^GosP@K&hoew({z!vsso$+%C}_YIX7`m62cT5v;5t9VM!Vw4_DNu|gT8 zA5Y1+z`E#_sScF0u`E@Ry{!A)E1uFeG$pKv`^}13jLEq2ye&8`>r0Qhq`GYui3(bn z;Q$rMtH9{yrWro!ktgw!-QSJEev}SV#9{OmDo3)+u-*p=i>X)%aOk zp-!EvX{H}XJTaGhqNFH51&%SIs*Na4MiNN&SV4m^H^td`kF52qo*GluuipNX=T;Y{ zUh@zVb748-aYPl9><%e-;DiF-*0WFgIpfuW63j7-O{%h) z$o7Gv{kMHZ)(5lu66{j_wWs=GNMgbn1Mf!=DEfGCG1@?GCd!&^@UnI)_LrX;ll1Re zin!bP<({c1h4(4mF?p2T-h+vKcMrFFo%5;Bm1@;sCE{y44i{`b$xtid;*i@L4J@yh z(~r3lVgEUkHX}DC`yGXUCTkD^q=iHnDsfug`-bYu=gw$=r^M2_BT|{>F6}N^rHwZy z8I9kJ>WDEDrbrucvDCDOXqAQNdf=)imXMeYd(`E~zTcxgoP{gOI>PMlT4Rq3uit4= z4!@JulB`33f=ph{Ykf1Lk8vV*t)+GM#Z&fbxt*KM`L3WH1xIIXA48Us?S{@rCbK+z zMW9PFip_bhZ<9^IwuZm0H=lx{<@4Y*c1LnE|611c1Tw@N@<+{$<(JIqHVzBiyc?i2 z$?}%yN2sJ7af)4UyHD5;qw5eUlIV(JjdEBG#v(vB@^n z78waQCvLsd`mK>n`kaAn1rOGyfdKL#yxNob%t>rm{HnWcLG=v`wh#L6b!lg&GLyw8 zQF$EOJAaqF!S%Vq3uv9Au}IuYLU5+za>PL3pBgm8Qpo%wlgqCwNb zo0$1mkh3G*Sit^ACQyVmqHsqUv{8xNinWxea~yia@TH*Z3r3g2(D{J#!Z~%gB5aG| z#yt{z8pEERstM|=fWyGm(5#T@dG}tEzJ$&Ihq6ACmE+CHRG(q&7RF7zLD=hP;@7bV zHR%qe4qTs|Ic11+1nR(;e4d6-NY#A$)m;&PK5VcWVk`{Q(NO8XU;vS4#Mn9M7z_8Y zUG{G6AB(d&ppmc)1kvaD99E|$Hdo+5b+dZ?Vxv+ovp-?SQ+~xJJw5Vb1tj`|JW!ZS zKAI+~9$}mx9ULaziLj^xs=Zn`jA-~+=IboDRsfPa58VB4zbF`(*S^&m zSuAa?Cf!R3l_;#y_Oe&Sx5g)3#bzXf50D><8aV8%vH3)Eqi(9XJ;8C#T2qeZGsF2g zJ1Cjp%Mnk@Ik3K6-wBb4zSsDBy@Qo3AAvec-?rU2uTp0%Vcq@x-^;cd0`sv&ZXTl~sJ=+jB@yDB#yrAZ zN`WaXNKxP=4R9jd0#vaHpkvFgU$?R*MB8j zyrPH#bK^;;!zDhzj67vlX z?Z0a)8~Mf;)v+{zWN^DzJJo2ty>1I22i?LL&O$;oSjBa)UOx&s9HW0V0^wqO9zhg4ebz(nbVArIlnyR*IsJS+KyVjEUe|8EH z`V1FVGABmord?D6CtM+O+GFeln^ane#XNLpxoCP8Z7Ph)ZMRUcdf|@Wk5llg21XWL z;}Z~^n-9R_hxo~vfloImi>u~;_iiXgoJ_l+f%VNdN!uA{m zqB2955uD&|p`JB&|w)QVCXoV;tQ5+>%%M6w$J0OB;E4x|&%dh-Tt~x(T~u@L$`971X;I zJRV8uw(c>Q$BU)TjL5Hz%r)Ewek)z4;Ee^tvcUmTrDiMzlyu($@ukn+YG0R1*7que zHH7D28YnK+DTZrpY&^^bG-&^xN5{2D>H{J-=w$DX$Em8-0M4FM{Xl|f(2d0vZz>17 zgRO6zs%L2p*+@@18H_CCC6Aa>*mI1m+|+}XwLcj5w*cjPH8p65E)~LzS?9c~9S477 zbsSssMD%#i z)RX)e#9S-h@czN-C|vJIEa2qc$~{Zas;tt4y?XY6hH38vNeUyh%WMcNbwv%N$ypMI z^njPQWaU<8?%4tldJWw&8j;Svpe-c^5`_f8S2BPIQ6L-EpkgA9jYa&f;i2R`w9b^) z{d%U)oj*YmRvc!eE|vuWwH8&Q0$!m+S5|qQ&DE+py+iyGH5UP(KHMe4E(W>Q_BrrE zM!810@_X>aX||K>dEAOr;4ijOMD={tIrnVSv;??Wo_bs$vTu;h2M|94o9WlmY6h|@bkcU>~x{2b*%{PoBq;-|8Q zIy(!c=@ezT`SF>4t1V0_dufkuhhROwP8H}m?yi53tMAVUOda26wSl!J?BG!RO)Ytb zd%GVCua8N|Ry<%l&})(SVenz5K-ag*uwy^QOC|(NkwSPc>TsBYpV3ZOpjo*p9yakM z?hEqfhcfZGofg(Er~!?&48L=w#D!k5TLqfW4`@2SYF_FdlpM|Yf7pBLpSptXd$>rU zxVyW%Q{3I%-Q8Ww#oeXY#hr_Lad#-i^#a9RioHC~^Z6&<T9(|fVTRj!^`D)y(kNnr)=Y?#PjyF-o`OgHd(cmohK^!^#H$q81civOY; zfBZU5AwLIC2<(wKP3$DrchjH>0(3i-^bk*f3v)hNRudL1z0zXuj7OyIarX*Y#6lNw zCt=alAVY8meP&aH?X>uu!JAt|X4dbET!@rwt(NJSSWMkUSzCFi0qo zIE<}%^2LpCl24_DKV@bc!@NMeR;>0{SCa?jI`3(FV@ED|D!J#Ys12Vsk=L_3SqLQ< z+nd#C%o^E_ar8S!by=(oh4VR`__U)$+4P>brQDVfyw7_AT6|6Sa_bY?@@d?b8ql!m zuiV864-*b@Un`ozzH3gX!LO96?SNF^ol~5<@hY$O{+86n*&S#eFi5OS77uG>`YX1; zc6Nop0Qt1cSf``}fYoQpY%9qqyO3mq>x|v|`#zwyQj%*JE0Vqli>U8)$h|m|1hqG` zqXaecK;oT}qJ=V{0G&j6I~Djg@eO2VZUbtbRiD8D1et6Fdhh3BW`{@v{R_{Z0(OA& zzmuNNS1E}I(5b<*B#hYXoXar(Zq^O1WS@IYLGaNIiV4&3Z*W1K+)4)dsm*EU9krR-Yy zn1lDI+Tb`$uaNe7b-EH3hDRyO^L4GID;^mmOY<*;5f25tHdR(dE){9uS!Q>mhANrW zor=;cQbyW!*@LvnM9*_D2onT7A{JXONqab##bvK{OS$-J? zLI&t$$JUTSFJ>54Dy`_%3pVWV7}WekBH=if2!^;EUP8d3uyWilksyK`+L!j?v7*s} z>k4ZP=1GTmVl-1#l%RnW*Val~w%<;NC-&{l;zyv1Ael2FaeD@N_nW+)KSTazaHbws zp5xPrj%Sy-3810y`}(C|y4s#d~s-&c$NSfaLsk;?psc+e^UTsBRWXzx8| zW-Y@edk{G*E5+tMPih@&*}BpP%)~o8M1)_XI#)yGz(0@&(W{CW1MA~l=B{!gFP>@RO-0dTM2M8 zskFlyNjTL)ZR)>EVOxxd3HZFv(~N3!87*JTrCcru_C0WFGpOqB$ER<${>+X*gAU5; z)^c{~)oQ_RSJ8JS?>CJnl7*H0^7O_DCg{O=#v{<)HMm4enGT%G_>?k+S;=n+E3f>t z_>dM|GM(OHy*JV5&W$F~l0c;UDl%o$S++LVd*aL5-UL1Hc}furpli-QSZuTKpti*W zKs!9%ODF+ggqx_FUiAz=iu?_aERQS)7&#rzkJV)Nv%J(vF-gZ5{27?}9K8S3T&T7i zjI=3LO*qW6;iIKe{7cwMW(K75@z3L0^DzI|-rw5u%M-b7j)v|_;iCZ%>(JtMR8640 zB9l6x;c~HTE?gdoU0gj{RDv+|_^^7Ng^O@;ys9~fp*j$fNd&FM^ui3QA!dZG_xb07 zPC;7&MwJF*`HJ8;xK=VT2R1>-OlNFtq(^auiOVgnL5nY!o;(*H39#uvSNJVm+TeLw zqeJ<3S{weEg@u9=qH}%cJfiTw7`d~G8c`3$PNG=6Q%CLyJW-Mo`-hFjX^rZeIyx#< zJ+Qcp!`nq$tPofAx&zNQ_w+~-$ZaA6wQw~ZSW;}4#$7|%Qn}$Tv~z7f0Xu8`;l0VC zOm}{%yOLD=mDOMBx$K071jPVexarPK>rpzXIj!uz@CE4Gk)VI6yDy^4CZ*bRg^YAV zx7ew>2J9C3ZLJ0vw_jX!p{yED;oCG4igHKUzqR~~6PNeO5kit&vZO^lB~{}%A~o}u3_n_JoyKD0He(bVj)%= z1{kS;U7~k1$@VSSi7?S7w3vw)`|5PQ;H?(l^y*UjIE#{T^-~FZX%m10P>>12&G)hNTiJ_0?@nqw3OIv& zv+d4k-u(LB4MyK}#mfs1@74Wt&i z2J!Fkui!|m0~ba<4XZiF$Eu;yZ;V3-+RX1;mx5D}He zO!&Q@z=mVcTxh=M;cLaJqQN4?+15+ojc;1V#c;>q=J}`%)Lb5Y z)yz;t`S-_9(iOJg8`3FZ&*v;4XQsyk6`GA_rF^!cE>l&nBwKr5?FR`UFPoS<^^%dc z=-v{t7`|UCD;wH0fMgm?b6yI{rtM&SW-h>-L`+H zVO?eJ&crt$g_Cg*&TpsujJk&m&NL9)m~C|F1pOZ9c{3&G_Bdow&abSV&mD8Y!l`y) z$5RzuN`YQ+*_DZQ6uhl&8BNJ}?)&wJxfA7@CYPQEYS7zathKC3O}7 zalEez7e@?8e$(N@Q_je;(8n;(vaesGG$%d_407EHv0Pg}Fw!kf50?rTJ0~g$J+b}`p|hWX+=07E z!1EMpg6lpZT>+iIP8-hKh*k@eM3icescDd?fw#?8&5`*!=M4f&`VI7-zL~jbxn3Wo zhJy*rdr~>B(-(9I>?@9vwPvzDKj}QOm?@#B!0qe_Gj}BYv)QDR0%lFmrdL-wq1=Uz zr;JLgi5c0L?u2x-2p?&a_c0oq_Cp0JAkxnuA>XjJiEUHXUj++B??3K`mjxK2QwB_V zDHv@4o;)}RdMxARW&YFB?wY{Zc(=-C;|hTa&lS-o{|D{lZ5%6T6#aN>paDG>#h8{Z zUj#QtdaRg7><~_)BhbU_8xe>TI|ec>WtYvj+KftgN<)F!G#$!0#~a3B>Av1UMA7*z zhVTA<(i#%JT(7mbAPKRFEcjHtKIb>WiY;LEj+T0d@ggjs_1!^PR9GC)v@NI(Fgu;N zy1d|AYY55Y5-;#`#r>}Mmt8=qzB+~q3I(H8d|^Nj3|V-MMNM?ZeH>}MgCt+%kbqi_ z+F`>R>l%_CGo(J~fg7Jzx)=xb{Audawk%#2qM`ey<{-A}bu9*aJ6G(47NLrXpqh;aZ+qei=YoaDlks14F-q$AkPHTdS5%p?Z`&qyv;SZ-1MqsIC7o@ zzOZLM3)Kjxq$q|<7Kx0h5uHn@_Vfn%*7JRgp&a6fQm{AK2yi6m6GR+B`i9M`L%yI? ze5wDDE$EDh{_H@u^&;df&Q<<>+7$kzpN(v5aQpB=>BA=7d5?_yDn)-nZwRD-+no@P2r6d%Bf9D!s&bfmCL`~yp=eOSr8 zak(n1X1ZPGf%x>5Chc6J*5zNzKdgzrVyqnTm(SXiCVJ|6lom9M$A>>&tHFmW;)&UU zDRO;G49)+Dl0!F*PaU%MI{n^6b&EG9%uZ`p@H0e6$@F`UspRdvwK%M}*|W4~s=Qj% zvf_aUyj+5(;UJJ}eTy_&X(fHADiREKmHqr2sP`+7r3h8t?q31Qrwc26Ay!&o?<|a= zQGf!C&}wlF&Lf`JoWPriEf@bA^_az}AMSW=BH_-Z#D!az4E_ef8|-F~k7E$@6nV*#>4#uc*N73Ge*i zXHnT}A`?C+&#=0E9|6E=w0dMMfFsYzh2q?p{tX3CSjX9Ev?3BfC+5I25Oa5dPaRZ` zHm~wm17DHC=VEUbx@LA?4$J5D$s?M`Q)(IG7aSLmudlZ4G_1k@TU9CDz$MD>MG}vlieOroaj2xTcepDjOe$xROn&m9Jlydiy+B5W?}(m{nvaSI-w$p7&P)6G9$xZ)NHt$lDGDiy-~ShajOV{F z7Z(9kZ&+AKMnbisPuwR`oE~M!=5yryUx)+h|G==36JYl)b_)OV9`k=l8E`0WJZ`*> z`~N~jZ2T8C(0?tmK}3kz=pqwA{y$`;0P25IvH!miNxA=p@$wQ!b%lqMVq1V|NrZXk+d=S7g&~^O{L=oY8`4XKYysvUVoN%tTH_9ta^p;%Y@q$x0KSI2c83X zpSnWJWLGQ8z^g`5IAnvlAN7>Sj5r@NsXST0PeW&J`KsxX6PWn)gW1Vk?g0fRfoYAI zbIWE@&4R_09YH#VGoD)D^J!*I8PPTx_hB-~Y4+6jy;K~TW{XK@^DdbO|KuH`%-{V3 zd2x_wT#qgX8GNt8*ajM zWq1Jtx*uPdERmrxCTqoTYBift^fEKD=;>3z~SKcHsRJiX!{nu<-1_C zyM7tZ6%_yX&_~az>VfrFE@Vk?yMl6RwY9lBA0_HP$V1;0P6OUuk-cINPIfgD09@!| z=OlW1xfqGje8(8OJKTITf{~K;u;%Yf!oh8fF76C7)Y5?0d#g*eIHdKA=hMsihw*%6 z*#OiN>gv#x4n6$bu>PcKC0XmQsBDW_m1jR|O9tHPa~q$hEr64Hf2)jl>o_-7jBqMa z9heTkMF99>D66yX7P>h5{Fnf51<}qszHUc*Ge+QlZ0e@i=PKi86O;4E;{U_4yKCHKA^zvosr^ar&x|-4(+y7F8ypWt2kr!RtE!};g+tS)hWci=r!G9F z|I~y5GC)#CxBFBnxX~0&XVVd6R> zYv-87tQtKtj#>GYDb3B^>P&u4 zkNfsgfxbb2>{k@^TI5z9Kgb|YbWVqJvF9mhmG=TSkv zQ&8(r-?zPCRYxD6s6&*F5ls^EL2>EU{rfE1gzjPKU(Rf?$*+{FAwjNCT zm*~3?iE7Aj7h31jh{K)q)QY+KWaAgm7|22gdL1+D`f-MTRONgRQ#6b5{${(xh-aDY zFkL`%%3$3HMIK-(>_((#m!%tEQ-VjOspa@gbEAjw8pz+e()-$73p&*Mx`iuL9^?Cz zkA27#ySv^HL5qO*o>$JUFltiVv2I7lP72sE%VL9t3P8S=r<(&5FhX@Bb{&O@IvBsN zKY$&{S6}%XTx$Dkr;dy@*__)Cu12^7;x}9yD}80v)rZlyl~#!wUYdpTMaF_mqNS|> zFT1T~Oc!i8Li|H#jqnQMIU?mu_f8d+6sqRE6dn;zg5*5i=1nXd{??rAU6g}IswIm_ z+-+{Y&)e73vkm@==BkkOSognPa4rlchRgJ|72o<`-veM+XQ zk}(w7CX0XW47#a>&RO}y*4{Y9_`)%6jwN6(~zfF(Tvjsg6nRRb&1NMG2Rwg2QR$5KKyBNA*(D? z1XgGQ>7X%SMImQFU-;Cmw4sn{9~Cq0DC~^M<8$S+8uv+l@Pow5fU0OK+!3{D+=V^F z{V`d%5 z{6oxfVu002cAU=w-sdk0#&m8g9g67g_`Y@V6=T7w!dYGJ3#Gw|I%n*S(J z>wBN$gg+Wy{lc`dPisQ5U9Mj%-6*-u+QRsp+T4+$`ojbZoYTJAX`O|7uN|8Kq-$b+ z@<2Fh8)f=H7gGfJmEa|8L*q%Cf?dikJajy0I_x1cJ7t(5t2N^ZOy2nBc^92n)yBRp zyfyyGQy&Eq;Y_hxV3$E5y_V7XeaY0Eza}%89u!83qL{z{*^0A-A-wZ}$(Lo7(NF+V z7bBE_lo5+s{ltn;>Qm`$=h}K<_FQzn8^is?a&^+3+-%;geHcBGkfy0#DCKlRH(Rt> zPz+Djdb7vY)Em=@A+?s+ zcJSTj(@5@b)<*Wh5!uM$P@}a|>|9Bf-^+HK=t&UsFu(Mds@h%rP`6jMl;7RARVxn@ zixocWtZoke>G-n3(hQ18EvG@@_AXOoPj&p@M?kb+wBe(D%~D#3rz;<_^I0RBQi&CM=dU9o+0>iE&nILfCB_Hs3Mub$6cF zIv4IVRyt=(q0&ta^Wra;8rIA@H2yN-!tUAYF~L6ILh*_Q)3V}h8bKU6Nw}cw6_jR8 zFXNfiV-$E69SLA5>2}fn z=NRoiJtg7Ze?|Y~LXEri72)WY3p`RcS4+Ay!gNY1?v~9CwLuMQy<^vNlKZUsX+J!EA}bzz9h3~_!>5v)`+hNnZTxioV4^utt28y5TAKZ| zB_q_FY86GVmBsZE)|iHW&ChW9*A*#8CEr-Y84#oi1z6G#C zA2{Q1Kjsp(kJUUsqjQAjp!SN87hpOJJYY;_lVliMSbUL#!7CZKvc15w<9|d;Uxn|H zo)f4CPFw{{ZhiJc(#UgW_{D}$adVlds1S^&b`g8n)@ zjx~%p{H8alDoHg`t?)b5$#>%5@mi6iwa79=88}fl9tbNv=k!n~0~~H;roR$KqE9{mxE{zaE&PKl=Bj zlYxy8d_4IbcbQo6d@_72vH|;0dG4boV`0oIGRfI(GPSQ}@G^Qc_$|48i<}D?LZ9FT zrtI5azp^4ChT9k!S*v&|Pw+L{AyFf7h0eQ*luj^?#UG7(%5>%&g;K#EVra<9NE{w; zrfHP3D5#_Z*|usX)BN7lPcLY0aO?_WXJ7p!Ddb8%bg9{fQ(U<=Z<(JF7>omdc2r2v zs4K#2u`h*i5NF$sV$umz&Sn;?;>h>BJzkF66C3+xJgXIRE~}#hZ_`-0(&<^NZK|&4 z)b?xKr)p<0*|oTRZrJZmlJ5-E=L9^1$bim1*M#voqH2r_$7Q!q%%>@BBPD>ev z;Pi=NCqMbJag)g0)B`14GOA}aFybW$&2gIOQp5ewNEz{;(G$Z0+G+p7I~VzjDb*b6 zy?$Y)med|1=;{LYPIIWwRWx{zeqgXQ-CN{ocxkKS!Nr$6n4 zN@3U#tK+K*$XlB!v0qd91MmJqzPGj6I)T3|4-hIS%}8YC&&oRXV|10@QO~HdLt(L$ zQgiwJOODiP3d-whGc1GL%YkhhzK>$=GRg1nly+oaFe!#;LOKRwOv-NJ4R`kaD9Hve z=UKXSf&_TbGeaev9*;O~#K6pr*rw?O*g)N+9&96ep!-5~ma6xp38pp>-j@mM<7%C_ z()*K#;{4K@T^DzUwwLa2oZ1$|Bjh0L*1me87%f%YVVhzHVatkC}lOandIepNFF5o=zE86f*emX-Kx7dFY(0KzhOh)I{pM=&P| z_?7o5@YcloXnsQNUagnCMO!mLF{Z&F6I{B6B5irKLyhP*alr9k)gGh9`%XyGf8w#0XNff%bDCLBxGE?@ zXw>M+M2d{)>>5s(DVgt<9`20fjKYIA^gQ{6s-3`&!AQ=jOl)7op)H6t0oa>9cFiEF z^Z~EmPpe3KZWNALD4M(5N47YwkmRn&AUNcFR=?~ZND;i_h3wAMM$_sBp%r(V&USvTrJDXp_X0y z_4WKw>9^)zhOe5-{?;NVtaPh?zwqe!Sfg=CsEJ91UGOZb`Jkg4kqtVf)^Rq>L}ozr zhRo)qVlJAkc&1JjWI#wH!Vkt$TFwkdWQuy#8l3^S_rB#JYLSf$jIQs(Y zyYE_)=wY2}LZ4>UeD`(bUv*3om}b$07tu-Nl;KDs5VBhPR)jKL4}0krCbk?6>iE?( zdxW;I_dFFsV=R7QOS-4@#9$Ka`g-@VO$X#ycRo*}lSqO|dpWJZr^goDX9CRWl-(l! zwNR=IYErr#u8KL$dvHWVdKq)RVD2K7u20w7T!lmn{{XO{>dH%2*#;dB$q^C25fNb` z66cq~o2~M9=)8si9ZU=3NFEDAPBK3Yv(K%5Xvzl3*J~Xj0>&}NGCiSyq_Vt$uRJO8 zk%(M<`a*Z5+JKJZ9KN|@8RHCHeVF@|t283W-!{e>-o1v1|HipXgLpCE|9UaH37X8y zSPfvkn6^QwE9%|JU08JR4jOBCrojK{i_rJj6cz4>=yjURf#Up;`4^64Y`E`jnz=LS zQ-4uKbgqi?)V85Ff{42?CqQ9wyi+ZEA0|FMqx6{5R9Y4j@O8BKE03bW70t6HZBt81 zXJoht&`5dqQ>p4~R$x*Qb4S5B5pLjS;=>c~rcDn>)J0r@H!G?WSTnuDi8aN*-7)mN zX)HB)@so+tE_$RLj(Slzj)=TqtC>f@EmEXacTAENetjx4#V^IeD$3Z62O#2}zrPJm zkxhQ#_^Zdx#t(H}Fz{thGHDl8-!{4)2}(eO{yReZ;7!rXpUF2@`xXt2$?-29e~i17 z)QLn0nxN5NFu#IELa$TNv?89JZ6PI`;G6N3(hyrzXT*9k8p#Kx*$51Gj0V5q7P*o* z49a1v75-e3;Io5++ba54?JyG0mB5Y`tY?eC3zUaRl!z`FL(e4^5k^YVfzWjoUeUr! z{O(*=a;MDQH)m25%D<>N9Q zk1$x$!*7D63ys<%A|zd9r0~o6$Sho5GjX{z1~ZFdnMAGDr9rX(!@dN^Mt7f%8U^Q{ z>!K%EQC+{Drlj(9QWUDZMUr4WXPZf7iN!$~Nk~9z=-|27L!&;>B6tp7iTFxr0d!H8 z6_%7uvE0*8xI@Wj1*2WxSb{%JC0)h*#Z{ahpGH#6M)z@Gq^|+jJ{LVg;WnSt<7HL` zORQ%5i7&2^+%kmI@<}`=08mQ)0Zv!oBL22s$n<5)bdv#hs%)m27jexwQWz#)lNS?eNCyB9)zc?E}rWe=A1waoc?b4stA{4otrgIS{MjQh%erW8mnpuVE~Q7&!VbH(M~0(Px^Bk(P?o zwpu8J3|^MNGWs>u=r&zC0E5bx{wgax8na4pVsBdQM1`T@J<1ksO&T!bftm0IW%(0Q znDCORz6q_17X0BT41iSAs3Z>s$cAOe%}YHasVL6;)1a1$yaEd_4fJ%s0xFraQbEWrL+8UJR^3SRb+t>5up?*MgW@{^rWX(+S4tU`D*oG`F}!+`dBru;g2; zasU>&m!WgU0nj3?$S7TOGR4&t;wI^e*D_t=I66e}N_!!rl$(^T89*k-xi_hacX-c2 zA!td&d}|1~tUHN*fu+suSITs1$*_7t+ItAWLV*^%&xD_Dig1%E`4JBH1T3Z!-#+=; zLyak4NI?;^@PN)IyqH2}kAat8lP0yHzw8xhjheoBjN$Q{(Y{wX3=sDVFW>Oi^S%@A z>HYJB(T}SU?_IRYxx>U=gd`q2SynST)<=fA%-A&;a$f^9SS(YYqLJYLGp{eMa}t`| zW25?ndAasm*XJ!WR|;c!18pC9vzTF*oaUG|Ty~>}dNv)?6CK1Zgs)`wu0mpoY*VIB zP;gI5-E6_~&1dh5#gW~r@Y=wGS2_PRdSl0G1A4_9HE|W>eBb$vxq# zG0d+VyUpO9hH0?EgKOuzonPpfYY(EsE&Rhy0MmE;nzqmgZ&=*-(XT$bvtCzPg|u%o z1K;P1Rl|c+zbi6(DRj)+rN7{M3j;-9jMy+JXF&IUUoHe7BfgR6aeYdirVs8hm2uB; z-A>jK5hmrGft;4@+bm2osX-FEsc8`~haBi+CP#Ykk7MzD0I8;{hn7IyhXD&O9s6xm zk_d~e7-COc&KW*~QI-5U6Lh{D5PbiakR?m+-Ke;!p&JzTR;~~J!$eW_iV zIEV(M?siUj4L(2!j@A(ygOM<{E+nvomwH#vc#zu?Q&PQnwyP|z*g-vrjB7ssrdeg@ zZAxOf4rXE$&khz9Wqj^Mf_ND+JU>vb;kOr>J%Cr~i(uSHiiA)lh?(W#AiN-{xOJkK zf=b+#x(5_YMYJ*m9nAHdkW|>?MW$WVSD;<%KD(;%M#-N>q*@#sGfBVkQu#AC_OsJ4 zMDxVw;j1BMCFxccu0pr^*mqml+3gQ!hD}A4#j+Hg*<7+~vdKV}n*3r8zrdH4CBbMK z{jDp-O(qPI=_`)if(FQ*-znD-Eqn%(XI3aZtxDq_gYc;pqvL+Ib$R)teyldp-Ch?)HkuBm%G+oyV2k-y`@@K6cQ?6D?64BaUW4YdxLn zMSR!%z|R*XWnG}olP8%yW{@AVMLejcW}ctX^^tzz5U7p~;cIT0&l6L}BS1w>F7C>l zl+!0JZV0hahaBTlkWM|AsQxvML7OoYclNMI7O8K znj)A&a2zIDR!AX32~!IK{WEvL2#20cLAmaG!E3)?Up(h$96tT3jDE>j0P`?3gwf*i zX(92rN7V15%L}M_aLVLDIA4-^`6J)m+(p>eN*M4dbeE>~aNHcb(`06e{x?}%|V|xMJ#td8gtpfWeEnLnm zjU_%lGAmvf*N<0N+yobEEK{sZ`stuW zf;mgL@nMO|rSoU-Qb5)ZyMeE6`>}2Eo$?Qv@p^Jd)iKa6rCkIqggss(AZpP$gVCv* zLMaiVu3eC0lvJHxXeiBGZ?rs~lnvfwUfJ~#|C420yCS?-WzlxazF*elLqwLWk5=XN(!>fxoKdJ*fa^%nN-s<^vZz^wpMH- z+Lj(d<$R=LloG4sgY=6F-X`Z0RSEFL68(48)r?T6;VG`HE}XXfxq>lN)9`y2vD<*>g3i7cgmj`DnHLW!fl^uoc4&y{gz$BTq>x>c!NVE zt6oN-twT#WQACD)Gi4-g^#>9e9*_Schh>P$-sUKsWvw@~Jda0$Ip}Zn3JtN|5|gSb zZ^*yKU^NGk9e}^Wzg}*u)ZWa=OPN%Gq5bmFgn=%``^?*Ea}S$`oXl*uMmi^8T{3i# z`&w#xjI>e)4}oQ7K`|LI0w_M!fWdO392w@$zjmEF=?!|<<+;Nhao%Mxc#f9gW-k&} z#hIs58K-7kO8q|5##oD5P&XxuLF(xxe&49}Cv(xp!#*K}zQB}IW#0^gw)rt%$XwIV z_k0Z2lDb|~rSlOs3OPkPb$nzhi(p+_fRkI7LTL{JjI~qC!_Zq*1)l2Qv*B za5ipY(raCa=wWYuPgzWjKZBr3AJF##TaEVGUbDZ#mu}=L5hL)=XmRE}b%uh54tF9h z5q~-!W_Hhh`cATZMJ`sY>om>y>#~c;WkxIA1BWM0ciJ^uRuQ=Gx^8r$LfMtwGpEKY zJEmeki$A_rAk&lZ&_0_)=VPZ*CqI`~{xHQU-0I7^Cr)*bk%OMpyTvXK#M~QkL$c4M?1)3Gu(kHY`!3VShV3jon9Qv(5+9`LYU;zD>l4&J{@d^r3#NhITo4! z@E=o!^UOzSydW5ry}aDI{DNTYpIEZFD0HW4QO*yGyy8pHXmls{*Cde86o95c+1+tL z-Q~(Gy^cR);o~SOVENhgXySXS%tX6Pb`E2P(su{^d;CqE1bTEL(J5zLwdn6Ae(eZ_ ztPCJ6i_*+81tV7H)RdMlTxF83zvFyc4d0`RC4{If)IEwzL3Q1kOg?y91s>$MNXEt0 zmiB)L)+wv-#A<1Wa16Y~i+31iV|ST23{0F2(nvFFZd@*-q>BP@nH*Qy_o0nCl07`jHc+;J#I4|9aE|(|=cfSa{?Jc5iV$JCq%d zR>v9h`P1Fw8i;RlZ8`}KoXE^jnF===*2EtA$2a}kU00|JZ6Q>L!&p4b} zmRo(+RnJnyX(+Ie1!Cr>o?T35v^??=84Y_VIJg2!vyl7)Kt!=fI+YR2!L@L`iYUDa z(j~-c$dBXEOsXlE_35I~V2tU#X*>7f1tI;-C)tCR!k&*WgViKC4F9CmQ0b_^5IwvU zXHop_LxKOYcZfvZaLs=N!-=szuVxe+cFlIgdG0O?Q)L9w!T}rM=J{;wG8Q4F@Nz+4R)y zIH3)ML!gl;#nv5vyP5W5HANx%u9!!fLZtk63qJ>4Sa5TSK}}=)Sl)4z48m&LuU1a= zD4{D9UGp-q%ElNC8LuqmKI{cA@ZFm^&t&MDdS?2`TjWyAfA_faV&pk_`qkGa@bjPg zth(KHH!NzPDD57No-O=!Y6V+D(KmJIsnoVU7gm{vX*-{UEHPZkhh`u&G+#qoR0NZwcd4F!hTWOoW7`-c!b^7!I~ zLjqO-MW8~Bo>68JCxYxj&p4P*LhkLwnVnJS?N&SMofEx_XUa=ZaXM`L@U5Qu2Iq#| z$plVhkdIt0F!$s~iQi9+Cwt$I`BO*w4y2E;fmX!3(Xk}5+&ZPS!}Os=@!KIlo7D3?|&W{=Ct!GGJ4fnV)hoenG#=Pd(LIHC8M`v4DSE`tQZvFCUqBbY=_vm8zvGoL5Ss&RfA6!nn_ z`jhzSUw!?}=zKD!kvBPeJ%|yzKUXRNe|U|SuIbmQrge?UBP>6xzNALzUm5nbMJ94% zi_tXri7>SemAkp2Y42s__Tj>&9o&gq-aSOeXYB7Xjr)S#1iSt0gmux5cj6s3F<9gQ z0o~`k?7(EEQ(0OcV;i{P%8?x*s()JS-4i@t!Q;{+P#RRKl4+9Fz=%8sU?6QdLJY$U zMlwD5d$rk!MO1@oiZ8nW9F$DDrO9}{+)Vb=Ri{{Yyc+<&R+5dhMRhWQN^d^86LJZX zIZvJJ-Tl)lS(WbN5bKq$KggEHH*U{mbePQ}C$I(6_J*sO)%EOM%5u>_WciQ&-$_kc z`&*ViYMpXp2R4C|A)E`81|(@z8yTRg6p$^Htb2aLj8+IEUl=Ps+Hbn*USc4gZj;K9 z7No0X%MPT>q{L&7mV2y=IC4yrPuDGZZBmUaF=tT0=OW(P#by1hdg$u{FN)}{$$uz5 z>RDEDVUCrNwHj`e?`PI#Xse8@9fWjRZ3hB?UJDM_G|F(5f$dS|nWAI-@LjS@ulmm^ zx-8{acUUANoexOl9BT*nRdc89kalB#p2D zbRugIu{-d53>K?mm5RcN$;;}`F|Hv1o$m)`{Hd$M9l|v|-S#^Nn2_k!zeVuZwoCct zwBf?5-jnG4GuV^D3&~mR+XAM;jt~3uTzYnPRfUZCe%hRf4C6;$i4cVO;Hw}w!*1fa zodR!AshVj{HF2!=6!6=Eb%o@e3ZK3tgAx(C@}I?Z7xa$GJ~+(@f2|D?Tj?1@o~hrF zWOGguM+`h8hN0A(5P`s{Qzl2orxkFfXcmZ>DLuvVdel$fElX{TY1>gY4@c`$HG5aR zZYd~fp;cTkBPF;R^kN*Y(+x9KhIiev^!Pt(XQdXn6Ng`}Q`2wrY*-Q=G!fIWdm0GB z-wrjI9);l71)xV)HM*$MPq>{+-~xJVb-0b#X!+B95Xp%*n!lU4n~c;J;SY|lBwas- z$XYD|{w9~TMbk&~m;n-*W6sNpzSQBimeBQ)S5gf+8Z(7tEa0j7UczqP#}#sk6)8O= zBBc7v0)+^l>^h%q2VOrHgH&=0cUnk@TF}GQSJjnCMVfzbMPt3W7$h^XRy-ea^u}dQ ze|MqW%W~_e4s$cV(h^D4|2WrMU?*gK8EZs7v?3GNOwJs4!BAX1TJ{GwWOk?mu(5QR z43gr)=F@-=s-;*W172eU&Ox*b{_XP5o3y0QO-3<f+7J^Wq&W|X)+R3GGZL9iX9{;X-<*h zoplktrKvEkhvj~sI!#78!+}iQNlpFquOp>Bu|>3u1lQ!{H!oQ@*_-JARc49{C{csd zIXd?;2U$y75D^(Gm+v&1a7!9FnMS<47Ax;m);A0NVV;U>3oGQB@`zw)*W)OX(%5 zcmJ*wc76&vDbD`3)C9<$=O#}fT_nLcvLSe{Jm4f=);OWP>dSPbDCp2qQc*b;!0S9- zgoM8TN>9=#`>Bk=K8epDe>p~vWZW|*SM&SAa-<35D_O6ghK&8dyz*jFU2q{b5Jy;o zkm|{BagJQ3!8n@=7>@x9V#g<;p&a!odezi?!KW;8Dg1dbBCQy7Li$;I9bbp1jOY}1& z9I)=ihCpo!%*vujf%SW}r+bC5JcfZWeyA{mFs3>$MZ7Y|nWOMG6%h8^u7JNi`l5b^ zbd7^bqsV>e-Wi$Vic5O&!)LOmK^?{I{q1+?US6SoE{=mD6al!Fj4NoMdJ%P?0s-AM~>hxT35=-W<9XB6?lafjq z;5mUW$*-0S=PlO-jFc4o%w;QeLN)|t4=m5J(c5j48Af{)gF=q=Ws*?Z&Qt!Cf!>== z4on_P7JoePpnRv3$Bh_zdc%jdtZi0q^p#X$Ph=Dn;>CU1Mh!&vB8dE(``&6eV)E~_ zR00=LgDcvV)^q2s1)r?Jo)YHVnWAXx0@2|}n7#~)S^_*LlZ zIVk)j^{vLb6xr@HO7K=EDH;4;kmnkPptRvP!9-?^vO(l5e_XGB9iR^5kLGOU^9Da%83 zdCFR4mqkU1$_mst;TG*FHIV*a!!}lYNxLVf?Xr0!gI}OXZe3v#E)*wKkxi1d{|rDf zh2g~2u2vP1?S8VpEHQ5J&i!6MPu?y@q9fJB4I&{Ywal5LSk3qDJVO&Bh$E5S86rt_4Q!)(qldH|Jza>7i zdh(C$;rK|sCm3pmM=2&BJ>{0AeRb)Xi3aBD@5TLXVlT=z|adF7-CQHda zM{{v5p+o+B;OzyOoO4gqB&*JC0*wRkxt%)*P|2M^-O-a)(WTg+#D3T`OZ3~c&Oso> z(8})Mj>0Xcm1F6(qr6%F-}*SD=SrkFibJ zR9=TaL1xi~1J(A$+)CT)2hb=7yf(v$$xJ-&LJ}N;g*oDM-?pMgx3m-eJqhGQ3ORgE z6lo6HLh@@^L@WOxWQLq^i(Ry}ZLhIHYN$J&FpA?k<@EXA$?z>MEYEVl(OZ&_GPcdw zbGqn17L6#%?obO^eVK|{S>0>5`Hl!y(oFj{p9Ao7s z2;w!B;a7sKY@-ynqpxV5UMRY=$1&Y{DCH(wmMqStdr!k`{M<>2bFX5xJ&FADzOqa+1Rq$znn0y2^s%EJnPG>!f+m%w%6xWITyrRLA1dF z6=ldgk3aUBY1fnGPC1wwk@Eb28}w&fCRmXowe@`YIUPN7MpG@bt$d+tFAnI1;Z9}| z=31cLp=J|#BOu-beoVpYT4&8H&7|_aY?Vy+_(h|Xm8Y+(OR z;MMl})upnQc4t@+`=R{q3w8iIjEdPkB}|4)ez}f?C%0E&37{{PlI2%CjwYDLZn8-A z0(4l#$CQqph(SsPtY#~xtRyFo7=s)dpG$`R7vy^4tpVDX{}!56_NL26p@?=l##?+U z(NxOXvUJsT!6{)_21Jem8D@EdDV0B#R2VQObscA#SR+O?CN>u{R>A;`UrwYbh38^* zq?^+)r7VjsrtN>jgkUH8`>aSoo%07vCvu0&4YCwAq7@&ODtnmVSiS8f6zV{)W$A@h z#!FH*PAAO~`ZfU>wv|Orr=%)~*yezZpUa(PIPWYHdIu@lw(^ITjMmarzAXF5Ca-c$ zbl3BbR>0;3qX(dnQyJJWKgxE8UGjZ65f;UU&Gt*zUf&`Y(y3g<%cm$%MTCd42?y3; z$#j7wW1HhccA6s0Z?a`Ige|n*-_{taha6gT^=0ycOBiR|xy6Nsu;6w9K+pU{v*h>M zwCinv1$9QtXpVYtz6b}U@B)NhJr#PHXt)9Ks0gAkzwo>_esy!hPw$kc_}9;*oE3KBWL*YTYk?AZ)i`s3()nOYo5GMEoQWx zCY%T88ifbf@PF=))^7A|T`*<^23wn(SBk^EER9LM)!P{}w-d`3(P1~!o`*UlGb|>u zF5;+eWN0beVw{R_mn~e(9pZlWojcn_+K;fF7X5tjm*lR>(mhc4B4yd3O;pTe;KY9aDyB=Aw>b~inK9erU2&1HjYls*O}$8XLm7K4 zrFNzU{MHTKk7m^z%b${))ztgKLKAi2iRDElUX2)HI1fWywfU9O{xW_kgZP>vSJ(Dh~f55zAenPDqRoB|m(eOt+0hwSY_xBnVE zfAG1d6a3YcF05o^IL5Zh(z6!d{PeGv7=dMimEdoPWwwW@@)f8L@P-&$&1u_-egT)W zNs6tK8HbzfkEXWA=$a_EoTU6FpCH4W9+}(Bh&nlfqM;D;RP zNRF}PC@@ipkx{sx;hRDcia~T?HFe3uGtJeKy8N_k_N>nSYxvBnHg+3?_KNhaZYC7*2LvZYWx zT__#((tC^xXJTD{^?A`R@|+`;_hl(YMtj-c!%vajW68J+d8fo95AoD-?WJ!QQUkW* z?A!K`St#|2xc7EyfaeUybPtjYBU_3l1$9!U$&-T65YRt{*|2TgUURnTW;5IdTS(Vt zwLOn|_`9FP)x4g93-%0HYQ9{vP=_03Y>nyx7qIMX-5_m~X{;P_bLcU3Xaw9Sl}0uQ z9u<$fg*x~~sUD%MBd;f;kb+UF-jv01`eSD=P%<*hu>UL>t`lj*g$SZ~BOf(av|Fg+ zD=O=Iq)pFH9x+9qm~V<%Df%!#;nM3Yf#Q6UlmPtz>P3V-OQ!rJ(_40svP6ifD)ZM9 zn%VhRHS+3$NU;;uZ>7Cdr0gQE=MWzJE*v{RV1TH~vL8Z@KpQmPu%(3AO+@^g@DBPl z9m)B?L}W0VCuK!juanekHPXmhIAs(~`isgj-RWK@G>6W2=F#I#B%kD%5@l#p$qVK>gr{0nyH}EGc7e0V7#{ z6yI+#0?{)+6*98*N~`Kae75eugl_Sn6NzEcUYbgN3o^rh3P|i&`Kwj+Y9r#W6Dr)g zd4?6Rw~7=E#R0+d*{p#(4#z@8y|MDHe)S{8J585kY#99~}aSbOZvq|L^zAJ@?ML z^EP?=*q(DgpLh4})H7#Jo0&5+%%#tbfcZ1dJYiIVAt!SwZ6JrAGapSvt-)NJ1mZ8F z+;@o$+=-02OdQO^Umr9_B=(gQm7lSfm5H*|z=@>JjmZu?kBHXZOKJoPTsZt-O2QdV zQt;DCHhVAw+?1LfPy9upQc16$d zpX`xNIf1|pN0_Gqd|(9KES(|=-y}A)Q&3=FNBtdOipK&O76)lg5zJpjxJe*cSE62@ z3zu$S;T9FjRc@Sl9IX9yEa~w3Y`3!c{@SopV3a4%kN}2WvXMA=W9HgFud=R>$PT=z ziR+_dl|(UX52tIg17#r^P(D}9o-{N5XfE2=xqWyjyP6l<(c0TcAzYcV_g3<j-D)(^Ai-p2iV#zp;N?nj$36Ymc_Q&jIEspo#N=g zL;pFhMHXa~b_zK~C~6-T_!Ut?&(Ezdp0_*#z)P;*vcS2C5(PfucwrHG3n%z1DQ}S!sx4Yv2%EtW3Iol<0Y4(L>^2!)N?pr*Ivfd zVR{P{4QXzrChLib#icQK2Qg1mgbqWe02?AIS7Eyj5jY0~`wVUegP}i}+Svp03y!L&ANWA|-jR2|EhcYLeS_q>AiCG0CIbvYSwz z&-B+KYiumHuW~=E^w(+>@sg9%ES$(_Ko1srnRjw>ukWvv*dEIY&i&TSg*d2Wj=?Dz~gYmLNX)oiSqOn93%B`M6?~j;xb%5c% zBpBf%*ngIA&qFZuSr7$^Cc;ew@FZl`5c2{dt`fky9PWPV&NY^uNY?M5_p`X0TRYYiaWRIAvvuyODJr+N(h>-z1_$xV_2(hf*j~d{HJIjwVZi_mb;y zxIs?6V(8$1_Y500g{-O6ySHKki;_vQE;)siOQ*(1RYu`1zbWn(zIcz%J#QXV{-??) zY!k*!X# z{Zb~$X>C?tjR)j=p!InlMSI`k@FXHZ;glqK8S~+j<^%m?0q!`@kk!vEP z@tDsN3wr6^Nz9877{#()VGB6>LYKicmDqm6vKrX})kH6<+{g>$LD6*MJ6EH=ro`Te zrE+nc4svig@J}yF?7y+pziqR&?D2VAv2h1-37NVJZ<`=y4~K!D5dqs!>!l_5KRzM8 zN3fcM@XA7X$(e}CE7|wE0HcX=%GqiXKh_IbWi3uk;)4K&NYORO#!K@xX1!tMi`O1f zhBIO?CR&*Ta5Z4=&_UJ`lx#0?0Iq}L=TM;Vm)tkMEWsRq_2}~VzZ_YHi=!uO9&h3n zVT-iDixg1_Ib~rqDjOjDS1D>PQEg~k!h4r^IO_e%%imCLT*R!TC@RIDfi{GRSc`vD zRHA@j6mTvH|3@43!T|isrZoUzuCm9G@Ra~&#G*}oIJq=mczD`656(SWdpVEi9gkdv zc?e+SbxFKJh<`lw9s}wuO($2v~Y%(_}(`H))z>5ta@wjucIXgM| zAq9RJ_QwO18#~^3OcSj0rg;_kml0YbD<|AgPA7xq(XS%sPh+Krk#@Khg8Ngb^`x2= zSTYzETdc6m!YQ4MAaOERk{ktqTDlE*&Lc5O~Nlr(g=;QCi)>i?Fojvyj=(;(+6GaRl!^j%Cua{iD8 z?v228fov2}{S;AmkPdlLOXa zg5HJLiqlw!681LAUk4KS$&^tXAaE6CeQomYDc$rt! zPz?$Ww3&j9mM7wz@ZE+hc1-S8s#+c3%& z2>>7IDao6}2Dc_qcJx(5{h9@SLZ09h94~0+<=P^M;1G=I3Iaow%|kZkqt4}XAU}w< z5`7QoBej8&%?L(KM|qBG+4Mc2XPWf#FpxrNZNkE-J}^?5xBH1|`+_<{iq@B4o&WS9 z+!`u>v;STuYgI(y>P) zEpwkq2qvP>OPJl13nP|S;UER>!S~&_&L<-dZncuCW` z6zKbaD_J~(;a*@~WK=V!QKnGJD&|3A-l%BiG|CB}C|rr#AosQ(0B{QuTlwo`1tWz9 zf4BkVlqc41!V+!q;l5E?vEQkP>IVWTk?nZgc>{2mv-xviy@d7#w?s}*)*DS69!sNw zv){a@cn{6>E8-9bjKNm~8z_03S4JB-FpQ$2+8(qdy!=xZxD{V2=Rraq47qD|OXj6_ zBu#xB^yhQEPU>uCg(~NH__92U5;&Mxel*G}Ew0q44+-4I93b%SMegy*?*Edk%aS$e z=RmRYV1){Ji@nTa+c+n6I3N^?`X5c=C5l}s1n^(VjivZy-Y0lIS6FuU`RGI zM4pGyE)hiI*i|?>Ah+kUtItbB`auMf`@iTUKP85czK678Pf*pCR)9aaBSRL{xLP4i zzL+?|5%IZjKzY7XMv!_Pseoj|3pgok^RncNo$|eJ<}3dgz)OKc*`(+BQn``2$YSFa z#wmoC$D0uNY@G5u;Bm{aUHjZIq)eZ-xzJxi*gTZLVYq;kYQV?b(ft_&no!i$=qlHn z5NfW9og40FY#bdKcsS2rlmGe}q~oq_+!DU?wgpbC-L@AX1~vZY&Eh}YJz#YJWR2P9YO!(erfp#>|s?jX6}fvnkR zbRq%E9w9IKm{Id((-9K=AhXga<5-XWhtjScIkJ^_`bP06MnWrP-ogwLb^Dkzol>$mRPCz^l<8B^>jKJB9vq21xW5XPBr2`S5|!q!(2-O>GVDhLURQjUuCR}%u?6HW+EH7n5%^!y*%>U5CeQsBu29}h z(R&?3n+emq{~KTa`B8_Kuf?}Wn<4lpy^j}@WRJ8IR|!l>wkBEW!wDmy-tAe}4xt1< z5-$O6XNFq|Jhzkb*9&oi{S}$v=gdm};vS!?fDPeF+}NFzrm-HyMqh0gyk1@MET@8X zu)i&t}OBD(`--iNY-^0UL{WI(y3-&U_*q%Ma*>sUUEPLeS4Nu{|zZs zURvNHnrmOey$|V>5@6!sqsz-7;im-TYBu?|8J!};Qwl!TjD$lL^%S)=lH|_r$Ea%Dy~JSMn=W1;cJ zJ`N58cUH2I71dv(YGERJNX`JENSTL%#Y^+B-8`R_QxYU{sqDTC5%+v>CT<%%L#F#A z3XUAjZUA5OjvRO7>ACfF3j6d1zR0kQLJr@q-?L=+FuK_KI-3wI!v17`@wW1%kxO)n zR4A`mSPrU**e1nQnvruG^dg{=5)#b|RCDfVCDA-e_i;3e2t@ckKzeC1G0)3i=s=lS zut$@y&m|%`D5lf(j<9qE__f%iCCK!e>uwbeQWx$Uos#eXF&^r5y^?4S1|5^RPEREz zqnni@I%Hy@#k|!_d33mqJFRK`hcT0td!z3@!spmYG9WCOJec? z{E|QKyOiwyxJQ9+W|Q7WwIyN#a~#5zB49bYTiOD3C3`F|u6)hOhl^MiQHk~blu@Ft zlx@-iHzf_{dr6`aa4{(or}#9>xd)WJY^cD093j|=bKA_0_cq}bgitAP;GYPkLDZ<>w)dWEp(Wm%&MrlNPc8i8Lh*RQA|)CTygHaUaYU3S^N zj3LYm~eH0pWz8+UX4 zQNj2r%pUhA_Gk0*KQ8m?Zmu8{WUrzZ#T18uyegTlIRA$zq2Bk1jl*b|DSBU12x<1X z6DT3nnIT(2c!T(o>2@l_KmNE>&f2vMv!Ba&E`4x1;%Wt+&UU2-SSsD!>8-nu0xxT$ z*#G1*%Nzyxm0}-h%%!KoM?3zW_J z04|>geGhAxIMyf2r2+ZhSw!KuId7%l_>N|`Eh|);?GmNl-Pyrhy_73Ex!Qg^5GCwz z8_>cFf~O>$2IhIeR2PM3@bDkW9=b=+dBQ1qJSR(7VC5W<#PhOr9{9##pa_S51HuyS zf%Hda(dB)Lij-A=`Q#m9LvvjV2t_QvO>*CaVw)nFKQ74(V-?#`k-e{SPAbJqko5#P z`~~7zA5OxHNYQ}YfC1qJvse<(iO;P@&Xupx_sztY3L@r`cD+EkAi1dVm}@oEB@$pD z$sPN$^RYS7d1CvVIete7X*SY_6HC}0y?@IJ)UF(*y>dCSyVF>pUSCMxCwYdkE01D< zSOJ$SJF}g4X$t(I<3GItndLc1IbpaM*`<#-vM<(4&gCM00Um z*Z~d%iQ5U$*VcdYcIqLX9x{#!W2Oh4#V-!&ZOxt$hADnlmpf60imdXUjy@8 zZN~}v*jz(3`Xay;+4}+4!eykZ1>ULV+)V`IRD#JZxtsu945~!f{8(cCK)$f;y?m70 zpCsxhxI8e$xAfOx=*7}b}tjDqn7IK>TT<4B_Hv+pZ5e#~|~ z0_V&USIy>rdYSU7XtJ>R)udioMc@62L&{V+;61N6J|>QE+XYrsaf>p4fYvk7!j#w; zjZVQ?+(PIB~}@;$w0Sxg$Ryv#%cwp#W1tx zpB>nw{w$T=5j>)62LLbVy_G8auPl|@f4R5fI!LyHsMG?lQOPF7EYlq(OE}ZcZG(#`r(6ksEImqUrRd^sAAHLo3(l)a z(ny{NA0h08*{;jjE`5#!4hz~r1<_x$$(lBw{A?(e4$rjjZC`$9!PU!2oQoq4dczM> z;Qv5D)J)8C5`(LOpK4d`Muo^bkuzfngBJfBLJi7hBs#o3LiuZJ2H(uy!vdF_AH``F zA<@T~`De5Nh$MO;<01pUBylnk@i5~fvN@5o!4bclFif!mI`q%+#NnOH$|ZGj3XLV1 z#hS_{jpHkP1oHwNlxSEjrV6p; zQM#)olTmq;svAt7`DYc13>;d}ERN7rIdZ-+BYy@wCoueF!;2g_!oz;$*^R*A%nVoJ zA{UQ+UJ8g3^FZRKkqS6xL&v0Ff#U@Df03r&K^JAKOOq6gCKh-zU!28yW@%TxMKSMd zmh5_GnTvGVHId zwZFzQLvNxt0^=NJm_hU^%}-QB32^dKn#U1}#fRfB?v*+rCbnNLIHLUN&=KWp(Na;q z`(|vexiZCZbtY2umK?H!p!Zag%@Eo?93XIB^dyysM+5le1`-JDC^=u0OGqMZayYql zh5JSpLy*w?3K!dP7mvaT%0{Eh za0Iv&3#7E6Q_Y)--n>96s0)@_pnPnMD9$YtS&xH61yN^`NmgN#IVDE1a=uD{gV?%0 z{Ew5z+=-kWtG*y1z&T7DwH;hur0>Y`Ou(EQoJRm{nW&VjF*4``WlvnzN2W|y(7LWB zMV(GL&ubs3WeA%Eso(?>mHOnrheL3SVo}0=+7RGV@>65YfY5m`9 zqWA2N0AW6?c#dH5L>$VF%a&aMZL@yy$Itup$XW9||q`UV#~2C4uPU`yBs$mZ=+! z!YtMlSzcTqQ#UHvpl$+FL^5#Jk!C8HQHbq#1Y-qiSViv-6j6H+jC*^59k?=rnf<1H zHA>1_iBv#I)BBMRn`X{PfmgWvf;Cw$b7;(?co+r8vGB(CWOF@x@oQ2X{fIAK{kZb( z2$9ifBk_zQwJL}0LN*%`LL74F_hoYlgaC^2&FkVRVO*6adSyWOIdJH(MXxzegD*kx z{4|!9XQ{zc9MH{zdZ~O#y)VVot5(XcN;W5;weD*qbezt?+4@bx6}?k{S;xblO1GS~ zU77A~2P=PV#IE``+rrII+`M)wLIhEeHWOMh%1=gdt z9evE`aX5_mFh`V18()fl3!-LHJFE~=@0lPr(GH8Ft^}JkT(-;&V1v4TM>^#WB${(5 z(hJy`l-M7jQ?guWjkgaQXx2cA_&tx*%RFf=7nQwPp-Q%wb+Xz6%eOjezJVr-DY9SI zE*=GTrhOks+q7e$l##C)LuvEJI za5L(rv28=o>Qm<)o;&~N74qcdE1n---V<6s*F+Mp1)K*iRT(D z$Cg;XXlWk@(s|5J%n-$e4DvE5RMgEG8KP*|lJ&5b@3Ao>%ca=3Q3)H4Qt6}D7SLLj zXZ}p5@KRQKE#l~a;={e1cipr>`2;O+8#2)qs8E*FDbQDj9Vvu8h#l99CGg(BE&qIJ z?&q*1L*?T7C0_hZdHDQSh&KxZ-Pz=yW0-ZGg)hOztE(iJH2Eb^Wby?Q@syhD9=cf8 zI7Hg~k{QnC>&@GKQ(ouo%Y~vO*P+ZXp071GKUeOpco0Ugbmd26^9CT&?eLR9Wn9fz z-Vng&YIJQ5_Gu}T%~5zjhfg43!YKOqZiDuV1bC8&z@2y4D~;PixFR@1z(eJi5`q(f zw$f`auP&z;y{@u%EbY}z**Gq6aa?&Rm{AJs!|~O0La3ecdnL9VvRN*=5NzOpSsoz5 z`5ozHX_CBDe?b6vcG!z$VM|VPHsY9pCQE_Wv8^Yua-eLY z6xzrO)Vf~Nx`wjJ^q9^zl|QAl@kcfp_Mt2BM9rt38k)=ACg%DC$VnJA`OKl^7nL^t z#a#c7{iWCd=8KYzbU}xqO6?$EDuh+E14nI`gl~Ea5Ec~T{%h@5#)ViiY*)%jTg|1+ zL%-TvHV)^SNFo(NkZ7?$+J;2h&4q9oTa64U3Tg3uHZ*1Md< zG(V|8R|9cOo~Ogj*ues!nj%ZjpSI>!4pB~Ifr^dEO7lb5@JlEZr{8^OdHFRD79}Mo z@Z8N#c?HCl@G4RcmonGiv|RjA6|k(*#-`b@f|nk{5NzUBBf-nmu7w(!`Pg~^aBpXN*T=A(nTmC6!VxA@l!f?vPQ}O zw;dYf+#b-Rmr=K{$>*Wa%t}EC!FtN-G)3rr#7z{ppyHfUMr7zm>DvKoA^~l=j2TD z89$X?E|f_Z5}w0FY~<~dQWb~9hS1@rY}ZbFaUGjS#onVhe30$>Ghdnu&GNZ&2w z+7Mo*KY!^U=F$hZBzxL;pE~|Zwo6^LktDEtC4=ISm@kMgF0=4=kJhC%tG0PT2&S zEMcEJp7~9O;WKbk!!3kxBU)d-BJld$2pZc_dQd|g*EgD1+OhnQ7MR7v1?ExuwI-S@ z1-?d!{XUA%n%o~9CeJ>k4SF?Vg>J_t>>6uYN9($uV}~OFVKQ>5ZDc7^<{`Co|CcjM zyxMXLw98|joN_R+!FzR*w_a@GJb=eMIb|xFtW$6|DH!)Lmz0RJ`PK6#0p>1}@5ezP4^01c%(8e{y{MpQ`$rv52$v);Yh5=$1i6U_e8A8$JM!snWrl|+Xz!{@}-rdtdw z^Av;SK%$~yxoqK@SRvgYslVv=C6N7)aQ}-H(hZWQp!ZU2v@St7@^CIS%i^m9` zsGd%FE(QM2@c^6~l-?h=OZo3WZ^3lbT=T~K#p!_oefBKRr#NgNHZEi1R3RR$Ce}=1 zV>lb93h`cmA$mE7kYG@!9%uebKsb_DKy3SiDHbB=yl?XLydu2b1A&gkVC^2H&=yj$%mwymNR0L1TUiagf{?k z;h)4-Krhd$nPRr&d-n@9kXRUu@QSYNvW0?&k8j^NTW(iOqbT%bOWja%5- zKk}vbA(Ak0$s(?WW0P?EF^eSI}lnmj1o}mphcHSF)pT^7uhN*t&f1 zX}gxm=7Oj^io05Q%eDiA?5|lt_*Vk=j}StfL*>EXgg|Ni5W;LdWn%&_6@Gu_#+Vjc zURLOGTlDX2H}}HuR-V^z(-7_6hG`7lkXa){ZJ8CQ&A_NOz{aD@%H0?^2z%{!v_a>A zAmtqGWa!k_n?C!+^rrKH4H*Tj1sglLX$H!WEuNVCd?CH?2tpL?I)P?v1jZ&27@Jjq zA;2jZKT^r2Aj5wO))#k4oDs`jo>t58@*4nSc4ULA_izCLU;5xYCXbD+0ioM~FD08y zjcQu&sY|E)^J3QH6gMxnc^P0(9ySaI%eWUnrK>V&R>(b{)1w#W+KuW!r$J9Ih z?suEkT=(7lVxT-1k5%VB-=DbomgDtSPoe)BTHu0C; zG=!6&rtt2nDLepEd@6W8Th5hI0Pn5fH0D>pYGFP~3%pc;Z=m5dl@-zk;5n^pY1B+= zhl5!mXUlkw$>_K)UWdzT3#yo@lu?YD6oa7!W=9ArzP)QA-GA?n2m3VIIk)@;P$M%H zGl-+l_);AHNpaYlI9h-&9r$E!#?#nUqu6A+)Nzd?6Q8nqZ4eQ_9Z$S9Y+n%Dy&T;< zMrksXFB;Og`5G==q4<&(XjBz!bg`(F(60l)4sLF8-Q1*t(B2S=`ifll6{&!$a}WG& zMiYc>IKvrQDX_5oY|j^D2SEU5DYzmyFM3F3d*&rOBs5^Ozq0X{pif>Lq|(}(U+J(f zIec!G%0qLxqkDBQwy1y?$l+hH)M+>ZpKA|QtS?%WR8lA}n7EU=w8^~?CBuq&*d&S4 zN%*f2B~~SalkX5)I?=kk65AI<)PMQn`WJth$AXk4FC9G2qrm4;^k?T?bl8REr{Mb0 z^8S)dRtTZdyxH>{vb%ZMWZG-oQu0YfyS8Qv)Mm@(0i-TLsG|1}MQ<-Q>HksA@nQv{ zcz#qNcJJ40(jeN-Tt4Ee&%1z7x>5?fm0hV2CMvP>cJcN<+K9;+C2^+`BEsmzLdzW%pZu$8q z;$iZDA}WvK;XHJ?n5RM$Njw&In{a19(;46>0VB_)U>u}mlSiqG(f2uJT={TSpZ4e_ zaV{PzLznl5ASw&v6rNSZ^TqB`XS{Vu7E&^u)~Gz*R}<@>>_v&9?&3D!wN>clpkR`< z>50oOFKAea?~JG_vbP2>u*14pgkIh*rQX|P>ctscvioB|j173|;(+uEs$rvxMO8tR zLU=1UMX>P_nFJ^6`AQV4}5Vz#}(DRV^f57FISfuQJ0uKPqJNm@TKzb zDCOm~$o#+KOZ~nw=l`5GC}6p3jN3^HCKgvz>27ft_^V)IX@N%~SAi>efEcdPEFTC6 zb15nnDmJF+DEpT{MCnxmuTvk{F>#P=F4>E2XMu`C9FtdkaKlRzf2%=p6b7n)KYCc1 za{*Vu=qgMsXfEEkS9q4iF(4drmSSDWu^!Ky;SjIz?C|!31wG+l{mN`8I{l^(nldo1l4^j_ z5?vEHD9*r;0;eKAA#FUp&~r__6^z%34T)DUdTFP?;Uh_gvqE)2&yKKIoU_}PvBEVs zy1QxfBOlDckh0`OH{%!#iBd4;RxtKqH8?XZ@05v(-rusC^(Y2(@h)rf0z||Yn0hR6 z0h9*Q1Vz+m9D2=*;Zj0r!$H=H8kIxHP5E@v%iR_#T3Fkmm+GiLYPM>gnx*m zHvCm@*+z{UIEoKl>gYe8Z4DboQ8<<;Az^d2CxcKH9pNOOIK|=pP)kBl7f?zr1G!YO zzcQDHgGm5j^YTAGTTB+L|5It}ab!b>y!+5((J7abHl`BC%CNhNza-$_f~y^a*%103 z(&ZvsqP?CF;AjCSb}x?fX}VKcZ!AU1zW8OVmwDVRL~Y)OE#RhC+N0~m{YA5pv#Hn} zU3_?Z9cK{U^u!!SlO5R0*%Iwkwku2_E~&DjdN6S$Q9SpX+qNr@&6Fr!56XehcrD}< zcGYQo$=$)YiZrY1SW@p%eCaa*6G2kflnjh07w3yBAKob`xrS6gNb5ZFemt8H{G2c9 zF8a@BU~qej!v?^7W8)+RV;F(Mnaxv@DA!+E)}4ImljWMmUR(lH5Z5L8`JPZeX1OM_ zt|R!0>becpC_a5{;}ya|S(Bq&lJdGREBzD&Q4+Nmvns>ht+tJ}CSTU!-EcXlNIXrE zyMBK_HBNbB@qNpyow`r?Rq$!S`ckuJUM11vi9TpEO!@5ac@xFTdMW{4AP!HZ9Yq4* z+;Ya|*Ahp&Q-&#<4_8679V+#R(stdU?ZRH@Bv};P#xpQRUnYFvO5P4=Z$~YnV%g!~QB9#zH$J zdo!2%5oN*wM=)VIn7QB&*n~?Kn|9ZBZNk=$XRfGSd4FlUey;7xqv%Cg(JqPN-tJ5u za*jx*StLppY$=whJZ{r=T|wX^O53%cwu{os@dz|=@2n7d!wL5`cqQBXV^kA`-i0cb zuV#Uh`BL;YV z4kQE;6=7aN<)xVCnFhHjB2fw17+Q&SjHs=SvYaN@;!^f#04l&X?1n zd~Y=>&SM5l@nWOueRnrCUH5Pk4-pmC8_Nt&a)y&LJ@gA)3SJ)tqg@NkC@OCkJ%VsS zaMS)uQF)X?n7OU4jV>tdj92DLno-m~y)e4@33-%miDdI76bxMEh!b6Crs+XI85jcy z{0@8(47jW`{iV5#cv@_``TNTyDSIjo zwHSZc3%6d<6TYBRIE_h0+*Z!2v z>Ts2Lv*ci?Es9;?#fOt6ytbS7>*exLQc>&iRDoSkS7E|L>pCOu6t&qf$}T>_J z$H3+?i7|lfT8^0K%^GNS`E@i|)aYI;`BKQk3f}{P@D|G6|A+X5yW!;eB8BkJ9E_Y! z`%z~&v-7cYV03sJ(;5yPx~u82)$eN>$SNuydYF=eaW{GK;r&D$kc}@+|H=#G89^LdW|sowQCyGW1Xs4ku^xw%i;--!u2EXoqa0Fx zK(f)gX2xtj&wM+`QG1inuEL}f_rr3HV|7q(ZuT^=LZw~|89nuGK|#~R5hVMHW3b;M zmr(D06f}>qzm%e~dA7V=IP0qk_dGVe8$(D@NoVMLVmjoi8GkQmuH{K*U-Bh~U*Z^x zb#%`Bb#nMTd~xQMzH_4A3Bq z*a=cNxtKm^Bs5;uLmm?3<8BXE8bOi6Ls2-KX0Ytmxr%TLm^_(*qwG^50fbY=lLUv6 zqLeE?P~^RJSR2h7_xn_FZ_xt9t+;!U;!;|QySqDqw8b^JOK~e6TvFVjcyMTd;I1LS zNuTF;&UKyt-v8h0b0w4Ao$u^Do86gvW_M-_?%xR9x_wGI`q4s_U&rM>@(kCPVY=eI zri6PNTiDY@jztIGs?1*&7)@lZ9}PnO@lj+*jY9`~D@7NYxHIEaYimGL8^0c z^c1d2&`E_{qpoqEI%2+L_&OLPNXGi=*GRID;1jR+J`TSssF)rwil^rP!B6nm(wmvn zPRO4z&$?&GC?%nRW^z_WsS+>T@$(w=kcbA~UuwSd|FXDlXZMJF$C)R z!MsqZHU}$ydBi00LheOd-G;y>>uGx&wDi~N`(@m5;+-Jjx_q_t#dEGRi?J6xVhVeue2?NG;j)vSm3mNv_={A9g{pqgNe^dnJ^%t)OJQ#>}B*PlX+-k z9^3Aeq)?|A-Y^SPKyUuburZh0r`Nw|-ZEkOHfIf%rhbf(2d}FBMr-9kGpfmJ5s0~q zk`B+zyNaYSa7Es3B_`DOnTs9c-R|9;a*o{LifT9dMq0pLL!G$#mij)c54^6h-fqI_ zR1xTmhu+vj&TCE29bCCt4~7eg7E;=>jf~VH*sDKA97^}eq3$9E#wlfrMNWls%s}5! zr|A$@^WmLCVKvknMsb|0GrurCs(~?ayKp}*mjGBu7eWYp4Gq@TL4r81--%c4pQ>?; z2f|ZwEM}i_PI)=L7fEDR`X^S-*Cp{)c>SwsqX)bC?BNT(t(cde*53}Fj<7i9KXs<7 z#w`F(Za8`+nBt0+tj`%bHJzz3*Sr_dTNlTqxq@x{{6MS3RN>1S%Nz?T9i(Q;x5B+m zK4APPH&pSRbF+G+;-Qb|>+`i=b=ziG0+LtKF2lkt)|dDxmpJ-dH}Pe^W#OB4e4M9o z{1YkWCzHt^YXSCK@ANn~IMrce8ADbRRwv=;(N}{t< zKU8VfN#Q!DU0MMhyPiDVdg%-u9nB#-sJ;_=|KkDP`+3w?$PGvnFCY~3Gu+qFw0MmF zErfUz`$Aia$_}`(nD?jW;Sbc!WSOn~Q=I)1W!Bm)-^a$D2(E~USED3J1bBG*+mdjt z{a0@>JJ+m-8<*SP-TImPzOfYFI5=xb3+Ai|lJ;St*Y6@;Ii42pbAw`bAT=x{A)eDu zFn}R`H`O0P6u2Eo1)@LmkaKwre*A{l6KdKMEF<@rU{E%;0h;_{wShvM{vV*F2&=7O$)5;>qk+h2d>BQyn1%)_u>-~4CDf12)K znQ+YiELC{+SFVdohC?c9QTi+FKSTc0Fusx2{LfNp^1t#?w?Ug{|6W(t`f3w@~;Dpl(LlvMtz z=Sw87mNLJ(fmU*K-^(Yp#w6syKhUc_y$aUs`$NE|{Un^#GFgd(WhNXmiuva!u}@#h zYQuNz`1^6B%O4rAeh9Mg^{gG;p!}r6ur(KCceCdSaQB~fFG&kcm=tUvn(Pra3Bz@I zBVK#@wO>)5TEegQi8;A^EV=uy$?z;OCkxn(YfNGs;gFoFjTrJPMk4tDH9ZYoe>AnL z4y+KPK+bVa$Z4iDzCez4;CY0I%|)SN0ppL@gR+;F=&vF2f8+=8x}1%WzuSigFn3Rd z@`UKvqq{&J@BQ8yqQ)dNV@=CeNI83k3gkU%%`fg@uRI^sY8v7B`owx9E|j6?6IR`# zLV>D+xouM2v_Q-f?y_x(RGY)RknM#Ixr_NgkDZLl75-AWbeTkt@t1QYUM?DCOx*yU z2apHnvosrhxv8q9ZC%LxmveT>1 zIreHpBmeA%k-^WW4v~*a_UjGjI}vnpF=Xz)nl)fd>NAYp2r-ibW}OtGzNzJaCUGya z=JU72ToKh53)nzq$$tACJe%rJ;aD+~ZkrTAzc-j<+=0u@8gz{OYRJ<`lPruz8Ji%Um}G}Vfx@KHn>i7J!?`6 z6Hmkyav3fC>XycLAdsi=@(hD+uJ4gxa7^X3n96UdY?tTdk?R<3@pNEaMxi>r@3etS zRYZ->mP{_=cmYfc{n92sDX}mMYb1E&()rW9MQ!=fcp@(+pYN#?6sIay zh!lO{3~ydJh212k1V(8|{8@gFR9fr7D%ij%z@-u=C}{1kEs$3sg=k5fn0IIVyhw~c z;X|rfzFYnPJj8c64y8TO0;%f9-!SE zl`{d@$bqu{B^8E9%_WOvl-Jo?u9bf`@}%4Mr|wcsix>MlEYtZPxpDSX9b(w{EnhV6 zx=zDTi9OV@jT*Zhvt*6nQG@OW?Nz@|i%6b{N278{DYvs&B-?Cp{Xp`A0141>Sb3(=x8BCp&=w%NO6d;28|a4GvGj*GQuiP0k;H;qxzU5uC!*P9Cz^*Ya+-(F z<-q2X`vo`H1T|x0dMJ9l{^;j$Nl^z|ge$-pen{Y&U>rgn4|TvA&s$^n zW|_asMh`GQRp5%m*E``XKraYiR-;!X{qUDKqD}4*o)5i+7o3l2(f<&R#+HWA>b;@E zlRa`sBSt-9OP62%Ouu`E*0*Z@Q#J+oRjsKqLH1--ISwQ4KE;BU-1x^Vfzal@CNb0R z66iw;^f68GfI&7n0+xuGfhUD!8GWizZ;Ts=7F%|RKNv)P#8u(`@QZbO++OZ|)S&}G zniGdJPO9`fp8$XIG9|I>yl;Eeyqc;p@0AKOx}OJ>Gn7J{lC=DpzzR)YMDwzvoHT^M z=v%+=J@Xpxt~8;vT#RK3FUM}Oqm7#fXKBYSG!3*IUGB4%$b@C2X2Kunv0tmF{lGKJ ze|{-5=4WR$Lu~neR?P;UuTQ^2ocD$_f1LhxO`bB0{VI1OL36akijmvEFSsaH3ZcGq zmN{AUXLg4$ZSa+uf@QW8UABgGROIiU7Cef)f$r_e4jzi1*3Hid6}Ws^z+bgXxm1h@ z*~V_t+n*Db^F+kZd`q934Bw^7j|S~hz0G6Q_k0=SA(w4cfkg+#;3Vb1No9HIFs`bY zM|nt;m>Ov@4f_?m>&k#eA;Zde;mj-HfQ><~X_?@aG-*Y|f)VIX=Ge?)#zdZlfLL8L4A{;j*{D(2zYamDMl`obh0LlZXjF zA$?Qnd^MjNx~eAs*1A|mmnxU5%i(xKoRG{)w;N=gYSMi`sFG#)J-%M~V^zwJa9BCq z>#g}^5IfXru8k>BqgO@i(|h01WH(z`V`jI`f7(*&O2&aK1j9-H@fxxkp0JRG0F%-=L;P01JhFuMQf zt1nSbN>Xab@9VFw3hx~cA>7Gv@V(DercigMj;pM`eAzFBFzrt)&~nvB@1Rp1hKrPY z^}PH4BG1jQ$L{3DmKJpQgD;_#|3>v=PA{NCl+f*Qk()T5*@`oY_vtBk) z5gmT8#w2+3f{XakLcrR<;AT52M3p1e1T$k~TgK#z4HaO2Htv zPW@qr@h%~AMekV92&ks1efQ0%l8%yi<#kEbPUCa`- zHu8G7TF(q|?1w+>p||dbi-qb?#Aj8KCoE0-wElb6n+dIxc;PE+CCoeR^Hs211XaoSP1S zC0cCpHMe{;-k+ZNq|I*2LDvJD)Z%O2;Eg&#dZe3Wn6Fl)Fgkn)(%;WE%TU+QPAlGQ zeC&tm*>kq^(K@Io+{sMyX?BTC2QvsZe@jC{m$71;wa1%ITE1st1XrcFg^=1~Y}afG zWd3(_xtuzhOHu@p9XPsrg zqZtIBGZBYgz?L&##2haRua&9r^0ov%DPyf6N&yyqg3p;f(koU6CNrcizkFm#aVM76 z$!x6Xay0mT+yK>3bhAG;Gh|{nAmicP9c&dL45QSY?>Z*AV{4>Qk31NKVQQw*SIgfw zSkD+Uowbi)E<&yR;sdRms~LyL*D4Bw6ZCJQ%!CiWXeSt)X;~WL9Ic>J%)gS(TBIrCAwn|Q6eQewUybGHhW{?5%{004E z#ZTZ><)b_Xk@70~g^I7aRcj=QXVRwhvzMkv3W!>XLtmaQ1lSw_79A!ITCnNS7p+|} z1Dm3lY%xbe`SSnb_5_$!R%$ukwHZ2ulSsiRsN%#-;!!DVnm9p8mzxa(a8l3aFf?h1 zoLAHES`v$^e2&Uh8G3CEQ9f#ABTn%HTa2C_pKJ7GeE&+zsruQ?_~)nc!7T&GEZe+L zT;84^!^m&96JM<@KTG8nd=5Ov2>KS_y5I|Rw6|jKS~khC+_%5h)a3kGjtnulX~O5> zZE;-~PRJH5itBGF!L%& zP&kHcEKJM>X%rDddpxSL7`bK~eRF@f;8x`>FN+MEb8v6S{%Ox9Ea}jaKZ7{9Tu@|( zKRkVWeu%f%oUr87*s{IZC_1mHGoYR@LU$a_15O^Om?vS-zKC^k(v&J-7~&~odgm24^x$Ez)J9Gz;;kw>(N97n zPT{x-Xe8&*@_h{N+=#j zWW>O}3i`7lixak)m)E}PI;CcPWH)8zlt8Pxn@20HgSTEsAQr|~6ysysLU_Hx@3daI z-E-;zAp)!=G#R|Pq(#2sm}S*jdCJ;XZXJgr=*o9Ajx+jd`Wq!BjTM!M4*TRF&knNN z)~HJOa0G z?vA!g!3<4JTPB`loD`g42ETLK0fNO{J*`SdJ#bd}d&RoTu|AEZ3{Ve?cmPtz=Oh3D z`E+amv)0Udn??`BVx�*zD9kDUde|2{2)}X0~EwrJ2bf-LvN$*W6?`(aUAbIc0w2 zR3G5G1^QNP?CJ>NxmKJlNp^0&_*RQA4e$5jPIKlkS#1g6qOjwlaQqre=VwHh4>xQj z6+ix%R^j2Kw-G&CH4rr7g5`qnX*u0hHq1t|#^XI=RG8xLb3b}K*-j_`DxsSuy!njo>g4hy$IdUkWT_4{ zuzAQeu%T`<=vyooJ;`{+NK5RDP3kvqbY5yuF86vhes|S?D)%VU>p6DiBenx1uD)r~ z5S12r6w7oAZ7zAgRZK1YZeY6up=c7u_?VFyg)x}eeB~QwpvG@khHF<-%GJWPpGCQ+ zAM9_txvWUf1-$NJIHUXj(z;l>);#quJeyCm;>pIiy)GA&6U|NW77wHt1QxAAmu-F$ zRtU%>Pv|wxvPMs)O{OiFTY*9hOs;gc0LS^eb~LWNo*Ox}4^9b^g}(JBY_+Du4o>!- zh1$`smJE~`W4Y6L3rHGAQteRa4kq!tN=AuQIX_-+xN^tt_P3@y^^IvEL7K$?<0wwQ z0IGxbFnMa}on>+Yu>s?bnqK^Rl^_c9&O6&K@1x`=4~$u2F&2u(orhx-B*%L#uIEbB z?auX(w+G&X!P@r^-{0gQyg4*e00RVl3Dr?zGM!JgdJGtvyf%XK=odQHBlO(VUnA8L zEyl>F-qh$@8jd^OePqzez8gyE<2&C7-$k7dq3wFu!YmoUK+=2NbbFV*$>G?m3tp>B z@N|6~{|0xJsl-?|K@5)Z=g&66%Yu2P67#o{Rl#c)Rl#UY3Z8bx26|u7M3XU3gs#!} z$_c|LWPJh)T;#&s)n#qH!iQV<_o7NZDN2j^y{Xb~9_npr=9GwWqfE|;O-wZp*zlh; zpGD9P$ngtX@v!!)!-q>z)fka6vr?dVHe0G>ZRdI?egFBX!H_|6!ra9NwXkMx&M6Y} zojG9uKP3sqFS0kDm{Q)J>y`5 zk5Q^i@d33Sd|o=}E7~jr#O%>n37cpHoR4i>87@bp(c(RBO1RoZ#Ppj|8bhZK&3E|; z*AXU129)!&2QJu+m|}z32MC|4=&kbp^J?{;Y;S}IiO~@vz||;twsz7-zIGf!b-t`o z3c?fSAaC%LHwt_iQ=UY~2y_e3SjtkFFS)%+l$1LcZb%!)VNe_x59kv~^+#Ns5{go3 z+lf6Q_-5U|G>+JGn%i`W?lJzYvXv8jmM#8QWxJE~2mOaIiRL*u&&r{^T+U|^H>ZV7 zceV6G;an3R0kKRfKJhenDP_Np@IkSL<)GPL!}#|gcMBDw=JsM5U)X8lV=hfg45-ibO%sIT3U?}nYd_;%)iTL{zfb>sPQ03hTK;*3&gu%QmZi1+Sl zo$>nBG@slxpp&T50FvJL-v4(?`ioqBct43vPs=pz<7gCC#U|9BaQ5;G_^Ddz(6Cn} zMKUiJtF`f{g7)^FflnYm7+oEHHGfRIwmlwFQZWg-OfAvo9Ee_%aqy78oxVMb^NRzO zFn7=i;>8bMY)zl!Dv@=rBKzVHUQePaKfNpI%%+5ZjutCD1*I(8a-#M8J{3-u`%iyK zIhT^!_e563fS(nmCR(WAtV(1A0nY>Hf9r;5Hm=yvC21^+YewWADN!U>>X>!X!ZQp> zuG$tfXasDUG?fTfZw<)uA5W`O1wb#PBrFVFKKncayXRgn9sjh=6`zOjT%g?F?TTjh z#iFJU+N(5&%fF>a1h2ENOdgdGavz>j%jh;yn}^w+`KS_o&D?f7x_xo}TX*QA>g=j5 z$2~awS|dKVn^DUbY{)QB8D+X;&3G+OH(`&7^6a)|o(XZne|4)UB^V_CNq>!KuY)la^tU)dp><31=3$q0Yl9@X+H-YR)~Gafze-?%}yGAZu02 zZ?q=D9GuS2!PW{MbNx7zIBP0&ChL8RiG{6e)|Q4P>`tCr9S%aHErhH2;zfmYK7w2ytKj)yRlA_TEhC*5Y4AEtyJ1WC2(RTFDDk&uMndIK=nWM?m*5g!>whW zU4)6+1QxNDRpRF1Ad`7W*VDTHv6+!1np`CqXnV`+SO{s*M%AA6)UaHZp8K?TAf=#IeAnSx~mZjiF-_zu&?I)F55;FzOFJ zf!z6UNC~np)`A1h5sTR0VctwZKfiuG{>}|od;PWFZDe@hTWHK>Fo&GMKLi%5_4OkO@h$8cvDf|K@y z(u`-5#o!++T_@KJ=%^(eOsI?Lxdq(?IFbCF9p_nC1b+tZ%$+tIIN{ z^9iK;9ekp~5iOT!!LzQISY+-_k-JT*OgMd%v@9CAIVEyVY@6c@nCr<2@X*f=zWFb{Dm`XAkV0Yyno0|X=@npD9Lvr8325=i<)!B9j4sx>~ zBu}Mr(mu*9ETA(jZiAdE(R6dXsCPQ|#Y#T))6Dr$giEq zA_(XW1_eg(Ms7JEKGkN)W4X(Y-+!*?H(tB)Eufqe{qT^kOag#qXA&G1P!lD$12ol+ zzgvg9JAcc+I6V;~ncRbxX7$7sCFJKY-+)E02QJ>cOgU115MqUU69gKCFY;^eEu^Ko zdu!f3)fhA<5aawHaRriX84jh+7e1^emGqVam?F1?yFT9thvt4oRpkB$dITA2;58qI52Y2DGE_?U{R2z#^RVd6 zkFXbs*S6$}1~uGd#M`J5;QhC)0M^GVs0c@CEX}y7VsTBQyB7Uq&{oP!mGD2pY#Zr> z$@K=B^Q=-*omWu>eAlw}Z_(r#C`P9|ZH-BDWE@BxgP~lD=(VO`0<#_)x?WT%9*!!| z@ljw|Z!Evt2*B%n&D zKHxT>FHY*5SUC`zgv$GFM`O-_ z(rwn3hY(LNy)AlhLMnTMO&m!+ufLY8VR9v@-q+tPf}ecc(65#4Cujg1D@}zoANGSNo=GM*4Z}i}a$h~DzpO?^ zVcC{n&Tp{2Yc2ITH84j3g=dg zW|RS=RrZj{;K~O$U#RYY$8mxNB{%-(?4i~;J(ZqN=*LytyGdF7LnQ>qLs2(g0vX?lPB{H+GJyM3|40{IL4HNjyPvFIV#F;xD*99!#Mw|V2l`noighhz z4q7Zhhj!ejK573BO zjewJ)NtbJPFSq!?EhqAI+mw#tN{bqHvbXZ*8x+ohi z&8c@ta4-?RNe)P9eE>MWi+><#xJdeU zY5B*c?Vz!Efx7t~KQ#zo@Nul^_YL3X_wmc6h_a@V;Z;xC06(40ycyn$T`vt~5J+#4 z*TPwUce={?y76^xW0A48iC7$G#Fn z;CNo;jmJ3Ih)2MJC>*N5=+zu;b?1!>aLh>Oz1E)&drEL;Nj2LA$%)9b_#@LH#(kBvnIa>an4qJQ$Dr0rT|S zYvDu&yKu(rOn$J-yny)D9JzH{!hqm@$lwoDfrR~aBh3D;zETh8M%C&R4ZkO9m#rGb-^o5}xvxhjo1u{>lDzhAqH*X|)gT{HlF}$LzqrHIYX^w6S1;;$ zk*|xMsgBOl)i3%QT60?Wqo;r5a^jk53q#2vg5LLPxTh)Yae?WMH%Zd0v5T^;m%7RS zGOdDDdfMTr=85TII>u*?+L5`}c?|R3O{>~DZM$m-dyX}8ww$xoxFry^Ui@53a_24J zjE&^JBJK*+FL1f!T&)oTF3GN&Nc8S}07)-i-XLuuPkyTEYq-%Bi$VG(B>~O(uN9^7 zwVEvz@|N?0IGm0|54$44RjgDAg(6;jd=9Qd;;&Y;^G=cbwNsZeUO4YBxT>jlD<2C* zlg_-d6A?Oa8m(CQ!hyUECX^GQ9*zU6#z(awr5)0iQQn0c%Ci_kc@>vKF_~UhmM4e& zm-!X1Wh4MqJ7p)ss(o<~4z1DT$7%*|3x&r=VwwX=T~|rGf6g3%EOq+=QEruJ6BvF^ zPT}s9Bt@W}HdkhOZ7x?78ZR`{7bFt|NaUxS{a)3ny&@bL zm6Wwr3#Ts}8jV}Ck56Z$k%{)?#}J0woD0iKXM7=ZGnj8;Rh16Cwj8$*2s{#*_4Los2(&JWZKh1B%qQ8XIG)Fu;&~m374B};aH?>ILAg5K z{2RGARmLIB2)A}}_QGvKhZ@YE8rScTEz&F3zC9JVKO+}LvmJwr^6n@@p*Czl42qIq zokKg=tHdxHBnja}*=H_c$^>{L| z6%!JCBG|4w5Nk>vrfE}eFy0s)cOg87Tg_JT?)Rpt0y`s~j6?4}%OMjUJoca`FkU_6 zkP_YBT5WCwwMA*ij%v|;T&Qm|Z!(V>;=L)cld}P|IS->bh6*vDZ0&S_CE-gx_|MT; zQHS2_A|9ZOcs&n1zNiDTiE5CYH(}IHw!k-08NKTUPKa2HPmWLut8t5D@z3@p3B!Mz zih&$asWm{6sMw+qj^6$(K;Q~ebnDaf#M!L3gawSl@$-1(WF&NJvdj`BXFDF@#U_~^ zl$f)9{XWU+BbbWhn6HIhMEE3buw);$^Snh$qY7%ifv3UN7%}Y2VCS!|c&Sb65FN#J zWjhn8r^S>D8g7v&-_I*Oyf0|Hjt(?tveRa1b!{xUy7Sanf+fDT-@Ue^vs)1Pv%H=G zOIo`IP4yxJ9h0m;;ccR+YfE;Q?AzDc1&#CG33;b&BtAy|8CiI>-0(r)eeZp5&L=2$ zge8yo-suVuUW?E=HT(D17m9%p=+2<)a_S_hmz%}J^~~v=*W{qL(u^1EI*!M2l@Zk+ zL~x&$g?DOx?nxuy(8z&)au2ifW>kxlG4^d+HFvLa5OlPHC`dZxXyo2oZ)yGNqKE%}6q3^Z~!B zy;g70pXt>UriDx-dx~2DIKX>9V5=qbq4MKV$mdg}BS^Z1P{dQhmRp%_JVDk~PVB^% z48owz?r8MXoh^`}4vf78v$t1;*y`mP)9By8=*zIlULCdw@n$$f*p+x`l+3q$+Wj!O zkf`<<0;ARx`5JXmpxS1MsCF5uKMu;(LSR-P(W0@!T2AFR>@VYFyb(?J@r5)<61A zHs5rt{M_a)Rb^>{FS4HOyCi(COIO^JN4*-X)~%?3KGF2eT`j19>WyB`ONEHJ>6|U@ zb`33ZN{@gy(Q22&-ca2#qumhBS)kFea8qXCxgVT&=Lh|173fFM6tPbb?J;%07v^58<=lY@0*G_sq6gc{y;^^Cci+9P7Y(v-i?cLxv+*26FM=VK4& zk65j-oeC2599Rp8P$X6fj&pVL{mX?r-CE#k_h!TpQ6dwgx=N1ALnG>uz6|6M_O0q# zngb4jaUo@Bt>BGeCSJU{KP7LW{g=CZ>~Sdwo#pLiMIXalH8l_AJQqIuZccYoXk`SK z;o5Jm{<@pRImv(p5`~q9?Ni5{FeQ)dM9;dwxjU6ss=C*mvyh!5Fd)>nRj(%v^@^#t;r`f)BO$gWvhBQ%xO z!_vofe5XhR7n?JbWO&`q;be;$RX@c* z(+I%VO35Tf9H5!fSikl1PTx)uzdv@g(O>jdgUpep|FYr8xfN0+CTRg<=d5>Z|9I!~ zO@gik@7s0Jx!eO?_){rCsEJ37!L*x7I-j$dM1-IH46S*0abv*bjq;)mQ{ z(DM`6U<#*`i$LTfjW#X*|cH2UZYsExH1{kZh(CCf_X*5sSMXpX!ZsB z#5bQ70X&ZBfxy)$i{(z@lYU$80DO(*NfcwC#aGq-zxLsf{U(Q0~8>JLyO zt3EJMNM2}C^xfoLB29#NvxMg#U}y!rDC(<(8wFSS{^fwhJ(+PrB_~~s*VpRoXM?-B zxOK;{$JgC|rk@l)Q$b6^t+_NqBz~kG4Gb8)DBe|_&MX#GbspdKJCFJ`p>$8iLb1We zA`yF`UD#*>ZCY|a7-^Qnyk66z9w_iHph75hvR(Q+8887Ejpim%kY4To!jZz2F z7vOZiJ%D|AR(+Z|V9bB(fV4u&-A<+W{k|EyQFTn#b0D8~E?%3Vs-9K*$)CO3qyy2A z$W4u9z314IR|-@aFa5k@0yY}mltf8Z*Ppx zJ5u`Z+m+r#CbdNOOgFnT@5x5(bl30*MduoGqm61c5m@I>IbX^ zR!i<%F8IkknRvBMMvHw1nmL?ij}Hxa6-=O3Tu@B|DSXrZ>T!`V)O}oT+J#DXcav?? zQf!}nmE`!`Cui#XS?M)6tC}o)dGt8DJqWQ$7cGjPsM);%c6TA@%@m4jHOMIEo zrXxkP&b1x+9|1n17-EMLw>Rm5{`xEDi0>?qz`IM435a`8mu}^m1Z3ztQk3n!&$D?q zj!A_VFYw(rQ)Dhg4$0@Bj4FPrnYDXjm3uqmb4ns&9hTe4(KRpCfCs5{Ls`L+P+>uBc+utbz+fWVU> zC_bn?6F5#=s*@v;Be62}30w!{RDRy9>)Pqw$?t5Cq5wgWA!Ek>e#N~cFO&PUhF;Gp z#?jnqeT9-QkO<>^)JkhV5$X9WICCHLW;?PdCrEAOc>=-_EN2>PNj+A0~70``u ztkTjLD)H`}?|dd#s6EE;agKI!-O(038Gi9Ve#+Zg3XjHfHr5n1&+V-1;dYfqc7~h_ z_)y{XY?G+Kkg@9qu}#xltLBCGzx=U;1=~Ex`<(&9>2!y_RRsIKPvl;~0XldaRyz8* zxxHsi--ECooq}_7v@e>#F_~|pNllP4wott9HEmNhLEmezrnyo|eEr>gAC;EwSRd^C z?|y9cE=)^7U)n&;x9`(E0Z~V_x6ZXc)Cvg{8 zm2%!__*!B(MjlmKWJ27ka&FCFYlz!a59_O_1*&d=-HH%cLJK%YQ&*8!`LD+&RsyF z>DKH_ni_dCe{iR}d){K;yl!*p;pm3*Hd;tj{o&emqR^G3@J5XKQ8er57vND1Hm(BW zK>uT;1`E_+IBMWK0<*e07rQc*xI(%g_0}%1y#@w|s%TDIX z4Wj;hmU(W581q!U&k?-2vi=8ganG>4CVHmfCqD>$!AN~Y$O`4)k zIzOO3AMn`wmCwM`;-65l@vWLyXT?sAQxkeiu2x(uJI;#mCu<`5bhc zTQFV2`@JT@7HY;rdhK-;wu>Aal4{K@+zliMR^`~V47e+)(Ohz_;rKIq49jH>+r6iH zevFpq|2p)=Zk56`{|i$;M&@e?8{gJz)B3ju-}sI}-)lyuxq>E+DY=nbd=?k*tkW>} z%OMg;;I(B9h&5~CPYzS|GzLWY+L&D|%iUOE%4gwVFJu4Ozj`(7zIoku z{jG`2d`4dF_o>=%CW;hamO+lj@60Zmu_|%_z??(tb8<9U zKy|NzE%meuB>N)SL=63#$$d$=Ps7kbMnKzw;KDVQ%BsT`?Fkj{%SYoC-p_Okjvub% z2c0b(XV-1kKcJb5*X0u7~U+<8c&cpiD7Yk zk6O>?GN3pI7)$PCKH6s$ip^7ii~lM4Bf3jcQ7;#8s}&ULT>B>glOWJJ; zSKk`q0|(**h#DnjMJsaJ9H*V{$0N7DBv7(%owbRT(@ktuF$=O^`W`KxE_PP0?SQs! zsqbssuk?3Mm4Uyh|NK8?lzBW8jvL1v`Hmd>CO=0Sr9(yJ?2EOfMClNU z$TQDZ+WDeCt9YZX%FY2GPzU8plG4KP1REtD;75KY510pN=#lu}7zI=a#EP<#93mIjrwcVjD)lo9}xoT8q@+d0W6&}S8PwVm)O^p)3F?mNNa>E#M~ z;&lbNFgyJFc`4i852x1^X|ng^_;ws0t%647e;WCzZyWD!WPXxoCiMmcmkvvRySrP)YrPwO^HGHL9M=sM&~;9Rpct2(><#@aa7pH=JQ6v*5=HUb^G%~=O)Ig003+U7o}PS#jw%57t1bAQq` z+N92VEV?I!i>cSh@NDOjjo5E=ZkTw|A*=hfot$&uVl>`8*LZj4tX+zR{b3YeiIa#v zog9+itgK^DYes~i$ZATE`UiO@?>+aI?2_Q{b3a0pZUtC+&jXP1=`r$iJzu@uIeogx zJymSLK5xfIRq~jOXhO3|*WU4l`2A23ea`b}*ZvPX2813c6Ud393Z$uC(6$+Xuz8~n z>;QIwB(p&%*T}=GZm_5}s&8k~Rm_GWJ#JzJwNu<)Pm@@HI+9t}{>*C* zVoDJjhd$yyf~y|1`MLIyRS3Nc2<7*{-;umgHSLfbR+|;fCDPV|4>^!%1$EvFs15nN z*X5rNKDw=7p%9%yrb17ZrqD*iGMy-kj;1qhMf1mcH>;Q1n^xNQUN%(cVjqf0PCIA# zzX*DBnY~zhct4F27v#p^`pb}1+24}PnaJ@k--N#W<#1drH7m~=;oJSIe2i6Z(d(3A z3PkY2K1#h)#Mliu`AE$O1b5mV2lGN&j#D3Pn3&YyrG2!R>yhRC7yVfwT48o}bybawfSTjKWu@26Pk(&79>XKNdt~o0TK}fF2 z7AAB$AY0=KMz!W!azwCA*MpmlSat|Pc@2Kz;4*{5=n@^ve-oE#ZxcM1+_5=g*oo_w z_%nf4R9>a*iQvUT3eJPOsbG6$cC`Qx>ZuIsi!SsCDK~PdxW{rp3>er(mty2hbPOI) zQ`zr&(xJCl5u8>umD-AvkfJAzRElMewNqX7@9E6};le)l3{p&wOg7_FJc~6Mz4Ncj zu75mqe0}i-@&l^Y;L9N`yPE`3>Rs^SEiC+u`q{FzYwOI}no3TV4Yss&fEcS`ZplbX zOlMX8h?s1f@dumtQCl@4# zyABr;Z@i?aY1`v&@OqV?AE=?{@K<`ywyS9Pb-WO5 zcU?65bVSi0yv&p_1uur1s!W#WKW#hF z9%X)gim~wYTfEc%K*!f$DtI>xA_;KwG}!Vy`VzPZy|sob(0Ex5(mrLp7uNkA+!-Xe zMea)}o)i&@7D_u(jN8edII>P-ar_{DFx(Qn`2 WcGk!W0B7e>UFxyaqM43gtNd@$&(6*O diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index efe5afc..053f152 100644 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,44 +1,41 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1677601279685 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1677601279686 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1677601279701 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1677601279826 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1677601279827 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1677601280022 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1677601280063 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1677601280624 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "1 80 " "No exact pin location assignment(s) for 1 pins of 80 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1677601280753 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1677601280874 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1677601280923 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1677601280925 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1677601280945 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1677601280946 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1677601280959 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280992 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280992 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280992 ""} } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280992 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/22.1std/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/22.1std/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/intelfpga_lite/22.1std/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/22.1std/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } { "temporary_test_loc" "" { Generic "//mac/iCloud/Repos2/GR8RAM/cpld2/" { { 0 { 0 ""} 0 418 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1677601280992 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 94 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280993 ""} } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280993 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1677601280994 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1677601281004 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1677601281114 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1677601281203 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1677601281204 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1677601281205 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1677601281205 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 3.3V 1 0 0 " "Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 1 input, 0 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1677601281234 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1677601281234 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1677601281234 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 38 0 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1677601281236 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 41 1 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used -- 1 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1677601281236 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1677601281236 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1677601281236 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601281325 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1677601281341 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1677601281559 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601281888 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1677601281913 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1677601282469 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601282469 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1677601282537 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "30 " "Router estimated average interconnect usage is 30% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "30 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "//mac/iCloud/Repos2/GR8RAM/cpld2/" { { 1 { 0 "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1677601282887 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1677601282887 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1677601282982 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1677601282982 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1677601282982 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601282986 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1677601283019 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601283056 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1677601283164 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file /Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1677601283280 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13746 " "Peak virtual memory: 13746 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:23 2023 " "Processing ended: Tue Feb 28 11:21:23 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1677601283368 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681561242097 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681561242097 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681561242097 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681561242166 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681561242166 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1681561242338 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681561242354 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681561242767 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681561242767 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681561242767 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681561242767 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681561242767 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681561242767 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681561242878 ""} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681561242893 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681561242893 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681561242893 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681561242893 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681561242893 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681561242893 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681561242901 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681561242901 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681561242901 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681561242917 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681561242917 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r\[0\] " "Destination \"PHI0r\[0\]\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681561242917 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681561242917 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681561242917 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "temporary_test_loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 419 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1681561242917 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 91 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681561242917 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "AddrIncH " "Destination \"AddrIncH\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 117 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681561242917 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "AddrIncM " "Destination \"AddrIncM\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 116 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681561242917 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "AddrIncL " "Destination \"AddrIncL\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 115 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681561242917 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681561242917 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681561242933 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681561242933 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681561242964 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681561243013 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681561243013 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681561243013 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681561243013 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681561243091 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681561243102 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681561243235 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681561243454 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681561243454 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681561243941 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681561243941 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681561243988 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "30 " "Router estimated average interconnect usage is 30% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "30 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681561244210 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681561244210 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1681561244272 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1681561244272 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1681561244272 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681561244272 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.21 " "Total time spent on timing analysis during the Fitter is 0.21 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681561244288 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681561244304 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681561244350 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681561244414 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13737 " "Peak virtual memory: 13737 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681561244461 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 15 08:20:44 2023 " "Processing ended: Sat Apr 15 08:20:44 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681561244461 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681561244461 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681561244461 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681561244461 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 554e4da..c703ebb 100644 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -31,11 +31,9 @@ C25M => WRD[5].CLK C25M => WRD[6].CLK C25M => WRD[7].CLK C25M => MOSIout.CLK -C25M => FCKOE.CLK C25M => MOSIOE.CLK -C25M => FCS.CLK +C25M => nFCS~reg0.CLK C25M => FCKout.CLK -C25M => Bank.CLK C25M => AddrIncH.CLK C25M => AddrIncM.CLK C25M => AddrIncL.CLK @@ -63,9 +61,8 @@ C25M => Addr[20].CLK C25M => Addr[21].CLK C25M => Addr[22].CLK C25M => Addr[23].CLK -C25M => IOROMEN.CLK -C25M => nIOSTRBr.CLK C25M => REGEN.CLK +C25M => IOROMEN.CLK C25M => nRESout~reg0.CLK C25M => LS[0].CLK C25M => LS[1].CLK @@ -85,16 +82,10 @@ C25M => PS[0].CLK C25M => PS[1].CLK C25M => PS[2].CLK C25M => PS[3].CLK -C25M => SetFWr[0].CLK -C25M => SetFWr[1].CLK -C25M => SetFWLoaded.CLK C25M => nRESr.CLK -C25M => nRESf[0].CLK -C25M => nRESf[1].CLK -C25M => nRESf[2].CLK -C25M => nRESf[3].CLK -C25M => PHI0r2.CLK -C25M => PHI0r1.CLK +C25M => PHI0r[0].CLK +C25M => PHI0r[1].CLK +C25M => PHI0r[2].CLK C25M => IS~7.DATAIN C25M => RDD[0].CLK C25M => RDD[1].CLK @@ -104,65 +95,151 @@ C25M => RDD[4].CLK C25M => RDD[5].CLK C25M => RDD[6].CLK C25M => RDD[7].CLK -PHI0 => comb.IN1 -PHI0 => nWEr.CLK -PHI0 => RAr[0].CLK -PHI0 => RAr[1].CLK -PHI0 => RAr[2].CLK -PHI0 => RAr[3].CLK -PHI0 => RAr[4].CLK -PHI0 => RAr[5].CLK -PHI0 => RAr[6].CLK -PHI0 => RAr[7].CLK -PHI0 => RAr[8].CLK -PHI0 => RAr[9].CLK -PHI0 => RAr[10].CLK -PHI0 => RAr[11].CLK -PHI0 => CXXXr.CLK -PHI0 => PHI0r1.DATAIN -nRES => nRESf[0].DATAIN -nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => SetFWr[0].DATAIN -SetFW[1] => SetFWr[1].DATAIN +PHI0 => comb.IN0 +PHI0 => RAMROMSpecSELr.CLK +PHI0 => PHI0r[0].DATAIN +PHI0 => Slot[0].CLK +PHI0 => Slot[1].CLK +PHI0 => Slot[2].CLK +PHI0 => SlotKnown.CLK +PHI0 => FCS.CLK +PHI0 => Bank.CLK +PHI0 => RestoreDone.CLK +nRES => nRESr.DATAIN +nRESout << nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE +SetFW[0] => Mux1.IN3 +SetFW[0] => Equal1.IN1 +SetFW[1] => RAMExists.IN1 +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SBA.OUTPUTSELECT +SetFW[1] => MOSIout.DATAB +SetFW[1] => Equal1.IN0 INTin => INTout.DATAIN -INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE +INTout << INTin.DB_MAX_OUTPUT_PORT_TYPE DMAin => DMAout.DATAIN -DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE -nNMIout <= -nIRQout <= -nRDYout <= -nINHout <= -RWout <= -nDMAout <= -RA[0] => RAr[0].DATAIN -RA[0] => Equal16.IN10 -RA[1] => RAr[1].DATAIN -RA[1] => Equal16.IN9 -RA[2] => RAr[2].DATAIN -RA[2] => Equal16.IN8 -RA[3] => RAr[3].DATAIN -RA[3] => Equal16.IN7 -RA[4] => RAr[4].DATAIN -RA[4] => Equal16.IN6 -RA[5] => RAr[5].DATAIN -RA[5] => Equal16.IN5 -RA[6] => RAr[6].DATAIN -RA[6] => Equal16.IN4 -RA[7] => RAr[7].DATAIN -RA[7] => Equal16.IN3 -RA[8] => RAr[8].DATAIN +DMAout << DMAin.DB_MAX_OUTPUT_PORT_TYPE +GNDout1 << +GNDout2 << +nIRQout << +RWout << +nDMAout << +RA[0] => Mux11.IN16 +RA[0] => Mux12.IN17 +RA[0] => Mux13.IN17 +RA[0] => Mux14.IN17 +RA[0] => Mux15.IN16 +RA[0] => Mux16.IN16 +RA[0] => Mux17.IN16 +RA[0] => Mux18.IN16 +RA[0] => DQML.DATAA +RA[0] => MOSIout.DATAB +RA[0] => Equal8.IN3 +RA[0] => Equal9.IN3 +RA[0] => Equal10.IN2 +RA[0] => Equal11.IN1 +RA[0] => Equal12.IN3 +RA[0] => Equal13.IN0 +RA[0] => Equal14.IN3 +RA[0] => Equal18.IN10 +RA[0] => DQMH.DATAA +RA[1] => Mux11.IN15 +RA[1] => Mux12.IN16 +RA[1] => Mux13.IN16 +RA[1] => Mux14.IN16 +RA[1] => Mux15.IN15 +RA[1] => Mux16.IN15 +RA[1] => Mux17.IN15 +RA[1] => Mux18.IN15 +RA[1] => SA.DATAA +RA[1] => Equal8.IN2 +RA[1] => Equal9.IN2 +RA[1] => Equal10.IN3 +RA[1] => Equal11.IN0 +RA[1] => Equal12.IN0 +RA[1] => Equal13.IN3 +RA[1] => Equal14.IN2 +RA[1] => Equal18.IN9 +RA[2] => Mux11.IN14 +RA[2] => Mux12.IN15 +RA[2] => Mux13.IN15 +RA[2] => Mux14.IN15 +RA[2] => Mux15.IN14 +RA[2] => Mux16.IN14 +RA[2] => Mux17.IN14 +RA[2] => Mux18.IN14 +RA[2] => SA.DATAA +RA[2] => Equal8.IN1 +RA[2] => Equal9.IN1 +RA[2] => Equal10.IN1 +RA[2] => Equal11.IN3 +RA[2] => Equal12.IN2 +RA[2] => Equal13.IN2 +RA[2] => Equal14.IN1 +RA[2] => Equal18.IN8 +RA[3] => Mux11.IN13 +RA[3] => Mux12.IN14 +RA[3] => Mux13.IN14 +RA[3] => Mux14.IN14 +RA[3] => Mux15.IN13 +RA[3] => Mux16.IN13 +RA[3] => Mux17.IN13 +RA[3] => Mux18.IN13 +RA[3] => SA.DATAA +RA[3] => Equal8.IN0 +RA[3] => Equal9.IN0 +RA[3] => Equal10.IN0 +RA[3] => Equal11.IN2 +RA[3] => Equal12.IN1 +RA[3] => Equal13.IN1 +RA[3] => Equal14.IN0 +RA[3] => Equal18.IN7 +RA[4] => RA4.IN0 +RA[4] => Equal18.IN6 +RA[5] => SA.DATAA +RA[5] => Equal18.IN5 +RA[6] => SA.DATAA +RA[6] => Equal18.IN4 +RA[7] => comb.IN1 +RA[7] => SA.DATAA +RA[7] => Equal18.IN3 RA[8] => Equal16.IN2 -RA[9] => RAr[9].DATAIN +RA[8] => SA.DATAA +RA[8] => Equal15.IN7 +RA[8] => Equal18.IN2 +RA[8] => Slot[0].DATAIN RA[9] => Equal16.IN1 -RA[10] => RAr[10].DATAIN +RA[9] => SA.DATAA +RA[9] => Equal15.IN6 +RA[9] => Equal18.IN1 +RA[9] => Slot[1].DATAIN RA[10] => Equal16.IN0 -RA[11] => RAr[11].DATAIN -RA[12] => Equal8.IN1 -RA[13] => Equal8.IN0 -RA[14] => Equal8.IN3 -RA[15] => Equal8.IN2 +RA[10] => SA.DATAA +RA[10] => Equal15.IN5 +RA[10] => Equal18.IN0 +RA[10] => Slot[2].DATAIN +RA[11] => comb.IN1 +RA[11] => Equal15.IN4 +RA[11] => comb.IN1 +RA[12] => Equal15.IN3 +RA[12] => Equal17.IN3 +RA[13] => Equal15.IN2 +RA[13] => Equal17.IN2 +RA[14] => Equal15.IN1 +RA[14] => Equal17.IN1 +RA[15] => Equal15.IN0 +RA[15] => Equal17.IN0 +PU => RA4.IN1 nWE => comb.IN1 -nWE => nWEr.DATAIN +nWE => RCKE.IN1 +nWE => always12.IN1 +nWE => always9.IN1 +nWE => always9.IN1 +nWE => always9.IN1 RD[0] <> RD[0] RD[1] <> RD[1] RD[2] <> RD[2] @@ -171,39 +248,54 @@ RD[4] <> RD[4] RD[5] <> RD[5] RD[6] <> RD[6] RD[7] <> RD[7] -RAdir <= -RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE -nIOSEL => comb.IN0 -nIOSEL => always7.IN1 +RAdir << +RDdir << comb.DB_MAX_OUTPUT_PORT_TYPE +nIOSEL => always18.IN0 +nIOSEL => IOROMEN.OUTPUTSELECT +nIOSEL => comb.IN1 +nIOSEL => always8.IN1 +nIOSEL => Slot[0].ENA +nIOSEL => Slot[1].ENA +nIOSEL => Slot[2].ENA +nIOSEL => SlotKnown.ENA +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT +nDEVSEL => RDD.OUTPUTSELECT nDEVSEL => comb.IN1 -nDEVSEL => RAMSEL.IN1 nDEVSEL => comb.IN1 -nDEVSEL => RAMRegSEL.IN1 -nIOSTRB => nIOSTRBr.DATAIN +nDEVSEL => comb.IN1 +nIOSTRB => always18.IN1 nIOSTRB => comb.IN1 -nIOSTRB => comb.IN1 -SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[1] <= SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[2] <= SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[3] <= SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[4] <= SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[5] <= SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[6] <= SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[7] <= SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[8] <= SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[9] <= SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[10] <= SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[11] <= SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[12] <= SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nSWE <= nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE -RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE +nIOSTRB => SA.OUTPUTSELECT +nIOSTRB => SA.DATAA +nIOSTRB => IOROMRES.IN1 +SBA[0] << SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SBA[1] << SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[0] << SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[1] << SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[2] << SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[3] << SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[4] << SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[5] << SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[6] << SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[7] << SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[8] << SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[9] << SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[10] << SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[11] << SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[12] << SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRCS << nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRAS << nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nCAS << nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nSWE << nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE +DQML << DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE +DQMH << DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE +RCKE << RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE SD[0] <> SD[0] SD[1] <> SD[1] SD[2] <> SD[2] @@ -212,9 +304,10 @@ SD[4] <> SD[4] SD[5] <> SD[5] SD[6] <> SD[6] SD[7] <> SD[7] -nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE -FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE +nFCS << nFCS~reg0.DB_MAX_OUTPUT_PORT_TYPE +FCK << FCKout.DB_MAX_OUTPUT_PORT_TYPE MISO => WRD.DATAB +MISO => Mux11.IN19 MOSI <> MOSI diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 078099c5cec49e756cb6549a347d4fd4b74c1fb5..aa3ca5297dfab4dc377ab016a88cf54833afd3bc 100644 GIT binary patch literal 426 zcmV;b0agC24*>uG0001ZoNZCTj+-zLy<6%(SlUZ_2%heP!XI0;c9^y5=#WO z#zb1}_TOtD-CZdWMz-I3}2>*H{_^{}@D5;inbB#C@+93ct;o0YPS9e3 zQ>AOskC(ELFL+<5Q;!#mk@4H=E>u7VtUbmUzI>DxqFbkT{9A)AwF=vN!^& z%C{LazLIYSZ|ghA18%yb;H-NdJIAlcJ7kn;1xx%j4dO5h=f?kPDKC0pJ+!^H=>lV> zZjc;UEgC>a>B!=2Tu@m$U~_k6T2B>sYO5xktW38yzgw%Svvi4cJ^^!0SJ~6lT&N#in!yu-K&vHKrBO1JCT+=ws zlEXSnr&s^e6YRyh6iWBkdTc_-yCA0VU6E;%$+D9GJt}@0hxLlyChS~r*=ZmD4A62w U(*�-tPR|fCBX8A4Yr5Ce4S}L;wH) literal 427 zcmV;c0aX614*>uG0001ZoNZCTZlf>|y<6IUu+&TU5Nezd!I7mTK_WN{gsbJkkXRzn zHBO|e-G5&L*|t(5jBLO6#xwKg_gN@??(t5^6QBZAFS`~~YT3Q6EP{WXWm93#cCbyJ zsPjY#r8o<4EH&V;lG@0T<05-Gw<%())z_bl%bZpPAGR1S&`?CKUy){8ZWP64+6kJ? zaHdT;=J6LL)EnLjeeCgUHZWmZ-NmPfV)GndX$jv$ZixpBt(N)?ty4Gkx8~mKPKg7c z>g8j?tVi|O)f;++8L$KiP8YH+|a9G^k-8BSvcXtW4xVr_|1r~?Jorll&ei6?< zzuKyE>P$_~PEXs_-Sg$chY!`rAK#xJK3Kp1INskHW=_sl_IBi~yv(f3-^s;Xt!zxm zxwyZRf9GXkV`E|a&dN)!DoZYJ&2K6eA@)Bl#x(EZ=*gV6td{_mq+|Nq83 zKAv==*Qu}jZn=}qiL)g^u~X}UObXcOO_IOpj+om3`@Cd2k|JDaC?X@vVsaeCXn9JQ z>g!{42NMp**_}pGtkO{I4j3xxyiY-4MJq#S8g;?8QWVUiGbxUB@SPk((8c!^U#LZU zbGw)9b6sx@dVO!ZA(s%6rJxu4)2-K+hu7Dt=DStMhNhx|Lbt~FakIRFVBub@&cCX? zY3m14Ci}>B`^A>k9IuKa&&|9yzd5+>tM#Wxqs#Nf9shdIwpt-C9dBg)Uk%hwNMVDp z7Qt54s*38iW&Cn>30j~VDa@E!* zDgeoHoMH`m1A`PDZcibm=8lCUmCAKbeSkhuvI@h0q{f$*1q&UcS61bV|B-G^9jhF# zrKRX7|3{kq2d{WZAxBZJn)yFcH-kSq%fO2fBd)4 z6dhJiPi4U7?nBdm`;@D;gSh@b7XP0V|DU4%KU@6&!`&eJbf}Ft%3j=A@zamPW9!-o zZ>|a5a^3OzB}9*Mw6`ccIbY6Sovb_BZB6an3rzXes&$inD!J-27HU$^Z|Bm_fOLZ$ zO}zy08vQ9A5u-YoX8)-^a=RK|%~nn>s=xS^EuL7%PaR1kcwoLHARkhF>XNum1|Lh~o5w3%3wyZ? z3{z!=q}1KF zBFnas0KC~kTA5_nd#E(tj_@qSMdOcuLjC){VAx_3x_fn`+9-8BY`tY~ZmIId`ZbBl` ztkv4bo+n7ahh9O7WH}e5B(6?E;VG}!mDYrumnlIhmd5o{-kgB+grq}k+_59&HNTr> zaTwK4e+>rCIBa{7SB}bxSeHS$=qyZ#f>Q|^2eGonr$6{3a9)$WAT*(C5|*tgAs& zlokWE3(xBX(q_SCK@Z*Li}mwVM1IN+MXLd1O#Ph=J2gu3hciJQ?fzli+i#`Dd?7hr z3dTN~;n=v|Hf5i~C478+H{8U0znw8Qya{Vw*+=_Hyh>A5s@=2P9Ev>)yCTH(y{U%7 zJ=n9kL~W8pE1@z`VOVGz=|0Xa6%xLt9vFhv2AHYw@pu$XqCJnB1NKiK%_^1Vvi3xI zm|m5fyI1r-DD7iW!$P#THfTW=o1~U`Ne?J;X@0-5nXWmBd?!0Tpx5kdKnQ*6amS^(U3Ps%1p(hUzmO+m_^cykT0B&98Zbzcve__JJuM zgOyn4O>WBz+AT0OB)x^AJwBTvnV@K_2JKBs87?ENv#SD0R5R*-u^O$HtO*(q5UG;F z5Y`5)XvM7l!6S<0zjB=U-mB}g;<(%*ZR4_tS_!LwX*%{dB|-gA@7j;;$!jdv7gek2 zd?ka@btA)<0L#Ff!;|p?Dk+>!s!RT?I``R7xYh)Bs?SAWY@L>M1P9YJNqivONyp4V zMtU!X>&I9{xbI2S3q`*+Xj57U#iip zMwxV9xk~ z!*{ixdE4w0l{Kx@vwHKdAQG-`sRbsAgW>sSJpSgEZffYk9okBJkO8#QE1H+4C{wHM zkV{LbRFwY>+JCo3Uby&$gz7sDuK?co@+seFQ=-t*rb*B)(TV%B8}Ir8ls;OsA~ zFA~ir;E&;Zjm?p^vxWV0f6c9*IQcC~!>L{ym=y-*gsj60Kd$e_IXm4|;HEl{I>+F= zI-?jsQL5H01%64V4f)z7<2_v6_NtEC>7EXK_?o_DOO!y=O$(QEOv_&h@RUa9&+l&? zZ(4b=)I{Mp|8eTYpdEuQk71c5M@a7DE1mdL#`ex=qUbd+#8+I+pP2f){cl_kAHE)E z1VZ64S%pojU?2a@*|_M(gu4j--K5PQe>3XThnOqccYt8{D$!^91`dSZ zy0c<)Ti-IGfuhO$@er**N3Cgi#F~Rd8bjqaKh{w!Y>QQ61a0BwZ!@vTA}AqO<5(aH+ez@XUdI-doYH6k zj@(E>Q!(qWmuv0cO+6fPUO&yy(lc!lnIyiJh;G6fN!%+gW%D~&<@nC+d4s3sEuFTu=ng4zM9aZy5Bx<)fD^O}8 z3_$M1BT8K9{ex%SXQoAYZDbOh88V$vsBS$h0jzqgS;LTNr5Ai@hr?=uRJgj`%wJ9& z;~t(_Wl}5kph^Cq0)e6v%XPTmtkKaKc`!d;o3UH9?hkmiBD`ZThg!|pn zci~l|@tY2du@7o#>#ab`PGQ&vwbmT=P*tVq$is73)aI?TKSf$RPqX7ig;4y`RW(^~ z`zV7C({ht=0e2#81@G`iucB=eCSXVpl>{_O}8-}5T?`K%2|TQFh7ZWLBF!vI}6PjH#3>8 zcJDvWw~MCFb%cF;C*B0c-0o7tskeK=2)ZCpIX4E*YTNw|E0_|&s&K~)O=c!18u@7n zREP!xI+WL2xspl96LZqmBoo>fxV|;>JN+qW%V#M}ph6+sgWwn#(Nit0cQH05NXLu; z9+Iqa5__}V0`kR_Iqvs?phSrO)SeC=7GigK=%VT8Nwy*JAe0}6 zE8(|(j6CXLKJ74+i11}VDVQ(&>$nji`GGv>k$UnXPhvjwMAW28@>&8D@QJJm_&C`A zP>yeH9PnhSu7#nk-?MZ?+`7aP?w4865AA?QM0!mcO0+3&7rM-~fTrZO&tfMp}{O6YwLa4o|Cht44iwK6^`% zi`XlBRYgl3!4e24S-i1KACVjPvp>o4Y^L63d?x{CAu4wCURjqkz>r@`j~w`HuX}uj zaTFEJGw|pNy{}l1P)&${-3bzs&w!vDZ!I4PJhnx!pP&DJGe{l`jU{RMoNr#p!W|}Z z=V&0QO2z!|hKfQ;OtBj-gfL>1M7+m=MGFPX-UARY`1cwqlv~)3pkLAYC4E6+-jRLK zuY0r;9uZ&Nda%1wh%}u-sYS@AWqjUCbW7|=ayL}AY)PW<_-vf*6RyZ1bNNV5QIUR(juA_R$L~f~$g_pX5>l-g z;kQZKcpa!t`xy~ivvIz2G}&W22J%8w94~}BmH=G_Klzq`QV;}Mmhh&-#zXcD z9No|QH*cUSrz-|CBDOrj`l(?l0)V@YTM$~%*9<5N0WgB6SZpL-w&8b(b%xoeSjZdS zIT?v7GjDe!Xg*D($qWTXNlqk@`S~kFF+NP82fHE!tX+P14hK$PH44NcKMI6+nT}$W zM+DDs#3E;k&fW#&`1+$PRxSxMPt0vBwRx_8iKSJ@vdT|-n3&0P(ixmzwh6;<;jr8> zTK0LIzYgnc{B2J-D0NW1l;A(H%e;MtLK`MCR5x6~AP3sZRd4e%Nut5UE(BtoKeSSa zrDCXZNGzs?>wBBBaS}%Uak+u5&VfD*y;1Nwt6(cIF*kMQLGbcEpxZNAO|Tk#v9i3H z#_CLBf+n`?*JmFm(y&A=`J@&YF&OH$ zj~ncl)e(qsM!?|u3tg{$yiwcC7{T_`a+F?GQ8S3QlgG|v@0p$CH9sh*jhvQo%a$>} z_WZd&$NHu!tJl1qr$fUOl08?Y&UtvHqZu~%Fl4wpaQU_ zg*CYp)yLj&WzIwsK(UP0WM1tmqee^^Q|znwNm-!8qb*%>zUqk|P0vc*3`#v?9TVkh zYbdzsW+cH7>%~98t}OhWG30i|W|YH+=l)kc5p<3Xlpob3&0AFB|ES)4o!K%nYGXMO z-cf1?^;1oxi$|Yxc#b&-n}T_PGbb@IYn_jFN1tv5>2}Ay zGzt0#O-tM&NPqFANiAm|O)=MHD<()YSPVQrLy(qN)VakSnc@Z;$FSWrc)SL_+p`bA z3_t*CjU<#?D3ip0+h7Ml?tN0sTHdRsyC$)3`e= zmMdt`4an1M*=Wm%G5{TH9DfV;k+Vd}poBo>92j$Hu*5e`AG~F(JOCReJ1B?fKZO|lB&I^lc z{#u0NE;{KB57rl(>gjUG+mz`KLcGc7h};bprv&Y+MgktoB7bML9dZ1h%fraySe;$k zqTL?(UUUB!kAfxD#>_^=0AWLk`GIBEu(sGFl9yoJd}||1e597pKNN|#p9wZu`XmU| z#_|(^QtW75D|H#OSAM38U`_TM972CjOlzRU4?)g`UGc5b-#Q27%W!@N2RL}OgHMT< zi705a&IXS!+W=!wy0BrWgk6iPZ;az0048XzBjFaF2JX0Ki~wnW;f?voztDrmv_8bA z6h@~oo_D`YsHU_>Wa~m9k-|qLo%!!CxWYNpl&kUVZU5o0CtGaZibhn9t?I5WijPx#w0Qf*@Ap zblr(7zg7b21KA}x<8c@)GXHTB3i^7cLJ;Mnh(z9P)dqJpAoZ?S5 z!ntfVS&FWaCHO*Oa5s{~G?Jx_ik!+#O1!F+Qa>eh;Ds%+FHW7OE`F}a{o%(R?;Gov4dSFrE-}G2(4mE zAn<Bz@p`l!~4jC`Z)Oq=(vupP2Bbw}X!c5sq`njwNep7OE|9 zi=`5cm!(nDjqx%9M{LHEQ~F-6m*HgstMGCbXB(DEq`N&ziLYE0TvleGs{;HA)?SSD3Qo}Pkg7m@eZlre zqs{m<9aGqJqiXAt(BJeVPkQGs^;Q${v765EhGEax7fwPX# zx_>%P0nhy15d@ceZ@eD4D4tQdQ<{aPn0OXsy>0hfMSCnPDtap@T^4)K7hpU*vXreB zW@EkQg;sPBNa0N#2lt1Q8U!IRH;&SKg1^0_BCGvDf^R;k&QqR@DcdSEXX&x%`f&)q zM@=Y`iGafQJx4#C_rSu%#S?rJncj*rh6GDh$fBiR`#ASnm*7~rkBXivg8H?7X)p5_ zyL2@9&B4pwfw$@_jwCPH=bQKPUG(q^4T`=NIVRj@dbKEkLA=LRt-qb#RKlNcKf~kV z9hS)&0+=)*k8L?=AiZftj5k}x3PDf-skGBliKWaVOk>fQiCV?4$kdDZzg7bs?_lc> zO{&q`(N+FW3?gA}qFW*XjP~07+2b=C%NDaTjzr4{0=|<(8h_QXwc5d7#b)r(+21tp zwo>y3ZqNHD41LTUyejLZqjED*Eq+&Xs7FSSUsZ3hOi@U6@>#^dw)IhD;#r^_tZ$(Br5{ z6*%pT{HahUzHMbK0(l<}SrkTko$+{RcbF#U%3lxE+ExOHpX>tZQ8D92!D(P>wlZ9a zb!!n|QU9Uf#E4(E1OSYF5Mm>bVi3ib;lQ*5Fp1a6rzECIot%8x#bY34w$rl<3pyy& z2HUNl7DYhG&lFhc6=mMIpwfWn=~mrWlef5y-au!5^xQwr?UO89;HEWN*vd6UUTOYck`pujT9WN zKJoZIzuKOZ$QGSL2~o~cYZQgS_DXaBn3-dVf&_T;49%b2DEanYzWK&EDZfNM^WNv3(oE~aZ; zeD~^)A)4PAT_reNc1yysw~g|Rc9!X=+o$}3K6?=^4@0CDe=+A(YGe~vVOUVoc?7wC z)e)d1#)FOg*iv|hi-Bz=K>im*jU#2qP8xIT*7f!=GR~0Z1(;#@7Bop?W$rQ5Zk~N| zEz!Tw-~R>iR**X5Gf_FtDaCES8uv@#!h1%;1_nq+BB31J$gL51<+lhGX44XesmTrq zy(e##ORI~OMIisu&kFT}C7fSu-&3wEZoV2r?8DRM_v}@8i?s}A^n~oPbq#~t>!3n7 zY}O2&jk%}9$l8$2MX;>xZ_J8F5KYtYH*t9;<87Rf5TjL#^+pX38S?&dj9LIG-4Lli=?eH}>EU(FiR^0!-O zr+RC>!jLwdp2ACr?CT{*Z)yveXW~ zoym8QkSBip(he;$9}X9K*F(JL)~WXu%!gq!6w`Dj(+G%{$7OnEE`9TKK;pYx#*$(M*Hg(sa^ zpM;UvfXSZy`tl8vDF?CdMb2=Y3oWErZvR^H+C`DCaX;tHLNzW0UaOc@NTu{nG$MKv z7NSC@2xeNvKp5Ue%nu8tBj^LP*Vd>G!t-z9(ltaGa&t!21n}?f;usUp5Z9Iv?>4`% z{sPp`)_3qd-a7yM;dX{)fjpM9XYl5~YN(djKvMWU^WyYk#H}t%wBtDTu5^t!-n7oC{&OEh2Iyl7j zrg-!!C4J-b_YSY?E3u0WT`BjbLNePXx=(+`tKPA4o4?^Qr}$h6r%iYNX4V?UVhZm; zFTbbJEB6cD{&EyY6BnTy?dmP_#we$Fr{I6Ja<#TrAwR@Ny4XY1Jpe_0AYKIz-=M)$ zcEx*G`1oc*f|!x8cBZ6PL@+lIwO`MAfQcu}vbKM1P9gIvN5S69eDs$~rhlpcIfqht zIt{X9@bSJfI}#yoGtV}nDEQ|2wM8~OAX zf$n@e8~^nWvAcGdmyI}>l@EnRf84a~Ia*HNoo=z^N<2<~e-*o1%V$)D6#zJoNQ?># zi)bAdzkTICHDl;`_WKtb>k7{J>fHZaIKAWmDY?Ug@i3f#iTLh^nPE6oHQ8Bmt_#l7 zkAK&)V?%IY*=3&rb3-Y3^g{nYmacNvt@~YW%VMD81hN}Nyl_!ocW6BQ#bp&lZ_Vpn zO-Kprj7t`6t~m{96lM<|CdWEy-QSxdvV`agiyd*I;CANsPMB(j!*d#LSzQt@oKVYt zexCqMaWLuHCD=4uXjI8lRM>ie)Bx zFv=*MRH!$Y`-pF^in6Ygj3)WwIf`P{xxM|=X6k{ikRP%p6_ z4Nm(5C*$0Q!^PYApaF(u0`^1X&R39brAXJS?0yH-YKwZV>NzYN{QIFbZ}OR|r(#+* z$S3Sw2{nMKS_yS{9Ea;N;Gs>r73n4jtnB4%@Xd5{?WXLO+7|xLK}YcVF{RjR#|C`k6kDC z<+(^|-2DECW$0TO9kS^;?S4{6u;>H&80xizs2Y9HqV-FT=r@U!MU$Kf zJS+4vw_QdnkrY1iA#WBNQMEGila!~7hsiJnxiW0z^`BAIL7sSdgD!7Ks-n+!Fxkp& zqN2}*AlcJ}_ZIZzNeJ3!vGoA-02PuwY)iX_&67Q>z;6xN1G+570K!eg7E>Hg>w>^B zN(#0KJ?oT~Y+~6=QKk~fE$S`w`}*bU(47(DDl!^>GUGN+f%LlT!V{L4-(nBc>#y-) z*)>!wVvmp~hR{p7Zj)DTZ`Icq#++pufZTHyipzb5sQ$nfH1Mu6%m13{=Sx_Q)oz_( z2EHoMNNaBa&Xp4h5a{a7d7<~%G1K>(vA0GJ{|Igp+-@k)I|uSS8NWLx16MP0f*-7) z#H3FGIbCZ*mUuN5u{r!jkiD=RX|Jni(^LrU3+c+~CvT3&(SHK|0^?Ur9;7xGKKb0! zu-ZBNI8=WO;IUu@-VE$>gcJ8XHQaZfKj z%%gs)DWonKqJyGVs!;{BPmtJim^4u{l(iJOr(gKG!N;&nOLfm83yVCTMxV|{D4rux zIZgA(7A!d}_2=3}36-2SH#T0LmMImJr^3Zf&G%Ozi=lV^GV6WktZM`~c#BfwdnkDMWf_QxBY$HGDE&oyYMg7F+|XgRs_bGNh$CAFUOuV2!C4#BA>C#mk2%HWne zr92Qd5$`#u2VC9HJsm$-(i^U}uuK0mLEIv-Q0E3sUzO-;b5to80!%sZgsq9suAT$99U|>IxTi9dpGKc2SKg`$>Tm?yEomLt@^?4@(m3i*9%P2;O= z%s+=72|Wk!%ePBRwIzBaH$GRHqJ+w9gg%qCdgRR7oSIW+S3Z0$G_p6IrAM8%E_8Vg zIz6WLz0d!!eExI)*C4gOeQl0UFL>2#jRcwi-`kX5n*B0v{82+P)Z8!*GY4YCQ7AvQw|eQ@Ew$4&>}o3zU)w?vM~jj5V*-M4|ogM0+C1 z`Qtim{-s5-LxR)epOSf%9GrI9YmzZNZA_fyMH}sUEFM-l#oM2eb(CX9CsQk#V&VI$}hrIJw~z~#2Q96vTxy(*p34QBuA`cY8`3s2EbUJlO=Uo=TgQM z2^m7Uv>iJY6B<@Ukvg!NTTiKU`PMx0brp@!>)XK@nWe`SIH=6mn9UUv6!*F=2wU&<PYoPVVcA_mr--udb@^02tR#-Q`RnaPJI6YCgCi#wXF4VaWHq7dl9(^cav5qt926kH>27l_B}2wtHkZ_}mfFuufT&Wj%Zb2O>rjRRX&l87r6YeFb9{}dI@cUB6CZplUm1V@2iXgQSPLheQVi>z+^z9tURb&j>(pxI zIHW%2)Sub<={z0KvRg@8WI1POl_R7<%si4+Wqfp+GsxTS+J#u8j<6-ovl+XA@L!b^R7Q$%nuk zU_M(capwSc2w_ir?&h0q`C9!?uN{9RH!+9KsKnfhd79FnvVK$PQ3dxQlg~HhB3eN7 zwY6d7e0pQRFTTl&s+Y5`X8l&T;b2dKkM)gVbkP#W*iRRDK5zU_%I_z5f6c+@H&d8E z7d&ddfOM3@l6s>Qk90*vn{u>q*qr+hCG)8h$@%ZQ?j<-^cY(X5uU#LCm04aT+v-&^* za>G~J{IYkylor|Hekk$u?;@(mRxt;NG5RJVV1kFTh|%$rj4NHT1R``Qqh^eg!pP7( zbAp6I$c6L`H1l595&4cg|fNv}ZejKnE`5S61keDol#4q+0cMyGlJrrjio~c`VN8 zI-KUy7RIVlbX%WutA||-{rGQ2h9<)OplhPhHYxzXTKP?V6Rm2uZbb7UV>b0V&={vFI8pDj|X;- zbyW!a9P@-xApAiiWwbFbrQx)21AC-*GfEMR=|XVJkFVul7ZK&r0!_Veq_yf2 zN7++{(jjZ299yYS8M(Uo39ProPdn=gdS~i@nj%>6e%=QsUBe9+2aP4m_0h1?%%em0cea1` z82^et(if{~?isZN!|hoaj790|1xh|wx9rG=CAGVcVV6HMvE$@ZN9Wss%4?!n)@|NHUxK6Q^`Xi85+0dtncOS(;1BX$ME4wJH*`m{%t= zXK(rEV*OD+ORa_6%MkpkNKHbPmKNdd_EJ_i2ROm-D`&rVd^>9C!ST(kqn_ke6(@Jj zSuWV=g2=L?2ml<2Q=n?1&Kyc?$kL8xtY zDmgbtQ6qk+?Uh%~%@ZaCCg5aK+WhRdlVN6DLt>DsBPTVNAA1n#rh{+exTJA}S+r z9%{ejKafXCF4~b$2*O%9yo5-O)N8!}L~xhqc0OgDDyaY_nbtCbKmdur|rfYiF!tBgy3Npn#Jt+ zE&LYeuqKjx1+a;qn4UnY!|qKKjM`o=hRy#$a}>MIVW)f8 zS-kGOyC(ZuKx5KV3!!}X63?Qn1Rb=gE$yI@s~gh}JJfGr-njuT7PIo6r!< zr$q4|(}>)(cf@Y}>9Lw-bes4WH6OokAfidsU~fH-tf5;uZHU9I=ZD;BGzRCnM{eez zkGh~0sz4=s2tK?yJafo<0eIMZuM{-f0BONVBEFYgILUt>UreP3GN5LL-wi#whp5`115O0 zeo@PXGWK*2KHc}$PnJhi#8@F8q_c&B*o3A9tO=ws{<^(8jOVwwZ+D-n>+I3s$DsBVk@o#`zEm*RDBrw`eIKC^rIE;fXGF$Dr9!J zLtt1Zbz=9wDwBvsuC7|28!!X1j^TDQK3%r4Um#)5Aw&X=Bo9q-0~`Prrrbj0PamiO z+aDS_$;kJ;8W$oHtPpoMSDjfg`Pr;9lN|Vv$b=i2>3^S&+k(NshVrKCAw&MDy4opP+^gV+-+L|9CVd&1O!I_7q z8^8hERtJ8D{7kA&C>Rwx=6!CqAJiOo4OfJXo7QcuB_&%|r9ZCu^FMPUEge-cE+!E> zYFdXkEF_U?mpCHlaiE|S9}WJ;k?sul8K*80V^d%$70jVSf_m*RGIh^v{Bf&HX`>c5(babl74kFM+&N|UUd^D_|BIqT zL+H~NIhIHwZV$RbCl3mfLp$vbRnx?Z4*DqpS1=;xmQlSuHSc_vZbm1`OszRn^t_3@ zrN}Bz)h^!@Uv;ui`@&faF*a9JjA2X5k3w)&dYI{Oh-|)Ts9kJ$#$RtOFV^pC;-96t zz2EO=IJvm!Vk!=#?;p5n!o&kuMY2T1%rYE_gcG(sIHi7s7b6T3HKEDv3nK)c{wkf_K)t zLYbIYPFb*d33^D92<5Ti^2;5sPhrVB!96{~mjUZ~DIw(`g?XhGtke8HWXCidRuMXr z%`_>0Sdbx+%QOXRcPO`!D9PwJy83wO$g(!_5|$#z6(8em&dpy2`Tn*SkX!!oVtu)S zW*fLtpCbE%M0-|0aq6a#V?*qKzKrs7ew^a$VTjCro-)z&TqUSUv912^WWAcj`Lq@9 zDoHoXxC&sS2SG;qI;m9G*w>lS>@v9}R5xnL{kIQyGh_Te2gYikr>M==mP-NmVze*y zFO@mP%hTol&ZSC|UMd$!6Z39|eEi{O1kdLzqFBHRg6M)iGsB1Ch=EM^7KHBdW(zNL(7T*rcqIEG4 zVS>`?RD`;j^U$c2Cr7JX=d7D$PM!b8AflG4ZLk^qozrF_GSeZHVZE*xZwewRO;4+q zj|w)zKP1PNytx1I{IlzxavWRv4Qu21~ZB-Da@|STh-Ls#9!(wwWfrVz8sPa z@J0ftk*FWJLA>mI_i?x~al%nBh&#&Wy{L$0S2W>8-^lGnVFHuNl?nC|ItF6TC;lt9 zw*Vc29gnpLrNga7V{5WNA9P6gq6GH8&YryT6}HntXLs?y`l8_{$XtxH){4 zxKS>%4z`+~bzDLW{J7^*C4Z3W*)Tbd{R~JKoP?z&%4^d@6)9=f!%Bad%tXsXlp(*tKZeqr2^TY=%CQ@@ck`9EW`PP3hgGh@cZ*cb-1ynQe}^~Q z4A21LikDrE!d%#Q;_S4%G)#4kic@D%yX&rlMt%axJcBaPto2K?$j!1?@mROw>e&yx zYiU9vTWNDzV+8kOa@b5IWqZ+{%!zxK18(YN__%os;MKu2 zvqqqu0M6f9xBgr*#4Oc*czlpK(~A15o$i2IwK&6MLQh-t{^*dTRc)olcar9IC?sev zB$^c^&kl*rC|q|%@%!)d#+O0oMB?`3rGPubbEha zV-9?tshkui_CSxAhf7@J_d@Gpr_?U}tZIqY6~heAdA^BC#0hWUClEU?w+q*DkV+7P zuUNXL>P&cH4p)fk_?-`hp&1HC<$&zd@72yVMtUQ89?GFj-yPA)P>=VYh}vF5YBpFJ znCiC>izX?luIwe*hP4sMXIiE6;~J-;PO?JQ7CvmUaqh}~F~#*zz@wetoJR_&+Af0r9ZE~1Kc zaf7jnzNSo=`1D~^jk=SngTkrGfsKJs%f607!hTay%b4QE-Tq`!je5kxgi=KCdGtppQTOlQvl2dj|Fg0)vQ41=$>dVGHVlcQ`WSLoleMe zE5OSt&{6VqCp&gI3P`Q|S`4I3);4{XO?RlK9Mg%iW50Y~OzN8=cV<3eda|}axSs(> zCuMfB_D0?O*D4!Uiub>eedl6%@U-mUg6W;NKTn^N6cAx*^N50y;p}*#q+PvDLU?Kn znU)Rr!UT0r+DQGGV}Fs41pdLi2>EFrC^Z@A-W>w%ctx>UAofs1OqhJU-`64D&h8&N zUHP{8jWP`me+ogyx6y;B)YV`?G^Uvy`Xm{qAA0JJGnw z_a60T?w@zx^P^hJ8qw&{@IX70Wr`&BumlMtDeyH>g)h}VhLH=10ndMDX7I>!QMUqi zt(P9oCy%5o$$85>qyS{1kt-M4jCf1GvzlwZEwRV5)EAV@P9ZVz;=I(}R_GNIM}QSz z9%Bm5f9;|~N&K2q!W<@>UF3=nD9Yyx|Ff6eNV$%29E@EAF&p(nYz9C+9kv`8Ma8Fi zi+XXGpL$v@WMOrRZZOMS?1=2Cf7uTkyqx{&(-mdREuw6Wb(!eeNoh-_vd(RA4opu?zD zHO>xq12{WtTb&)RE6tsh+f>glCe1DXO9kmWhcq|sxNehH2oGW#ol||f42gXp@39nS z*-sv*l+`AmvBxkyU+)_QFO||T1u{r}13pzAl(mCdV3>B{e zlnv;Tt_lh27QNUM_Yo;VCwMhu-?Tm1{Orkq4)f}+Uk@ z-4?`pzlsos*!CvByN}RKmE^3`BFR=7rZ;O9hVH#O6WLk}a#4%kZ03Wy2~_m(uutlP zr22aC6dV8}|6c$h7~barVHPQ>jZ;I8i)@d$?TWOR5JwWb8lRqwCXmwfJ1N62*m7@v zG|pRrHgcvZC~lL`m*d39(G6Fx)^uvQUuuv88SPYjoaN{i2bcPBmZzKaCuI%uJxkjUmO&*;fUn6d-CG=<%>IAus3wBdGUXa_9t|-%+Y!mlOw9G_{ogk-RZ5G+R zh;t^$Idf6)?ioEUTok8++*(BCa8|4nv8VFmRgrDbvL$vpMfGA-eRU+mgiPTw&bGx1 zA?vND8nZTv+cpWk9ebUkdRms&*J)?DmIgNf*(0(O4HGiDU5+Mc9p|aXF*2 z-Di#GES@SQ0+Q$*a@LH$}2 z=4LeET14LQ>2mp$l(`qjEXSu5{QbINKO9wYe9Ek5M`G!CK0W3F5^W0!NTJ#;p>wf@ zI0YY-dE{&_p=Sy{VR=cM_9y|(Sse+uIGSfH1-o%nff-Z z?v?WC>Nq+sJQu)ht%UBD(Ag*yOM~C)TtK(OEF!Z%$eghhZrpjS78=^E8r*bMeXK*c z5xoZ`b~>ZXQdp`jPEoxhPRwdvu6VkiSaQb}DXI;{A~UO5 zE3zXE6Ed?JyJxmQa^mf_0%^Ut-Hw?>AZ2b987NOGLiR)joj{_f&WPKlh~L5k2?nz+ zAax)SA#1d36si5iY%E2;q`{3Y{#?wKXlW+iAY;PF-+3@+brgr?A~iCnaP5#@#M_Rj zj1zAwk*qetS(W#rX(#w)RPoI*8u-1kO4rfg814 zkGeU|Iu%LnuZw4#WyN7n^4_0Q9L`7}J~g2@YvILVZFJV+6o;$QWnTh_Gvit*k_cI~ z8Ngfj0tjzC^e7HnqxI*xM$IV>w_*Zrj<^3AFM!1{vP$~hj-KuqjGiYSDv==}GlLP6 zZAL+RT(|HVf^mF+wqLs0pMS^F%wR-usJ`_X0dXunfTJ|Gv_R@84kVzFjaMA3n2sn8 z$K{0sRC9D!bBe5PoZ@gsoG1=^BTP+TAa)P&5;!M+YNBHs2Z);3G#_7~gnqnu zds$Wnt-^W$$WXkk(%BO-<79Li5#r1osz*A0yuo0*)ZeN=3L-miDskf~H4 z3YKP^#Z%C=inkpRW2cfMgx6*5i=j>>7qk03Xttlacbp}8)sx13(G@IIiCdOSKVsx{o}SGRsg(&B;?f4B9U{OlXJeshCDKp6gdc6M|;x zgWNhMaO1QvyC^g4yRA;1GRknDb)(z$cf{$GCXLhJs^+-xBPW19Hf!}1`9EaV7ml|N? zSHpG+6iXQZkySD)24Ha3ap|;OS-&?z?*O8UhnnO1T_R$}bs*kAIj-L>y>5&2N+L8G zZBl@WLQgvHj-8Gdc8K=6$TmeXr@oz$&{HDY8p)hMI-%1CL>8&4bk>#ivHH<%kGc+P zyE4)_x}o|mk=~1Bj&3JJ!fouDNapAUT#Cs0)oh%V(T(Epyq3L@o1+_30xaDS$sFA% z3c$N9k~zAalOk6|wghz49NjJ>P}TBwbbUrQ&dfok8e;D>!cARGFU&?^YkY1{F!4Dl z;0_>7&9M}po>I`=LzKiuu)lmLmp#3LUU3Ecuy#+ZbeH` zF_SW9#D$j?Z&bY8QD#*wK_+UpkYEo0^D}!%ClMybdUAt z8frZis9JUQ)|g3In)Z6ucLs*$5SkgJ=s8!sDis>W34}5qct` zzb9@pLIGsE(uEH-p09WXK-5)pS2yaA&WF`)WDX!Ue_ItXb#z-N376yrn_D`%9hcC9 z((QOm9h{ZXZBxYSdV*UtS{GD}GYoBM%jgCm(~@w3iCLsYXvPbW9uOc$BbfsTxTRzn zq3DKyWm@JUHwTbQB4PO09?c^<6{SsQy&Qp9r-*_fCS)dNoJyNhYS4{k4<@Q*N)7V1 ziKaRqS6D6=r+r{{T3rW3n*M5I%pSWMZilrzKYG%*Ph>Voa=8QVdYwAT`Pe*orPpm$ zb0c%&ZCdATJ`Snl`c-n$Y>ZsU9NpIH)LzcV=IF*J0(VusjLgx^zRGE2j&67&Z5iDl zIWD0$wOtaU$H@iY-7DNq#H@FOPRFPkP~5&YA#;Q>@~sltT*WKv z1@zgdtlvjyMlwezCHi1O<_HBlp5__1jHLwm@&=jX`a9yp{qr%dQFC06Q0Bf?Egjtu zT0K(lF41zr{qyqaOeAx3V{UX%X+9Up9Nnl$OOoh?Tap?&s%A8pa7rBS(4ppVTPNkt ziflSic?n2_px=&2=6Hb!IU}-2l^dPWi^|_ZjKj78Ms<=blW5onAuo! zyns)65VI%JIl8Tlr%kY<+g@?Hrp3q+8bdEKs8pw`ijr>EQ=+#dMb#0CFw+Yu89+GQ z7*V|9kaB{XBb3a)pp=1xmJy1TaI?obkU3shB~Dv}n|&zccmd4rsE{l}DK$qoCXLHQ z`*N(Bj&29Vfoi!lk~z9<6xnT&A&}HFj4-E^dV6td^aT%+YN| zBqzjeRWiy5%34=ljTfF*!MG#;+fVXmbVGICoadvZ<~ax@_mA@PhcsHTXlMw%s83cePkkpAm5{*?MNhZB4o9=@$qz&>6Mx}nY%}UbQZwW z#sh>2BToqeuk6%)rOr(^hGHThMyOqh7eGC2j-^d9$MsFJjHN{HIhnvT)ZTxCn-H%} z(xK_LM6N&YXBOPHWh~WIO>QgHH!Ck3j@&vdol^uajbt5`9#;OIjhW?G$_$^6AxK18 zeRDU+xOgUq63qc*iAbn#TLaagk`J2@&(<4o%Zv+r+DS^=RL{YU8P~0Z z%&8$Si;NL)adf*U-R2UZj&4i^u8Yh}b$ki{+*%l!<5PQ>U}WR@ln;ZzZf|7g_;i^} z*e%`mL^4dMYHzJ=6_)XAy>WaxC)}L zRot=dl?hiOM+djN!i}E;GPw?JtD?{&aXj-(Fg9`Pn7~ikq?8#G8b(&sn3b8;*mrm+ zV9?dhsxGQl)DNE1a1Ur>y_0}DM8b^FF0KKwj@GSBbs`f;2&E|OPo7G_tx?Rdl&+QN z9a{&yJi)ltJ$$Tagk=U^1nyLXY}AqhQau|~NW_M2+q60%?9%6NnasKvui{}0S34#& zbK#*x$V%zUQ-bZ$td0m_Tu1@YVe?a-O#)=I0Ex`J;e-2yo$|!7Nap~;bSiBQAajeF zGRpr9YGIVn}{V|~b$ z3XpTs&0IeoASVR~-xEIv5^4@0=j4H%s#*s@Ld^l>f)re#tlAN%yc>Zv@w9Em1B6)v zBjDlya#?`zz1`NW;=qM?f%1G!fGq6>gg4f<3J}xHNtv~VMBI)C$n8Z1w!xY|7PuSVx$L z93C82dk!E+H4yF9_r$kgq8bsBc~A9*1fJKzi>NfH_2BW=A(351nbp&`1#OOU0i-Y6S;{sz=b$Um)Pp`?+6C&Lfg^cf0ykOkM_bF+! zCFHyXEl^1%Lx9Sj}Zn1ZhUq6%v_ZdZjHcjBho__(E(J`~)p3AgkSqLT~Y zwrMnOWcl5f6v5rN@dnW=9Jd?&^7LilwzeN`H-y`%Zru2Ri&r>qw}jjA(Rq4TxYG5}GsC^YyX=g7y_$-+1)8 zDH+5a+<4VsKjUv~&bUCl9UqO`1>trqh9nHx9dC|=VDrL585gz-khD3tF|$dVgBz2d zv^jSlSMg=eVy)n0(p*yz0Jj8k`PS?e5nZ8G9oepkm6_Q5*D>er=KDpzOwUIfv*=gGXNcEV` zI>O__X>sCZ@r`lPh;9uB0>*0Lb2ce88Qr*wcN|tELmS*Apw?A~aGTMxMvJu!H^|m$ z;Rer|V<~r%DOn~$&~3T&sQzdwY}C+A>Na&dE=zZ)zoOB~(e3mgU@A&Sw+58Ck69r( zu3$T@`>Y!Tnwq2AMV-2(+y9D2tBh`NyDjziD`jqTUd{2s9-TTq+S2tROW}1a<)%0# zbG)!pi1Ssc{oP)`r_*`>euc1A%UDW;Tw|3qN4K6{*sW5---ofE^mn{4D}mhY+NZIO zZrm>%5xkdTq&T`^Aa|AaRayr(@{~s>yb(ilbi1ije4J??F*&+j6DK&Hu6Vic)u7w1 zgv`%3i3VT7G-)qy(PRhiF8Ng=HPZvB;21GH%GVA((9tgW}_Dz z-H4DGaWrlYZus;dnH2{kM>jFLzgmH0WR7mvc;+BdyZ!fc1B~w43sk(nwNF*txH-D< z#RNXoFiRcXcpkDtG;1QAquW_=BSMUugWGm-JCJa5bXzTF9Z$G9y4{kwyT#4QjiVd> zT&ElM5xSifZs+7!v((XTopjqbS~te}BPLN>M>pb4cRcmqeRXh~Q4qbX#lG1MAQF%^ zIIR%8OOkQH5sI_YOC6zn%0s&jYc&TD=0i8NtPND&(6&cj*pPU^(d|q>-FQNKVYIW* zjcRE=bpmNxLiyX#(E`s|*JXl{uyt_bdB{N~;<0t$7Llz89o?2ox5F~QxH-5{qEl{; zZWMcsx-~aPx6KNq-N~JJMmGdjqo1T&pm*rDO!qiuLPj@KzolilCgPVO9o(d9eVGo4 z`FqkWZ5eKmalf!$SI@+P?^w!b9y>&895a@p+huVgkc`Z+biX2Glia^M(mA@>sBGLE z-T2UUgUXGOIl3)VF3cE>wvKL6t$F}%l#XsaK2QdbyTa^-u)7l3VM3!-&XUkgTCT@) zjtPwM8^rN)98Vn+w#$Z70%d2cOpXb7;auV@2i{xKX`_}c-MY={^c8Vi6UiLicya7T zBGl0hM;%S#Eu$Oo?qrk^80!F7qO;TfDUb#&uF2k#DAb9CFI^VjYSxMg%huTuhJZM;o{BSJF~a#>`jwLn61 zgkF&=&LssuBNS&*@Yk>tTLzH-9{>RV|9Am>lh11tK@`U)wbf{UCH-MzF4j{E#^R+a zYmD99%x=ca{^;(c?IJP=l@gGkCIx8`)TDwQLjnuIM!GRu_g{Z-HqMzXPy|}deKf6Pc6UV1rC=NR3mo-hX zW9{rYaTyL=opMWe2#xp*!Lxg1ZnXaT-R`+~t~GZb>I9#=xz&3rH`CU)U9K!VE(Ojw zM-T5V(5`SPuwPdH?D^F!7|Z5#iwbO=d&W6zvIA%wl+%SL7leU`q*#Z}>CAJuiy0*$ z>qg@+`!fG(PEo4G0ai?pWL_+u{SBy88isM&rkZAX0S;NVT&ZOsa=mB@R(>QVmXul= z-i4<>8*oM_<{@T`xHdGz^7_yyEUQbT^gvW=^O{zzT`N}>`sF4y1HJIFSgDp5DwpO* z!7_oa!_3q5E1QB65~}{Z<#Q;mL|L*$Mf47m2hd}9xcy-8yAQ^HTjb^OAo>VX zlW(_Hd>DoPP&2~9k_!il6K@Dj!Ro`W6DNPw!NF!QvI9;{OzCi=Z94ERo?=@LN+fyb z%@r|Z20#|4!5XoXB)*DgoScGGkGF6f{sJR#m|?wR^e0EAk?nN-V->_ zP70j@CUavgDUR?8#16(2%pv*L`l-#EfXYflgM=q7F-H%JX}PuUA7yVTpbH+R!^qhN H00960l8N;N literal 23492 zcmeFYWmh9j+ci84?(XjH?(XgmgF6fk!5Q3PaMyvs-GjTk%i!(=mzVQ;e#HCbURg&5+b)Ut4KwQ+JJVPj)rb#*gmVj&T8x3M=T z;br9{VP#=v<78%IVPhjv7bj7$akC(iG`Dg4x?PY6;(xVR>;CWXP3Zq!{_kQy|NoVF zft>@96EN5Pwt259D9CZ;WyrXcY6UvvR~MM-65)rF;ZAPuBSOvZLnurOFvuu|xK_!S zY_ThTF2d~5$w?Y$h%Kt&+nacsqhFZEn%ZLf+~AE5L=$Qa*{KZVbu$@HNm z&Y(AJD?dJi1Y2I;ULGcZ2zN|Joy(7Ic^{yw>Bq;T?8|jm@5O&Eu7(Vpciv$Wp@%X_tTYP71&EX9fI&=6=6EY}bhUENE7?HOr%qp?dr-!MK;; zJmSnEDA5GH`C6odX_gY>p9!b5dF6Sy-U?dlj++n*>d~MolW&(o7R!u=ERx7 zS!VjaUZS03HRfI#cH2^Hp0aDzR1@Fwsio18-tqv&a@2oNobJw%Cdaq0O5Oj1a^LYS zw^LEIY5fNsd^(Vmgz+Mco8w#3Og+C=srbcmVRr3zm-?@%x2Kj>uVR8w`N zY&GV8P*#8MM7zzWXO895|DcYC?$z#!3jgu^g5Hcr8=MVIm;T4|3(8*OT4iUN^dHYQ ztr%mYeLZe}p~?Sv8dPL?=low6|8ErkZ=(KREdKu?H{bKBXCBPtt{=;ZOD{@Ba$o#? z?YTU0+40WWQs1fD7{g+y{{|eso9~$=Kj|?o_?R=4@DP+R^j3{OU)tV(+_@bL(rn{O+il;{{taS`mtiTIe+fv&>#->?wyh3d9(@aX`C&cOpy5GK~hLWSaHxO3&SHwJLTVDi8F#z(W>INq( zxiofN*N*PjE9!c+;&t4VD;W6RA!xycf?)!pOdd|+ycLn+R+f?Ta*v&S0F;ta;m||T zMr!rPqVUD2(ogCTZ*TL_*LgOm?*PA76U83^@ekeCgfICKYnDe_@D+WMwxdoLlK zp5E-+-F%N#D)9ID>N38%41lL(`*i$=&?*92;ho7t4y8#eJ>0tEyow%cJ;LF@0WMRL zW@`#o6}C6Xzvh8E-I{d-7e1^r;&q+hi0r<353+&){ z`9&X}YOLToBfPCg11pyBk%A;cWc{{i!#aral9zH zhuqqWTR&DpOteIJ`n%@(wcN$gVGoYchA2f%` zsBajhz-q)Yl;JYuHOswFcfCg7%2Pp2oAtyIB{!>meh`ZIz{6hdd#k$$c#4{J&roHw zZauQt-xZdZ>FH!`4C|-qh_G11kQf|9W-b(qr8?pk)B@H#>=FupR#Wg4qk=jUXVn^P z4+8##cchHnK`7^0Ed0Mm(o)^G2WqHn2Rp8gn>bwRY0 zGQamR1q<83x^XdPW&P%W9sB&o8IHp=lpQQrR9)!wtb!cdo#{OLgYGUsznNp54+(fOTxeBY}#!gwd{*eLUH!Mvr zyc#Su+IZ#4nuL*ZYK`C%&EZ_8L%=-W%_1t&y_t404)T{7+62 zyRBW&Av?*=FEBFtOk%{eDO!;@9_sd5oW`=d7aF)}YJE$ZLl+P`w#w;c0x@D;Rl!4+ zPYifDx?9WijfLX|?k_wdqK%gz?S8$4Nlf%d!#Av0vm#>@T=C>}Zdd0>8~pIze0gym zY;KXjh?^L||I%}Fo^4$$SYo0OrfGFs@KXgAb? z6$q6!fXTj9v%HQliHuQfXpjpYm>6qh#h{j&mJlMxw)6;=uUMww1& zoTzt}tCXt4bC`ii!G`Dj$DD%=&j@p}4s{qRK-9ST(hl+1;ljr62V3nKOGkC`k)>S& zeM5=EL^^0l=N%H(8g9+(Jf*>I9Ix@a=}G0#?Ak&fnt0Dk%z#)T4^*S=Mp{Vsg+f)U z5|XpAX@-d(ZS8wQe?v?Q9Z6S9^DZ04amNsZ^I+bH*eA~l65e?9aP}zZXj+efiA9{k z#;8iX>M5AuK2)NLg_j7gazK>camJsllOF<&c&}x4!4&TTTnutRAdyAuE)ur-BoM;< zkCuJYpp*aLA1QXjnJtYb)g%F=a7zSSmGRnyupZQ1tT3*W%8-*pIzQbNj zsJwldh->b4iDDM?Aucu+@{%?m!paE>_BPe_yEmCQ)LEb zF$dqkAasegAX6pOjE}R)Hl7KkTe{Wsz*V@u=Zwxpx7YLcWvr@Ky79`I$DzMfSAkG; z8O(JXU96c-20i^VJ`OXm99=}gso?B%gXHj;SN+V|keK;)T~Wu?2v z5_<#o+6b;POP0I=xAR{c8&<3Ii8>Ez$dN9MPY@w+5hdz^vy=au5CCSTPR^kLRvx6v zTq+k+-^8abvfS)A#y8FZP4d{e1peI^Ti{#(T&%WdgGriCr_|0Mn*IjJfv) z@x_pgXJ~l_DuoDa?C&hz!&^5;e2V)x%W(QZbuUninFV+N6)&iSr@^n}@=HzEefmnjlCVXqn|LH8g||OYAAblU|CoQLx6Z7}#;K_Jqh@9>&6)iQGG3Op z7QTrGSWEPRJulO_L1|46!Hplno+%pWZ)?#XjvZ{j7TdoG85_{A9VWaGcKp3*Vovo5 z8K^%l^`jq`|0m35Zjj!}hexnHoF8j7?}s44I55cecjVRAI!c+5>0FU(NJr7xVjXAM zl?b5kZRfeW_~+Myo%2lwTu$gPBw2eilC!AyYe3N|M;!dX4?`fUlx9ftR@dk2IO^^$ ztN@5J&*!72!fe<<1}7G9hm4i!9u^TE;)9I#y<#Mx1{SGF2BRZ_JrRAcurOq zufiTGO+s}uoiTNiDMFt7_!%l{hZ@#^H;>S%zQg!gt;xbnpcd*|iS-B0p(8>^3n6oo zX`EgiZ+T|QK?$}N5-N5?omcJFkU)sN(l)hNb8DzOr0@l>9Sb{w(HzJ-?LNq&d@m4f z27mVI&|stEbh~$G9J$+PsXCtAyt-g*R`+?ImnuC-aGS~|wR^WlEdelLV-ar!u;yx$ z3@`NAHcSDKVXq>$(mvqg4(_73yV}A-MrUX}jFJ9%>S!}85w3Qp>TRnv` zRt60*@gFyj+4_S2NE-$Pvoiavol5=-l`9*PLO^gOHeGhyW|Ass+Q|FBVvFWtk>7Y# z-kn{9~o20A>gwz)q>-8(t4t*u#Bsry5QRT%YR$)AlX@W{dUMc7R@*{?*LxlQ3H=v4MO!lqMx}J8g`$9^?I3-X9~aKc zPfriga0aFs40wm8Mi^(-w)e?3ni-NpcotD!6IA=G6g)xDf&;O)J53q8LZW5%`1RjC^=4EfQ~ znaC2xhAzNYa1!Rdt=Sb5&gfKv20KEB?Cd~&TH6kHGPaO$S>0G424UXj8Ca+sLq(SV z(!_IicT;qjg+SCLK_qoo&~PMh6fD9V9!`wFlqDybsv3Fjh3KxNPFve?6sHmpt(tUftF^9;A`{Si-$M731r-}UL)sqlaxhdvmmGl^zVfzJ z9jFuI4UwYafT3%8HzLoRF8-;xCJcR`JfA*X?tnsqFu8K_A(wBLgZn3Je>BOdoI( zawWhsxnKWYAz;9^h@PSnizr|DeMnbrI->8h7vpOg>}cMj^PNx7HO16O;%{nZfK`6p zBCps=9zm@n+!Cke?^?jXWUSx-N8?lKL<|}$`xt4dp@h3-gswPd-8ni} ztfQl^JMZeeJ~SDbP#O}v2bQo2wRh6!SojyC6RZ0WWzWP9Bq+%QrqE;;B;46g;Yfzay+R^z9RuQq)_>V8YvphXxM5dBWK0udII#G4FU_qmx?-UX zl&q-(DG7c-7|sto{nt zmC@zYP2a~I?&>Kt1y%R>Kbi6l)*23AufKHg^jTQutUEz{ueB#BRAXAyE=CWu!6{*o zPv)TEj9PxQt!~iC85PZgzw)@-SCLDY_pKZ7HFdu4PXCYOdF#VhgP<5Qvbfl0zBw(y zozgHl^AVc*f%4KS!5w1Z*}oQ}Q>699lePnY^z=apy7lVTZ&pnVbz`fklt!TQCx|C@ z`5J(~6wDM=y?OfSNfoQD^Gzb;Q0Vi9X@49vh;Vmz7!&(b5(9f^&a}TGS4tW)bZM>o zBN=L;mEZ1JP&=vKK1WNxX242+I5>!8_Lg2vQwG6546J`ptZ$+36AOOHhc&Srb7sm= z^vD;@p$iVh7IG<*&yZzpFuseAvfU8hX)DH~NNvdiw>isaN*E!J_UouGwIQLTf zX34BF=p002`jy69dAf8x(N;6;k$q^c>lygEoD2?n+q?(F(J+05_#`Q$!~t}V&aDDM z96S@=iF%jcPkXNa2FkQYOCCN$^+Vj$K5|6Ohg)e56Bhu5^msRL0%~82X7~Mzx|@3RULnEFndHH|2n_r< z+Lg9LY$;$QKsX`?8LyT_qSC5T-Yz=&=eyNGJ`Yob+iovc4FJ$k{y1b;%tYeb=>ysy zqhpGRC1veveLC7D91e|SlMtYYiBkaMoY=!Vj^-=PUDO)yrh09M9FQElebIT>$;7A< zPt8xV;T&->%PRa8zKC5xkzZGt&SpQrgFzPlp2k(X*3gNDap5+S6hLwGaiKC+o5VZ7 zHc%POgVizPt$TZLY`o^xfBad3_>}^++ip2SQ?zo#MdO5qlHBl{*iup%#rO--sG&Mj zxxi{psgl>hblckH$MNv1$)uMxr{|jvxt;&!WH(7jU#W`yO3{3zOn0~5=YAyUpaa`1 zS;~kZ(NH^-I>Z-X_wq97aT;_Nj*oEz`aZc5HRaCCZX904Nla4a#rv}O<0M^&>#P8m zPrv}x_ONrWk8he}y43zc3LAGB{A$iBHJKo@Xmr!y=9(u3|F@+2hX7<(ybt8xKP1lv zB~FRVfz`oXdx9g(5OsH=MRW4}wC1~apm!wPTXdJ1cnIpjR9DYMu7LEiR?DC722V#F z5z!XLOnrsv!gS-~<-8N<**Kv*NW0GJ(=R+s534^GvB%!ykUnL62!6migMDAZiLSB` z;2Z)OjJL&IUA>!Q>VxO`QRkgE=`u0mRS7b|1psN5fr3%dCR&>#pTp2{j1kdJ0WJ+E z)`?|-^xq|aHOU+(0?1*&D>MX?e91hk|KQ)xOhZpQrK3= zjf9^i-E_Q%ks+i%fPY!boTlSWZPnrB5x~v_DLT`i;=48KDG9o`UrOHOba0WWT)J3I zV04J<95(&nWElE3+cFUF4E3cc7;G4+Vg#Lc1|f7&=j)Iiy3pAvgwU(n1Ku)>+?nSD zPteQJLFcu$tEq%WgPkHj%T!sP5fSxx)%=jyqw&7q{Y8Z_`jNvz@ndHZ_H_zF&u)f@ zUyCScpf3KGI9lXUpQ#e|n^9ZJ=k+;stagC0AgG3X785lg|AJoVH?c4YvLTXa5M}c& z7kmd9ShV6=e!xVYep%4atpy~`-`2oRdwB8wY9dm+GK?M?hB|&0^n(J_n)3Y6a0`v$ zfTGj*iUeI8JP;0R3b0GuK3@$EaU26V766QxP4_YUi&9s2Ug2opS*K^|E)71!3TL<% ze8#sBBb@U4(@5qALp=MSFJeWjsJ8U4J4lx=_p-s9tTSq$j+DsbGyj!4l>F0G5_me`!iw9Tkg>*h&kdz_ahB>zZ@Y6r!mf4gvOm|UIltJE zYK=l12DW;ysxE$rAVfE<yU7A(njT0~5w^>7}PpO=P%{Q@|ZB_W4TZi{d^5zA#6lX#5QVe)E7W!_p zdPmSI*oOD&jW50P4KH~a73dWu%hIA{D6d3`TI^=-x+}Ol20!%}CUK26Yoe8Bd(9fS zrgSwhJvTn|!#|4$QI&bNTQt#q*lz`;1A`SOme`j{+;uA7!8d2>dXZ4HzfuD$wS4x& z>-pBWs?Wvo-4j8BpZ(#A83hzm72SV15#?jK86wtZ-btV(2Yg6mgJZ2{6inYBCz6 zi850<8Y|36zu#A0yo8+C9hR5_{s|IAq3b8)EO54a~z+8joI6Sq{s!xZf(b3-3Gx9 z2IPmoh7x2N2Sd1{yFSHf49IR)GpJJu!(?dfuaDG4c6DZKdl+621|)`4Fl!J~)7__$ zqJIH|dC122z&3^Qyy81usk|ZtjE}M9YHJ5StiBTr490&_bBiCk*3e!qRw)z0#&vM8 zh5S$W@{>a8t*_sL@J#T(at|G#R!_gJyfg+7#~54e*m*}uk-mD+DulXx*0pdymrhssAWFHd&yrZsXE$dzG+jzys|QGe?H9ErEEgL=e6QDHgSZ@sD0S6 z4Yg&l8G%KZa987<>R@8MvbeQ2KlPu%y-SRPc&}p54em1rIg>UVSz2@6{RED4PfF1#)+B{5+<{{1sI>l z^Es%xuJ>~sB>1D$vsF&@YN$8d*1u5S2EJrj!0mZG=b$hw)VPziVT$D!04rg8$&v`K ztBeDu{Ns|P2?18zgw%D|N*J2TLZS1M&{07Eyun&3n1`l*&-MHuT_xwq08B!c`tAIQ zU#}`HWODHV-QU2nyCI8C)ggXd&OJ}3E)M&JYHTc7yE2x^-yX_gIKZ;6+!PMhj1W{a zFl_Nqn!Isqu#;ljG(zDaYNA77%K%Np^z%D4QKdI4>adtX7I8r^RGFWU!sNgJ@nY=AvV zA`X@;D2n&7%1D7hKi7p}a)h1#vQ? z-e0gkuZfO3n*Hwmn1N2q(84cs@%~TXSN=QCkN)>1N86yopS$A3z9-^*{U^x&PbJvT z`XkCNCdTs4VLe@^$EZHZKx!?iGgYpTN8m>9qLc~H`P`4wiu~&&mRWO*I%p0b&wH1Y zIABzl>_D~p3=H={VC+)BCxFUfBK&C8@MXS)KzKc1GFu0f%iS^jMjx7FyjDHI6tLqb{HO1;0GTg^=mj}~u_XwoHH_1?KNL_M@AP03 zt@!(8@z>(EmeFL2{bw4JN!KN3{E_rvLo0Q)%ubs+cYKSjKq>#-M%sRx8lbxB=529G z;Mt-6Pww!bE1yE3tvv)&|rg%WMkN;8LkA|A>^2@H9kPaT9H*Xd<3!kd&aQM5GE0e%5WKMi$_l$)C zLlXgF_rqtGy=Ou|l9_vM&5{VWpmE9q`{12^4#*&P-&=QW8MF_1=m@~d=ejoEs<|^* zT74Ln6;Kz*JDXs?D8iV&6ap;hPA|JH-DxKYWxmgCY?%Lq*`DoG)z|Fy1U`A{k8$q{ zqqm87*g_l|Mn>gG=&>jvE3U@$#m#;vC4-fE8PP2O*l#ok7ggs@xjS1MGD zLt0D__=^i-tMRUI#(MWR5)8OqwtHVr339}8?q4YiIZ{fgO{doGHqsYP`zZ3y9qQPJ zpN8MH(Vl5|*LQfQ5%o5Dsf@A@-xZedpD(~mPF|DESN%oY{K-UYJNV;eY zXyk<#g<&{4#*F{ibAg-KoU)XFbkN(^IQoAVm}CPq`!UI-k)7n>a0})0+j;+4vRaQd1U3Vz11t(eV@5k zyEz_}xV(#ZWaS9)=`5_!r#kx@x3T;F-*;?|cP(jHdPDF02X?JBi?DFG&C?ORQ?)D* zgs7A6jW6J9fT}or9DU2|B}_p%udPM4ccVrjt_+R2{xqI zmG)AM%YpIqE_W+9QlaWCi2S0<=N(-S5a82-}Ix|o!T-?=`Vj_S-H#FwyntgXBM*W81ZTbd%Ry{g(!wg+a-E)?%;=6I=) zA3{Cn{Y}o;z{ppmRxvrNFX85m@a9~#4w`a(wrAe={yX(69z?_La{|5z=kJf~Vs1vg z3bLR*u571Go1BU^)u@i%Bi}q4v@fAIZ4)W0>LOXwdlgJ$c9I=xrLOz| z+l)b}eUB&bJnWCOMDRkyYVW_@PLY9kZ;WR~i#rk`)g~T4hiEV>f70Qq0|d zqLMsvSX3hqU>AFk%bV{h#CoMcNTG&1sn~ym@R%k81?n6iH zG%6(i12|z@=q7d3Mpd69@M1dmKn}aVOzCh$j9*YccZ~4-N0MOy+~k0M#%am`8M0?q zM!C$95@bMh)A;np{2O3&d|q^LJ6(=<`#Tt@+lxez@F|AoP1IwA0J%~=c~LC6jE?1J zJV&;L8F9n052>{fQNmbnT_1Kt%v~(rCYXfe^jENjm-fAUN&q22hsdu*g)LSNlZOv; z^FAZ~LyZO`%{CV~4dhJzXe^)>;FfB}pC(o~^%fOSqy`X|^rI?w1dp6K9eXQz8AaZQ zL86c*dxy9+mLpU4UF(p=$_rQ#AzFVt z`xrYfUJiA2T8@jbtH{@%yHi0dc@hjKlB*zFM=T*$EOaC9Lq8Fc^Q7=ILo8XQR$U|V zo+=hrD3quw;+jRE{77YqpjF0by71z_$D8(~ROMS&R<=Vs)iUT+BtFR62T@Im~oOdH=ELdqX4{feJlDI807 znKf5xK5IT%XsoE$$Ye4rjB-acos&Zz@_4qiP#o|@0bKS3K*WxHXFhn#oFuR(t#DZM zOEx#2qh*)}jnrd9oOpk=IYb?c^a?}b{7Y8_ELZh?P=?34yT5)qEg(8wy1W-=ik>N4 z&d*jwTqW{KA6P!oDYQ*_2y<&EVv;gM#fTU2d0Y8KePx$fwL~6FpHGXQOkbR!s|rUp!4)ijqc`^gMJ z+pOC@)XlH5LzcU-s>RV z=w0J$RT58LCd-W}rEQU9uY4wy`>Q+O@Bs;iL9)C(p@kosW}tPw3y04PqUbw^190`{ zOWR;@%}t@dUcl{*V%h^OF}Tj~CVVP4J{r#IivfUilkS*wl zRMk;Vg-eyMup{!RM)cn-v2;w2tLr^Q`IwO|EflA~bR-~CenFwdl1Q{xTfhmTB!*3a za&YkgI=S=h$1%>qIw#W(=LtRsfH47wQp3YN{>TF;V^$>ftfZ=!{Xnyq&OP?Q{8=D5 zjX^mlZ}f1Y`q146-Xy)6bF`~Aw0%?XDdiBxI$R{;S5Y@wS#a5TK% z)26ZwZG|$I+b;P%cVF*%gKW%)bBsgoccB5%7CejKd@{XcTQm9rxa4MkrkhJy9y6dC zby_pWOGruLwsf#y6us*(-Xr?*%xIqGWXHl?F1!FYlu(@?0rbbsNWO*sc-3D!?OvO} zEe6y`|MFKtL*>RHT2&|SPv_rxDb=eTt>$Oh_`Gx6>Bf86I_@ZdQ@wn2GbPQW_Iq;W zM0IFEx>W$;U}27nltQoM@oF~WSp_`Jh1|n9)bwSt^uQ14);X_P?xUjj+r!JRtr?fu zNue1kyW)?0M}L4vOBw%xhYjj_j3dyPVn4zX<3RNUUpU^fh`u!`HV^MSUci34fOOBn zPdFt4F1cDJ`#)YA>lsH1R=E`*(^A9^s-bSw64YWEicb6Zx6ZYXXL%a4kGfu~_aA#=aX zo;vzHv4z2JtB-`A-lVsI?43)X%N)OMIX-A^{qk@W z;6I=p{pPr#GpiK_O{^4hT^vJAOIe3c`rAY39*G;CqR8Xt`d^anDnKhcL$9ufnVaUE zvDekBk(Y9N^4Z59HLWxiO=21rC!2Z4S+5__iNSbbhiu*)K93Rz|cIcUr0EIdvLC z4UX!=NLc6=@p%0)YP}S<5dE2zn18J$N{3(XFnv1bHEnTjbjt&b&6m6wOl$lW*=lv4 z-FDS?MQc)3;y6bvSj#c=WkjG1>r&u@nLwQ5nd0LNf4f6PBCimqy!U1%J(>_?2>bi$ z?vABJk2!xkX)-ZG9r49aH+HKF7&$7py$MkV`Vt|hZ1Qxup%%RJa49B*bZq*IN zGF5&mf`q^dIRB4tC~9uvatpTMgSf5KbjbbK0}f9Aqz+C#&tCcNg7I@{qr`81gAbwv z)_S!UI5^FG6LF&vtgt_b5iHs>RL%sCV9b;w<5qU~-iX_Cb0T_Drx^eKL~p9sS9&*a zk2`|cDM+=dGE~8~?2}wc_4qprk&GK<~^b&E5 z+DrXWjxJ+YQA>9M_00uHn4pi=D)%FOW(O=%Q3pfBV+uI|NUD(yL!0YwZPSePv={~* z(tW(9$Ciadgd!K~>`FG^Z$UP4FE`n&(i=MgHo!KnHcZQ@6&bVsv*a*l3?bDKAJ=i2 z+!4tU|Fyg1{^wqnd_&S!uY=b2Xm8RPzE<5`+~J*{{A3$XT?=I9s=KinypBqeQL-!0 z3Ag$sr!m~Pn<=uGs%EFmQ}+y2G&{}uf&XB^L)O=>J4O!rS8tj2fLA_SZN0QtIdt&q zcuBYcy4E_8aoA8)Ymx1fn}wJ5U;0Qb2onf|q@f&1xjn$4T1boQm)yh(>O5X;FTr=j z?%44#NFSu)Sp`t_*lzx8t@0ylb%6cw*mbbu-f(p5j}@-|H@k#X{Fk@YkZxKluYc!3 zrrmJ962NqQcHmFhGp=q0Htk-F1pI|>+~}lf(;$53Ql72&+Y0oX?Pveo^;@X8o?Od7 z6&zIt(705U|NQjkRgQ zC67PFx8)_9oA@m|jFR0*sbsakN`AKE{IsicYiX_f=+hZ4)d8q}Y@m*WwcH1_BG$Ua z-WQZ@iLr7Hm-5)vG@m4m-JQ(pCGe-_s@ywbw#)~uU#EIRY^l#V00jo7_ZoF+PB@1fo8O>eWH+wlkokzaGlzik_-fiXF77( zUmX&ZNZM2Pm;Lc;L@UI*w=TI>fIR6=kB4lbE_oNFB~(9Z$rlk|fc(P~s+2diI{78R z5t*K8cut4;AyFh7*O} zl-jka1Md&-n35LBW=5ST*l(DeF%%6tlFDJu!w|b??IRwL^*ahZy4+2K$QuM!sv62m zZofEe(*qsf0EIw!)@(-&isnVt?^Hj{tQ+0{u0Q|kQg3oW013TzJfu-wsDH|*e}i?3 zpO7nCG)g}$=Q#rl(Y$x?n=GxiR^nT?Im^KdZdigvXq_XlLKWebrb_;1EUZIg#w_Wb`J~sjKl|zy{AQY88$e_ph#f=R3i-?hamyXL zZa4{Yj#Sz|@kqR7xAZvq=?#z!Bp}FULh9jd>p58@M+dOOGz_1Dy98-sYct46nzF&2 zViLC7h{X)2gH^q4qIfidweN6bsx$I$z>uJjJRLM_s!}Q+TW++Hm-4pjUeH7uY|>26 zC!XcV2~l#<$+;ftmnLl^@)3e*bC#0fc2>yIO^5JhfY&5KT8eMM*rV-JHwCVvWT^c9 zfyzd2V>O8#Ie(WMhMy>1-mhfu)fk3<80rMu_3Bm9zfy4UDPB%C zaQZw1-yjg#4Y=-wm9LKZY=VYPa2Ne6U*P$Tmk~H6+r1a`rNK)b@e)WS;3M8SLgg2t zKY?a_sEGuy@y|P9j=eyFIiAjvi$WPIF+T8`?^H~jfzC!kkXz(n>ZKCG2q`k!7?Z4E zq|UAiWxsii%6?OJs<3w^T@F>1rh|hN^3ePFsqJFTtI}6)^5u4w8M#U8f@52j?CFLm?8+ilpR%#BK2#rGY9zxcJCZsWMl%DD{lhFI?_~ zKT@Tgm1b6Ao}ubWXw|e{_qn~ivM@@{u5RRqmt|k}Id6Mn#kk~ncb|f*8~E5FSqJtA z!6s5<44dCKE!#Lpb+R4j&9x8YmK@i^>$kuU2(AqIK+%h}3U$GB%{`3RJ z5_a?`#6N1mbl76k-?~1#N%TALHi9N zq^f#e3Ax2~;b#Z^Iai1(bPGJ6pESY6w!7RvC~ld{6PwI7B1gO^OwkrvM6e;ti}|mo zWr~JKhZ>~Fs%(^)0)N}~Z;S?yOscn%KFN^Crw6K#nMaRYLamke?k+C{5>jSQFVy1wD=DSzl+6K(KLnkXAjc^tRzCyh$KpSgo$B$!Z0TXQTePzq#dpvI9ycFV%& zru{9(QRR>bmJUk$4qyv@^8a-xkx6sSKa_xJimjP)D0KVN98URr*OPLU5QX#+ybYby zGjzEz#0bt@DWtaNpZozi*wzkNh;~|VXQ3;ZdFi*+ek^M+3RPR8@c9NZi)Anh6-~la zHc?IRgAkc-4s$DmEV(qCt%=-KMfAWn|1^keP}<2c1h3K>mD(%!E!vILJz6Sz`!Fvi zCN$z;RDPC4$4S~hDBSTf>GnaPf29cKFjQhO6OmhpWcrM8kBNW6O8UyG*OSAVt!+Et z^uNS~kk4Iqi?u+>QkM_A@_y&|LFTmP_rLd`sZoYY4;vo|C1C>+L#!6`T^DpMTP2cJ z@xr7LLk_egXV>qr8l&^k>1BsK;{wyddK={2&(O1&ED+cTo8i*;Fs`hI?7k);gGOLu zPc(N$D*Km+n`>=cj@BGPLZi?$wA?E z0hywlLZDSFh^V?LkvPQIG{!qdiu9plK)nvD5qf#kH5v7G3+SBpjQfflR?ugHUl}E4 zWA(;~9QMek2vk-Qvsm3QzB)j*y$|H77hXlJ3u+d(hVitRO_VC6H}1$a0y&Luqp)#; z{4(f}A($!gRIK9~luj0sWjw=HalP!e7j~w>ckfkFlMFeajr^rExc))HciD#8=b!~{ z1wE#=R;B$DpD9DNgZ0V)(~ht|llceSbbvCT45IFvo{Eau785{-%>=I@VDXgE zKd+S7A@ggAT>4;{7-bkkFn^dT`K|kOYhoV!Ti335*m#0aje46UBq#vh;@yBhXd;liJKVBy)z4a z2tg88oEHKxn6R5@ZGkhOsI9$hZM)gndAmY2b3f+NtXIe}z10{2R)Ap?mosRE;->n#Z? z^uX$l$U2n4n^K?GzRm@fP8ob4GV*jwAaiD!(?NxGfy{w7oUJ-=ODwkeKK!7B5}q`t z)Xcbml$YrfKu(K{MeVjQ>XaH*__P6~=8>jcTyO7SI1$1`$*t^xlBpLVlp3PfEFEqg zvfc$G*j56vRys{d=@kG?fJ%-LGyH>ZS^IdVepoQ7>aJf@zNaw90HL{j;J|ePs zUP!FT&j*8+ha7g|?XjG-S-QnPKbLrhe@)!*=>TVu&V=RBAbdDy`L~LF&N?Zdp4Da% zf~5&(vASOotsD}ymt43a^$*JJTY|I5g+u~g2r7&wo!5!mQ3*B^oOHe+D}!1^*Q;;U zC?*^dS%;OwrC5o3V!@|PI${3lG&b3G_ypUKqu%Zbt7F{BG z@y}HW6Ds#Ze|r0@c|&aMAl*Da66bVVCBG{4Q`cg8^WCBlo}?Z z-SWczph`=rDOL`+L~Y@&hrt0CkjnJ{vR2!aqQvgAT1pLH9GnVa>E(jJ;M;X`mXpq` z96FR5ZUj249PR{zE(hJ5bVmKVS~=WpzoUF8Zus<|fT20gB2Nj+YbDi?&N|c0Stmqx zR+D>>={*m*CcQ2SjO&4JxOBcB;2ljmQ({;-m~KuwuM&w_N$;#2HVTjq>AW|%{3sEx z=0pgKGEPtF*(JRbQ}B6=cmP&vP9U)ay)MD_Tx8){k8$V3X-c~B zC&)CX;G@fZnQ=A%;#hi8oF)Z^Ijbd|w~ExroY}c!I@=2$huhPczslsEehL%SoZ3tD z?orC@FR45)SUQ^t3-!*6$vAq`MVk9I_bPYBtfmB>j6@Y5{WzySt-4@g;7jMJ<EGS6Co7*X?dYTo?_|B5SGJ5$V|5gSt9_p$c!7oVq$$|04H>uVTgC}cekfA^Oe1l z$<;0C92h~>_Ly#~P9H9a+;1x;gX%{$^+M^?-u<$2TE4!I&($1f-IcSL0Zk~DC+^xv zXL4bt;5F=0>Sjl0iR?692o_@kxf(Uj5Vhw;wm*}9DTz_8@9_yc+SrNS8=!Re!J2w@|89q(uMi3nq-CgxGM>hbO)MhiF zqZ`~dh#QX*X^w6W#EI3zp_0mbdb~NHuUKje%iRh~UL~1s4@U}VwtifTZpoMn!Rj7OZ?qd-ExsDT9!OIb=yhWh3>YnQn3wf;H0q=pv)l*O?= zaMYAI9o3w$Jq!Y0;#P56xO9Jeao8pGsck#ky>Lq=T$dM2Xp0w^Q;lpmFRT!!U}Vub zUf@qxZi#en1W00P+Ytca5hs*RwFHp!0))wuhBFdRL3zf-zty@T1VjrU%Of*}17vl? z3Edn(E(?$?R3uDPqf3P4IdMCxf9mKofu=?m0=YQWhj5rUEV9D^Gsc~GL%`|U^N@rW z;1D-16O6H+5qe6n9@IG&K{QNYJZiX;D_3f>cQBmHx)PjZSGSZwNNm<~%HRdTdt5?y z1$GV~d!^t8DR?iSfY1V};HInUxbcPUUe%FPfee8) z<2`O-7ITAar^4ZiO3khS{VI*sE-#!Vp(kYN=|G0FLaEbU(YWe_6xk!)%%_gC%*`|5 zQpZ{RF2NCTn+jwJ+~CAAj~Q@fKmpx!W!+MW!ye(eJ9xp-4FG620|!U9OF_4XC3VWD z=ypKc{;;}-($S44W?Mv0q|hAQcw)9r>0A=I>yX{zwgKs(Ik@pmHExb>E9HUJ+Kd!# zi5jv&!sZPwqgNxLj&55OAw2!z zo`#mt4MA{QFF;lWGPvog3LqVl3-+pkc8iwa2H84sdZf*sY`0i?Iug=iDLUG1tYaxf z6>gVG)l!zC+W|h*HkF;X?xj%C9t8ay-A)L%)gn1lQhT$Et+aUdK*NNE%`#|@ZYL$! zzMgjK+YM#bVUg_+ISnsZP!$8EPY(q^!jPBsJQBVxORokxXZqM5(r82bvl^cD!f2E5 zrFQ~pRx|TzN!lAtM$Ut^DLb2{7avfMh7RC zLa&HqMx++Kj-{x4N{gSF!v{T{u6bJ0RYs z#Vu}*Zv3lDOd)&Pbt5dNMYb`JIl8eh<8FLKD1(j{cE*Zn-_eZ%A2$cLgEE010<(B? zbi?)MwCxWp9o--!WegBUHvqXJG85|P2HAy(TS7NT?ulfLHd|~{4Yky)azlr>^PIM$ z8lF~9L$}0ydL7!RwdjWMYay}|y44sJJjsjN6AZYVl?PU7TVD{BH&4}!P>#V3c*!nX z?l~r~T$z<$12eBU*lFw0t%e)AO^ef3DPxln@FsL4-cCus!|G{{Zl`q$*W0sb2VOh9 zHJIVRJ0r|+zZHi>SbAPa+)+YGI-d{MU;+q4jWZ1K!|3*p(>o?WwodxlH&BiV%rdM) zcC=?bWS2yCJSc+~YJr4qhT96!L+N;dQ*p!fSRReRjd)ul7TY;c!^dH_I1Y#Qii?f) zp4He$f!TR+yD8kb!K0xYf};lWL)tb5^*QChdl1qn4jp*ci|hz&LQ6yl>fD#92jnax zLpP~f9qSO?wrRVm&6=az1D)R#O?i%PlOj7{tkgTYt(P9_LLNZv=r$dJ0hyy)03V8? zC3J)2tN^*Aep@J!2qhefYswD*S^tX2lG^m61+C}EnIJ~$P(L}p>!4#gTN%>s?) z6xF+uyggdg3@4Nzx+oR{gx-_Tts@hySsv%oL)nz(_+YW6!**@8>+cnXiRPav)^Aafow+B+6uW)99 z-i~gw(&L86bVbn|-I%ks8I5|l)shW$*v@In+Zar6xNVofqY^wNa+>1>YRE~Eu^yp0 zmLAusBO)7c*S1+gw~6dp!OQi+UY))g0pjSkUSwEn&C!iT8B?20NRAhnv=KTT*g3cz z45v-7quV-Jc}p8Q(hw^D#SIP&N#@-7aKaIalXi1jXwj0 zo8^L|8^wWDv^^o81i8>Ne-O+7UoHmN=Vj;4u*5XaI};&e}&k+oQgej5Uv zk&$(*|B=OfWQ?hT&IJxm;l1A_KF4x$#+{X2+M5DpG6;46A?qX6yjkWV@IKHV)PuF^Yz z;HVqYZvY^?Ilo#3;!ryv`vu4ynXwv)Y7QVgB`68XPbWf_OZB~4Y>(!biXTh2E8=LD z4hP6`aoZz6*0uw(ts5XS-2mAv^_M?Xgn+Bsa1p{>&zvlq5ptm$AUx{7C_wH7FAN6=#gw8t8bEk&@U9}nEOh`m6O}+n9Y8jQ`QN@jby9}f z7PsL5VZq39Wi)`03;c`Grk?}I>283m7a%8Pp6TWQ!gLY0;Q+ZiQb71JX#2$LHP z`r)urb#U7%T7KoA&|e=8Zg$#wEUi5~MmOG-;!TrSw@Rz>T68-spI(k`#~j_xhJs&S z=<3F;|7ER_F1P!1rF1(h(p`bw@IJj9aU0&J*TrpH(9QAbQQ@|KFm7c1)(AVtr{v|8 zFY8vZ9k&(2jm4SiHau>zrHg{wapA^a99SSZ@dj=y2jfQUpAl~N+Hqs){tCzKq;TVJ z1P0*7-`m{{4~HOi;tkxUgxhkyI8}3MFF#uH3dilVaN|!2N;}=hbgP8hY&YBv2)DKE zxbbHzuW;P>Ha7k;$jMW3)V_%Y;+A;ZDcsBpPP{!7Znu@nui*MNE!?iD+?b^fZYzY_ zy=Xda!EN(k+;{-~K)9U?9EYD5c)`NFuqgAw>L~fZ&cThs6}J}LVlrp3{ZOl|UBb?8 zW5F$Q3LKWlY{EWD)E=ipCdlUYYg-@4cyRWe?DtqgW~BBN33LBFT^9Y5c(%4Y#BgZ6 zb%>1=oDOP+{^kZ~tDBeH{>S95&2L8sQqI}eejmhdUM zZIm9@b@y>6(oO2tb>oGPJ+ky{K*7=NLeIs8P)D~Kl%8(a<%OfQ8j{fMmP8$j$P&6C zkVme(U}4Si!g`%QD@*O+Re~E#Ae$1rX9B#ArQGuHhgaL$y})Ds4Uq{@CoIMFaJ1&= zb}_ONQb)IrUf8L~y8?O> zJcw{CJrFH?pmcJ9bUrLI_^O2F=vJ5!S?cHp885b72^KrLDU;`RsQwz6quXY2xhrnw zen&T+9CyHNlW^NE{p_aL(d|$-+)ir}Cr=0Hc3A4$A2tE6gB$;F=s}&HghtKL?MOG> zPD-~EgLUI8x$9B!a&+UH&Xdw<0B*b%ROlW)9G~u&K;EO>6(Yp(DS^ZbsP>bIj&3_d zb|-pl;^4-&v2a`&ggUy}Gn#b@Pf>fhz;kk5Te5%I1fd}(xr)Z&Rd5rhjm3zYfOo`= zS2Y5aCzQt}8;nN11ISHDKBdzZmJT3%sWGd~I68nZ!%(K}=L;NXZI!?WgNwrj>2^k> zliFx4y76?|$Q<3yNVoMOvu_t2-H6&-gLOMC-KIrqLWk3hN`6FsUEUt#nU5jo6xwQmtD|K#z1o9pR*3#gn!%7JqN?!4c9|tr%t9@F68^UgATcZTL z)@~^QPQ2}gm6~JeBb_=b{mfd&QXb^;w6S2N4@WoNUEzIDqc_km<@x(HAa`r6>3&UhQTncSbv< z-`&8@v6M2ylTiE7WyexY3v-+GF{??5=2&`Nr&xy+H2QEXy(EDLb$T+;IhKm5_-A>I zo1@!loo9V%+#KDe#O>l>-LRBWXxtp#z>Rx8E76W_aJ!|AB0+O>d!SQ?L{`w~!@-T4 zyQ4bYLASW}I=Zb?Ad!S7)YDBu4@W{B-GCQBMxz_J#qc`1ZITJQquT4}wo@jsI2)aA zF}#j$r1Sp4x^0qfC#9RUmUxQ_QmVc!7YlHU$sFAdNjF|cGocP{8>HJNRm(%LQFC-d z;C6jybTw2jC*GJg9*W~?38y)_P0NJ4A}fj9TtJrHib2|RV|9Am>lTBz7K@`U)wbf|9l785ji}lokv3RM<8e=!fWHWX@(%p$|5E+C@2}r0W zy|f7GRS*SFR>6bf2Y3-Y=uJ@kxC=r(h$j!~!AlWZ@ION)rY1D(E^LrIN&`u0a-BXum# zsBik2e5t{9lJD^PF}=LB9Ln+hgR{~=MpW)&awhj2;Zkn1fOnH|tUk}anA4OVu}?MAqnKw) zCw~J~O2f!AmTee0&!+)TmMgUcBGpT#u=6W2v8>hNc$S`pSzmBwQxQUPV?NYh2(60`u8R*5sQl(m6sGOf4g=K^+V&&o5;<}`ygzi6^D+n}K zw0X2eMa~Yz1LQt#uD$y?jYM2j_aXl~A}>n^$$KnKzTUW1K$iML%?J;QE?y|Xydg4$ z-PNxXM>p%>U^7^xF;oB=ZB4?Xc zve9gs@j$I7CXb{>$YE5R`+Q;J1ODnqggzBDdxrPfiIHPq5|^JuSJB8_#1_Vr%s%zi o7GmpHfT~X9fTX8gi?nt<>c!B2fIU` z_@HDGYbN<3@=%gr;X&t#lSX|0Nt+-S7{QfxD3?ASnVt44bSyPC_ZOeZSND2MOf@w% zXa}i}2md}MVwACBIzK-*pE7UQ#1RIx34`#Ni*!b~pD9?u{%79VG{OA06cFeXr&iPZ$oW zD+GonF9-~3>DP#qOr{JuA3N?dU?1!WCu}9%vD@xIGRFVE@*A)K3_9{zn%YKSvk#wf&#{`}TG4?p8%0H9yJSWzt=EchyIFn^ z|7hlkhRs_kErr?a-1d~aPuyQ{i&$cTA~qB$0BE2F*0owVRn97X`%X;; z@8t|KcF_o3C-I9;?!gwhZN7)zWunV*jGu}Xk~!>gy=3y25sIWj4>C?gPYLd+VEjf_ z-SoFuYVW#WVFmI^{#kF9goZj z%kGkd`0<&|C3z$rF{QHOho4X?>?PvuW(cuPLOIYtVhxNi-WuREg5&j92ql6 znef}`AuCrELR|%$sKMy7Yt}7klQkmxqFjkPiJ!HrxDMz0F@@>=kr^(6JQz~Dy7t^B5!$^qSk<;h9g+vd&k~1QdCrL!h^WMz6t)Ka+aB@l~0x5(D2^ZPfsZ(S&lm z9f@%wczgD2J7lXdWuz|{_pZHZ6K|Wu?gg~C?`HJPw>rYUHXO4)|ISr{2xJA9SH6$R zT8w6x=2yd-Ua2fSEDwEJG7E=ZQjm-4eHkp8Z5HQuwS%hK4LiK_X#tIF(XOhY7><MkLc#i&&q8rW&TOP+7-hmkpH>6o$u^m^{=FrP({r3qBVejwNzdw`@|@6;@JV&E z;jmAjw5yqy=zv(y5hQ2nUHONH!FDiVUV9PW=Y2%G`($|b$6%vR4*_iz6P;y_%=r?4 zq~=kcntq8xHj>8NQ;E5ZD|-Z+?D$f`Ntuw;!bH71=t@LKsMec^xO0jD-u79L&n#hE zU|o-K-3ZZ~c~{OR&S;oIrP6~noU{0>*CnLO;&aNjhe0X_qo=2mH#NGh;-ixm2|SQ< zC1<>8dEQcE12&rOvc%A8fW7Lhj_y|`k6KJrhQO7l_b0IhrZ5$)PEYXE4{hhzA)iH4 zsec1nH8P@!995=#L=pO?>AYs+hn=~10j72VCb5eS?#H}dHq7^nzDnyi0pShNR5f;D zSmABif7<4r%mshsKc~Wpuxzwt?uza2H#ocq!IlOUgGI}T%*{F|iD;ktmNHY1_-2Qa zjTuZ8=1uoYj5`%80On?uC5L&ej1_=SQBJ;*tL$)Mg%(RX8B)|lQ~e&pP8z}X zC3$RkRKL*}O5S-B!ZoKxU%7YhtXs)yX+x;!fW)=(SCJj@HCsjQAM;7lP0@sl3e})+ zN!OeG{JPkfJzZT%$Fb1MaO;GA#2Z}bLQEW~+q~h1bn66|o9XPWp0R;ny#6Fs{eBqY!*xk;vtX zxLdj#i_<)H-G&n=k~I4G)-z0UdvL3u_3DnnRY82A_=2r7`DB#2)7`K;rao$J^V*}< zJ&D=@`8^nOr}MUg`nsm=lbh>%G+B49q5K@AlKaa1PUDOCb0FqE;7;k%=pwV^n2%bn zJJ+@+>(VIRBQiKWOB@YK8-`}Cc%-!+XEr{ zcq@`ZW0*qjqWVpW-;n8@SxR)Qj7;z7+pEpyy+xwM;P6s+<)z%aNcxYQH>WejNU|{x zF{z`MM?d;!B(ozvYRrDg(bvIC!iVns6Q9_!-Ppp*7wNW6&Wi>=I^l{*2t-jjqspz8+of`kkDK)cxkItfui(5W^{^f-ELtsmB=rqPU{Fj^n@Wd zqw>tWi7!JEN_|Q;)}0k-vHpPS={5cFQwek%Oxe?auSTpwA^3JtINxaz;?sq1z%4RA z_2yy|;hXH_?afq_`8MaP`+l%?14CS+(5oSOp5#MSl=UjJY&-}~8ztP+d7D#N>E`eE3rno4-mJf=KM7~HcsGBYt@eWuMF3p>vu$+F zSLe2X^bTaWyClVL*?v>+JMZ_6;uU-w^Ts6tJSMw+NBVETet832WM(wg?GW82w$a|U zj}o2lV0L5t*N_#F*8@`WZeP*o-iHuy8v)|N9lx6muyM1+cjw`S>%U{TthJsvQYkewI-uba2J$tpH&w!UoME29y23Q?<3 zT{Afxj;WOJ6#Xeu&Tl!l@x4aSh8CHL3lfa)bcns0R`GH-&IHr3pa&A zr1|;3{jhl1jYbrF^r9(;dTTU0?WHq=-ERkKPKRorx+s!K*3cggTi%ofIoHcanoPx7 z)q1tQ3-jd*bfoufz|2hUPOHJExngCZpM%_RfnS`4$0Qr(!?;@CxLInDC!sN@Q>S9l zusGuR>MP^1rNT{gsN;H1V}FVe(ZPnIv2CkM#Im9+Ax&`mQ@~&`m|$Vy5T6(wv8cfI z7wl?+pxlNVyEKQZ?xm+CtNeh2L_MAh2pxm4F$h=glCZlz8wm7#T_Z*!s^{mTyIkv= zfU{E-D1wtIq|&`c)iD$3{(5eMil`bv;MXpzD7O1^{7N^!rH*ZVypW3Bf>tO~g>sS& z|J;fYVN*Q1bT3R4j|pvcmwSjqxcM;2${0{{%;5Y{RM1ncYiNzobU;UYMpjBl~Tr5Ctut3y{wQ_+e)! z>1H?3u@;uS7WVk}lSuz_j&yF2kL+f-<}uAqeM^f`v`Heb=gJ`9P9bC47V}S}#tFjL z-8_Ykl5PZ67MPMS54CPlJ39qK#acIqJ|Kd>!K3l_c6lA_d1XAbUMNd}G3e$`7YewU z$_gvOQc_iHm25SWq(ec=lr9Sd93EVD%YW`o86rG(fRW6W8` z*BBTHTUNxr9kfmpE>Rq~Nf;ss-Db;VIm5|0*LimkrXB1$(@BPS4L|f6VjWy&dZ#Bk zR~JD;1b7Kd_$*zUY71&Hbbbd!&ghZ_>P|Pzb1Szl;JUF|yvIm!>IYDw3tP6q@hGgU z?0ojxdRTEGl3=%P#$-K3K{xOaOA}qm_rDr5%`z&!MzdjM>vp@FhZ z*6fq)w~@raX=qjY$$0#4ep{uJh2$2j)M{T)H!g9&`Yber;Om4h6yjixUQ(l8kFLP= zu0SUr`1Z;`M?e@ZXJZo_v4fdAb@U02}>7F6+#7SO4=3ms@>s z{+Skv)H)b1qHUEK#|5B6e`HsyI=^n5SK8hwq2}fkoS(cX;TEAXyu4myLqDCk{nFd~ z{3V`fsm9j;F_QX^v=oNiqPX6N~%5avxs1Su(QswD^DIi(8DI3Rn%_mwuH%D1n;x6bP#{5Cj!!D%c- z*=(494ItuHaGqJZ4e6U7<78PTfyg;PS+P_Zr%9Yx9qg%Fr`erYwL6tHDk`})IysfO zV93wmwmW`MxdL8W$^0n@Z9-L1=ad*j6bDFsvS)9T)HIfMdv1}z zX<)LiIex>9b$hP4{$Kc0F8?mE9T+@)l|n?>5I=e=j5s@&CK}fEH34w0L4lz#*w}M= zP=P9y^d|KKnFbz;#~|qj+Ue5KTBhB7RAx>`J7O~AuP3b%QP^%PS<>zxIlCe7!f9%7 z&9NB`E#7R~1b{m&_8^l`baLBlx!Jibkpnl_|~%oQ%i>%Hm4t5AG0jzlWPXi7Ey{{ZY>VHZ|m&-@@rvutF?Iyx=Gpv=L(|Csx!nGTNTwJ z`B+G~6Ix%P?!kT~fZvulcd*i9X}%YMt=(=GWL{jyw{EH?_RO@HUANPK-Fb37^v&fd z)g&Ncr47c1r%u6fL|_#R>o5~>xI5>JoO4ICaHN>!TbObACTDvaPPABMB>dZMg;2MR z-Fvpokc%dC*-|Q#5-m{bJaz!u>Yv~@=(!h^f6PwMdnZl-Qit3dSC?w?t;F)rLP>Xf zsu9|S9YVpG-6ihtBMJ=vI+AwKy3N=cxpDTc=#|j1+h=K9i;A<`6!H=To_+aVo%yA5 zV6jyXv!lSqDNeuaZHbIJU2i!EJe5t*;~mC*i}RspxMD6AMvTaX)LEF+X3_bU2v|;t zDp^akkdm8+TC3&5r4LBO;IfX}J_)3_U}l~l7t{w!7C1R@20CTE%EI~R{j9Dx4~z~7 zZB{5*%HljN=f9Wj%^o@|m38G4Mx*g@adkgFfyf?3v2bTfjIZW}j}8~Ji-fS}Z`2cP zA}K+5Pzi@KiQKV!WTNj398ahg;=*_1s|YK+K0n4Gt+EPexs($VoLy@miD$^~HqEC8 z7zuGg$ys0kBLuvhrZX2-Oi0mxD>v?u1_n$f$`9@g06lGH=efz7!ZT8A-Q&0^@T{|Y z#JeW5KXaoZnUjw+k7EaN+%Io|0oK^@97$jS#Pd_naNdnhrLZBZ`4&Ti^v{v4f1rEa z3a}m11BCXQ zs|NQND^8V<&Ufeh zY0Df*pFN?IF-93t610d=AGY&Y*!br|lx(#=e<9BJ1CM&hC38ycbq85(vqfSaQ2qH9 z%p~cv`~MV`BANE<*{e_fcEmRQT2S-?KLEOnN7u%Ge~L`Z%hU-HWHYeSvAdM4b)mLg z*IgFCd(cXwM!y=;Gq*H3wtoO4!x!x}C9NKZHO!rcH7tNG)3_;ZZ}k+MEH51Aspgf` zN`^mDyV4ACmu?C1221<^IUINxUpc-W-IJiZTD|ZP){wF{S4H_1GIGKjzYHM4css$a zd26K8Xl!j-mWbI9;SrV{!Xt$o7*(dX1~m2TfXa8w6b5hr>q<}L3xEcO^m*hs_lZE6 zxjT+R>&1DiQ)FGHjKZ0&ro$X?p*mNKR;N7Y17)kUimWTgugKbz`I8?Iz~pPn4bcc~ zJJq)%queAuXWew|N}?5xyoFfPpV58ZAloC5c`UWoO)eZ-;Etf*nPu~je zXWv;zkeg_k!L|0A>qfQ$(s5*^B=x|)4EQX2x1@vuCTrG72wOz z_qyo){wBEDH8(9HyRg4{aA%ujQy+H-s(Tho+`OazG_$sQ4vJYf8u}I=&Nh@`{#|Tj zF~IA@WmVZZkoOp2!l{Zx!Vg*bhn?)t=~{^axJ(Qltm41O6th_g;r*3#Y?qg`B6 zq~WJY`#-5m|E@6Io|no|x4lx$*v7!g1X0h8x&u~4_@tt_iKSw*txuC4+)eVeU011U zgJ##F;JDrBipf}A?wKY!R6>fX>tQ>l^-H6z*Vc~;#+YN5CmM(ChCf$6S>RX8w^h9+ zY<^=3E8hRLit|_QpIE=Ht4Yvb+f%uF9qtmFl*An|rw96JL#g8?Fi*psAj$VOmQmYw z17QoX#AC^en?=vGhek#V; zk;)6`McfgA{pGAs$HgA6Yqkap}J_gj$=_}o#E>5kR+HsNl_jAy56YNxB~yr!{D=r z(d7D&Tv$CB9mV7!8IzRzJEY!1d4;(H)F)axmW&wq|cwzmClYu;2Dm z+srB#!QmkkzxgN4EGua z%t~mI9&zpe`-FJB>pzDllSjSLT@!`o=HjO4?CN|Y(bJ(3`M7qs4>>XHvpPx1vNGXETjLLd`QlL?fS~WK zb}W(j+n5|FwzPfJH~=xU1qc2VAn9Lr5|}mC{V2{rZ21!peXBZLF>OU;mQwuH)Ob#$5M*-BFXOxO(bI#|AM?@{@{p!OHXH z6vL|qO16pK*n$Y8_O}GV{qqzg+_p9LqGk|mhL}2jbSUsMvR3L{aLf%j+%>xr+=A=f zj(E8RrZ&jwT;RWUBqu8FR2{Y*C%il@-|Z~_I#W_tOJU}=yl1Od+#v2n=6oR`-3-za zQT_RL3iH}w-786QTAl*&=$QwxL0EUE9i|deo@7^J+b$e*j&d;sPJca(p@44GUb6GR z{1K-6;&UOhqGMZfCutV?x2^wr(dS&lxaQ%)1;~ycMBq#t?v+2nDLd^CwBMe>GE4p( zI{t|?(G&^eRy;6T!rtmC5$dB*w5rHfFCq)bX`KMNqOjqU$ z>*Q2l%C`?UVDf8HxDOCYCeFnj0f60{=F7$9tAE{7@V&u3=n*5;VsST2=+PAMN#Z2j z=r_|#BbZ@T)Bj8Ov&`G%hpT|lqk2%ClPWp*FGnPQk~&RHQ)@#8n6Pz5d13yM-<)bB zzBLQ2t4isLm56H+1+{a$`1-DezKTv=WWRJ``e0YS%t`b=Nv7Xg>X^->sNJFkYT2iM z$1cdrBl|(;wnXROaJD0ro#XdcKlNqCaohIzD{@H6hAgBWi{NE5$o7XXPG^!DCHMN+_t|cJD3h3xi?D4V!zE6#*jI*)o?4?@1dsDj{Rcr8W}Yc>;X}*?#xD!n zue5#}f_-#c`7uRiM==_4DI2}$s1XaIeN}mp53*1+w_d;g!7H{760rXQE&xw?7psaJ z(}`>HFgb4GKOxfHXq?H1n46t_&Q4Rb0#DY;)h$V_QD&Y_;=qhFGzp3dkK)96^G;$3 z5SkT-6?SlAnWQ5zGsu;fH?8==$4RwQUe;1Y^MrRmNK z>V@GHos3d8qn+i-dL(p?lT{^%eTd8v7ot)Z0)B;13M)ISgYx}0fX51wl300*c_c3b z*?39>CKPF9tUzaSVAcy&bfog}KTuM`r1A6Lm64-^sU3Npf&!b1bEuqBwOR2Qm|ydpeapWyDFfcg(0DD)!?rLFT>CpjLg`YWHE&p^B}SFVpXV z(+cF*debCgWKipPH~jQ|1beH2B2Z71(_Z3f=n`+CBW!S;&^NBehREYSxq^WrFl~eo zC$%^2#B-=8y&xZkt7fWA_$Qxf?Lc2x=g&7xxnFaQpoCFxy+}$8R@( zkbuo=lK{TsMDC-*?!jAJfm`f2I9kd_*A6 zVoQO(ST{VmIfx{%uP`>5P-OW2hA0~-JsEJX@RlSventJUORo_^q%nO{p|Rq8K~7gq z0Vg^mnTSt_s&}x#%HTXA_DB>xdJHZQ5=p>%Zj)NZUp`a<^$pP`d8oj&q z%+Z$F*ALv$dKC9c(@-@}c_XpDxDIm%9@pnV(Nn!5tL!Lx!E9dQ(VQB5c9PkLjJr#p zUyR$(4?1b5u4}e3ZxN89-QVzIS%_9oA~(g}aHg-tRN#FCA;4S^tT4$Qv8AGPZW)hiC%m+6MFP*<3*5N!a@ETt>a{Z zN3dyMaNLzB%su0>J3c|ASYxNaX;3W>L^zk&iP)<>;0@Usf7&SM40ZI;oK7_@$S?Hz z)PC~O!f9&?Mi}v+5CkJkbmP1%w7Tp~W!3}@LYeMIJ@*-5lX^qU^_RqALrLw5x@zs= z2q7;zMk_k4335Q4Y?jP6-LA?t&3%U@J&+#7*xp*w%M1uQ01b;9ybSr*5M&ROV|Wjx zJAzJ-c+df+HqA1U+LQ3xb7@gy0c!%`WXl|Gj~_Ynk_}M2sgQ2 zpZ`o_MBZ)E5k>E2gmZxDsxR649WDnEYkm;k+pN|6aXFzV(y0fa*l|LL(9C5k^;?Z^ zJ52E=&yUV@T*d=U+hb1oicNi&`b^vRU8js1>%8D4`x^FJKt7){B8=U7XKWjCT8>h` z{yud#n-kxb!?}?ys#;Ylk}tPjdt3Mf#xKf=89BPJ+LU8Xe^IFp*5w6E?K(s z2*owv-!)Z(;y4#~{h8VH&si=`m=m}Pd&M1Nz*d+i68hEsa4j3UYdwj>MJpu;<-!|& zXs$JPDZq^c^2is9#u~uASM=#Nz-Gt%g@(^QUA89Ja8!0@5B;>mh#+@?eS zpq1C9(B6)a&l%%EyKq#nzTu_Tyjz}siql6}U*b@7_s^e;_7RIe$RP=+B`4V1;wuvbFBc=g4*rR5x?lVfU;m3!?g9T(l+O-Ez-dkT zbtKx}DSk4p@EtVih2#@Hy*HP)1vFc&p#8=av)BnC+BEmVE{Z4(BpT+8@!M-BmxUj%yyGGo))(XAJ#bfK>tSe?$-Z)c zBYIap(I_J5N^^QCD0=&Qpb+3yFW=w%epUoxuyyVFE=2tAng9WW2Fc?Ki9P5TA)8r8 zzriBL9s$AawT-m~c*VGFeSRTuw|4NwMxSKr4y^KtJxG^G1MIYr2o2r9Bs#^^Vk3Va z@-V|1_8rlP?x3>wg@Jg9xxwtc`0!nki|fX`AM9q54NvyOBsi!};YD=Pb_p_xPd`+jT9F^orw=5yq~}kK z;{1f&Gue!WVLf8Ez!qo18<8&p{ZxK9EmN?=LTuUy_LH~A$FcmVk5iO>I1iwqfVp{7 z?Skvu&p+ODr0=-RQ7Ze&Fh-7}s*$gDQ0`5}{V>h@bG`Mvp>8Uq@&1z6=BO(#674?{ z#6Gj`i~4)A!X$M`WQ*(*`dIgRi<%XkoNtX4U|;?FapfCyuwlY966NoUJe)it3ODK! zZ+hsF>jza7JrVa#1aT54L&!pVVpY9cLW#rApd-i70s`0<8M_ieP%%EYuEaMf_b9@VzJIbe+;`d8YuRlk#3xLcgxM3|7vQtxL!z9X_^u98-UIakNlVqeT^;BF z(C}4PwXWh25C6Ki0{yR53|KTY4kB0ZV8_=RKwG=6IU9^4fH=z_%L(7vl^JrTvn*Uv zZy|orLHZld+4;`-E_XTIS?X*%TyBm3!HbH1R%Mr-0LG5(O3Vvb6K9A{@5UYZ6!Ikf z#IzQxlTclQ#|H&Jc;sbl@-Nd{mWKcXW)`cIb2&bB52r^y$@8%$4t>(%n{jRpRQwkv zDxb)Z7OJM=FoQiuH25_E3}tjQod9F$-|ntd;mxE#kCyWb$416AK5%Z36@WTgGJxj$ z7t(<8zyk30kOqb3=ReZZ<)bw&M8z4Ee>LsdH`KKsdQ-L$G(Tzk`V>Ry^S___&lQ~T z#|~+h!d`p3)f#t@Ie(@3fuTo93t}sNR=iof;FqlMe;w4>h0`58uGxUd3_6)Vo8(?< z??^eP-q;r(vTV73Izt(NF4ok-?*%#@4X+@VFjj6;Uzyi}hqa63dc#;@^4rbL}ljTKw(iP(e-zzCcNkbh`qqW0) zm#&>r!6lDZ`dY(mxM_;o;Dip+*U?B{&>YOGfG%2D<6Xk3Idpb_U_h^voN397nfhQW z42}6v!R~Dz$-1~Zwg_?XPH!D;9X>L!_=?sE7Uso%Y8!mxY)J&`o!HZ0qCN_8h}c|^ zH6wK6+Iyym6#V9%cu-M=d|}jiSVisXu1RiP&G$K)IV>@@cch=*^+TXvFxO7sb!Sru zT8FlLYlIkn>n%P#DE<cnBvcD0=S)jm1|IE;MG5`4j64{A{qL$Av!EYFcsWG|X)6(v% zk(jFQ9bUIzjIuAE0rsKM{PHRI>EJz!;mgCkx;3U7#0En1Z6TWcJ@e?kTnt6S=CdMy zTw(nZwK8;n$)9&rJR#?Q6#^fsf>&Zss-&*_UL4P+F3^&A^FFS2)hTgJTfJ8=4-Jr9e1^|TAWZ959*9B=4(aMGU>y+0}7h^%r9C*}&Y9KzDE(>qw-g8-@8)yzsuQi6?wzU8isyqkPRc z;nq)Yg&LSdigBL`NNfj(y0lRie#K6Esk^~*ZLQ4rb6KNUD}3iQ)8WKr&qS#PebbSKE>$0@#ID= z4`7;hfQzzbA7uqA6mH((iRYw&XPK>I4IPs*zenFd{(EHyocZ52EMg73)&8ba@+J*{ zP^iukIaM}Q#h*xWy?qEe9p#EZ`9g*yI=Y62S>M%%_Kv1iTWfSRSf%UYJWH2_zqgPk zK!QAu^44^I=8`<-U@Gl3tgLdk!>D$(^*2)%ms7nqj@p}><%29ocPZ?sy_J%RlK9uv z#-2F%^G1*O{r4dkok|eBD~hD{15b+9zRG{x{)S_Mc3%r#xBDG@&ruT-hsvomgx5#l z!sFme=QnvksbuJ9L}WFrq$XoOyk^$j1m`x-mN@`2^${>8cn6$a=I{k~=M5hT@a5Jy)>zRAD^If5p z?WmV6DW}3dJ&H8gHgrRw|LLB)_7}epp}|@B*Z#4YkP)Qp)7t$NmIIMu zm1XPkyGzvF{0Q48R=ui*JwB`xDmSk}iD#&89L}BzhU|x|G;6L1l5}}lV>~10xI~^C zoX(QoU7aS~wf+ZEND}YPT!9z|)g(!G9_Xhp%sDDKIs{gmNGnRA&OD5Clx%w@#)iw^ z&a5<2u8b>9nS<9I4vOuxBcG1Y=~D1{T-sOWwB1_5t_rMax2hL!7A92U5W<%*q}^f) zN*<2TLqEGMN#DQl9-==ZiDChr+3X?3-?iuxeX(5ayCTe;*_rS{wsQ7Qf5_=WV zdO5;boBQ9HA*R2*(5Va&LKn(z*{aNrH&&dM**>|PBXx>@L&!XyrVRTjrB7`1nfJg@ zX|I|;*!ZKJUR{M{Ydj_HOc<+9=f#dog*z4ACM|d8bKlO=H9L|*H5R)*bb*6<9()$3 zOCL<_4e2R6;e#GmTQT5*GWY50A}5x4 z34ysYkshkme`x!!7!|8_cD1JG(L(V9H_CBoZLh-Hx5e-`vUIEU*_CD&`3ZQOV6VD$ zO#AW09>LiAe=1c%Cy~Te|I^SS<)se-CAPatZH)F;k34+n+Rg-JV~eTq6>*95-qei< zdw8^yqN}B2o>p^=vbkTSL8W8Rc+hcvit@5>t|_@X`_0vrU$(Z#UxVEtgfR?Z${1bc zX&*;PDbVIgYPNPw!*8M&&A0rqQT^B4aCy#X{oDT#pUW-p{}C%g`F!-_-#zEBr<>$p z6OaxZ+__$K%?5kRng?dj;^i!vYwBB=uQsTAPQy=S*#g0TYhlLOZ`1v5VWum)edx>{ zRwKZ0gEgD9l$of8@2tS+j3320xEdqmNSKuE;&`!+a*E)hjRW=N2YX-->YX5rQ=NtJ zehBt67G!^3(165c$eeA&oPUy4YPh{WP8Xi;lVF3&Jf3+oV|Ujd86R!POJ3g7ipeC} z^IP_jm&AFBgwN;u^op-fA`6E|OK2jFAc$+@p;GJ(l7buG*hOc1$XP@|x4RKVFf0(R zEeNklb0~|Vdx-1bb>AtzC_iC~NY|P}=s(@f-@mw?2|jx-^PH;tgsXQ_U8QoBza}ws z=yIx+DS>Zq*-rKJp}TM7Q2|z&d^WM8RY;V*^7Kj%5}Cs|KSpk1lzB<>x{$BD)$_91 z2Ns5Sy6E#9yeriSQ+4%^i9W6UbCbuyQKn}FKZ_ZwZMvIB6nHp025QzQf}<6|_h{M( zmrhDaWzx8%5V-j$QVVY^@DKHO;W&`DO5gmyk$-$Il_VkyF?3T3CCM?1$#)B%k*eW} zVh-lU+S5!SiAgJU5tbqyemb`0esY^5vNZ04d)RhKms?lTKZ~@8in5Wti7PeHGb*EQ z$T)aZ4MON_c-o$9A?B|yn=tDrv;CS4pG7017s+~Wmho?bbj^_)39>40wTH@{i^5o3 zp1WDUt|w3})Y8Z(f&rOQH6(mCnLiOKB1_UY)g92B1=CqcFfYXtAoHr` zI#fgN@WI5`Iyru|3?#%-U~nYn!nEd-l&{QRd(VbB-e3kAJKxtHD`9$-=^2hgZkN5EHN$u$ZCLU8 zl+%2np2Bf};pT8Z7io$}{F>1CWg|Q-a4IQo5L=;GnsKa`bwc|2GN9JmeQl&R45=wl zQZru{#GJULK=SQ4Ji1z{-Bv>0)pzXWYXUSEFd@)K}M_AD3(@D7OI!Ql)OXE%5 z)g9gD=_*~ndqT(PLZswPq%&qJ%`V8Bv{M0*`t#GStEQB#yPUEWzY+)9^Wwk>va6x zQAanV;@Pk_po@SHA+I&Zxk>;=nbIIqULf9(69~FZpP_H`IM&6QjjJXUCDHk~AFL;w zXKhxg!)L+;EX~d&Dr$;o+uv|Dvsc2ZFDse(0E23u{yav@Eon^Ig4Oke4K%a`W_h@Z zs0^@GK0r+&mBE~}fcj5(lGOqVRwqJyRsBG)%9dBSJ)yXiS1wUJ7{ijdrBbND=7$6^ z#u=Qdr1jiX#x>AMiS)6dl{V3P<@;A!gSk_CLuR{%iNeO)QUe2#O`Eyp{^_#|@Ko$W zUdEOCd;Ip%fTTyhmO)30I+M1{5Un{Xf{{A5+pSfj?byK)^*0Bt3Vv7RmqvroXKtIr z`06zNoNjXhlUcMPi(~HS0xsV~p@DGoh0PB=q>|M=Mlwtep!R*Ahn{Y{ zN?2;cl{Lz+3Gl0qWJXu6EReH~WiFmMZKe5`VkJ3ZEQQK)uep?|$-BCZ7yq&0FE6on zbH&b8p``W;vZlk_uOgq{`vbgl9mGFoRXbgPiODvqOCmI|M=ObDVu$nzuHVnaz7%PN z{{5l&rM#I4tj;vw*gWEY^_5R?<%lva2XivQp-vE~E@95o0G6SxXhKK*R2Q4BsJ(MOnXG?<$%?XIp$lOFQSvnET1cCl^a%&jI#4w0@HJ;hG=Sa|4o zdsq)KY8fcxVHwQD^^K5$X@4oXtLTkkP;h%Z)zM zBj5cEyn<0n&y|ghQloTk*O9*Gb_qhG%)8NvS#tNBd{S)ty37kBS9mT$9)7cq@SV|W z6+yqqj+~n@$cRO`3H2P0{V?4SY|Kt7pp)Ch6aufyTi&R-8X<1kVD62N8mYF4+YfVV zz`?Bg{{ou-IGi7x{{gNK!1EvH{|~r7L{j}QNm5_;v8v&kx3=Je4yZWM_I~w#ol@~h zrTB3S7W*~JXmY7@TDda~fR=ZeP+;Vs<(~LBK!Cdlr)~4d*mjbhhsGL5cmCvwtSKcU zV9ik|U2hZ9?+m7@acdC`j@kCRPnkOqvSEf?e5X}f)yoBg(vD=la9G->hln;yz32rT zT!q)z=n8#f&<>qYQ1|e5CvwI)kvf1Lb@8)tpZVwR;av(!Q~ZU5I7@kfUb>}BH)ZXf z|B}UGytx2#_k#EP+z$FV`-9j*dqMxUCG^H`QkaoCRln(SWoRRaaJL3Vxjm$4j=Fa@ zA3gfG%w~yiVz6XpU4R9XR%9;}RK0N*J1olKsQxz8-quVHkvJN?OFE>8OeaSe%%W?H zEaPd5%;T|;*~tX6+D0;w0V9)Rnxw{tSMv8bEg4#590`ii1Zlq7liO9J$RvH z<*F1Y^}yO^8wYI>MEW=6o8&3j#blm15LJWJ4E{;U!Vly zFyVX2H>2=Z$LDW8MFtDIUaq!>FzFa-poLz>egFZKgZ>LdhHuIo{7AxRgngRlnf0I^>j)5m!Qe`2)mKs zzEc%dnfrx0x@+b7y%(@wVUk~3c*(80XFPFzd#OzhoK_mltGDmZ&0Vm-54F=E_Vjgt zv_rEjQfz3fLA;>%Y*|`Slk7g106QOGwmg<<>kEWB{*Ca-PtHx_!%WmEV@Az!Z%IMn zMJ_!1GcOmgvfA9ditW^JhvC%ZXO?K*8bO<(V2)8=Ii!cYi$y*t=nnXOcIRDqSM=rYzYvdWzFJ9wrV1pCfgHH?SwFjRp>5vV^7*}9#T@F&9o>jy0yae3@-vq2_x+Rquzd;;n3PE5{D)4OG=zV~O^bwz&0<&Ic+& z=&s;bB{?TE&?2FO4idi}^X%)8y0u7TCH zoNOf(3jw^t?>76d#W>0A{IuGX^>BpS{5@wxQmKV-Q6Um}DTWlkn8-`Gp(;eM$?G!O zt@kAEP$0^T-U+YTm8mx9wsr$nOuT-6-RaW&U8ZLR}}_y;g9yI2~@Sra6$Qy(xzT)2fNTB zaM^N-%x&_XcU@F?3`h>)bA*L`N6STxmOJ;?Gz`gVab1`{R?%fR;lpi_K%hK_%gm_8 zrL+Bh5CkeXu;{@bC+tOx+dUig7_i0t&E;ggi`kBj8ba}otj-qo;%V~lHaKpRKI-MC z$-ib~D$oaVHTmcEftaH@kVXP4KK2hpuZAZ7?op^ftcEjWIJ{2 zKG5-TH)Ed=#5@uBy4Za`rl2m!kAmPY;ss2sS8R1Pf7UKG?4ut; z()sqaX4GV`lfZ#2oMm$i`HMWrBXr8pWbxeHrs-<|sU>#a!-L^rpTv7jWW z#y?#vn0wXmh>7h2ZTpOevb_1~@UCcu$h^ZJKCMorP-Q9JVb2=hx0{Ac=?q?ll8<=- zmzZUITSix@*KK08AYa5A5B{Z-)PeaJXd;5By5jrFq4A)*kFC6tJ1<>_xf;qHxe>r@ z;)YCjDaY3*UB_2^-rT-d3nQ*8@*&!7K$` zqW>IxeOa`?;Z1S2Gb$UrxhGKPj(o7tTSf!>RinQEwpW+{KGJN?nJjFAsXr^|-?$ULvkC{8Lm0gy)iL0d)w$`!>#hINiO=xCurrfeZel7Z>heEyDrqJj>&6EY$z}!G)kio~H ze~whH@mCUYwenrRg^a{r*J`W7`fg`AoX<2W7T|cFr0ZM?wK3;0G1Mr>sck8zq*!?p3TOuMdLJV7f9={*YDGdv1m1 z>t=mn)P(S^X?@WRZP+m^yq12clUk`~c{hRFvtahF-*cJr79ydQvPvwQ%qII<8wHn+ zn$W}!x#1BGz@vvGv*b0yq>GY%IpDSAIo0`@?x{u>%fa8ZZn!#Gy972D5yi{ z9IM-&y~bhhnE`%l^r@_>SH&95*VFof3u;Putb8;F$@|jd$Vk`e4P4bbW%cJC(D*Bv ztbv|)s-v|rBFA*=;Sc{pVw}w)>N8$XD`)_~g{FA78w$(F^LSPhhMsM9$luHZ*jV}U zVq4X_x$)&isHgRGIH;;C?(GKSkHz3QiS6{|Brn8Wo5(rS=$j0imkw2DdntM&UI(JU zMPeS#%UKzH^jxL12S|-B5!08+k)2_Q(IF!fa@PYj3rs>ip2HhS05U?r?vRRS)ph3?~y(Y{;f2bw&hx2>h+pOEA20h<@&#hwq zk^JbU>C#7m#$Wogz^tHs%$V-%Q5qxJEc9i@baw=yP7>hWX5q(;>0*6}O@8l{ z^{)c$ag`HsUK#&de&edquPtC)`F>pixbpqS`F&T$Z!KV4RsCnJ!GF;j{La?kceMt; z+rS++^&@>wh3lzJL zeRekBK2uc$ugq_5%sUz`0#}uPo-yybhZn&s_qQm5SN69w?#G_Vs0s%CDq>z0ZdJs* z!av`b&l5cb-yU%MIl6tsc@?`IjPZhX-x2WKJ*T(n{fk;Nze^GGs`k4Ak3WytT_&SW zIsaln`CMnXGEkk&yeV-k2Hq8-p;`OVo}T_6or2%j%l`;k)&79|XyyBXKzqzN5#tKp z;SaaHnbhkCPkXUxiC5Lr(1*5W{EF7#pYey^Z0U1<@Pi+WE_aIs&RiN8`sab~SD@-j|H}Z!Bl*(u>cGU3smu%S zvqgZsMmsn12{~uC$Wh zM&-e#3NBT zcl8f-noYPqCk_dIc2zr;Fq_bkU?f~mNbDIm%PBBBVs1ZP;d(n5e2yBa zZK853;}Y#K>(=3JIk%2>NF@9S)*Rg}-Bvi`MS>i>;+ao}+lpI)vvb4`_w2xlIRbH8 zc}yhQ(F~7?V^5G$_jK{Hc*`Y*dcyU@jGO2%+yg|qgS&qulqP1FgdYVMiT+VQhZ~ZZ zwG)u@;{oDMDH5@OuB>H^+bS< zi3cd5gP);@G^M-c5<2#b>qtlhj9oDDxUXhfgpG2YfY|o<1gwAV3Er(t*pS6(dcVs7vrBPKzDpF{z7NX`(J}$dgv$ z=~R~|ZIBa=CC2SDuo<>icio>WN4vOJpv3x;Sj7rd zF0o^?uXDHjsrg}3&)Uwx(k0ChICh89VfS=@1#$1!J^g60Z3&O8QFhn070-6LA|8*U zRrLcaTEbr2BjXV5N20^H>k~%nf;pNnEQMdpB#uH~~n@ z6^+O4N=MmhWjodS?kOh-a*ygC!R72Ns(&n{l|@P-fZU)Y5?8>9mP?G=#LbLAhqe-Q zI&I}Y=*P9nj~!?x(Q)s=bT2{vG9mloS%)xwNyf>4-?ZrpW{^IPe2L$|A`-!3aXOx@ z1olyIH{hj1l|*pUewktfP~LnH9RYM&F@kuU45bKKklLnn{bS+jW1@6x#8G03r%0Ph z?ucFX$fixf{aeKBLgdc{o=?xt1-=nSe6$@rJ_$Qd@lH3q^A+!8!@EH7)*If%iZ^X| zmnhyE@c6{+QpGzKyi38mNAdOoZzUWXcpu@%Sx>#NMWOa}4he#oOQT z?o_;e!CM1t7bgRg_*)v(*Q0oRPTmEd4_3UJz*~cXFTAy!1g=JBC79_VIWhgY5CB$FZq0pgOLi`yb3XNn5@wb^MRLc_L&rMNiS(Xrgkc%NX z+6XZ;{DCq)9bc(<{Mk0j9jkc!)jIOlC?0>lkGyHc;}02S zw%i}eS3;bLhGxSMzjWx)OhW}0vPWYz;!mhv45PFE(Fn1Wx1h7OGz z^61_%L$?`2bJ}faU#v(c!#^f=@0BKWtSNc+URNM=7sqOJBn<6@@LypJ9m}S02WDUR z7|aO9-(^tqC|cmkd}_8vwY8cW)GUIUtF#*TP`U=*ARP+cJ(w1+RlGqX zcfH~*0*~9W8x-#lBX^VH9Sq(UaP?-zI6ns_(f8frMLJ(L-}0@K=dF@Lv! zA&%aMwZ9&d0D32(OgkYTj5(Rgp{_9qQyKh@uHaduNGy*-R>T=xO(ItIiZc>s-S=s4 zbLvPawKLcfTTmI&$1%K1S3-_28it9Jl1xH%Ex>Vw+*2c%ek9O2$Mn|-t zK6T=e=!H)m*B>8G6Qj~?Hu2~%0eP}SowsnFl$)zW#1f435OS$!`ajux3u8Abv2FxJ z;=kjNt%MPn13dIYApN-IW>=bkJTn>T4dsr91o>0EpPAWmuX;?ILHr1wE?NCZt&9D! zu-1`yE*kc+RHdw}Jstu{Y@LD&E^RiblV)5RA0E8;&9*Yc*-`6AcRer%=`Y1E@X{et z7k;uWVkNko%rv`G9FnjS#zP$2HQvX*C|yb!{_OJYPNYI|OM%q2dShqqLs z5lhTtiJ`t<9Fn8@QkRF~i+IBInHRL9?UEzNG8wc3l4zH9D4o&aXxqf3^bBu?ty`FK z{6|AOg6zJFpP1+qGj4(5k+?4v?xj+zpYS80BjHCo0+djiU<7M~Ugr`L2}XesChC&V zkqD66vBbDdES`xQ5eYwD>+I{5xWs{RMj}9N*AtKgBjIU6;_1W~cE&VOTfT`B*`Os_ zKLJVfvBZ^U;;r7V(aQe^00960cmb4`Yitx%6o7AAsJvf=@`my#woBFW=!2!Uot?eA zJ9KAeotZ7&kT?=hEGCsc2#69<|DYiOqaldFkPs`_NB}GTAZnBvA2Dc5RQ_Q}&|o5o z5>zl0&pA71y4!7=ILXc3-uvBi&OPVcb8nkOo){;Dc<0^rI_Sh_1wzb%J{AtSX2Q`- z!_^E&S`kH+`QkXtly3RpY9931ra(i|P;_Z004WehVCanx@9vxFA(K4BmMTgcBWhvb z)TOJ(pd%SGJiycDC{|QDV?`MZFWs>70%AA8sEJuvp{RtvcfJ08-^%>7m^t2jSXx@M z4131ct*WT3zt4yF0)%#t0IvpkoDcVo4p##_-iI4Uht~o;!H3y>BbZTBQCmOJhhcxC z*<1yZCy8kQJym;SD|7@;haLmtdEItZGd`I_{oS%FgE&HS6+7EHTer16zO|&at*d@2DY&)aHQXo3D)MA0cGLiE zhNEbPw5Cx8=1&=Ti8)Lj)Igjq6-$k|O)@oIdIu^TvPk?465i^q|BKbKd44*(nx2Ty6i))sa;0bCi_l~2 zWjW*9Js;s>+zp%IgzWrk%gd3*JlEoX5Sox~^5j+vH2+i=67c@(^s z1Ks<0Cw!8fR6+tUpSJMJ_U`XNgc$ENbPECt#32~D`S#UEvAUoLKU9{bq=;E4N?@En zWKczC*VbULv#X=EZQCL;_3*1F_plA@rJ=FJ)Ll$o+TYV%#PlK%M~ONl@<_WOGn^_B zjezin%a%bS3wCU7?WoJJr6S{Z{St8oxc2Msb+anmKdMq=T4E_l?QOet5e8}Re9$55 zG$-Z8wUDJ)DL0{L)-tks&nsp92xB<-RE8zyw3{?EXE~MCPd`3&l=X2rOiL^!A@PIf zen26}aDqg%I0Q>3q!E^es!4kV`4lny5cO+C+Do`1aKHEtX7*pX6D)upO9ETvc^;{< zoLbQUAbKIUR`^FM7*zFy3mNMq?O|$0IVpOsy!s%BBJ=o;QRAlCgpmt~X<+u@Z$5F* zdh{5d@QqqLtSVO6)fGdDN^50~fsH^9Z{X038nLQXq|4}!e=b4Q=pOd9VsjC4)3?|I zq6MbE*m$xWI@-*9=qXK1L$#zMvk&isA_nt6?XSAWJRi-hGyV{5YuC+tg^Y1Q%EG8n zSBiZAl@_nqeFVIP4&x()b#PFkOXjNql$NT8`zzzZ@}Bc+p^{WWO9YyF%+frzY&2ZisOxjbDc0jvEj^0C>A&q L{$=?O00960^^dBo literal 21398 zcmZU4V{m1`7H%@(#F^NdXky#OgcIAgZA@%)V%xTLVml|caq@ESt$Odrt6jC$x4V0- z-QBCJyZWoO(ZRsLs^G!DBp8_O*TeCpRZSe7EbMHFnVIRCoScp68Ht5mEUb-*xtQ39 znHU+E*%+7^nVE@|MTliBoK1+uj4hnMn&Yv4`>&Ur-~Ux$1c?9B|B)%m|HJO!=RV)F z2*jE|h@`}D<1wY~f6s-}(q$>W|C%&DqQFUu=1D{m#G$~0?1!ZIUco^6i}V-VFL^~& z#W`T0yy!wWEH4qmYGHWi;!Z(tU8 z)1zZY3w5$Ik;uj-s$K>agI0``8M?2O&g~PDgA_l2ExMjOLGC3gBlZw?fY&1Gz{D~# zLu~?GN2={LjrmD_bm?$1ky2{Hi%m2bwmd=2TCm#`0jyIAPqnL0;(ao3Q zQhu!Jq&^HsIIkce_nrn#N!-ld;Zlz?LFLX-bIMQ<7GQ*1B zjxDIj`9kkbWG>Arf0pnv9tKb&%X;jr?gh{(C=MTndnM^&tG+ct12+BXa@m{DlQ1SG zjL^`G%&vMo7oQ^>t*j=%(K{;IT5U`fEWul*PDX#(+S$#}=Oh=k8@VcsYssR~$Z3Zi z9JbPkmq@N)roVpBDtUCNI583dIeo2elcm)Jn7O3p5DrMQPgL^cMLbDJ=ea#)@Uz=Y z_mZA8Wk&JLb16EL1mTpwXSkY3{zSe@>P1;49AuYL~rFY2v*6pu=g`Wg_4_HKhap#ty5 zH;0b{{G_Zf&>K-=;=-H!Q0xG4_?DWDa;9G^R$Q;vCaE%@@SI0v6_?UI-ba#3>sZ#u zcpGzkSdM<>;(2d$=|wl*B+_ok%dtBJ=@V(t>i@% z$>LyD3Bz&fS<0Q(+YR*b7dV>HAaoN!-yD(@XJaBYORd#-!EUKO1N81O8HA>pnw-A%@uM3gfn>du!V+A|EFa&kmvwjPO;_zslH z7yB$g>I}5Qe52l80CMU{;q?vvA#lMvdjT8-Q5GQmGm`deEQuKoe-1rK^2@j)Y737) z)SJiNZ>KYgx*!dBS%sG_vLilR=|H7^NnjpzTUR?}w#SBwCvi-F9hHo6S<_H-=;u zyKtu%L($S$?p5PhUJv#mTeam~vgx5_>Hz>9%VNspI|At7W}tH^ea5+g1n_%|${h~) zKV5#VN00m%1j>tdD2`-XZa4{KH6}kYj=uN5v&4tFvPj~c_`s}}s%p}tKF+%2{HpQr z2Th#ij+DI9Y*HTkd3<06#8dD2VdfCekfmnMhzzj{ ztK6$1nD5`Q8L0>ft@xCtlN_;mWQrCK;z1GC=%QHiVD;{SOt9bw21F5%!8mh6BDcp( zc=e(W?G}!=de2u%sN>#eAuSwT%$gw)? zZm*3{-axC^s@SoWLVV@CDJ8wzV~1bwN;PsL8^SuwTTl}VX0nQ5QEpm9M_lJ2`TE6; z%UZ7Pwjvsk7iGBOc1yUlT5162CVN-b7b^12Ys*9R$gfy~am`;sikLpeUyzE2(Lg=Ll ziI{7cylWy0%~bkCZ&bo~YUVVG!{?RCn}ls`N6~J%vPi|F%}S6+mYr4{2TsC+bjls) z*#5p55m&~DnQpPJhCHk4ZDZoyU>`{UwGUaqVHCN`rpoxw-%BdTvMr(uUKq}Z>a%}mCNeS;D{Qh2i78xqggFXIJO{I@xOz3+uo^_X4&ZU|}bXOV0# z_2E_YvZ#Dh{R&7BBYhiWEgCxF<4vCse?fSYP{wDUhEZ<3!)9VK7EG%6pi+ zfkufuKHyD<4SdL^&tXUwVv|=y0&jVqw{JMF4et|Oa91{+eF1(=1HR2}svkMihn@5< zOcEbNu1QW&#*0MPEAP4ZMDiwFXMRmXzI7}WpWifJD++uM#%^bhXn~%6XY^tZ5Zq&D zc3Cx$VqncLV{pU&zwfFaQheov~_{k-qxwl&CztH1`7@*3+uvcSJE14Q0VR@^fG zXmiaqm7^1;tPGUZ zp@0vm%yoE|nz6rAo80hW&$X;B_o3}&{i-oNs-HMRWNG?x)e@I`*hAniFl9(|HuG`U z!E*0pGX?mh2?J&O=hhyV_`P`FxuiJ09{DD%zDs!HFimvpf#n|N>iFxK&B?!bmz_4H zIIg)djMty9RGE_J1YQV&xYIzjA5;+~) ziGosUv)=@YDc&?%L{Nan3s-VUJ|lrx>)LPo44MZr>kKWUW?T@<*Nq=dps8Dlx$t7p z?>Fq0;U3m*RSFj>O}S4{*j%^LUnjK`?^mK(eSFNtT=HC#^X)7M>sv+i74u)ftx)D- zvW#(!l%m{=k%ue(NIz}&luxJ?@o9Ok?&e_p%nxg_rFqrqhpH^a92LJyI_=knYx>XG z9&5cvq0Eoy@l^hd6ahL;x8Tz;AC%wwv5$42dHvw;^6SS1Qv1(URRT$UaVb~7YNBcO zY~GfwKbMZMZa0i7b7lMr4x5%0?LP(J@BpBS=&mpYP(YO9+Km1HM***u-;A5+$}~gi z+g0tS71CR;;l(zsQSBn~zp6L*GB3=W8K@@HQ7jxyfj8%iUz1+)xrzbQ z0=$`TeKU;EoYL~cu$#>IXp)Azj@m0bu*N%FxAP{G_NwV&ztMoGI1gF5YP3XnI_;*` zhA+pr7*=Z8oH0QU`x7G+(}X%5*4Fq4LeU;ZYJ=MGTL`!b$JFZh(AdQY zS&AtzU;Ckz*T6=lGQcy_!$E-=fJvKNDNnWh~AIUOE7#yG*(aDrN)JM=j z*A|#ASA{E`9@}noUWDaSJcb?EnaahDDKhQpm=P)lf|~~o@%}ZhA4ssX=i`3H-EFzH zg%4cc*G*24ttQkG2@yM|=*H5-ed^WarJTvT(XU6pt7F~t0SK7g=HX3)XKbu(8Nx$x zR{6~7tLE-B+J{b0bxfA@x<{$M3=#h!(EYjG<;UBe6c=5q= z&7>f-e0zwN)r?c@a3nyK$VJo2MV8ET9x21xgui9@!*pfOXCPjx6NMWrQ8iF$@F%JO zff_A&jSAwT${fL)@FLxJm2c~dr2p11G$s(A9@SqW)XSOvIg(8~{bW#WNUqR%5Z@>5 zKsUY0WYn5qITX7z)N1nlXDQ@q0RiF*U-&6)PI~JdG}?Qf(>j?*qFkGhgMXSPINnXX ziyJhlPg3fY%VEPV^s|MKyB_((Xbe8PoLt*PL1ey;U+gk(4Mvy`x1*ql2YJM*i!$#I z9h+S72K8UA7Hm=RMD5AEDg_#8!^5A}%+5r&kjlngFm?R%1`9eUr8?aOLs$}O`xqI> zm8DC``P3Ywr*NBgabs`}4*S^1{j5ksVGcgOWgr+GConm84ux!Nc2aWoHTAIIzW*=) zyE?e)|EAkg;jz<-NyhRLoURlWN*9&2NFID@hDWaC6IeHp>X5=<)WNVXt2fL}R-pY? zKcrtX^F#6}oM<)Sm}6x1Bk_QhC)`Jhv#fJwiJcZ*T+!CeBw_w?;%CWbx|Cc82ODLv ztBl?L@obiJNn1-pcSgh7{+YXD2Ehz{R?-Pje*FH9l4}Zd&}8}N7p%3$pUn-R`@ZJn z2DHbeU5phA{Gv+vT}I~Eq?Kz=`m%LRe2Yu9!%n&f@Le5yL=;9X4UDH9c z;NjG$WfkLUH8p2jb?Lg#i*?W9)*x1SsT!%i8I4)jzD{fC!l5yEYFih+xT%j?(a}Y! z>gFU?d9<|0zT*~-kcH1R*ZKfoqQPfkk-kErS!^^lhKI*Cjp&Z&0U!A@?eQ0D!1<9S zDm6v;PRg0L_?`pB$L zJ!Vs(QlqC&2Zu~;8ujl#^)rfDpjXV-|+?M6GK$y!`iNa8J*adVZL40NZ485&(%+}02eeIfi4mttOx z(^e@~M=r~i^vl{@K1BhYeaZa@UVT5lLcXf|D&&5$S#F~|6CnkQGxY;8>hYBFXU3Ur zoH^0W_rH&*x%!Q<&yWQ^gOu18Wc+*2C)`>-V)F2VDgy!{ro zMEoVM9ktTu*7(_-cR!%GsL6$}sT15BDA!2Yjjgki=a*xvj_jlg^0-1qX+RT8S?zyf z(k=O`c;b$0yozNgTxRg_1ct)w@MoY}pWS<4XTn=oj)(Jpc`q=)6-&Rr_1pK1oT~W$9LfwlLW=5*B6G+?h)D)-Fy})zW3!si~7r zhR1x}+M=G0!``(8P0DUM7?!`89GJUf!-<3ZHaCSB-iJW*>ttQS@-*rXWn~5ut1Eq% z+8*W|L&T-r@oyYtCQH!mO=Y5Ln@S~iE#RS8s$L_h5Aa!KWvUTw21vSQPK#DYtf^c5 z*G|Zo?7wnX99PGtFkUK`GpPjIt!I_5W#eOK9j*^i)()u~X~GnE;|@)zpWo?*=H+yS z`+#)lGicmA-|-^6J%YKtEU29GTV_EIW?X7Rr93S-HGK14fc%wg5&)kDT!Au|6>F#A zLxjoMk}T(9g8=eVD@-EhY1T4Z!@PFcvaI?KsQC@DsOb=G>};A`MoqJMW3s6j1W}3! zao{a+c~>!yE0>u}=#TZRDzd0y02WH35ALkrd z^gpICOJYgo^wR0Jn6)&sn7Mp{XY$)S2RnX~R4gN4ZXoS7WcpYQr_`ul{*V`dq$H7x zbs*g}s-pjUP#{XguR1w2vbDj(SZ}#8gw1-=8$Pm>PU`jA@h%!TCt^JN58{I#@5#TZ z#WSg9!E%PFyRr)co=;ul<8+QNDxk>s0ho@$zEaH&_vfz8n?anP?#j@(VmXJ@)nUmJ zjkn-@NHcR-yYl?f+kJhQ()soQ$*#5qllJ&E`I&x0n-5sid7?%u>G8)5zOWx@PN z%d`4;<3@TsDe<$woG)ggw9R6_UR0f@`l4RrR)p(N|vc+M>mE5HNhYt`Acw$BBS0W=>~dKNi`!X&eWlQ zLT_mGW(^wyw6~T2b?9TWhId5^vI~6FaD-^Fi>lG~a_san;+IElZB8WZs`foF#dqu6u%$cJN{Ld8GpOAnpBPZLidUhNa$}X1yD<$e}LwIq!#< z>xeO%qrXBcX-gVio9m{o4Dy;kgNF@g464&Ws2-c&3jCT@N=1}v<9WDNEF045qT84y zOIx7G@j4jvwn@(o9V^z+j6+aStavl$GskBwR(#UWDAv-&bBJ5&JQ+Dm?WSOjYFL}Z zD?FI@RRx7NEm-@h(yxMlxH@P?u3Bu&NWt<2Ock}!1;<((e7DbJa68@ZUyNpSC8Far zw#l|?P96Fo>{U0w))I2f6nzfmmRVOqK10|_kZxs*MAvFt<3O+>bhD%tBaYpHyuCyX z8_zp({(VVT`1rf^&J=<0)y|n=v`t;XsHi3fc7hqM*{^PpG770j^Q!&;2ueOMlP5OZ z7?+Kp)eP50&i3DmbHmi{mc#_@8bWeBo)FN#^glHN+I$(*B-Y5h0(L$244sM(y?pa4 z)c03HXX4m=bq$hYn*V7`86i-?(614s)8EZwssX>1y=(+{GNMY+>KA z>cK~|$EsP0faKecHp*%n##YkWXLN^J#^EUlAI%xyNOfjXwv7qtBZ54CMLo;L= zeL0oUQE&-0_iSv(8Y6!nP+DWPTV=YthU3hrx4(b%XJGz>!zNh54}}BooX*N99@uPe z?k)my*tX=CU)(!0b#G}l=_o7`_r0?Z-g9~7+gdpn;)x~yIMPX*S}!vxtK^C|!`mCF$6I@_CcH^& zX0^`MA6QsQs5avYTw*5e9{9x%9*&T)AH8GP|BJKPgjMX@S#K`v-5 zAkdmHxgtyPkZ`nk}c@&oIT2E$hC(z<+xAfkOK%-Qx;>nCs+abj<<3{p=i|gZz z>66|i5-n69nBZ&{^T24LZZhybk;1!Yx=SKs)l2WEUH>s!t2o=?k0U%I&9_rT|E=R z9d0k4Eo^Q?YM^xJCdxeg^J)pbPD92}*q$k6stt7iAcmVD!jJ=3ss-UHl`~k2gu5tV zpOo~u4u5f_mdk1R4H|XXl;QX$rn0%M{Ury4V4J)_!yzFo7bI9&q^|0Co=o97D2hrZ z`Up*v8N)Z8?vOOGY&LDYuCOru{@C#dMR!@rD7>6(KFAHz>2zxF`2&& zexnOVhmG@UbGe{m3z=l2>X?4Ml9wkk_@vfkqHu9ZbUFQ=r!j&q71%x`wd*yjLSOmPL`!O#ag;@q3?4?))L1bShA zbsU5I`bzO$7;(_D`yhX@SB23LIVoNU0m+-#F6zycWn$O>_wTSt=6}sJ^1848R;Cw1 z&~;F;Mbw|z#^K!i~c8bJ}DitoDxCT?1+~AD(gHBXpWPJ3CZQ1Jo|Io zZzR+3tX^D@VlY5{qVb#nruU&B(%@IZ{2K2Tz>?3;PYp}arBhHM=hjh~x+kVWQX z#x*&?w5*YS=ZrI|xbp1|Go_bUAw|Zn;83g2_VKERq*^4353DyZ`jnps$qI|>UZfT^ zUavV4>e8ZQh>DZ=Mi6aolq;_A2Vq0}KS^!N`b44v3K8^5ikpUoI>Bjy%pLC?I?PdO zt?5$#!QXR=X(UV-k{esb?;=vFG`wTjoP&}F%K=7ENiRK2a7dCHGorG9^N4pYvrO6B zFnNbx$gKHcR2EI=M+qKUN{K3SIPB9aX&9XC%3YE0HyXWdQ5X{8Swro9oZN6MGo)eK zf9@nUNHPl;bVkjC@5ew3TYt5lx$r%n3*@S<|Hnu6Mx0( z0uRr61z!kvSBO*o936X6j<<|3JcE`QEA#8ZB9@ee`k|Lln2@$d(N{XUB61*Z_;U>| z^$mkbu0SMejjKXT!L;<)ADjFquGgrUY!+`pICX(6|M&z7xMX2AwH*J)7|Q+zU~p`@ z7iB*Q*km|pe;eae>@D4|G?+9A-E@Vjo?bh@0z92+!{Q}iV4dx zP2yEA8kWRG`*W_8>Ms&!bj1A`_BS8Zba659RuG%ePbV1V4gu^td-Vc2G~m;3Ii%n- zzcSB;xItxBth+1|`K&Y+CfCy_7WQ3?JJ#T+D80Tu&%z$@4LHaYCjL;5xQRvAh-TQv zWKIwmK%%#iEovKgBzDK$tk6$zeE6Ll@DUHfwx9v<#4+C!f3h9C#p_1i+r`~@kLGPj zICP=`1V}gGPr4+LgL6gKG>jIWn7!w-dm=&cp#O zVFvDNBqjRnA&fh}QG4L{Menm4gZ%FqBzwTSk!E|aPJ!gCw>m-ldL-4Ngi^HHf611@ zyftpJsf@YQ()jYjGQab`-I<`o`h)C9eGmaxWHutNXoWDuUC1Z8l75lS$c28w?PP$k z`1))@iFR^;JSiXM$%AC9s3(h(>%nJmjFWyrWl9ai$EV=34{RYoz+k>4K4ATyCqmGb zPu^I$WVgetZs4R{++{=(>)#%j3vGOb<;*y}AYPacv2bev-_Ep=RAPRtk;agbD+%MC zL$_g%sGsVSIWTLeBo~Q!b=_h6X)Uw@VXb^IW_xMxQ43yp=I@g&#hZw0^u*!FY5b75 zAd2nqR_u5mbBKRC#RI58*JCY$T0h3$(7_thdC^AU?c(n@;~aRtX6QsQyqj4L(ZelZ zY7js6*>7|k+{AMc0D5TC*1W&5Ka{P_J$&kRU)9~XL!M6D6? zro(O}LEjpQGthhH-BY9@(;b&B8_pPq+76MQW|Dp660?OZx5%Rz}$*3hPQ6{qHB#Pg^gDrF; zh4P}kZcN(kQP}#<3o^W+0DCUGITLArPHrKv^_PpGzIh4SA@>C*T^>aY2a?G1=zIQk z!UwATOynR*z#^p(2tv4vI!Js+Ihc#pPP`NWRh38ap6|=fNOV9GX zzZ7(JTW4d-i9cmZUDO-ZCH#T+-8JB@wrQ7MGmIJOsQNgKr=-cJvdidjBYDD}i;QHS(j#VlH558G$tUTd2+yc7MDz~M| zP!k>Bu+fk4bSnzE)i94gM<3C&=_VQLs5GBA^78my6W3)Kwar$5rmQA#RT;5zqM3^ z1hGoR>%%}}A^qT0{`S(f5I@CUM~tj9if%RHfs*kv0!4RXXX9i&x>-vP4gT6NJ?dE~ zQRS4E3P?7YXgw0xh)F#Hccg<|h(}Gtnka=u`zYz5CjNyf8y|GeSIhfq?Cc-kxcO0v zUdXt+Z;b!lvHZq-6-LY6-j)VHoEc3Y!E-@aU3&;lP2u4=gY&}|j~N!8yuqUnxcyC( z_;we?n~tUVtLPhub`oD*dIpQ`kWBYTaEw=kPIJ8594V=CdsVwa@1?QMq@+?^moPI8 zo5$;Ov0_*)NlQ!X-#Q;;9Sc-|TAOqh7eZchI+Lt(CR6WhJjG;I3^rBu=r>C{yV!tE z)~1%3hyY`;?>RmVDrH8l2m?7-!FkfW8R`y(lI0H*Qyv=x*)n%igb3ESuKO12E9+U_ z%DXtH;Oy7TgJR+MxcDB9sNQ}DPh!UNcWxG0K>k<^8^}DIQM*C(+u!(V4&Fa*v4V!7 z7;v~2WhHPDq;zLriAj-^chyHpVuLw|od{b;83M5UvCa3b;wW5BjBZc%jki=l@W*-G zLWTEecd{OJ(nRol>9>ktx}p<%hm*oR%;ei{@r2p zP70+TpE3S79C+0Drcf@bbi}@%P`X^GD-X_Yo`v7rNLj5~|BgRULehhrv~N+p@#TDvhr&%Av<7u{fw)w_`phVdo_I0;9z_uW|{ zGVdTUhR zJ0Vlz2#=SSFn=y9izy41Qi1Z-9>^k&G}5)*Z+rJ5bTz6u&*p z4)DrbB9GkYIZSfBpY7}~2AtV@lf;w(d8DV+;(LU~gdKJjz;CVcD_=$4_jVL_ns*`W)$Q^a=h{8 zD9db;#CK;q8f5ZI)c$QAx zQ`zUloeb$tFxmdH9Zq=r5B~Uvx=G2xzEpzecAAC(o4Tn4>QB8ZFMBry6T z?GU|JI+^>W1`}zj^`7>-TY)IgV>L?+*r9S zMMt1&^24nyZoZtz{2M#fbo z@7Fa04w4bWd7{2#9dAh%25p>Ajb3VgFQmfTUfZH)!WKjO1)h>X{)12@Jif=;J|k~v z{&mxoOV~`ZMkP?}yZK>_MCV-Qpkt92+UzsU4J1FDC+Gb2g@HTdWz>rFC#0hUJ`#fJ zTgU78X3&R7myZrv*JCl7g$;K6w#K(@CMXQpY>%a+Re`4qr z;x~&&OSG|WG-96k?*shAwUsKcV@Y7&fH=RrvEejq)g}O12KK?jb-@GK=D6alMaPWD zkPz%HnV78hpbyo=D}FlQGKC@Gk(WIngVRXLXG*Um^D}RC~Mq7 zSkqB`#V#HW$8dtw>@9L2+H(Dg7_2Z5n8H@c2>~s#OEfJ$y&K|v(wi5I=Nr~Tszj)# z<(~^)K7rMDw~|$2L@0?_y2s?cBIga0P?{M1^Uc^BgTOl&1|)f<4nzQw*^c0(->_7~zzjC3Wl_QUTLSt=YG;9Ie7su@3K|{YnL|CH6S?b@tCMCa#L( z;%){U({BrlDR~u$8P?s+=`7-K4CT*{j8UrE{^}XVgi|p-Sl?XiYvr(s_0^z?^Zwu7 zYztOccLC>p_E0|77pmaA-yF(MMGw=}#D68^_;XZ#d>f97e+9MC#eBU7*XH~js8wgP zp`(TW+9T&x)QlXGwRZHDWuDPlk>A{NFPxFmClN5H7mt%}r7m>rYFo-V?%Za_!I71- zx7csovqGTon%@JM?~4>JN_Zvkvmr`o{@~Urcsl04u;fxIcVdOy(y>z zJQymkhzt8Rp*qon=dHqDcnhcqpj}DUgP_t$dsCV-0Nblk(BtN1P<=^FWrkmB>fpSe z-kZ&Z(5w`}f8W4$RJ|PL`YAd+8Es7+<;@lcg=gBydA}VYGQ)uMA?k4a2^Jx+!JNxv zMN~MfpSf^p3;Ay3AL%lf3)aSlK(O=j4~VF)Qj_~lx7O?(V8LQFC>B=2J$Yu|qs2sm zWsuL-=XV&|kPj5M9h2E3^AQ~-bZ!f+Nx1151;u&jKuV-1o)+Yl22 z@9?t_B=t5aO9gjK+2uRPA@P2Y_4?cHrL_RDV@9ZCdZc{17rW!AqlGmMw`=S?@9EH3 z#;8XmXEmCSSlJWjCuSfXoiXJRceLzrh-oQa)&`J2O__m7_NnLf-V~q zj4=1(Qb%5)I|_JK^@f;&lR`aV#Ce|0y-s~1_rv|p;wrN9G+vf!$`+b zrV=)%b8DaiHWsiu$w{<0SG|K<#QRsDH0IYb5$cQdLc>~p3THA91B7-4#aeb+-ryTd z1a_#sxkn>a_}Bh>j)JV)Q=EB6DIW9vq~2{NjEQjHXOI-Fl40XgX=dM`KYLPxyjm@z<{x z+=-4CYh$0zVNXaEX4tiE{Wt}noq+EQGkV#ZZ?2V=+Y zV`}@;hf)%m$G8F4#r7aA`>Y?&W?o;^kXCHdA+`NnTm_#uC|aHNW6|n3qZ33}?t2*))Y;$c8fP zJ7K83#=AzC@|9(46C-)2yVx?*YLLfMy2607S!iQNHIxtoURhQ{ytS`UZ(NE_w{>L- z?hV5qS*3h>x>#Gstyrluf7cqczhE!cu2TWsoUY66RK^%koG0?cWj9)mCjM|P>mj23 zS{#@x>3(q6b=I$gHlfoE!Fn3;Cfc73aZf)Z#LALk`-HJ8v2WwJO6J@RJkBMs(R_pt zLGEyKdUDs~=c)Jhg9WxD?@n*x(>`fFnMn|QfRS&qfj>)Mg;Rim(zLDJroi5JTbDO5 zq6^f%_3=y3aHhcP%>zaEkMr8?QxCWL3w}@Zx$IWAO{hJen|I}riL|sH(d+Fx{o8Vv z)M>T4yv5+>$pBxW5t-0&N^Q9=WvE{d?*AVYTD1}0t=5VEDy0Fu zB~AZ=6mib+y1ixR!~K^>aVi$$h}TyJoM~jwuoGr!4}qud&+eXyHm6QpG~*QDA*tZOv#lgDe$dmX zsaE%j6VE2*lpdd<#|6gF>K;sQmI>Dz`i#4o_?b8q`t*5I3yhge?j>~>Uk23;t9>b%};^;F}{PU^ewCaP-!`i=_c7?%ZU5;!UpUjd6f_NuS68>X0m1XaKQ-3< zh^5KOssX!aL@tI$=l}yFfnD9S>x8)dYuXkeo!5I6*_HGz-QMcI8MS|ILWF3Rc5Wu< z$ktJR$_qqvHYHr?c1jt#aGg-eWANGh!bgh1QuH&4n=eE6g9T+NH-jQApSblPe7FAm zX^~7&O8lXhmB7jSr|dq8zSQ*r(v%PyQfSWlETI({`^W`RO`K~UksjAzk)|LBqF_Mh zBl+c-SkR}ria`oV_OVofn@M8+dopL3S-t8G|3poNRp+t}RX z;apSG(7wBwA&xU5JyRp6$iIV8+@BpxGavo+!P}E>&B^Uj=q6=ncV#Z%Nmux^Z&ufJ z=lSL-5tF~sBWTMHtOrS3Z~u$0_9z&5Ms=Opnak>w_Rhic(-A;jl~ zE=EEmjD{9zDWwhgz_#$w38>o+)pC;dh59M66r&ot7RsafYoOqnZD(hWEtM7*30S{= zD+45tYroH!%@K1?F*UE{X2w0Rgy6CFEj)RA&){r5%b2uz$$mHVpe%gDUg1!K>k`_J7mVx-!R?2KXH&TAs20n*AmM3-w4~`gK9E;O<>|xKT6`T(~XYB<| zr@4J;Dah(Y6NEX%p~&86Y0JV1^T!LIg?s*(1HWLRpFzOekjbEH89Mf8OsdMVs~8bm_XR z#qyZ!`Ni5z1AYv=_OCupo7Gq~Pg72@1ppI|G8XQnoPOW`=ewWY09p9{M{{2ZpYZjy(bFxew4mKSVd0BTi!@-v;2_a#kgg0L|BWcXhDwhwc`wG7YqHNzhVK zN|qhUv`vKw=lTX?eCyJKx6VrA(l($nV#2f1Ygy+siY~XTdPCs_x*IO#8^-#GXa4L(PS3-j6kw#L0xUxl?>u^ zP0LttRUW&s&l9LX!-h-SfsDAQ^$qdxxH{LrJ;g6t_8xXwGZ);2?i?4lWw|Nb%D7*5 zIU@V3U)Ynrn%@9Hm?CxAcbusnv02di$g4S;=N1chz3 zpxM?SE^X}lS|&Oii^8jjc=1HJ| z{|m?mz%CFxeLJr*QxG5)+{PFMI}kO0TZMw3c9>%4b^re$@;_DP*m?El!BcqJ&l}+o z)2=xj%B)Ffj*MJRs<0whw|^hRBYqyfilhlci2*Ufx=|G(Dz5S%{+{OkK6x2R?*2YY zkoPUtI=LfsVsSiB%txp7GVR{cIAki6Lj>hYJUB44JjflPoJd}jmTKRj0XAoJrLYSM z@XY(K`#IYmI*k1t3UO~6?j63A=7=jm_W34Pr$Gw^tX=S{ZuVD}(&FYwE{+ zx9RtGgurH?!cNo9P%?84N2%RkiW&Ra(W&QB$`ap!-C)Oju?gL+6nyN zR3Nx>xdTfBkk1rlK4a@3-z-mHOM5f|(nK*o`xg;|SDyPzcmIBHLL7#JZ^1Mckh z{OygCcQY1mu&$t6l_nWtaG6+rK9pjiz53G{fYOm>QBtu^#sn!VW zUZ53P7MYc6B1Gf0=mjDn4d;0M{8Z!a0q`p7*{D9Sv&+mbXQ;K|Wthw_lazW;YI6wV zg5VHV?7&p*f47x!-XHZpZfR;1qDLeY2d$p-Bu$bMYf^L>yU0P_oNxXlTw=wSXoyI4px>T8K8312(+}uKj33A zE{!ckdSJ{`nkc{>ts%OxdJVfhiQ=HV7ED5tK|FEKG*c3B<>bm*D%;4!TH)%UKjmU< zo{?F@dpd>QVFJvQr>1RQ!f+Sf4R+w!A29OgUS?1Pl(bKh#fx6gN}Ab0R9`NfEgs6D zYl$Ep#b$XL-A%1zv^;7xtM6Nym;449e3X?kKZwHcyVw2|VFvT1ukGZTBlx-)UCXmW zfBrGL{xnAboeb~fS|ETldOfJwo~sSyuk4?8_N3XK5gqGKJgluiGeU3^nzDJcJ8WVB zxeRdc@?bY==6Sm7V2_cA`ZZQyZ$;3x%N+h6%(c<98G05dpNr5pczA<;h|hsC+lc;{ z>zY1YtpL18ksd{SPi2SWDSyliCtL8i%PGJ9=WW5ukv1|HrY5VrPIIs;!;{PGZLJgP zyF|;MYh2WV zC)_rpso<5Yu0$SdWQidn4?AR4h0d1JBt%1{Zv%79pN}m_uu=;z+;qAu2Zv#7Gn>CR z%K#=F`NGy%$j#MUb|`Xk|JJMDB=FgM6p5H$1CcS6?H4v1bP70CL$1Wp>subf4XT=k z5X9Q(LH?#Wd6197<2PfCaQwAyhlcV;(8CC|@IAHVN;7cv;Hijw z)H_ZW9x6tbsx(=AM^DbwDs?!H7KIjgU)uNB@sX)O(p87^OP*yavIStb4g}gNrx$qI z3c4%%9B)(;@}m}Q0De{cc60^$B8VWe0&lmX1l)-==!0_ToBg5RKv2QTyOXCwkyWI~ zG6Xk-+>jO2L^Ania(+Cs?lxzQ8?n0y1>vOV$jJr0+G`-Pd*}?)*o>-SbRUFPP?q9G zFH5Az%r7LqFG2-huTF}YP5D|ozoOnv4G#RU z#J4oDU#j{??Y@vIwg*ofRLlJ~b>)4>*Cs*})mh*!)}yC>k{uH7h9M5BWxSOT^n8S} zAEv*uhnN^@sZOPEd2@XM_KG zcEbb#)$tchY8nD)&9AtI^6|h-1k@dtEUYM&qUrS_I++GHDml0Oy@eXK5vW{p8?DiE zO*w5oxXIa5qEA$@gtn0AL1}fNggR}O4{$wB9a^Vqcnsjal%k+cr>`G=c+VHYXvoN( z9fU5YUP#QJyGSgbN4H2i(fx!>^7^0JkZG}p9IoCKv)E%Eksl%5mU~SR>dJq`1P%Bo zS%KoY$$~Lk|F|L1?;jmcjc+*xI6K3`9W^??kv%M%{S993*u7sc(1$l=?<4v61v_ND zrH=j5)IW;n@BBQrMBc57?^)2&r5_d2vR9hdRnza{!(XKQ88f&en0rT^T?k$kr&Q^& z4o7B&Def9J%6?^+9{xr9oZ(YNX%muaISG7gA{9OIGqq!jJKtTJ7f7c#`sjL``sRQ# zZ%1|+K6=%8tp@std=>Y62?>Ui`5geT9s0{$#8fk2!#R4Xsd2oHC+t^3a_{z~kj+QZ zrpuqZqWk>ju;06fcY3T5Kxe}{vEOt%M{F!*Usw@3pfh|TzuCLU>s$5SnE9DuggB5(gSPVKu70=WAmgk9cu-+!XeU_sQ7+288O z*!jhIu}2^AWO~#jhXS*#^4t=27g;)@QVQF79F=;x(2%K52$7N@9j;H@s1|QcxMx2 zTwGK}Cwo~fysM}TwHCY^GH_wG6nvRm1Siw1M!BS@5lYFWkP%GE)k>El$Ff;Ayr)6@ zdyC*Z>*oDC@U$<-=2bPp2lAuqs!Q@h==SJS^{Oz)W_j*|q3)TPSLJOx-w!o}{&0xS zVR>i0ZdZip+5UTO<@1l^N7q%Ct^^u?*|#!mPWx!6y0t?|jAgSL?5a?8dkmotQsB;d z;m1PN#ro;T^P}skOP|P(R+e9#AFXtM(i=TpPOKIBOJ3;T;I!O?zP=&!mm5NV1!$km zZp?NyO1@eIuUc(me(RO?8-VsW%ZVAUlz%F)R^+0 z7Jw`5KQ91Rj=#4t_%9lR-xtCi7vN)kP8t74z}*`G8~U$}+5R_w_zaomRG)j)4}K5k zxekG=W`8c~?J-*K(s1RutpN9#s%-deLiLV@i@{appBJikUBipvRqeMef>-*VADW-I zf1(@|^sAV9RrrD;>J@&wP<6*Xk674h z-U2lKvR>tz)$v*zgSRyXpV=6^y)pQ##^4=*`}LWN43piPywDpk*O}07ZV3GrZ}i0m z%YOm1&saSNwqI(%|H(`H85Z=PfySS!zuVw{Zw$T#D&Bzh9sJ>!89aA~8;+B`(ga+` z&10#P|7xMbeHKV4VeHd7^r3*a$-jN-{hzxOn&#dQEO_)DS1{0U9#+_`=~2J5Vw z@sr~A|D`zeF+k~(zRKcCpY>8YnCgyGm8K`@%o&H&>k-ezke&6i7XjwzW-+KzIy+Qq zzZM)r)^(QV0LgJZJil8$si{h9*%-F7UOYFa!F6QmP&X9Z(}J_1t|jH(USfI6u^tkI z^#bOey@hP7TPG(cccB*$F)ft#K!n4CJdgPcP${E}CI3Q|-%jN@5s-(x{4Egko1lZ+ zN6EiP<@w7zq4S3xyeIh(_^JCM{BCS1fXuT&$=C6h$w|Jcg?X0k9p;Zmejnubg|frI zgKX)I{6|ArAqIkS5(v+Ra7e^BQiMRgwu6<9K~jbVzm(Jv`a2tvlHn%Mk=a6bOLCASZb)JOi#_YsSd+^K&(5s`$tM>YKBSKQGk%@9|dH% zA*oqA1-UrsAnul89vey$+@_~w1m_{TZBu)PRAav)sW!OAr)8w-Qh-oka@;K4A!Rx% z8f%7SNkR(3lhqi+-B2kRdnIM0I0C{&7--x|Gt9&GHm24LX|Uz^D#u2(q*`ugr_j`d zlB!&)aPnQs^x*8ZL&-RjTJBXG0pvi%kvic`wOnf4rmkHCGPIVU(`hYV zrX9zs+ps%RO{(J#V6cjlzd}d{Zf^+Tmn1xdle+VnLHO7|ae_CFjBo^MH6f_W<#-Q8 z;7f4c2YIC<6ePH4zgQ6hSz3l!=w+Kj=%o-EsB5OBn?>jh_)#oqwCfdZ0JNpBYC10?gyDXS1=@F@qTK`9J)pHI+Fc>vp^A2UNDD4Ygz|0yZ3gm3 zD87v$Uschr4Qa#TRkW)@+Db*^=aPv%S1?VIKn(@hdcZ*T*%PiUz=)vqlrFMWCjpXcP9eGoWZ&)Rbg%jS8$vdth?XHF^k& zE{B30GZn1_N6s4EpK#p+p`tySt>~77qWhufde}QXQ_->cYqWjBb@xEgr4VyqrlOPc zE4mc|bsOCUMeN>fnTn3cuV~MN>uwJf9olR~^WnNPU>`#;jzZU6lc}hH>o!85-a^cW zq8-t5ZwwW!WG{B_MCQRUoZ%Q~?>vav0?=FXiJ5_FYcYpG4BwK*2Bv6FYwiZS7IP@X z@c52U3`Ozr*atB0-=%1LT$p^slZSy1Pwxs7?ol*8O%6*Z?^QHDB2P!&uV~Xj`wjRw z{RYDDk3R#r2($+jZ4b~UQ1+mr?Fw24Xb&mcj-b&ULi2RT9*M@;9%49GJ{*c}+FW^2 zLeaKRv?CO4YPO;$5{kBhB0j_UM5xHqF?S@yYymNgA!ZBE*>Ma-;-+RCJD`Gs8n52T`G0wXv5~PqZxIXk`eEx z&m4cGdf_w2^e4yC)Tnf;P2HYLL7pyNzwDViq1au>JsHDaW%DhBm$DsHekqGbe|a7}Q_D8P}bTuMfP5tWkh zXc?)S=5E8-*4cKXhFEG426fVQ@STt`p-&_UDZ~0W327NA zj))Mb1NUoq?ZOXIpyP^`+ma1+oeU4*csRcu{KaL=boWxQYZ4OqLn;@wix~D=-ecNq z4idFf52weQ(mgvoUDn&w$UdDEb{OeFpX5Dn-GiRvKTM^6r21)731aE5c%fTcnreor z=j!AAw5iSD-`J^kd5Y|KcBxHGInVND*xtFCHlu$u!^!R&L#b)py{B_mE_JCh+Fe+O zddiNJjFj4DI4C7FMF`diy*8vcQiK97Ow}bNBjq5sVX1MO+Bv4KZKdou!P(aO6h#!rhnAM#6eyII zpXEofJ*yUIOF3%Wy}h}+f!iPM?vTlTG(;g746%ZZ1Q78L zBF0dne;70x75~DLput2EB`Ba2-+Q;Sy&o-!n@r}q^V#pbdGF1esm4aJv5c|zKiJ#| z9lMav*bL}n;gD;Xjxcmr=nl6cipulYVHl}s|M^|#BAMgsdZ z3Zcmie9fL)cYG6cB(=hWqFi^mp3vM#T!}WOeHIMj8yc(L+ST2$vFoV~0v1&Z!bI1eiBE~Npc6; zF~f3b8E!ZFf?c!G;|9BbJ#xk>&AOmp1>M` z5`L&GOGy$lmtm464*uLeCX0z1QK1qukCnrid{76?=FC-xpO&-#^`kqa zozj!p@y7HnCr|F~>n)b_JdmSg&dLs?-H;JZE?_Ny$Ok`L1dS}%w7z3YW1211X}_Bm zvJ=20SoJ#sfjR|uRsh;k-c^;LKki`HpQ#eUCE<%=KC0$by)?%`H$7_`X2xG{m zKtrp;9P{2y=)$R>();<#`^ds+@Y#3?Q1GTTlEg5)7)E=|WaJ3455j z5}=7*sH)!!Qe>Vyht#;CuEEGX$Zo;V{;xiB(0a5_R)Mu59#$1A>}raxM7dR+V_*x= z!yA~LQ6pBqhf<~Xhd&oc*GOM0wk%X`>ZE&^wZVK}tUX!<9W7?IH$T)z)#47%+~AL} z7>xh8yY8Ok<@U{}12wd)Z8z=}N=z2542+8TQnm}AijpPU-vw`>!{ipiS~)bmt;V>f zEFpnHd-T~*Zn&kyW6sx(KcJ1*6N zGFm^&n;~i$i5&CmDKww<{WEBIxIAnicNDttq;4WB=(baE`0P5=#3uJsn70a*H?ZyS z?(5&Ko`H_WrI7F8l*3k%!^*GT##~@OK|@fpqpm+=3JlWHlfS;$a|r+$2Lv77=48{W zauT;5z8XGpQx=byu8sa>qrjWE)RW4(O)ZDZ#-mgmMs4mHjTYjXu(G3-0?LOYB7$2@ zWE;Gu2RY0>MUA+viY1f~)EhcQ@IKK@dKV5gzJyxH%H2$LN$3%yC1 z^(u691t0&ntO9hFK$E4}gcC4CM@-+AKmZ2Fpz+Y-Y?h)&xk}DT^dFdrg3gYf*8mm<0c!i7J9 zH#d7tj^)GOJu#2uM?-m(dH0OxI2G?f6s6QZA_0x!c)j^lHwV>IF*z?^EMPzAoX>V@-2mk;80002e0{{R3000270RR91 z000000002x1ONa40001Z0qj*vZ`3dlPM`u25JJ389OgoQX{GIMc6qhEEmd0;wbjxs z2u{eGIH|$0BR>k^#82X{@e5!k-tLyta^!%p}w}7ev!v@3v}LM+ zni;1M6U395e5VByLVH(x2ZfN_LSb~(%ArlD8wzcBsi}pMC809ubK2+p7$XC<(WPNk zMI?=8h|0^>;h=1Jen!Hq)tO+9=aB2nS6sP(L^+}Glq&p^7yNULL9(&|>n9(0<{)vp zwZ?IOQ`y$=OsiakKuj$SQ6B}9U>EIE7}{j)CpI&pCM*m~!#SPZY1IXhR~|#;EsTt) z_)KsPO(+flx;5G!!p`U%5cwaH!RlIyj7=m5T*-)p&5xbMumhijJHpr_suCd>WEFGJ zoYVzgyBL}Fe5+Ak?Xjdny_^nxNNvc8g^DSEU$D%1gR!18NwA#C0d){%>I((lVK6;f zVZ;|ojIhp#iFexAF2G1~hQ=FSVEIfFaLT!vQ)DC7rD;ns=Z*;~SLTu<=W4s&>lJm$ zPD->Zs5!csu6i7crJKaz`8m`MJ1%&_Wt*esPEtLuYw z{qY*!1B1sw^L<=?EwDG-Sgggs?%>j2>-M`Tyzm0k^Xz#F=~{0v=(Tsr_$AhwUu@;W4w+wl@qS{1DwRSSS952ji>*; z;ak|*3F_{(>MqLC0&)jW`T=@<2A!t?@5*R34g5PZ{_p9cTX+g1HD@vwk?$$=pbtxs N!b4d83A5D$7Xfh(#_9k7 delta 998 zcmVV>IGBPePb97`bFd#y8 zX>4R5IWaXLF)%MOH7_zSGBO}jLm*6PbY&n#WNCD1Z*Bq!00000007wo00000006N8 z0000000000008U+00000004La>{Ux|+%^!FS|df&q6pf5CZ`5}50o|6gITR&8ks`l&Ke&-3$u~5wMw%uW z?Hkd)vDg~xuP$z`Y5D~nJ)rpm&3iP7^f4_nEmY^EgD0@)v_D7QFGAA@+tKx{BfU?@ zJwu=k&(HOL)2mJLczmG2iu7mS(sG8*OI^dE2sOI?=9W*I{za#oH|8zC|BwE|9^h)A z3gB8g##$(NX}m1(E{oH2_GOano3^vEKKHP>zXkau&jF6KM+N)FQuPThjUK^FDd=Gi zEIPCoSdOySCRhj#!Bn5p{N$jd!mBlSW0czlWolV}KoxYsEFEM*mtd$mEjUy8OJNcC zXn^xp=nh(8y(UZ~&sOx?J(v+D_%?W`q(TRkHBC=PIuUQEjK$h;31yp77b=vzFY$sr z1Fbc+6-~oOhSZQvP`$yC+16S{CY&>c6dp?`O%WQ@J|c-uYLe2#U$z4OXvs-Vb>PDC zEfyYsGH-fqJle+fiWf$gGCE?=GCe~tCKHP>&>Cegcglc4T z25TiebXR#nZI?XL%XfzOwSiJ9=F4^0$I!-qm^f&J4wWc;u;lAymqpDv9f${?47RZ2 zJvlRw7FPbrfsxKzxeVS|Hv*U|6r{YxiqdBYz%}DmMzM~Rm$pyE61|X|uEJ;M-nVXV zJg(YWT&3tpa&e3b(~OalWt64y`8hM5DlS@rs*lmSUBBlVS|W1R zbT6OoO`mZe_&IWZM9aqs_B&cfxfrjU=qxz%M~kgq}}#U^*G+JLA5cEcPkg zJi?2Z?~#t*wo@uX<^(TAGjyr1==ktlboX?25@9U;%(PDxUQkDRp}q9ozrdONKr~Vf z&piL1%wECKQDpb9XLnbiHt06r8SMUg1zksi+GVmkp%0DkX~t-N8ZmCf1B}_+2wK9n UPhbpF*n|oE2wVREv(5t-0s8sKqyPW_ diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index e21c9136fffcaaca2659d9b6e51bba3a5847cc1a..d46770c89be14bf6c0ede3189928ddd0b2b98d9c 100644 GIT binary patch literal 18457 zcmZU)18^oy)c2iixN$bNjVsBpMS@G8_5*c!$C&8X-Q|S!XL*sqEU*D<<4*xyc)Y-wXi~bG6#bvCWnh6h78zQNt`j zMoV@iboUif^X6H^G@~ll@y8A*9glWNV(}F;Z2(}3gqkbq`9PIeTZQ}GF5_xo_0-@| zg~VMV=Uh$2>xs8}7l(B}%5Veb`r1>Ovw^2iLQ>)eNI5tB`nBFWWfQXHK}QT=MXwhT zeW+IeH)Pn)DJ1e;PlLG|`a8C$Mk+nykZJw4M`^V|5+j?qfmM4zqLW@ii)ooz{b@^6 zx{0V&N7-ffzB>WFkRCwMX*J#tTp2EiG;%o8L8VE_Ya#UlSW*BzdL>*FZn@6nAe-6r zEv;hP@?N)Ax|le{+Q)V884l8$k;~_KR(6{p*5@svS%RlZVhA)noO@<#N-o0%9OwGg zrH2xzLJ!#o_l6y#xo^s~+Fir?QDt+pUO!8Y|9lBsPLMq0*F2|Pa%sO#O^_787XW^# z+v`TEVdpH+No+y|VCRF5)e$7qF2vGT{4^IUNnPgAV^^<-a=u&}1k%8 z5j0swK^MImC6RgFa-%ynz}QGhxoCb*Zt}!piJQMYvLcJ8yL}Vr<^OSAE5ozB3mQL? zz7EFwxk;}D7*4f#g=Jo2+Po87c&Y-^?$NY?wA`y6y;R59>pKT)!TX^MxOh7jkNQ}b-b74z;Ptz z;o4y3G)BG$!*%6E;!|YKfZ@a@j!VNbzwY1m)=i(=qVve?CXsrjX+~Sf=jvrzebjjS z1u3i6$SlL$ej|q2@RiJ~EMfzyZb`T|J`>7lwa}= zef1SF|7DE)mp4GCH;LT=BjE)N! zIb<(CdIiK<4xefW4DAO5Y`()(;8)VJsTwH8{{?d*}2Q^CH0Q5A4Gaa(Kann zIOZ~Jw)Exx)9>yEJTXX>%nwYsde+ zi6Ure5O^NvX5c!cFLn`-Xwg3HUT+Q2q>eP^Hf*q*V+B+@mzm_>^<^*&8H=34!B}t9~t`!Y$8P*J8k^-HA=xh z{T!-IXmG3BZUKm0Bwnz2c_M-IZoquqvyXUn@=$zfWk-I9Wa;xe?PzFbL-7QC8q`c0 zNZjq%O@g)S?J-UUyx*^&yY@hfb~cD48zh_bJdewD+tbm84i5m13gr)*_Pc$*p+hfQ za1FX_J6gQT*P>aI7hvBJ5thvD0Wan#T+BXWGsnt=c5fo~6AszSzDMu|RTh@aK;X0w zxg4v3>RlTW;`BYiuVZ!s&N-gB6Hm(z(V|=#hFO3ql(tN|h_!@3zrj80j|H?mu?Ut) zri1zs34-FO4#<-^x0)le@m1&aia}G{;abh}1RzJ%<0ELyaNNot9TKD;I$!Qqw5p?{ z+2{L$QiDb#$5N?S(GEBtVe3h(I5AKtZZpe}9)>nGVW*>BV#MbH;G=GeXZNG1llkqR z(G<=7FIfe?A!O+jPaWHH@lNhMp`5!0Q_bx60IF8&;Aut{8zz6a#?QCy=$Ix zfgeX;if;t3wpt_11RpZr8abzHhP^z9RNF1Kh)>B1%GF2eaLUEB4{?Z6R)}jR{L1bp zsOlOhTj5`Kcm4RIXNgm+JmGSut?1#ED5DZ_70F4dFh}<*FB$PimDL!?_e$elS3t?7 z4|PaE?S)7x8||i9xh7 zdcx`OU{JSad;*Ya+Sovc_D{jZ>0G1ArNe0L>CrlUx|HUfvtP0`mT4`(8QEMfQKNN;sV7O$1x zBwHT4KeUVn5#a_V%Ffxbm5$%3rNPDMFZ__)1LtaBy0tXm$bbJsZlPnpa;l(N`6Bvu zRJt5V*IcW78i#KBep++%Y&@JbOR2>W2d4@5VV~q zg1*=Sc!)RDpL-NhpDt7!!yI-)GrN(xy_mz-n0LhmMppV6_W;Tn*MG_RUvdnvZP0wq z$X{{hW*BoJGBJz1TXnC0Sp{(>ezQZjXKzHgiks!SA|Kq^^V>&tjW+qWSpQG{21)PxdG-D0#56Vpb z;&<98T=t2W`FN*z@Vy(%R$W1Oe>p6~D;YMJxOA)eux)EOpzV5JZ>Iu#3z*!(EG(4E z2<6$y-vBN{RHui3dcD)}$&f9KNpW>;%kE^-)`25IPWZ$Ua)~dEy&e3G!W>PF}J+)oy2 z$6G?xah9-(FUq_=jzC5&wtTsGs+p1*cjlDgzEIYoX>#AI9CBj{uO6NZ^2~!?Ov+zl zKloyiP(Yl|*|$jg<0fOqa~aF+hnMWYQM(e9U&d0}76wZ%ijAupDuYyu=ReuGmfvZi zXo$JNhM_GdX@56f=BgE+yFu)=(>x4C_~EVf+nLD&+}mf%N6rQaaV1c~BmBpuG{b3K zwITlW9+D$LOqcsowrqg+*=Y}2{N!c)6#X`}T&)wlXby3)upXv6s+gTGcPHs~#+b@2 zq!phn9^%Xu_pCj0lRX74Bp?GWu6s2i3uyCBwBXodLD@lsi7t7_q-2}b*ulXF<&z+- zz`Tq770kq3jB8BU`kwCGKPk7MHH#{-Nr(Y?qQb(YW^9et(SgKn53Hu21_vFYHtUWw z2u9VZ5`X*Rf-Is3+_a&x)XB8Ea2^x|=Zx)Q@`^RN1a?+)^-NlqUl|K!``%@u= zO%ci(2S#RB*6CE|ocAhu&?}2L+Zx*{w%hDDOmYIr`mrdBX0Z2vSr!ze({23`V~4Vo ziGl?h8(Z0*a&lT7KU{J_)Pz8`LRMl5!M}bg(zPJgVfsDbpnNs-KYZr07iq|R+Vl#; zinZYaTT6<6Dd(Q9k^qCG4rIK$96 z)WSVL?;9WyaAINGZY3KstEMi2pdO?UYVJz3Y$V&J0(Rwf`ao%Ny9kZctxgG7GLK@X z3cz~!8CXK`Qr2nd6P&^9PM~FA2eN=ApFH=1VK%>*8C!{zOn4%auGr6g@K6Kz{$-w-Z2y2cM`0^^N*Qch8tmCN zrASk{F@Yj$g`+umd*qx8a69SFzVIJ<@(qAEXHUMnHG#h(D_XS9$8CQvnEKHYM?= z)&%Iak4?h`RT_b;uj(fnIx#o=wOL*K>Vc>jY_k>X%wd30?eo_Hr9H2JAq8&MGzKDZ zX;jd|{sSB?4O;vEfc&4*_#ZI-Q(j?foDjwK!_-KA@#I5A(b8S=^v{xR8F4NPnA)f; zjgZNZ>a`P$`Ar*VzN9eV`QAjE`)*l5^F*UWq zO%Jz!5zotI@XXE{Q9u3bGGfzim|==1v*EY1h+;qcbB989j{_)j#6V$#MI zB+7;cj8XU_qXXeD51;!gJC>kW+e+mR~ zU~l~DWTM>kA^~n@k|aEB^*+x28&9t#UpXP6H|yX<+E2ErW^u7vE<$QDkpU<{ebCIy zJex&frk611=S~HQ#{sf%@fmuv=VU?+&Uoxcfk{CC02VX`=!&tf2Icyc9~NqZD&UB0{p`zUBu;SS8#47T(C8b^YHQs5 z2G!E<9FOV+%-%Gph0XQFPJb4j{KBszK8)eP0k`8pFToHX`=94r!-atFe z;CK+C6l2T$N|QRyI$i8|8ZmUZFZ$gKlhG=5tQ)zenZC)chcr5^$ik7K;ZPg_3zXRltNmnEctg*~X_m83eVaMUyK$}569jLlN+BOR<$LW35j&t zwQt?yvH|}R)egFC$F_6fY8G*=tA3VI2sd2V1*vm(G1wD&gLLrLdRd){a&27IsZG9c zgSu8)BKyR_0#D`T_T+YdaV~LRuuLo#jw#}5Ht(ECSDUp8AbwQ$Vo10-wi@X({7rrO zqU$aiD1eTqwQfZN&ix%iZ741C-=JwRvKBi0!}Q7DQ+ccwj|lT9p9f*T9nYS3&% zq-NIT|ExkD?Rw1o*$_zWjAk>i2~?NZHn*4AaACpkS|H3+jo}V^yr@}=1ZxVl1IAo( ztvS7%*Egmr0o%1!$E~CvWO=mvjDO?(;En(EVgn1bw%PJG&-#Vmt=={kt7l_`_X%}ojG&Jr%(i}9p1`9 zJiz2&Kkn@BAED_9yk#Eu9ir!V=6d0tRZIx&9mf1SDu~N$QF-GqBTI3#ac1nnb5~GR z0yA$l6WhipH?_4Eifd`1i>O_-c*!AoSx<`-rq>2x1?;&%-Y#cFpbE~Db|Mk1ODB3p zoRD71!Gl1|(mVN@q=B_LV)Nq#C^E*1RIibFMzLISp>~|FG;D?^6mrk8O=!K4(z(e( zWm^Ave>Fu%aYF6HxU-JfOG#z$qWn@5$y~`YT2s1~z*^L619gT>CyYJ5YO=xUqrA3S zIa~STGAm_&i@Z9WH$PlR>uJQBdhfa@2K1CFwAg8WP$7StgKlEFzxiR^YYppN&)}_TxAR9*z2na( z%>dR`_#eg(Asr-Fo=O@%q>Qo8@=E!na#EOI%h4ZuDzvps&MuK6YFP2KiC*EV@>KD6 zhUwzd4NBx{kaLyPw?Euy<=*^Qvt%Tg$PTM@S5QBoYOyb3m=aZAf$2GEQZZ;UgKsrwzOW9Luq51b$dxB19NR$`9voZeM~V7C3`P zVrc1K5I;&abC~f2119GFi0bLo-jVEPQ33rWUF??e?E*56tztabi%I^1Flwpx_Tg7X zl#Ap;BT!LNpBr4*grB`=p0OcT~YmDRDM%g4;x$~=IKV4V6M|l zfJdyE6UUMl^-GA3!y8q5&2BOtvw|RkYg4^S9-y8^c$V}7y799lsg4FS>xU+G*NT~h z>o1WUp7V{O*6AL;_j4=g9?~$QovUH(Cu^8py0jX~7{-juuMw9Mf`!#dCKCTr7%SK? z@c&S%lm7~@fhZBID=h(EaO*nmYwn?#icztlWXERMDmbu|or4 zJ+gdwl(5YKaf^msm9o@8hMm!u)IBloRQ-fIKJ|(@TCBZ<1;Rmx-_!~~8gUyab@GP> z>9-|?#~L#EoW0Tp5t_;=qbP$D0*$p#mPt+az z2BWLXyTTZaBt*?b7r6eBhh!_z#;>ii7vUq>L6Hh@IwRvUu`^6Iy-i&66hzSqGtLK0 z@Za!HrEJGaM+^5zmNsnf75fKMk#7#!I8Mo4NAB@Wbx}rhb5?5J2&`+7^;-xdy6xK` zOm1`0DLRoEq3;K`1_vgDCYjO%gHs$O7@3x@Duli=F_{-R^?JPU6Id~|FdWktub7yp zB`C{btPxctnOibRhwKEn|6Z`j%#l5deS0;8vnlIX)Ex75Bj$JDadfIMWb?gp<#bLh z+?p{yL3u@e-Z&iyXps8=Ty6QqE^^7UggR^-K8)B$whNMC#U_$#yr6+A)job7 zl$HtO2AWb#7}?H;LZ{szUxLC(H(AA!(3=FW<#rJm9VK9&Sq71SP@_}|{06SB*oN?H zq1|<$TbLbzeO6Zo;=0|+iU_~Pj0;BU9Yl`aCg@8uV60&O%R}x6u=a((F=7yUm-5v= z6PMMIY_N}coKnE^(21ejj_YI*8*dGV^TG&dkT{WG~Y32j=s6V{Cze4#G zsyF4x(=-l3s;xg7km&Gn0q+h}z0`?mpZV^5@PRAV^&|+~nV0A?`gGLu1iUk17d#uRYEq_b78wS6Qk(m*9u zuGo*2DI{mExrlF%`7IVB&}uc=j~^MO2PVCmt#`eF(lYp?{S;~2^*%~cfUE_7-K4M5 zZ!sdPYD+Oaq))PX{mEJtu3hgnOcVPzbl8;+P$7!GV*2$qnB|%v(Rq>oB561!w|5yT z;`&2Z2AI}1gco;bdRFIpVVb1;C(Pq2-*ZP`!GVf{gEQ=VsUSCP=W&JVXJ-lU0sb&o z6Ea!x*dkh1W;p*&nI&uWP>s6E@+WDAO(Z6GD3}{=w#rcc=rZjKk*`J0N`=B z=_rx8wZ@7BfWZUm#C7(b5pK@kLnz7?VS3AdNQZGo_apbuE0zDV&#;LMaUw&}4$bXg zIx=wD*CB6Y`sTg4QUxhXd8cYHXhpGh;=|Nc%r|=9z_VvJ_wuU2f0_8ULxKOLc?eW%U=kx!DbNv1*=8P#^1qM20D~ zFmvk(b0CZeuTi7R65lX6n|V}Q280(@4%~iZ>ZzDi^|;!KRL@+P7Vjdo)|7w^{q~ju zT!W8Tc2dE(ntXFYur)-2CG0q`(o^DiOz<0D_RBhtMJ?N0vif`h2ZiMEcIl6Wr*Q`l zH_Ke*BJ2Du)wuU|9Zf-Q4)n$z<@iopKfF#Ol0`OP(m^wTObnam6CPL4&% z!R|Hl%dRk&OXJ{Be6;ko5JZ6B&**Rb9K+;o)2MwxIy`5LoWbKfk6Cb>W*??W+l=a8 z(uq!Q$9-XjtvAn~2{lr*F3G`PATxKpcOr)n-#9rPi-XX-QAz{029_7#R%%*Pb*s@H zy$9R9fj=_l@ASh-zNV}|Wfz`$)64@PwuVmZCaMB;5U1;VxvbeWgxOb5m@k8V32d8c zpl06&Y=exlz66=yL@w?Ox9>9JB+8^&q025A1y|DQa8JsVSb28W5h`jmaKOrH*X%Hq zM>iG8H58>RmCc%fMPF4vE*?)c{E)-yr_NgjIgg^-Gip-$;t+PwHGum z-=UuJ5MKO4oGXG&ME&Zh&0=0n_emD$Q+0Q&q*x zl9EvEnR!Kp+TC!+q$D6v@u`_YUhPx}0v>m@`AMJ8tgkOQYzeh7_Saino~qGy?wu7L zJ>sXb+sQL1-Av_}q{u!xFm@c)WeOIK>8lofkki3$O9lItm{4M9zV&YATMOS^&h2FQ zUoe8oe(WZ_#vKNqt~CCwg5-FeMBhF4w8xCYgp`|W+OkV>b| z+zI_lb?FqpSyzC5=#NFfcR0>e6fuzMRR^?iut+9l&xp1%fqwW+Ei><%w+ETkTq2ov zGw0NaBS`7)8(#IwU7959FdCletCLzN28-pZllhK<5B(P2sNfsq0c!?9yR~XHrOHJe z<@_8+WB&Y%T?-GVoK4-TvYp;!^{Q*`GQ|%O-7I*{IKb}-ty_dyL-tIU)EOnm(=k{^ zXrhTcXnpebXu#3Ha%rF3dcqTVeC^2pti^_N5p4tw>+A@M=(7RD0|Y zCB)M(Oa^VwTl_t6b=N6U`Nnk_as}Dbd_OWY)iq*eaQ$>83Jh(p?nwm-x9GL88FuDO*9mwT;N&!?_WEU%;3 z3X&(V*NayiUV?tweZ*V;0h&HV>KA7n9tWGv{(77s@ieH3+tGHtMb)k_^`_cF&Lfg+$L3 zlvke!o1Lw}U45fcjsz!Y&o@*SU6^7mfJ?MzhAdt&JDnw(t6j|p!$GgsfOn^?Tnnd> z%yP8-m?~)_!aKW>#az9tUyLq~rQvQ2ON>bZE}rHC>x=na9sN6Z4I$0tyk2g>@svJF zHgH#GQaW1_y-cFO>)#zJ?7+cf7xq9`Wkc8M3*_q=nJlzh?ZX`@w7U%14^l^49s!dF z?aLjntYg{*0_l%wgw%bUs@L5Q>0v{Dynsaq(_2pSm5isGH)9@~FL$14%03UJk$0pU z_zI@IjNl-?vq9j+lpx7bRf+&!M@sPLYeq;bO_A$w#P|D}e^m0qq=Ps087wlPB{!Jv zhFKRClG5H6#&*wOjEBYOGKgk#lslP?!{`Em{zW)8tnntO;;B8d!NF&9kNZcW-_|36 z;kdcvUgQLOdbXQM6X`QoZ_BP4_0=AmpuPf*#fK-wfgAj2Dv_A^c^{wiZ8of(2IR$F z2N}|r>>vJ!SsG?4@3R}o?wJcV!y0znEq^Ps#hn!hYL#%XIokCPkz$z8svS>$9kcDy zMS*irxwtdxqJ9uY|3AnS0n!kycNM0!H6f^TV9N5JbGq9~E_0XOl{%W+Z$z!wC&me_ zapEq6VH2cEXhF_Pl)z*nmzz6fZrnUnk_|0`gzMegS?;#f37S|xy$zat5AIX|Z07A> zWQXJ(Uxm>h`z;J>CR!9vBH|gd4{aY_x_I2_AiqsOBLTV6 z`n9q@8kdo?%7=`0e-!=f>d*uRuk&RV?Q_1dWXb6gDta*+@^0By;a&^)PSgXfdhUm2 zZY<=GF^wHp^it}%;p>^b6u)fP8-H^bH)`i=!FK8|B^KRP3yVX;n~C=yIz#= zn#8B}u^j30%9y|KWXy_wq7k@U4)D@_ZW<@@x<0FbK8EL^o;|!gn_uTU&3~?A7`t&B zrN1uf*Xfv!>GK=FY2%M>O2;c6wRvEEy|aBC)aigMb7AGIirF}@NMfjy0qsrKa-d&Q zp93T?Ub7q{%3;{4?UANjuC(vFF zjLN?}visd}$jddi=F4SiRrc1rGye3-+v!1BGOTez)FlYg$?JC$QcNam&So+jHk$fm zTQ9gZ{nu)A{hN{EE-Teks*+2uXrd;@wbs!C!lU~1{`w?V=- z18^fP1JA+C)_~K;`m%5Y1?Kwq(9?U!!vAg1rNMWB9iJ zd`Z@#g2n5{>ld77I9Bqdisi6z5sqLz-p7QGp*@L#!DZK8*;P} z-nP*L`nvf>F`2a+5~9i*vX=Yj`i*3Jq=~+9u7iXA_eKgxaT06OZLqk^#lwew>k>Kbwh@=15aN;{gGqkBlnG?s150ero(p0t1{vHB$! zN9vj?sEGXvz-1(V6qv=3?~|xIU8ROS3GD(H$*n$Dys;P4mg5H4QU?2KoHR){8I)fU z)E;}Xy{l7@J6*M%=gn8tfNWM!MUGokR9gw#7Vw9iWLtifeEBuZ)lyJyWe3hC=k6D3*{HU8#A8UP%cr@V%)UUyYRMkIT`X0 z{is#!{M}*Q!NRSTZQZ<7Wz}?E8Cr^k70^5WH?C|eC&_A1F%y(VxUtFL(2?8Gr9`F| zJ=sy{NdIk#C{WBLA!_NrYFrtut8%m>(rIS?tNClNCG_{1_6kAg-VG8j)V6LLTg#$Q z=U%tqEYl-htUg_hlq6L7p*tj7H#&1JbG-87-rVc}E6UWPxY8D}{#+S;*k{%Q=`|9g z*%4b}Q~w)%Jtmu%KH((+y&CfmCIKIF+- ze9(C2ugx0^rGBvTo$cKehhO1lSqO|~CE9I{(dxNXPT~j)jg+H)@^a*rHQIjvG&JMr z|IF6^V{Q~eNZ{?$o0AW&0dN!G4)8i7oafbx4mp!YF7Otg;y0d+)8t8FkCm6R=KhS{ zF@sn5Nog~{nCQ#X82;ctR5`E?B}o=&udPQ7dgx?b^h;LT&16niJGSOgs-v_@NGrK| zk?8Cw1-(qgmP2;gUP^HPtu4tIJM~6jnUfakOx^L2Pm6{YK9VhQoPyj+r&Ib2ztK6; zLM{Q!iO!9gxvJX2Ek8E2%8pLkKBuZs48gIJqX@oYndo0(c_ja?mp3)t=&3pk5GIGa zP^UTI^HTXC!e=N@b}ioX<5BCUYEBmosK7pC5eb7?a19;!oanX_ANFZGTn+O=6I_e7 z7T*bLk#aqsTcfX7^8+Miz|+j=kH<_#+L<=J%EC2cIDviceJ(xKz_%$(^pficJ-`1S zq_O@f3ICM;fsFr5|0(}36#VCdjb0*&{Y!!!Wy3F$0xqk0ggF5;Ko&0>I|M28RhTeN zfjW1z#97bnV;EVFh9@@F6wL>=voa?Or-@rZ$I--i`ijZL+0 zXTy&tJhYnY3STc3hzp~hC&Qc0c9n>&c^cvCOSJs)-jL*^BGL#_9d^a5S zf#bpMvpF;#d1JvIeTOpceTO96yY^@;2^n!bkd$BI71C8ykss_a8IZSsE6h6D?A+^q zR|FT+o4_y1_zI1pf1A0TWG4oHxw)M<`QD8&FWJ3JLgGV}L^s^xKveWB1@V^6u*Tab zU4G?tP-p)@^&#sx1c088W{eagyZ4>Ucj`Z={7b3YjC)S06wch_uHJz%@wkyJ&yKg% z@7`4|6+GMJN4Fg9SuH&n?#nHJC-Gu{pWL-{i}eq-k3`HG9mop9z)S$*r?{Dy4He+Q z;xM;4Y3aFXZlpR{Y|(!$R)eYZF6d#p74)%Et$h7wP?IxWzRV~T%{(Px+i;fd&bX!)p3DB-?v zSopUKQS@U`I5tiy;j^OXi_!OjNIx}@C)H$kTL;-kNazE~*F>d~w&E3vM*IuuhOGTf zJm2w_W}AQ>@E}tm5N{)TY?{yQ^adUCLgQriSO&9F?#B&cU(8B-d5u#d({w(0`}MV# z-StZO0)+H@YZGIWO0L)ZFj(AKRt)gz!2Up4-0@L|_qJkrp(yYDhO^ZchwlpJoI8V) zu{LcA&`CPCPPSKm{^n@8QO!mozo*@CGV=40Lw}(Hxm7XG(bfTdKZRN8F7y4Agt&l5 ztI39F8Y_5h4SShZ8Q4}u{)X6*koM96Z`hbSlQ{glrHKD_csKKUH&YFwPJOfiM>whR z5qMk9hd;U!+m^DHQZg;M1JBi8Ijigdu4AAcjw3!wNcpbTdy{lG^rA6ev{zo(O#^ti z9v8d@Nsv)(xQR+18gT4v+1jSx+`CQ?{t|qJ+*ZSXi!OZpw06tg25VS2S&KR*k{Igz zJtnB5h2NGBe30ZDhd9eT{F|kO@9yk&VlgH-4cd^AI7J6|@Z=*120_{Ch}lV>sQ16M z_%yorjHy0CUg7}gBmIiS<}<^M--0Ox!gJj}(A8J7ThuF3IQY>R^4+oaw4SUvE=^tX zyx^OA^EmQPKzoN|D5^Xen9~GwHi593)dKSUjVXBoCX$7{3z_eZ=0>{dBR|sr#buK4 zmOS=rb78CwO=L$S^BpUp#FVoRfbIdOFm{_ZvZK>e@VlyE#wJmCOAh<>a&F`r^&DratUzcBD7^iYXtzr;2ydqiqe&WAvq*#ID997*emF!CH=A0Q(oh)XW#DeKjWm7y@k-{mCLf~^Iq+t8Fo-FpdY9Z9bd_0H5ywfd4zX(uxpat9>W zS`Qbc>(blq#*WO|VYe+D>hRsLhK~AQ(7Kl^2T1r0m(0b*x6tYoQ-o{bwP9rz0KQ>@ zpwFqdB~#j5cRTh6 z)Zz|r1?0CJ%gc8qdT?80aq4S#VoL&|66|(kEh978-i51wsGpD zrbAw&-``&HOxMxXiT^K&;Uy*;DymMiF(r@OLsd*^L!%_j3TtRY(?Zf_B?Qxj!@Yw)%{Q?Bwj+b-K0|C@hfdA0() zw>8U)+v3hKm^Rs17y8Sc-I>P%v1UmD{sQ1eD9&i>9VjB%4aWe<7k#)(Zg5828>-F_(lh`4;7w z_O`hMjc$JQ9bWh7*`LF|aTP&y?LYzACg-AiJ|`epO1Nd-RpC?iHWNefw{BXl9Msdx z1iPpVbQcqUdG#oC+xK36CeEl}KGfX^_K3kAsa=6Vb~4{*Fd%;Rik~1V&3%XmXsek| ze(s8&@PcCdtUmv^k9a~RY4Z`P%Qxgj^h!kTlnjY?!+$X+Y0Jp=Zus?PkT|ofDB!b! z(%e=vu)H9a_4=6ZM1{Yp@jRpSVENvI zS)#vnm!Ez)_`E>;I!YM+UPJW$xwkQh{*pgy9X;zV2kFVU!;?!5`>jf{HEXAKGmLdx zW#Ov!;zhIPvt5$iLXqD_aZ^rv`>urg?Ml*B@R$Y7{)KCuUHB0naT90d!?dFWJT_Y# z;O)$NF#(C@MO@d?$8wtfkl+-=pLpX4?Vo9L51APv*1+%Rq12EE_K z$qytK^|p?yXm2p3dc5U0f{T5s^jUwoH9A&_(TIauMOpHxTZ`u1 z@LCyiR2)c|NS$FrPyJLJok-f@6+WtOKWbj>L^q2BX4fcJ44=wACU++c6&QIQzQotx zY^BH7;Fu($K7cIr4GhA&ol1eZjr)47_7{AQB)1C&1?`BtUCQln0;^9{X38b)X&=Ru zNIl{bU7?xWR$z%$gjpFeQng!6FQcetJc;*}~yBYLO3QT-7$Iw8S?W3b5eAI??1~?6wJkGYahZd z9&!m$fU!9P%l(9oe`R~yVK`kFIjm&@F+77Duj0!9> zj#)MgYT8g=>-4G>HCiwZD38iN2qKKXJRm6u)H-t#DIB;P2PhR5wB3nG7nMTC%hN8( zQHA~5+{t>`klgGgEIhR?g@$v4qs1$+bZ1;jENudp`E}`>yhc|k)o9c#y82s^K-y~c zH~RVCgoPF_aOZx25B_Hgtr22-?6c4W9PMA|__UvwF=5C>7@vDte)9Jc=IAuDEem0j zJky&cZN2r4`DHji2CbEW7ikDoAs(Gqr6Pk}WI$GtRi$Nl;QL`+0r!;hBw~RnjE98_ zDUo_~LVwHpFSuHXME%}1>EH0E5@uyo@)G9>XMp;(2Y0e3QJTL=dsjie{%=rsvFqA?fy-A4?%>6-P*h=@RQ8WMy`C`t`X{`V2$3<&A|W>0tPvB;_d!$51GmC;p;A6d z-s>EJ!!H(5nXS=(ew6@>GOemOAsQk&euklkTv7VIRA2 zIG&RHu;rO#Bm69z`TkaBmAG^6)~GP=QxY z>8}BXqbLM&NQSVB%y+CPr2AOk%Y5R5dxABO*Dg4}+2`o9B4-(9y`|)!aZ$GY?{`%X zTghwTR2{z^?lzY0)2(BDZeIW1cPqiND4h%4&62YHXK_`Rin4t+4OO<>vln=rrA8&Z z?*0CEdmKf)?mv!XXH_arRtr`^(omnQbJxWwha=azN-lu2D}hbqvMX!B=0A&@W@pMJ zZR>K)fvmDl&6sU+N-puG>9S62DQzf9n~g=*^=0j23z_lD2tS5sX&xMJraP<+3&usm;f-DyuVVMW^AkwsfV{?OcoSpWVre1Lp=-+_mDd*@~eD(Z2gRTD35JI|4qnmVXWJDo09yvJ@9&{&dfrMSK z2kOvmK$V%$xnKXRZ)O5W+bj-PJ1R#o`j*tDoI$diA{2s1@26>+{KMoBZY?eXGms=F zZQ8J$Kj5x?y(RrTNQzJCd-#kqH#;R#uI~PX(}Uax=zfJq5+dxRtutgu`iFp+pLyWl zLFr~lgw?JROfFeYL8cScv?|uyap4yWO&UY3*nZphLk{LhJ?nnMD2cqsUWqHYP(L9K zI472^Tb@+OIYsEb3oKeF;SEm+t#nH-GJ?xxpDStMya(%lLn^WPaY{GJV@%|!P`%rh z6~fN*uwm=YXjs3~Nu8?lJf>>fMU5zwqht@dj3T%@h4a|BJ zFS#Hj&VAyNo{nbohszcP$RZ5I@%2=3wJ_1Vkk{`-70X&m3b2yV&`T)erd5cBI_bc7 z&5x1{E@<~=tt3yxR25NGgW(aFz@c1HqB^Ff3~CE7$%k-X$Ugyva;CE|+MAibbMv95 z9^9|_+y9xxY%{v`?7 zPbva&fQMZvkYU^aurp0T9+?%{9p$!%82RJ8540p!-FTk`BKIh9W{?^)dO*${!H=&FB>Aw=kMoY zQi7AkT(JiwAt@=LJw&l*Bz^3!#HEDdF}R=>)8qI2n=fri>Cw{)(e(VJrahG+ZCQ9y zI_{Q%U2@&B#A0H%^js=ByqYysT|DRmxcMFP_0)(;wf2(am`nZm56{BHEtZO7siA&k z5>mh((w1K)C-Ic(3y*=v`=x-9B^dMqlIoXUFkRr`=-X6KdW3hw{uM%w|7hrik=MB2Y133|c~?EQKuh(03X<}%)R|}M z+2Joy%Krxd0RR7Z0hE?&Y!p=#fNx*Os}EWzEzj~OwoBEv&<9IxJ3D)Kcj(T{Ix}0k zA#o&BacffPgFsMXjem+EL8Bpp!H^Iu&`1C){vc=!8vS9^nE3dI#h}qd5+zlOT0H0M z-05z&+r&+7ZhP-H=bU?9_l8&&o6i_~>wI4eOzcDsW6NL;`F*w?u@qgi70u#CK$3Yj zI}JOPd)~d71v8EU7)WZ8%FPHMIqWr9I`Q7WLrWcGv4fagMrpaM85Yice)AMeB%{~? z9Br0l1i6*Rs$hBjj{R2=n*^g4rC{?|E&PAr)ptJHoShI;=Ir~qp>%S;V|+_pO>OIa zE_@guv^xV_5Ab{!9-A9(1bBf9x6ci41$dzg3-@I(qp7C3b&(6h`R1~@0VFSGMF2h3 ze7hSaf|tOIfpNTU+Oi(bCy{^co-9Wi37nHCgbG+LEIz&K!akTtRFeY*xn^@Ms@j3D z6l_WQ%Z%vPv9Tk{Q%Mn`TLh#*HG%!0dvl z7X*jNgBpl4xn#&8yMxD;uuowlZa=fJ8ZyEIqtFFSC8()fvx-?6xOdycrBdNIkt32%(G{vp%~^W1c{6*UrG%AN$E>&EEnA7IAVEr)^TX}Y08d6<@@ zfnfY<8L@wce(Mo2PM3*XDRJufui57vndOd5m8(8(q)J`R9)sQMYpV+D9J+us0>4j5wn_Az`FP$gDM6FyS?6l!Txyf z-Zft?SrD;QD@kdqfz9=SNj?NQtZ`sbjqp*I|*)E(#s8PO)NkSn(N>5wjzb zVr(F*54~75jxbs-s?yIfr|qbwSR1LWe)#^mlR}?phY5+5BqV(7^4BN?8Id3XB@Dsh z5w7{UCM(?BL_S3fe~7xhBH<#eqV=f!EPyhEt#ST*@;{10oDcEpX@wa4HF$^ zCCrp2x+WXk;;F^^APd3%x5Ewh1TRW6rN$p3tq1PhnhTuXjO{XdSIHzc-GS;-7|H@Ezec(1s-oU+Lo0q ztG)4qBA{ihM-Wgl}r%bbf8qJxS_;*JfnJXM4f`%SVJ7FW4aBcMOsfdG% zy9UxrqW4bXA<{&ToxIamccGm)M9KMiry}!ab`aLS_~q6Wm}p-Ja)XfY={7R3KB2_bJyS633?c}7`PtOe&1B7BRObA-M%bH2Iq*Xy9 z(6d)T;%pgn|6%eTKD#hCP_gK2GoD>r;8oH}ohGYqFc(2Q6J7ymr2@UkQUVG$+Q>Fo zrXO;c%90$gJ0(Mre9*k;y1|D>JIVX_RLk=y3t5pp)Z`Q`pi5~--4597M@?#E8PDsZ z8)>xP;{h?Ga-k#7khCC|)6{u0s+(>O8MXPT%O8DW+%L3=l$!n+DlnjP(u^MO zh#6A@RPGm!9KMTP9lM8kf6!A3-qulkB$>f9%V&S)VI;&y(~(Gh=7rL36nt`^)1B9+ K=RW`d0RR8(@x#x^&8W829_8{4*RJ8$yFw(URP|5e?(Rkv=P>gnf9 zch4Nu)H&UQ1qKFIi3tAHf`R?{I=H{InyI6crQIJgHZ~?!Cub8T7BVpxOB)k1URF*r zRu*P9PG&Y1Ha0R9aWYv;XHzl>6HDhW_jVkJ{~e{~$Nws@Vx<4-|Fl8U|7ADuGjG%k z;@>Wxh=r=9%0HedA%xHrX@b@#%@T5Uy4JnZ1(tm~n$xc&HMvIv{A>K>`t&2iA|miO zwX~FG1B_%CZA&HOI4Oi91ANOYR0bm=y62K#YNhEBq~|UlyIOCjCe~6t52seqOfJpM z%?~D?vz#tk53@WEFFn;tRokG)$&>eMmaiShgpPGMy7gA~NQt+0yb5FpOizQI#||l; z-E@pvwru`)OBg4B!bsl7(i?UQO3f*4lUBgh#T&W)N*ss=n2%&Kttl^XdD5*K- zj+@mT=zc$e-QC15(}k#jBXo*qYR)(?R)-5{sTg#$I7ST+$HmqlMY4I$E9JzMt!7l1 zoRZi5sJlNkD;m$tBpy~e6FunHja-<;vIov(RrIf~udjsSnwMT^=EdABSOQ);E~1t=mqM7OYd?)QNkP5!iu@2xPq5SZ;UjKZCxM z5fsxa^!7g}gi>xW1acUY9j5VEy;CK#C5sEB^!x2PHfY$??Q{&J)S?vwl|v3vI54vX zs+w)R1oWI|+Zr-8ttU_GmH@3+RwO4&t3{l#Don8sjJDt9F0C2dIqWiUicfc|tjA)Q zHJ2ImNZ1YMkM{Oi=N;tgiGge_W`@~XIZe`q%rMqfT@k_FcZ9TvBM7fWhv$V$n?pkT zUZJo7VkqtSr?J5O|gG!j>E3@?l=!M+m`PpNH^u)xy%VY_BS&4?v4?S_wg}d zdA;v!*5g52l(@pvw;7T z#mB)%pU=w{FUGF-#Lk=Q#~&oN;cCL?p0fdZwy3L;$$964?qJoH#25^Tm8KuN%-V;6 z1>afrr}0gpV$>H?7qU7Gf^6f`*VN7FnB+-J|lfp{oj#B%sMW@BXfw(@xi0 z#XTO^I|m1zf$`la;Yso5&d$O)CrF6H4rP?s`k7D$zmSi^k1YEP2QuTxM+E0wZxBGU zx>aCmdGc>IKri>aU$;QEBYE;(XK{ML{9k=zbQGj*Xp`vBQ8n`LX!SM0c;k?h=Lb(G z8sqK9%DcBllV9xT{iMd&um1EGyhEloRJ3Bm<`f1yp*%S)RGgbZ)40nGg=P~2ZU(K8 zzLyuK|F6rR&S6JAD6f(`}eO%v&#r(W6=P=!PPcs}&{OxGhyfIw|I*2?aQQ zOmU9W*ZecNYDpB;)7@cf5oXTcp9j2%zhpS>ucffk#h$hpXb&LP-VUehIowYt+InDGMz!HNupiF}ubI!<4xXkK z?fwm2<;v32xox;^8QBhID9?bFZvz>}im&UQ44!sgdt7@3K5wxwgobf8YdRB--o_rp zAJqFXY1|CoTj6Vc6i!RO%r7=QzOiXmw|Kd~|012YwuOAO1vEt#H|y4(7~C%M7@Bm> zOkzj?->udr$fm2&ULB|=AwAl6RwSn8<0YS2E`%=*@3!r%*LB}+o%bviJn?VpW4;p$ zyYk-kZg7p}{?QgXbEz3N6>up_iDZIJm)j*XD6^R;yJi(VfG+9a5y*rU2w(cih&Ty*=~*^V}fGC}459f(9&36KBc>^YUTHUi1B| zJBbIG=8$Bg#bP6DQ?N|ufx9Ym#D~+le&NR4q9p9hd96E` zseL?&i&XCzgt6pLV~UO-o7iR`t(oOqV{X!w~Nhy@5&=xh}U(~b?=NBXz1^6SWMFUL0gvVYpr_3>~aCn3B~2{h$N}WV8m!j zm3PmczIw%Iick}XMaTmboJ)lB5ICNaQgt9nk2if8JIEYce}#ve%*>^J@@d!aaM0Ju zk{W-H@muu}d2*Vtu4%nDkM}MC`t?okwj4RCj*6T2h&}IVG;Rr(@?m(x(8w@ZfB+Gw z<0fn8q>F;{3-Xu7kRXnu;wDxyI75S(kKkpfxg*gVnzvu4v;N&oXRR%r!WI>{C)xOI z%^9tCh^A?^Wcp*~O0#B64_ha|%JDv=bfq!Dx|q9)-wuX9-F!)YQctMWVR8YfRm0fZ zHAqtm7)A>5S0UrU&Dd)+0i z_~Fq0QW9z6iZNV2MQUtPed8w_*hPnnM1iC*pAeUv`Um@^A>-K({A&g}au0ax*`4=B zrexEiyVPdtQ3Y_II#G4Et_$*NAMT*2$K(_9zy@eJ>zKDVq}N zpp>>chfoyq^I{JrXwW7wro%srzqP;Yf0el8hRAg08sU68jC!y*58?ZAPST1;n}C6Z z9Lgg?rg_63e+FAR@p#OH)DLO#^wLUY>og+fBdNkv|C6zwi|-4S;iJA{yzI-_^EIH6 z2!Vb|PoS(VhpR3ae)Z%2t!AZ<2g!Wm^v@7$ca}2NQ(l64_>t4Sm!8z;%CZBSQIE!W z?O(=8uTbgH+zGv(;;hsLqy_=H?azIP%!e^dXJn?Gm=(wKf~V4OjAJg7qLb|O?q)@* zN?UJK_PS1{V|-(I{sK5k($)vozkBS_5@6`NF-TFi)c}jLHwBu|BMBc^2Hbb=ycfw8 zAzf|w*L1G3@uDIZ^!Ct9B@$6=_yuN0j(g1%ULgzX9FCm%bdncS>1yuJI)#g)kws?3 z<`kQi`L5&sk3KjRmWrn5AWcDL-5&a9?(L@hESl*>z8QTGE^feG6IVnC3a41Y6Z#aN zY#TflsZ+yK=yfA;C*$wvM%7v3Vev&w*53pVA`xL8-y4#-6(z6E)k$T(|19t5%lWIM zRNX)jUFm~8K@ye9xS!h87$fd5RMlK)egbLO$V1qLPDe7Brk*W_`1{DQOtgT_lZ@_G zYa}WiAQTkG6)8~^R0)$Ruwmhzt!{!6VC!&;C+2-EDc z5|2_Hi(-9_9u{Zu{ zJ)LmPXUhncMogl12cg(#d<(3Cc>TxM-Hk9`bj%q(AyVRpaF}n|%c}q~ydr#v- ziw()7B>>G>VZ7ibx1lN5ViNC%6wsQDBB+*NS3fbKo1gk~C*w_!_c_Jnx*prbyK#J8 zoN+3Z#M)u%&LGmMNHTqI;3#d5&=m26AhjMg_NNI-3yc|Y#z9Y1C=2iU+b?-;-@o%` z3eTg+0K_{Er|dm;&$g2cUi%1lK#FEbg>octP1a1W{&lj$%rm+pbG$`N5Nz>rw)Ij(LIk&anXsm;=l^2A}-6WQ~%rd&qle06iVMAWtxhSUQ znIBy7z=f!JE{9VG(q=Gelo%-N@y?nx+D;mQfmD ziZLH>E(&uHM)VCMqMD5rIv&;nk3G&Y6yg^m6>1f z2}S1Mejg7nT0uU8O=D;2$q+(EPc)Cg=dDH}0B*Pk=D3`-`)kzuhSV9{`9@+S3a(iQj9MNzDBXPHA;jY-pA@m?3(XoVx!RcnYIH zX)9nD2b{Z8quB_iN5MB8j*8eHSQZQTnwK3MXYg*AG|y)ofU!BGQT7d3{xQNVZk?3P zIQYrpkk)Cn!-1MeC4Vc$$jggFH=WKN6Ti47-4Q_ztEv;r$}XLH)@absY}R98H{mzC zkqn;SNelVYQwApLAp{BU{2Y%3lpenxfYRKYchJxrebB%ibHLGzQg!qb0uop0d`#1c z1>^!7Wwz*Ofs5-od9p8?0*|Erm$j`3)!G2wJWjw+4Y!Th424mzp197p!RfRpu?^Jy*YE_e)N{Gh2v*=3pEy`GJs z?d02$LnOs>&VY^jNJuot)X89a@scZ#6QIb!itjSIa>ahzs&l&W7x(a4?N8(Rg^Ftw zT*Ef8-g?N3pIY=JQnHm%OS0;8u#9e1mj#aaTz{l8go*`cHtd+AE8O@%ClhK;| zZOjb6^5y-l@<7$$yIrXqiERZZ4gjD9`FB_NB9xmcO;3f?9=#kXu6xb20!`uaba5Xm z(%j0{aYbJGPjREnO&)2x#Gg?$g)8tV57QPQ@2q^A-@_4(x>bBy3Gl_59i%mVvvJ9< z6`B%}Q+ADXO%^>B_wMe;2bz;!GO)+{E$(mvJ3Y_ou{n% zARyVpnjf08?f}ftET$m)#7kEt!WO2~+b^fIKb^TA6Y4whP!Ipp6EinQY}R>aBXSP`_!=KBUlT4%SuS zfeEoQcs3^`jF)^V;xifNutnzt>{dPXKNeen=4!#9)g*~lCwqQlZmHK|TjPBG!?IlknN`` z-}D{AH`l=LSMjw5%w-U(rG#WuvGHe^0-QBW>&9>S3j2Q(Wd*`i$U`y^%IaQ`f>;^> z9*hrtMpjTSqPlR69#O|pcGbZ~G6(?9V;+m#_MHTEinbctei$yoC0sO2to-Sc2>IAsMlmtpMEtI zB2iV|a`D#X$t{UNc6D)$as@cwN%GbCOh*c=nW>o%^Ftwy$7^6@W9_?26fY@MrLS;! zZ=AKsr6f2|XHDBj?HqYr=}_F>MSY8(sw@u?*SX0v~KLRNsIM5Gn)Y0tcJUM_d@Qp36Vv| zjp0SH=wDgsk`|7E;WKUCYmY&!LrK1;kD&0~l!D;FYrmZg$(B?nbJti6nDv2la$xPZ zVz3nb7LR@p5}%#JgX!=~SXQiIcz^lZJ>Wj;778+81k;tg7Pj?Yo#lG)so7M1L3YtU zM~evBibB3~52&6%8u0Iv$&_S!--v)N@%_^H&Yd&1-Fm~M+}{6$}m?Yu*!$ic&@X0<)Al~gIu>3>Tx?N zf&*Hv^7w2TF(l=IwjHrXZ$q}Rt_j&Ec}fAMY0~7c`y3rgnB&Tcz+V zTX+N!^)dGU4A2^92G?@<4N`|r?;gB!k#gU@4=2N(;59#8usFp`W$qhjUpi$h@+y!( z@-nQ(HhTi$8&KTN6t`6b?qZxKqG;U0FZi1{dGV~#oukVvyd>a z$YCmkegRGRjS3|{GchLbz!Wpk*CQ*b%1x0 z3*=7dGWt6zs5H6NjS1oq+yHu3qnIV1<_0Cq)|kX~EAt=huArQE;cWaxXIEljPu%UP z!@W<|UR((_s~LNJ4!H@6wAKU#{zU5N6nz}^8`=bJe9GV-Li_}@rJ%={8bfN*HJ({r z>%XJpL-Aq~@byy5R%Ne)=r&-S9>09%B>a`FodbSR!6w3GUb)rN_ecLQUg#vFK;ut* z#!R5_*5`&QutMd%8~U@)v81bwQI+kH5CxcmjyLa&?`xw*2p48rx_D_aq)BIse%IZs z3AZOWox1D`(pk%qH8x`Hi*`9g#rbAJf}0B!aVJ-Ph1W>vr0Y9^VH0=jLPa4Lu`E5M z-~TNcO@u->Wos|Cvi=88DjjCV1Q!Fk{5yk15T0yGUPc2Mxf5p?d@6KfEIqw3V1p95 zxR&Ek_0NcyQJ%p4kKNL?u4QbH3_oTQ6`V;n{Z5Lk{D-}TK@F=qXxHPQB2A$(&q+?+ zr#1Y^j%r6iZlJXU{jLE647+B%_1j;ta})9ey1l;rH5`9c3`(7}5to9vc^+A!UdlRq zVIvgOBD#ot>|!PKdZU7ZF^;7XICE)*5w9#uv5$GTq>@hHz_S8XD*E@eUklop%whG8 z2c8>eb1S8YxO$G%T5%z0lZ7Ff#G-3d)$nxXmHGZwYSPK=`3jv z+&wzr#0^OeoElvb-b>L=ER!isOFT=N0t1ED(tN{w@^l+vrr(oSYo}jv)ZyO-mp@@1 z@Fl%^#Hx93WHjBIH<2|TMW1DAgoq>QKl=P0dqh5Ymp?z3&D>jBBi_s@+}%;09r(N8 z&Lqj>Yen^K2R^uKu0bM2F}g#jwH}*Ifc?Yws*itF(}7K>iRY2`Nj!k>OAC`umP;ur z`VZg_c0*9FMEbuExQ*Sr50xrbV0{B5hNr3(i`jx~dRWJd+Uuuv1NU$IhQimmYvqJT z!~_|HhaHSs6)%(-E}=88M?OZLo+%z+YpJFk878{b4O=s^NfkZRNeg}#>l0mR|W-5LRhwJA=4A@3=fyJwlg zyR*(mWp-zh#lom)(|N)1WB@?HhJ#n6Wy~+Gu0dW<5K1A)#WOM|!bhJ{*8* zq^KS&=;H28+qKeazYF3_n!{8OYCZin(^7yv6{4XrPL=HPjE^&=!nDrf*~_XS3_Emb zi8!Gg3>fN0>u*+-;`T-lah}ID;88#la_=vi3%=M=RrX&0iP?G(j6x#i_5FYh?O2|u z2PiLF7p3n{Uhuq_!1F_M>1{0XTJg4=X-Issx&;rFvm1mVJU~!d-7tVkLpkvRH=%D}sb%%H6TxTl(T6ZO zc-i}lQ$RbXY$;a8Sd#Y7kfQHX`F275Bj<4vTqXt<@upScoH(CQvqj!*WH{{|HWi<6 zDt)if9gR>ky--c&3}9K3gV%@TG=N5y~Q8TXE=d2}KtdgXnQ2N((CGmcLIlF?v~URF!`3kod?kl5y@j zGChh*SVb-UvSLODss{$EMWdiJ{ma*GV2Hy5WgL7Y_G!6C_k>K+XPV|`o!E=*dWmD+}ObIr%TsjTlZ9(;W5IIgY$rWhX; zh2559Z1*sJ(Py5eizN#zpl{6JJalNUpTLaXiFL9tRAT*H*O;PdK{sE{@pWTGwEzGD z|cy>%A~Gbt4Nadh$Cz& zpo1Uf`PKkh^&Y+ql&5s$ew4_q{eF~V+}6*wuGGT~?l`-sckH zGQo#`4;psk=CPW#R4-u5M0wg&zeYiL)nU+sJENf67 zoN*bCF;PpQ^y2#;v)i>GMbnOCAumfy-J|%mGv%i(|*5m`ba1@KK#|EBC_EJ_YmuU@-2hB31gVyB5s~@T_)4)KjHR4u( zS1q-GFXF}Eh0AMv(~s51QNnkGemBVNAPe^jwyr#-swkwyP;1J@?eOiJr)7}@I&Z35 zm9)<;OD-v~-rT+&4e`UaO{5exv=6kGQ238ZqboZ|`Ril+?=sKAyE&ERCflx1Pao2P zPECVjDlrV3;Qph%lsnysG=rwx-79X`o=&HTn&OJpr84k;X=1nv|IGf2W z$=|%WDPh!I}*iJOvSY5ptw& z(MR^GV+Up%hR)k^2wgP&68R;gplw;}(Uq>O^4Zlx+J>*FS7X3UkGeEeYsp}#rVk;I z*1e#VwXMQ3^f#mvOT+Wetdd#UzCMn{bQ%msHrHhL8o0u#-0d5^-(dE%#033&ZuMiR z-acw4&Ww3})xDKz$&v`$P*ZII=e_p3OZOz{3&8itS7c|Jn$ijBHc03zJcdp8f`1vMxY(_cg#!-4a`#9+nh**uVWIuuLK13E4% z_f1;lxNj{bLLMB=SFiT2tV3g4UvKQL!n#}dF8yQX67mEWje5rco&xvqPeua7Uf$XW zirpT)-<{1reBUF|XYuOmP@f6XmubHp)aD6XCLtJW<6kmX{1JYi&<5QiZzyqISJpv? zkulr`5}jR$E#@X~BUbFz1EQhDd|yk4yxmBws$ax9;By(pTpXPQ_;%?xXS{13bIq2_ zA^k@Zq$!|&P3lK!n~1}x)1Z7aeh#O0^r>Lbn(hnc@l6CE;iFCSyO`%ne`6#Y>=>Ug z)`LD%q{od;7hi<`W^*QWqO`Gje~VV+IRly0@Lxsr9k9HAG5&gNrl6k~D3#IhBU$Z` zLo%w@+EFZci5oJFlFw6nrg={s!KvCs?52%ATV(%M&I=|31FQPn~}i6v5Fk(ZCRE^WM7I7Zc+OkQYKk-%*?t z-zY{fimp{u_6m_CLD1qVe6%`Su+t{1h|0eMSi3TNog*M4d=p+IjgkcO>ET`?hH z*$h?L4*R9ev2?zYwoo5QAqwp0hzlFec>}}Bx|g65wxdeEhlrpy&x%fvYe?S;8`1AH z)Od55ZqXlx$;Xi?A~|%(?7*@kk23`gRD*$kjva(t zvlu-&J?azAYB*D=l57Opvlw5|?b}8!P^K?wW9y!Gel?w~G6LqC&z_zdf7q1vQLnBj z-jA&iSC1z9Ff8jZTq4aQI0?FlxfRQ`SvN2s6kbvnxN6zBCX+PL=x;AIxi-pp;6=V7 z9!G+{3r=WHW6rg&enBhIMuRzyc7g9Yt1Ba7;qBrb8QJP$$+*~XN6z;Si}W&*@Gh*7 zU4O-@JIAkSl`8OU_BeA9&O{5IpbO(x8? z31=*D&&e8F38pwJ+C-rral2{2vD+FJS^WB+VoPJ-#^rK2 zPVn)A2BR0Y-rnRzZeUEO?=K!pcT2Jk!|X}HEsN*BGdC%sJj32_%~J;kt@B78zwnNR z;g6f3w&*&kWx<9KkDHKc&^qK>>CYs6;h>BYvucrWtBRPBUuWSNx4FhMgF_RNF}3?_ zTgQJt{k8ANp@eK+c1$V1Dm3J{frRq6*S>JX?)o+X7Bwc)!syD2h-w^fhzZxc&t$%B z7|3P=vE8H@Uw_4db^IpMVyiXa+NaB>r0{P}6FN!n37a`YIRNM%7szkByRE zI8snEeLDjRWhQAO;(t*ENU!x@Px!5*ug7-g2(6);XR3+E=!Ke?GP@8eQ$Y>xoRjdz zVxWf|Zx{LSO1%FV#5#`%H63GS4@=>BvF~NdkBWQo7ofaQYsf#bPCoY`RKuqh#L}B&*H?$uHMl_)ME`)7+9p z;}S~y50#r^UAfV@=#@x!!^0|Efv3!^LY;8QZ{>i-$KePcAZ*GfM3#7-Y`f)r?cvIE zc1`?yBdHHIqfSDf@&{>{t#@oQ7l&S@Ld@?Mg>nY-YUWOe)-a4=1yZ8ju2MgV=snO< zU@a+Tn9ZUv-)sl)S^Da>D6d5VBZVO4sW0ei)9j@KyQ?8+xKi8JvSn8MYU7<@&x}q_80Xf_XJ;)f-;h)=Mr6$ zHSCT9jY^;cW~Z+NHW$iLd9lLP#@n8V?e{->ifKrxo5k{klEI@No!y|Evy|TE#Pg3UG*gABg(0;J-W3ai$P!|nPEpRl#{Gm!JAh4~ zjm-Sud#{1@SSnnU{>r94VJ1;omCehOK6#us#i=b>f}%jN-yscB9(noQ`&&re_R+#M z2)|Lw))7w$@wneDvvo5@__g$=2_*A0YE+fc4c#@b*PE$J zsN21}i(uRg?RZezk?ZPiZ&$wt=j zW*$j(PF=QHILDRF=fYbIDH#uyBQTOdQRI`|1gr9u+N4`?xyOCrz|{Jj$)EA+J=}4A z@^|yTp?Es+g4YIa0!;J-Y?mV~Nv@eO|^wCIPU4wT+nWcsvL z*?HK#g5;K;=W(GFgP@Wtp@ zcSb?vqi(wU14;Y2NxQozQ2#>zsb~~%xJT1wb7Ub_NT_@&v$Y zJ8n)l$X33{$X={%*goh*#}!n-$bMK4Os>5BRsa3UYtH7E;MatC(_a(5-Tq=nhI`F@ zW<=k?*}_B{*iw50w^Y9iM#q0V^mKjF8!?TaLur(8DiRXe8pgvss&`bO3ZwEn=@uN2VjM{znMXuJbd*j${$=Ag` zw8g{Gba3Zyb8k@PgE2-4C1y?i`J&b3)y#J0ct#}Gvy*OIx2Eo6Jp&+|s) z9Sr<>AeYfsN52!y0vFEL%Pb?Z&@{;(LvM6nz(eiqWra`bH~%v6NLwsy_yj8RqDh-n zSlaaKz&Ow3{6;atS6mHC0&hfC_<}9UTqW357=rL9-es;b6A|6vDC1nVqD7YpmM=zv zTyU8n<2W$@d?lo|vf@86j`@tf#aBUwdm#G~VPe`SKSJ0SDR5SP`%zDFRvh!CZ*{U*PyMiS~mn2L7DsIO#j& zI%8So-H?q}q%ujONyQFO?giL%%!b~j?V{#F6r$*!qk2>3U;)!a>BD#Q)8?$-lDgn$ z4n?6!R_N3T_B~vl2Na=!#JhSZl~VQFlV}6&=>9piFEk{91VfnUy@DsIQ@A`yl%(9yt=i4H*0^C1>IsqJZI$S1N-2Aw|31JZs%Jxhe>eV3|89Dlq@ES|>6}%xWuNV}3%m*#l|L#NmH&ibO_LuR z`|V5{1M;eI4zht>Lqxf{BI(RhdW9OKUQOGW|k zFRuXjHq3ym%_zM40`P2p9(1RR>$mQzm*a=<7uoi*=1Sdwk6iDhSDSX57b2*}%OGq1 zg6--2ejgH+Y1aL(bQQPbymMIpIORR}(PPy!oBM|T9Xt$RjvZJtZ5<`$Kba!zVdT|rV z)B_Ev5cv2IdU1)mzJuzGo8tq1{l~ZP5}vB zU=KJ3kD(j2Ns)Z~ZDaZOjxH_r_4gRvP1@D-XP-2CSMxZ;lX)w-%0jGDrbe;>a^4^$%fOjUM(j-)#Lh%iK!HEt^oR5 z`mYe5Fd}d6E(`n!2A@@64++pUzWQLE=HO?=evE7uK5b+l?9kV%2s@wPZqvD!^?omM zl|qNr&R;dW+mD&{w_JU&1^UA^WU}@2&!B5ke=k}m$sHpr(*gn30K3{dAdK~*Kb!9y z#V2y9=Ue7=VUGN>xyX=-jv;AbBFbtt=*pRtGZjL@ST3g z`Fl+F+$F@xVmsGcmFq_P2&qS{u=5gW8@gimkIqs3V>92Q?;kTV@3|@9Cx?aO?@4;_ zG}(WV?eq**HRg&Ms$GGT<;-tkk;&QDV_jymTG$N=Dl&zxBJ>LVTvht~&ux?C+OT=r z1oF){;N0GK9zDFrX`l|vK*X++eQ95n=cMJIQ;O9UR!%#oVJm%x)zkjJsSrEg(Z<}D zZVrkEcIaA3mFV$cAZUcFzn8>Ma#&!)YOJDrDbPTm@mntM`)ge{C5V(xavc2=zOw@_ z9DKuWZ{h3AE=oo%oWG>Zzu$hFPwS=+`uH|ubMeL=1g@H*)@@|cKfxhPZOvfmLIMtQ z`Vc}W$sRQZzbVO&Y6|#gc5(c1u=Jjb?0Xa>>|7{(C+mBp`7u-PBqm|y#hK%EXSmyj z$X%TcYWUMX@e9{3Yoo<-j8^1FmREE)@*!A6x3c+jUbMUw^!ztJFCAF*BrEa!@o{>X zwYu1vw?0HzD)E!u8a~bzIzUyB_!_5;^?{wk2bH5ucC?Q%xuSAaO z=Biuu4;0^{d+-L&igB}=G!Dd~Vyn6jcf6gH)Qg*+KD~tQ&D=Mi?v&j}(QlG=dx9Gy z%wH#G(H%fScha~lm6vKa`u8&K8|#gc>R0utg z%v?!|tL`>{@Ps0|JNT6MAJ-(46^y#Mc*5t0Mz?3dU0jiEhA>LYws=_oQM(*mmLfuy z3>UW1+v9Ufav*wEL(O5}U`;eQ4_9ktPtRfK*@e!l(DxRxr4Jdt}L|wnIVaB7}uUx)uc-(KPnl5(M>ZWAnV>9uVrv4*BUGT(dm%{BB&s`@h z0^t2j4u5#4X`Vv2+XI2Eq`sn;9D}8Prgq+ou=S}Zd^)G`eBe%QGR&atyo_t0^z?1I zZ)<<_V~X!`eu#-BNy-J!kqm^h6*_1qd)6ipYiS<6BII@}!@Vzx9aV$d9?xrH`_|yS z!47T-Gr)W*u|9QU2MVLK_znmv$v#6kw?j;JrNHW}VLl1=T&H|An5vBkB1)0yr=_W3 zT3`g!+4;#UIKelB7`?v+&rE}-$zPsGP1b6Tp`vWzJGC-Akq>UYH7WUrxqpp}?pN=4 z%&k;k_F+_i!#2`p(mSf2b0SG#>-u|&6j*Q*MXEVo_x{~)ml?FE<3g$n`06J zt~7Y2cwh3dX^kt9l#%HKi9dH`$_AMEnVzu&Aj2d3cB|yO^EilXwex$zKtF}4Stt=k zxH;$LR$$@LYSqCK&VGr8|4=dtcSApgl+pRNy%vR5IIqLh{TQNW#Xjg?x2W1I}BH{4PD>_uC{mk#GbZ z0cBn4NX^cXHrU}htQWeYK_02FtxDx7YA8b0fmw2fb!6)GlF?N&c3^iW-Wy*Juf(uz z%9xbz3#66iho>Z=iOwbr{-sS|6r*k2brsi$8@I_;pUtm#Hdl%?NHMkij$-7WHo-_q ziKmMZvB;N zo2WQqzBD4Qnm#IidOMtlANJ&Tl72(_50z0I#qXLqZS~X}iXOt{y?;r5G|x5U51N}bbeN~hybYAj z`*^G;A;0#5GLE-;i2LLanLuHFMZ5Npl#tD4vX4i|TjNKCAKi)$Nkt#L(2K&{ikHWo zhmbMC<8R!PPqv{pCcaRNFp-!y`He;u3Q!>O!yk!=ZlU}g<6EzK+)pSxQ&9Tb zs`ngsrGtr^YDga`7gy+khdu`|n=sRh$XETd2Pl(1<_K?LMpyaZq3_8k=|yW-ySWq; zi&VkIy~y2EiLf7YM!$to>;=NKwSE)g>9eM~?_{d^??!4~h5EIBd*!&N#u~_m>+s!W zW(X1*4P!C}70IaWIfF%aS13H2_3}sdwny~hVh6h71~N0jT!ODLnwcSwUdYKG5yqX$ z9&zJ}br0fuhxgu)f}PK)H}^fzBRzcr=DNN^zq99Dn@2u!JTXV~K8g(@TKH!1ztIIA zcKr!ux7L9LI^1ZS?01_T*JeXwNaGo6C6g0v+173C!Y8=h%%1a48VT`0;Crc9eAmxC z)@hnJH?7%7#^1bd{yhAc*#whLLHez(7OKo#7+AW`qh#svcS^@ixtwIS60WY<#m2XX z!4StEp-={*@E90CIg&gzr%bj|O&Iw8Ld`iidte5&y2{tVP~6LCa%{qnwT8H`qWGUJ z&z}r-yiL+)2B%=nUZ_k49eN$^R)G0~=+h-mQ=wUp^9mEbjXkRm-?CV0t14wNi`;5$ z3?uPytNctOe4EEJP~)jcMQe0}8Xp!S#7|qNY`dBhNPw5`u2-!kmDeX{2~(|>|-L{mO@MPu!N;2_Wy>YV&@?Z_nwLTYorGAXv8KL zc9<9ALrQf@?_FhA>^zw%O8m)M9ep0t>Rb%7h?-1tsL_5VtVB-a4A3z{N13~CPyfwO zk{|9YDjq~d*UUFHi_!?de@Lz$w}6%GL*e{0=3@=)tH5=rOC#D#Fa#PM8id3w8&0Fh z&Y_3tj%V06`Zvk;D*YAIeWx>Ywa@|Tgh9cmyBmvtO$zvrk~V# z3M`>8hWorC*@RT?6pjQ5PsnG9PN`;zh5U*NGLz~>nvVh0#)^bre`k$wS7l-qWsh~D zmtf~vdf=Kx8mn;%LaHxpMhNrvxUi7yZTc?2_A^y3MT= z4VPgXpC>Uon|ywwe#_T*|?;Wli#1bm>mczVy zD{9}gIFhxEnIO`5d+G}(n&ahyIkT26_mPnrJ$*1vdid8T|Zfa^jgkm<{z1AmRWu*mJKVL1@;HB)Z2O_4#BW(RM-( zs#;OeRTP5I02OFkZ9ii427X8_-I)tG3v+k^(uTqfC#dr!zb37jKPVQ52p~D|b1%_$ z^oHvGgRd(f-%7`Bs02U95M9NXsX}Wwg#i5y0N9GO|D34;KPOq(MG-9z1hnTM8w;mu zO@qh7YAk@VXh?n<&sj3aVo~;7x1-9E6{KR17F?8+AVS>th)4wXTu0KZvSUa0KoyGo zPXMzCO!lwsHDc2|K+{pEh)Nb>;UGeqxketN(xDJ2YsAm`+z16XWhy!s964+B5NaV6 zof9a!wcU#DjwxCRMR&s9+cOoNmA^*&#azc{(Y8X&otcWx&#&kngtv6vAQUmYw`VFk zHNT=GVy-(SP;^hb6%9fl+k}PTeI3?x-4)1Gq?yCp!*$!>x&tT?g^I4g`T#`kb%COD z8O8SQ*axUgFqZ(e7oc16iCKthOEI$$!*9uL%_n9@EZxz5ioG!qgH1J_J%at41dY9x zd^Zc)YV02b6K)kWW?)#l>o!4S|DYpp7c{1uhp~T$pw&U6bMF*1=08?-o1if|d$4R5 zv_b6C9h&CYIETg>rw?M7EAcJ&j9{YiYwVo|#gV%Udv{(Y9_EF?Mq=Ox6qYaz{e*9 z!@@4FIqpYWMm+W^vXMjk!>ncZb0~XuzuG;e`cEG_aPrjt2$~b2yT_+bpTJFA4BS1Y z{~{hR%i@G~G*qH4iI7U%pSo%;>}W?_=4G@$!|WcPCZcfnsD1iqN%Ts)>4}?w3CNz} z^&6hP2_@ZyoRhKqkDh#M!eML&wvGIaO&@A&y#C_{7f;|5eDPD2jmIvjk46A}@W!ynqom*I|PPA8k6Opy5_ackag z7~4AAjzkwrbV5}pX~#{}D+xQ}goI&3OhOWp;0Osy9k^e~YZq=1kHFPh?u|FpCw2GG z9FOIKoOZmQ!W5vOe>XiI;LYsHaRPc*~))BDjlZEG{QH+G_3o+3M*S!xq0=NaA% z`&mcRcEm?JoNT`_lt|>{87S*QMd0l)il{oiEwA^)ChB|_s#HTwF*FO^7BT>1R#)T$o zYbN|300030|9AnEmTPPjRTRf>U%dN(Lg|A?d9=2*YAN)=QrgbW-rb#cXJ(z5EnP_* zNvqhJRQezg)L7$}YDmCn8iim;h!t!gfQTOm7=uPX7&Rs;-)ftn(L@p@RX{ABb9U}@ zx7#*xlAF6b_c#B0?m6e4ds|ovTf`W9|AXEJ=-6-?W2>MK`nFDLuuna$M}XVl~s)k zUHDai(5N}!YJeBH@U{8jT7Vb3aP$1|Hh`D7uyEfTX4F;IH!gKySl@g$*MRaemIKgp z^|v~qBX~LV7#PRvrY-BCOp?5_=WYSgNMIjFA(X|y*X;S-=k`HIQgsd#;F`^~h-&*o zQlKI3Gmi(~&{*aEp8l@AJx_NQcl8W3X43?dEpOsFNh{aUlFWbxvuT#3Xxzx53{1_M z!tfBcGM+QJWXM6gl}DGeFJYj|eqpl)X{tlZc0p4KXe!sN6)YdzyM6LPo^YHgxOYvQjw;?(iqQ_nh@1&*f5RWCOZRadj8VD$R>vg|5{&U0upiu*Ip zfP9J{jM9TfWI~G%O96Si`h3R)97QLI9cTu1!=h!_K5roful?}Ipje66$yPMX0Vtv+ z{M_FP~ z>b~d()(nVvaFR{X$bx-)y80U8Y^jX<-MEpR2d?+mvm?SV;U77ugg24urJl*_sEmXt z29TMG6}3Z(*N}{;9hMYhGg*D;^|En<(efNHWJ-8FRg$@&22y5l^^rjr-s#HzhKUQ)1I4a}%1g%P8TlY`%7|*SA zkatg=a<}Ii_yU(V8*R(VHgesqri=Y(IT|B^8VsTiHA?7A`NXcj_G zF*fu_(hBRygsY?fOz93T&Lc=KiS9(P%St_6h%!!I*^PE$6I;&5+Z35^X9wZkH^1Gy z3>}RNAvXvypL)o^)~ok3g^);B_q4KO6p_NKb--GpBeXw*+jxke+>K6uLo#e^pgNFSdW`gHAuza+-oo0!{c@~3%k>N+u&(d3}28~-}93ibA#?xoxAb(vd^?$0_my<$#((;OeWZClX0kNwLn2Jz z^uMyXf9@sz7TAmYwZ3pF);l9U%{A^ zJn@}%g|xtFCPSzGdWVkX>(fOh>7}u;FX#&TXfCS|?GPc@;`p!?FzxXV>4K zCUt@-RJVQ6t+N-;+nXFYZfYU1u63#+(+ZVIhck>kxKG3yy@;~;`$$phvF+bGe{M=R da3wN4QHoR0JF9)p=e)OX;E6NZpb+~19{`aanuP!W delta 364 zcmX@e+{0Wi!oa|=mYWesGBD%;p*fHaOD!tS%+FIWGSV|FE=kcdP;e^E%t=wOG&EH( zG|)FP)i*LQGExY3R`AIzNmX!7$t(dX*Mk_s@Cs}e1H(Hg4WfFWautk8i3te_Y)Nt| zJdfTdrzF%1FkEurYE5JQRLD4CPW1%W35)elut>ZRNK0TyoX~m4;b5o39KIt8lN~D* z1=Roi|6eaRq3-+p|NpHNa(Rx-bm-x8c(rpu(}}c(BhQ&WRx5qk%y6}k*|dgr)l;TR zH)>dlv^g9!EqH-W`2Qbhd1^vR2GIV3skK{X2znSZZk#N~sGZC$aGJ@`slVQ#WBK}Y zkx6=K8~T3bu53KxFjZmplYo*PmcM?sxBb|`#(r2gDY1h=tMiG$ES^hDn_SppyO+n? pxFqjcK7Y2oc7$L6uSCO}2ds}S?Rj+O%bqJDt!MTKfxQ3!KLELAg2Mm+ diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index c2bcb55f6aa9fcb3e1f7566e540437d9c123862a..6d170df8f855994c1c3b701bd008d1e197cc1aef 100644 GIT binary patch delta 1389 zcmV-z1(N!S43`Xl6aWAKtqTDF00000YXEBiGynhqR%LQ?X>V>IF*z?^EMPzAoX>V>vKL7v#000191poj50000I0RR91 z000000000N1^@s60001Z0qmOHYZE~f#S;-h5Ybmr34&OEeF!^WyUDXYDCkR3d@fRJ zpeChF5&Y+Mb~lwhzn0mgtybD8gnBcxbI+Xnx!2wv3>Y2@_N!RSrQ z*k9^^&pBY70+Ddmmo>4rY@GGY;>SEw+mzh3OKO6D+E+ETzG!@Qd$#v&nYq0*Zd$ZN>dhWaVa`*7dVm^;)_bivMzspUQFtcj1>ioQATTk8g zt+Qq}lkYV`<4Rbu**K?bd#lK1`xcPtJTIM(YUgxqTlL!4omJ-Yyj-?{-gZ)v%chyi zY@U~Y%{I{6chksb4)hqQeXagkd9(el9w!y!qA0qeHD1I?*%e)=M4Y;=XsT&f^j1Wt zo1qt?RlPVWDCV*dRNhUxFoH8(5v$I~6#xUPz7RHWR4C=Baw0G)BWzR?K?RV2VT0HR zRsbrH6Q*KNh`tn5&dX-NfZpJQjWUQhQDSj_{fR27^nzfr2ZlO>FXajV33A{$*bKc8 z|C2~Dg}9lS+OD@dCC5#~MY(E2_gU*?v#I1{uwhDxK?_A{UvFeHQ6-;6ND_uBvO&mk zDtf)I(<`{-Q9W$n3aSWtgDMJMcn)e`4})ryfei+%K6~RL#fv{%CpAnfPas0#sKfR+2W2R(7kc3r$7~N`qlbiIl!9Dlm}wq1OkAZZtM%)v$__LA%lH zZJjh2ZYrTcMcOfB5&rg_N5cVC)Zg%$tuR|#Zv3M_| zU+E+P9-B4_A_YAIJO?g{UInPI+5p6C{pLo zo26=`${#t7^g7O>UVZVz#O4xbUxmUzFN!7*X#~SAh-?CNBt;ih zD-=3a1CxMpiL)=FN_)Tx58M5Qor zg)}Mz4x&4)DD8x>0-_8rCYTa`BX1y%a3f@fG^)HR6-WIU*3UJi%N3juMFb+e9Zb>* z)d~nYcspR^n$ooqiPglo(JE3dvKb7DR1cFOA;Z48rmSy4SOHO%Ys&geZWIeWoAZ>o z;l2GkiaaI08YjF!s_${{zjtz;5_bXz(=AN%FoMJIHt75cL&daxp_eCQtBulQVXUH6 z+YE+!UC;G(Gp44vQ5pt@dzjXOE7%BgLRbNtdtm5)aN{Tti~HhL8hRn7VPJ@wr^Mad v4p)Kov3mWc#JJ#9MG9Or|22!asHPFbZ~kkRE>|cM=^TsAF^m2NlS~D@4|#l~ delta 1375 zcmV-l1)%zu42cYX6aWAKtqTDF00000ZUAloHvj+tR%LQ?X>V>IGBPePb97`bFd#y8 zX>4R5IWaXLF)%MOH7_zSGBO}jLm*6PbY&n#WNCD1Z*E6F00000002-000000000sJ z0000000000000RF00000004La?3!I`6G0S)6A?iW(OXe}34&<72)pyK*<8053VKr% zuS=;Ks7a|2!9TCF*^SKmv`pHVDorO4+LPItbIyA{=Onj#z23d>UcUPM-ox>=)s!rJ7Hho*lb^Nd_9|gI|=Xb(YdewV$a>M_V@zt z{x&_hz^Vg(6)x2KQ{@s@zj0S zI%`HVd9M)|JEB8#;heVZtpb|uEg-|WE}V}V=L~Q*ZPl)8I;)K3x>zm)z3HR^mWyU8 zqq#1Bnr)!Bchi7o4)hSIt6Kf@@@D&4GfpaoMN!10@j_Zt#;YC=*Hye~$2KPMuruR$ z6?{QL4D79(Fv6;PXuSB9DP)ym=uyTQaTU!Qb}Xw7Le|2_RH9(1 zVMQ^NFU3$Hz4G;i=fv@vY zM6e1KR@4-TVI}sR5udE|;mT?MtbGoD_8P?*)`wA`zPG{%&F4s=L#t-TvNtUTq{?L6 z=M-olM*vnlr_|;XnebA;8oj6RC>0}}+_1`{w2_05=z&qoP#3&Ph29$^P6l6)Pyu^U zLvc@GZr3X5DzPB=f}|M9W{iCGhPws#6j&d%8}~A-0(Pvzps)f+_%rt6)`nGo@>PSv z+rgKlCJMwajsgi4(j@sN;}=Iks#1Lt>FZLA^21U!l13?XM1wfO;0R+S_%pg7v=B6k zb`u)`;@ z7p{V!!^1eL)R6_85MtmcQ%m!I3H5OWKpY{up+cdz7>EHCa1{6=QD7B&p&c zIJu@Q;Ap=BgU2Eksw?|G1>uY+%QZzjHr*p_ZWT!eMFb?0Dpqk6$XrvzzPYAE8R=S7 zD-0yz-Wai>lrwPhlsK|Jx}ZEImPE0+RR7`m3;G*IEvpi?AKxy*ED>)1mD2u9+qP{R8{4*R+qRRN@9+Osy|?Pt)amood3sJy zW2UE1_a7i2ph_6vpA-nl;^$)i(JDsv4rVsi_zWDh47Bw40#0U@hWM;(^!W4~bc~F2 zjPwi~_=*zvQf7`u_`-%}jz4*+L4N(ug{8p%6cE?%|I`1apkn`rU4bvWU@}RBou0Mz zRY)WdP_|w9aU~4X(!2_29ZZg%i16G#wJzIqMC4v@shdIFKc`InC5Ug zTPQsnzVw!=RC$MND&cH0A&p*UdQzX3J|S_roJ$@fAU!2@wWoS;-EW#aaOwt2(qg| zfY`Is!_nX0uc1lT4g3~UTq%~GvQN7N-6^xwD2|Xt)WD+KE7D0RqD`~Fr17w!C0mc( zpet{`dF34oR!B)n*lIfbi+f=(H&n;*NEePGC9f6Vh1P_e-MK>o7<<8aBoA1}VrXg- z)1LLTvDC%LD%>=xaYMhGTn}9|!@ICu_rE-C98MK9Q5k_R>R@lCI2)@um8_ z-ALgZnDt1>pioZ+yT}_^6K7pf(s~*+dOgqF;Z|Y}Nq1Nz^B_HB=A08Ni-*<-4JSr* zQz-c)Ed{1hme&1s1{&5#ay9v${q+vrp_1y{P`9QKam`S>PAB^P=pbw3uEwnaVBBxK zn2jtrm<}!gNWkHlGeSr{ir&7SICf4FVDdyzWA1xl3Z}6gnV@K z@0qosPeE|q8IhPInG?ULkujqZu=J1H7roVE7Iv7N5?jf59_gxKmeQG;DOPW_ZazUO z>Xj0UpqFomK{ot_({l4DwB?t$!|9OHq4u}4UHgvPNZ~x68fnhfHLpGvi&ZG4$}Gj>@`E^eYWTNL^ZC z4sA_CrzGO+gvSlR5J~w{56G^k2ha&evv&v|@$7L%&8erHVtE=DXfJMzjjn3Bb~yIg zg*(=rn`OLf=K4np;m2pL!|2W<2Nvw>)P0UPyfEghwxuL*4qH-8e89*dd->5TU7Y3c zsiwften7zHJ9I@s&Q!e~QVi2A>ili5G129Iw;TEj-&^m}u)U?tmEf!$|Mw=cprt|JdAyr} z>yWcy;oSeP!i@eTZi3^E>TmYUM!i1b-UTOd3eu?buC%wEx{> zm<)KoUqN;4ffVa(5KS>iG3j}hl<&5up$;1!pgSs3IBeSQ_WgzoyKKQW=(6o-@hV@7 zVNF?pd54EvGPkFDF-PWN_8FTwR>8M>6Sbdk$XWJ1f;FhJuw(`RrhQ1|Sq;?g+7RHU z@9~h1+3`5%c;-$#EkDGH^JM8~=}aMXWHUsqB?bBo?pc2=pyZ23vP?1_fE2kCL@Wbo zdxGcrMt~E`U}jVd_Sj*MH_D7A>}%-af`S+C!wl*)1CJUZ;|~g^$r3zuA|j3odlT0G zddkk(`sh$1yCHf(X36dtx%kO0Bf&f&#)RI5wA;K4z-k!qFp5_YKVb-6dR~Y%5HYjF zaQO933=WGP^TVqr?#Hv7@Pi;N1jk?3Kka3gB3>$6?!IgiC^ARP}pr#%Lx>?iv}*dC|bL_~A|*6ku~ zJ?k&QwX=Y`kTU69_t=%?4bP<@(24sTk~oOvXsP?&?}u)%^eSo8i~1d`wO_(RLCcv5 zsq+hTvOs%R#tBBX z<0IkQrz-Vx>pi{ty#>Z^H+i<=4hGYLf)2M|%5}4!OGqJ>j$I$*);gnxvqLeD_a7y5 z0m7o|b5m{Cr4f^?MsIetyL8LMB(h`mt1D_%D}lZtUp$XX*EeYfhDBNtW87uG6lZ*h-_q#DC(H zt>xW#)*$y3BcbV{W=#2QPf5*67crRbot6(Dg0&3C#iF3k=f(;96KQ*OYW+ls%^2&D ztP0fsH|c3y>e8dKYryx28BH`4z1uPc6UBvHgRf`~!4-^q@t*Sx6Bm5rpENs-7q3pP zvt6z*wi}(Ruj_DzWYr%xS+3b_U8t8>ryEeM=(oZQniA9ONrpZsu6LBnmg7fkM#cY& z5#%>q?GqqG?Ei->S+TQFKt-YFs`tu{7ey$B2h%c4S<`P3M6@4e<#0!@5{XZOED9ov zXJg6hQNk9e5rxg$RYk5hfyLK1yZgBHaB{h}7KX^2-wkTqgC%(1L|P1!jux7Kgk$4G z+S#b@6DjMg5d@@ouHm%>#5uJfMR4aVID%&P#sj7;q)OEej9EO7=-5&ag6UDb$=-TB z*v2Rny6=D>nNQ01z=fZl!}oSyw1N*ZNFW&@n2ZuaJsq-9k{?}b=eL9<EY@%kHtrNiAbP3 zQ2L-Tys~_^WEgdcxV$2x?sIUEpUr7+FE5M3(fY3>I#X*wQB;QZtdxih?QtP40Vg}$ z8cG$f*A$&%PZ(I=AWl5idLgVhcG%!qCIoA>81+&Een*GuIU#zE0$>Fs@3@9v=*v|{ z6UhD{&kHS$g&dCuOC9AhQiBiu256u>x##=y;PxLzfXiua=+JWvj{G&7#$V6$hl6{# z#~U)&5j^Xvp51mIYG82++d2NiJsHBu(YrE_~Z#1q1Ocv%3Q) zBri&by+Kg$uK*41Dpr1B_QyW8lvRM$Pm!6`HMAGZrs;iLc|)4 z-X2XZ_g0&4ep`b-r6D7$Z}Pa=q?t_)9nVF4+imCAkBnBS7Dg0vw+6=OJ>!-#S(3L3jq24@OrC3QKet#eJ7`dKp1 z`xjc*_1G1m55KXAYFO$g7Mengv#O3!La(c4u$aJ|P2q=+$eMXCwQkppb0rKuUA zY1!;(>UP{v5WrG0`2GN@f93DkBSQ|mp;VK6tUM5X7StMz!_{sRZdJD8G^f^!$X1_4 zeXMD-ha}gL3+G^-2U6Y+mK_Tg-ODSAbkuZ1*s#Rch3303>obDfx2JD78FT${pJcY)SJgtdp&U$Y?(;0Ev4J_O_I}%Wvpy+ zM7WT-7c-dy-oZ~zAAp;%LPe8k_hWYqBnygBe;=wr6_`H-p_@8&ymSlpHsgOY0y|AX zE7X;b^)|xg_K?3IBAs?_BsOZQCZVX$q7hHKv=R^x(NC>|6~&&@CYP=g0+qY_M{k5N zpIl-RKX;Xey)T~a4NfA<8xTJ?om<#|wNP9#C=nwrO7mOyozEwnk<7N&|Ly>|IUli7 zb1`%&pW5)uShxYztKRfol}k`@q}fLqSi@x`;-yWSD&L7qRp{KgI<$$ed-n@{0)teO zJ>~`Vgl%IJCM{oYe_X8x8Es?dmelE4V`OpDjG(lAT0p@iC8+QU7e;&&2Q|L!or>7W zMODoFl*ZA65qlpxd-zwBuE42+PK&|0WT!Cl`=6$`mVXjhINn0bl4f{vNz`qt4 ziBMeVP30dGdMg^>HCZ$&;wqwonlH)Pm+)FW8Wk`X0 zOwKVd{p{}t(^D(l(Xjg$^+H?*E*lJy^|E9?xe*uXr?{^d$ZoXwK#v_zL;49ieIdnZ zXh9XY&)Ab~)q5uA5!N`&cY|m4454UX^9Ic>0#V6okTp0LWlT?rx$=zG8j&@MKS2rl zv0<&lbTAUHx)8IkrVu4Ou6N!{*@Nm`ial{a0f&P8kkwW-?0U3hdOZdGSy5_0tZ<^$rUPOuiJ%`IRWKp|E;M^83uW~_j z;-qSJ=V|1FSs}wvj5MyoQ${#GGL;>fFh{H~#bZaQ{n?YMWwO^1YjoyXF=tj*hO^qW z2m)!}gJ3kl{IX(bq8^ZC0SK4uy@6)R7X0e?bQ+@lE9$`R%#=!v+6wcQ9DwF#Oe zwU>~Z1{<6>BWrN(XOgwo&!|tYT`*bCK#pVgFaYm66O|?I{}33QqxooW{Yye&>Yd@# zd7fsx)>abOfK7>Ufp0*CE*+z#pY$w{Dj2$R(Mi`s$<}?FI1uOZ^oS1Uff$mq98?P( zj%LvEh&LUF%2~5ql2z~5h!Q3zGp5!kZI4*90$9u)tep1)`H6WI7xAz(Fz_o|6^Fs5 zHb}Q8wx$peHOzac?ikYfJ~(A>r{s{rT;L4n39spYu`roH%IBb7I(Ko!%JGol_A)O2 zbi?4hnb(%9sSUTq@e_`wXwx)DR``LI*g+ z96j}gEMNiwomUSLG_x8caB|T8!`!{JXa*vE(co{F3{0j<;ApQjyO>cr z4@9`>vH|-N-448M$F{?D1)hmR7j=sxC7D&9xZAR@yd#;_iO!CQ>#xPz{Hg4)w>iGR9Lj>VQ>G zwG<)2!IOdylN-q|@I=G$B6clT4yT`e>bdJ3uTV$~D^4)F!L9p~7eoSSwZtrE<$51o z{bycEp@a=$bM;fXA%bds;YdgOC|T zf6Ot|B|eh#n6@K-R=|OqA54%CPqmsf|t3 zp*s5ZTOpi#j?rBlr*5_Y8GDY__-zrIhpBt1PGt6{w;@1Je05j4`wASjc+0D#sW(D7=?{?Y^CL1dDYY;SUQ z&(E^-=+florqlJZl_LsTPd_}MC-{OrOEQ(Lvk(vX*|A;_vsYZ^f`F&&V&f^?%7Kog z#Dh_Z10aaf#K?ZyhSdWy3QQrHLev|m=sW#KA(0fp@@o@J8;;&+4B6x2@--ft2*RYo z#U0%ZND5uH{f8pvq>p;3Cg>T^@Sz`pnShB<^je`99{M+(N0fp_ntw!B^huC}xA; zPA@XXX1ANs?&OE@&9MD`HPd*Jjxvu%PM?cw4}okHr%xURofePm{%0h}j}$ZOLO z<*EM)PC8><4E_vH*cHPM@}B=gUayyGKl7p};FMzPo6nfgVywH3mg>^CeS55#rd^Ky<{kN$j@l>%_e8nTsQhlP?`?)@$bFGZJ&pes0>|2-I7xo#23}sAWBjiDP-#_o~AX8 z5s&k=DHq97_VUNg!Pne+YQ$4e_<;2fvDe(a*J57OFea(J0y1*brDIXLxG29z%M=t8 zj3eR$`Pa#;0N<4264|0FlnG9&Pa8OiSe9egaQrZUB(QLf)L+gK+`f3}EWZsN2_a>W zzxU(E*NU4&=r0i+ zTJVkjsna`r@8ed~I~-?5IakNnPti2HbZIq|HH;mZUn4BX2MMo}N+Lv394p)~@c&S* zQ}`;ce_- z4^ZpSHxR7j*Kh#?{?K4EBOCht!6+{4ePCz%VTnuZc%DQ8^TmNT_*6{Fb0@xYZDo-Z zw1CQT2`Ul;dx;suCZ4*p*G*!@rV~S~k8)OihSB_v#=8qc8nB|JoQ9dTC(4dIgHev< zeG#-qB7$au3+%|KLy{FpWwdhOf3jBax= zsk%{_VebcabazZ~^)e+fddFG|5i(65;|M)uBQka~t2H^lj-*D^f-+5>JbyY| z2k;DgzhK(u(Ij+309ta1pAZtJ^F67?)K3lAzmTvG=p@ERh>s#xcpz~vmb-<#iCM=E z8LCLrB4pd|@*i>_z6ppVU8faE1Fu3plGuk}bQb))r)!5)JL)Eo;n#3<+1Cb}({Hph z0fQ~@9J0Dw5tpu(7e7Phq&-6FRbZyZ+UH{(&1lZ%D7hUE zt^13-l9j{HXDQLBNRnS$;l#-I4Tc*Mx!Lr|`p=7y`#0bBobsnvd2*#%r=|FJxbo+? zYOcAm?sxdtN;?+luol|^?p5jo!@<`YWcW|7Nv7|l$>l;i#@@A^#sC>1V3|js~}aS&>962xlzDm01$61Mt7DLviFYzY_aEUnG65$zr7p`D-vvb^r>N^`5_93 zO3-^Zp~%Z(QuIw14^mpd<_}Hh&-3udIknFrwtXF3u|AL&cYNSlJow~X6|UPi{Q3Vn z$#_?6%qr{Gz7OgL#7%miE~K6O?52c9_cVUfM5|nHCBX#oO?c>>O6xnSKh` z&IYb--)%tN6(58b20O3IkS?Sl#RW%?xizn4iKFo=NCvOA3nzIqCbtyCI~P)9$R8Ch zAy8s}qbTpJ2j^sMm3LS;q&|@ZGD5eRkdifb*PiOL{*|n9@m4BwVvp+X@cNW(p8F~J z)#eIYKy=z9Q9DP$VaMv!`N<}Y?X(rX!XWD-}Ese9T zY)XrkReyRf)+T@j6W&1;)c{|{ac)`iziDUSvAnTS4)DRUt(%S}ckBB`gwPfwf%hrt zg=br9EvI44_H=xMqeRD+aQ$!rCTaf=alppYKdos8qTxaNgp{tadpDW?J^V_!tE67y zLs21?4C6B`3$;FA@8Wjy3`~PZ?W3l1(+x7Vt{Hi=uO|GPZD>elA$jqTI%9JkhV&bl z^v_OaGMXm#t&25`Z+;W}k`fm64Xa3ufiua6yK6S=mRMFPl<;O$9h_FKo%`KhHVKdfz%)E=!}=vr}&YtNr&wu)MSU$yMJwf3OgBuLhQ= ze3roml_(wvS4W@9Y95zll+ZDB6~s zTh$}NBe27~YFhZt8o+}-A6`ULQ$|#+3Pdluk;T~A8Cz1y=kQ^k%@1D)c%b<~H1J-U zZYM=Qhz{OM)a`_8T#$|m-YW%*vUbeb?O@aQ-t}vuCxWVQ?zDQtzkUd(dStg+D)!B! zq-$JVE6>CKf*>9%Hmk=6jBKKb& zxjN{#F|eN7A+s5Gg&J8o@H;Iuc$@S8i+=;>gJJ*B1NAasC{%dXrz562yn_t2HfDzOi9;#|sG!d@PCbNeibt3RFV2dPIWIDe>iwzIh`jNFpO~U5 zGDzs(6@<;{=i#y{V_|6b=aqs%a>^(7{*2__JaN>pAY;$>??1vTjqW8C8Q<@W2)AtVYi* zNrZ?F*O0D};|h?i7amuhYcGJ8ow{ppz-@NNYwv*PRzdFFEZ5uCu{R(6FR94`2cE&d zh)1JWheo~VrjEU_M?4>{;QKGX_~b}apW9pB-*)F>-;w`3dxuWU=w-uF+us%j0qE!Gl6hP_EPIz{^154pZQV2m))#ZSI{L*Ey9?RCT^@_+ zu8Z_Ah_jzDwy3bP_r|%f1prix9jZ^EE+=Hu;jY#8H^tCyGUVP!oa}gcOzzdrH$2-8 zsAuqGUnU_Fw~LD(w%#QMb-B^KXB-W#I4qVjKl@rtIcz=*IVOL0J1Gym=wHB9FzluT z1@RyCah;C|5FJ#d^5AqP`oBD71~yO>JJLcv-AsRwl4n{q-0@dG;ZfDUy-C1t^$^hs z?Z1N=Zs_&Vp{ebA;cWLaN7GTM?Z04r5DxcgKJ%z}=nSv0bDQ1Z@{9hqa4V$VpU=J$ zIYu3y=wi@@evQyyx2T4D5=6zQs|2U>;!U*T{&F~$KumwPgU9(KAKXL%@@%b!1nEKg zfxu$t25HK>?0d3$r~M6)25mRWUdrszrUd;PMXhZ2w)_L6=tos6hvS|`?7B5j!L3xz zuZ+4V?nE&EKZqd$v^GrdGDvH4lt*XZfcanMNx+31<~F@cW%y4mtHj=aJQyKvm+v!$ zsss{bJwf%2$8x#4mE*$6ha+B9F^sufzntK1N*yJQ^w3`=&Bg%I=wPyL!4Mr%jsUVZ za{%lDy2#XL$~J2Fk^(g02@e$Q+TyX!9Js*&f>AOK$pvoq#_q|of|D{b6V90X zlky#FjU4M%ztS?plI8a^brIsF)_my4j=K@JDUpZUWxC5Ux zUCSghbOU~=@&>GA2U@unZw@gr)X%hX)H=IwUI;l5m03j0`gHuI=6z#x1Pp+x^Al42 ztxQ2hQFBl*nyYxcCqz+8AW1OhE?a6rQM-2oA)QRO`K=#AudFx8KU}DO&c%tMwhS_4 zx0LcUgg+d>V!-D?+?l*Y(~5|C<`?H$nE2uI95Ea#4z_P*hV<+8|S1nz10kb(;B}*`#M6SklgqE#IWj@Cp zY}e~d(euK1^2l|fpCnT|XUc+ZIy#M!$<(Cn=f#ASJ-muHSl=CcpdC-wT#uih<6&>d z`-6RF0xU`-bS}PJLA1j>$5LuYR7#Stq%w`MS-@(gtth}chjLpV=j0$}Q4Z23LfRGt zoFOjgWP63%$g+UqQ6JaKAudo1SFk#=6It*l{x({?S#h}ON?wyoJC6$MG+b&`Om$~e zq-{^)#}R8?91=={l`L@U0HaJUJJ`9@zLx@f6n=q8KdgEb-V%0W9#7L>ca1;rRiLp# z4)f0$5q1t>G5Q-?z(we6O|jz54?q_w^;kwsX4X^-WmT!xP4v#ZEnJTK+{9mMWm_0J zY+LEsRkF>R7R#*bk4pm!k&(SShXUftHge-l`ejqTC%(5Y=%u00HZK9QO%bX+-XBvqIe5K?GDr(hyKQ`$4;s0p1|IurN z5My||^k$`kD=FFVaC>-MAx^XE#0FdmB4;`CjxidKhbZ!-u!oDv88d;RHx0q1powgI zsS)^8p+4}+L8g7 zn9IwJ9kU}s%PpvM9CFKZ#1L&;*z@2^=dnM|X5)VDr(kBb)?2>gCqf2yu0*lV?V|GQ zCtxI4bRp66d#eJamfKDO%CiHRM@(%HTtWS1R(Q>cAN8OOu7+u@39eO5i|>e~SgxMi zq0v*Q=?)Uf?{Q-2_cn!&YPwmoq+rDao_Cvln?+A8;AQd~X2E5shVTCmO8&Eq{aF47 zrhdTjf0q9*nERo~U}2Og%(u#^})QWtEKvlkOH90AgjK z5MJ<@cpXQm+|1{ap%7!v$0;>~0MNd~U+So?Flx3%iU$mUzh6txE6vJ1IvT+5PtYqa zNa+Y_ir(ofER%!W}QuT?p2JXe#Mmf;IrZ0 ze8Y(E3c$nkxZrm_;DM9R%?R_H{lgd}24q2W?Ir4$ik?M3p0a6%ILElNkGf8h?62@{ zq^&zu-$z54gLz2qzYnE43~%Q@;#iG-+ctsRj*AT7?JE(F?o0P*ds+74UFTBCIaj`a z$<&tB+PUwv*g$hHnn&yX=R&5%=7;qq@q2|1ScS1~Dka3bwV|sy5$$d9Zbnn`+(Xms zV0oidnH`TMp#u_Bjm>D%s2kY9RHd)mM-%gv z3;)){Lu}nN!>y|?<{~lhiV|Ks5C)v2h^GwT_*cvAE}nQ{lD7@U9P_UqRChPNENy9x9EqGRlIMc~_lIA%`4WNggqy>#PF&5|7D z@87?)Tq|TD6Wma5+Uk0`sbM~deP5_pU|W=!o4&AF21gEPmCw@rSzOHG_0h^@iYC&;Xjfj>ej+^b1*yDP89jFGCNR}AhMx|`e>gUsZJKz z(!g}XiX|~=uTDaJdz>4wNfg=A>B{rb)i`03B)a|yUZ|KIH2Xa~fXNNb1)uLpjJNF979r`9nu{@sAyxi;!g%OE!%u?(68#*G*8 z`D=^%B32iuu%BimmBDOhd3GElsK0#E_RN#)hF=XC`_4PlFmc{3m)YLT?4X7p^}Fib z)hrFUC{FWtU3U$#HOt6e^Vbwmycc)w8@tM%V`D**(-}0dD;G30p*pLO+LWQZ zv`8M__D*_7ep+wV{0r|{pg!UhMfD^9{pm=|z4A%<;s#>PbD!G>gN=uYb#t#hKOZ_jieM}hltx4jfT3NuS6X^|$kE>5ar~kSN{M(!51-`7;M-BGt z`$xgLy2Z42r{4&N_M^0UZ%X@QlV80^GZQaUsTW>xr+igF|)w2)J zoLjEi0WZBjK~60f-Q5uMU5=cLQRFY|o?A3L^R7Dh%3nMa-)D>7%K_j-EM1B^-#JMkU#N2F#4k&c_kT#~GtHT4M%ze4 zMK42BdUzOL^&BmIda&o!f0qSTF$?S$FzIjN@HBbrfDxQ#=)rqayzyDH)0v`QU@G zqeR!C2&mh zqwjDL_s;DT?u{#i>kh3T&C3-9&Pwtf=V2DNoWG4QoWFhhYR#yLb~fB~S*VYT@P~(A ziT9!Z`a5M_HT{XvZisIJ>O}p6$yT<4j^$btMCiNz-DtI-ui-Fyx@_IK=!?3zc@|`XJu4=&Y(lKiuA`kRk#oM&C7kw zCP}jVGc}(ZjNyM#{n1WxI|A@6BUCsDk29a>A$Wm8@eq$wJi&5~uh+p@LiAU8K97OS zR9mp?`;hf)Da?le6YiCUsq$0xll?NN|IPPbH(1m>&x*XRShOw$U{lo;9**xq;2*i> zH-f++U(vuBBpY{TtcP*@aTE1B$<1$Qet7bgr_&(V%?B1(nnd&G#tyO_^_aGXEU;gY zwmEt^Bww#6x1zwhUH2(U0(e%!yint;;%?dV5X@h9I;ANP*VW*7|G;%MV7n?XJ>6Jd zpnE<&k7y-pJUY?bq4cw0KZ7Ms*xU=Qn@qpFa=nJm;$}W^VZOS5j@@MYeNoK3IJ|nG zexNPxyyxzs6!P}seGx?*TD$cBO%v|ztF;s8T+|2J-Ap4VffIpHqx7&v%*k{=T+ML4 z0K8XNt+xTLW4u9>>v66XoW6Nih81Z_zzUR>s41EdC6nDplM@E5Ui2>#M$xdqWutV5 z4L$YKuymuShgbM0zVj$~b&}jH5}942UD13h`xxDw&{UxnwsezTnb=B?uR+m?#C+(o zQ8&==&-hG;Cu6*uEYP+MrWs>!%*P_+c_N2z3o3Jb!3WpQUt*x^8Kgi_Dj+$TQy?0n z7^Ia!_gLUr*}=srP(Kdj1WnI9#ll0{{eve=Fg;h4&wK5E$D3!izYdeQwXkT%2ZH8A zEru5jw51g*$@0U=gd0J|u<(M}og;cStCVN&#l_!Im#qAW(NVhLv8`w<9kP;9co3+_ z2x&jw0J#4gfFVj{a(HJYNk*hIKG;EA)CVX?Nh;BE4nNq6LVzEk7Y45A@VU>zcFq{s z?C!#$O-nv$ng1(Uiw|$12-(HNKZ3LV7GsbWPEEump;Y*i_Yc8}4_~7gDG~>oH1_TmR$cyUd$vnW#jqZmhIJ54V_ zyAlOz#U=ARUu|M>I$>jjOz9U=xpcLveoT#mDaDmFB>6ude7x39T@`ZVyD^7Lo+43O zOt;+pe+h)D@VFBtKkZYOigkxj&?18Inx+!L03o&4{-psE?_>PhxwnfMoJqb=c7h9niJigI2yKur5mKuI#P&u7F z1YBT88D&rvUI?iGongOhhRs?o=1m%@=K!3+;*%q7mgd2#x6LZ;4v66g+Qe5B$gKj+ z`;03u+NFCU^p0)T!n2n_xTUBvPVeeKr{Pjg@A@Do`n9dyn7i?@ma0*Vm0-M_ZxU?2Gtxl5vwQ)&rLMyv)oh8qJmtCO> zvXz}#GyZtH0#|g2FKb^~uu^eSO>3K1-b5+34k>G&SjehAqh)YHD{YIAbHQ8lC@tfH zaB?ZQ`fcqD<%kBur1|$u0@E}XpZ5Ku^Tuvr=`!nnPL7fL>67?rvia^ORHc> zsUx^R4x9NfW~`7c?5Z5V5C&ZQv|#vCj-fflH3)xL zUUA61c4JzQk1;L&NNF(XVT7{xlRuF9yxIv=CoP5e@Xs=kYkHvC%NG100lPReF%-5o z2h5v6=pz;N?JiLB+S#VFL3-Z!6F#+w1Hf7iSiuS62#9>rUelsqK#>mO46N^YtLc** zdQ70KDdw!!!}|^YRxg0Cu5_(ea?u-3aW8c9&P;jdv`f!q`oDlzsuY|uU^iLHq8Ti8 z|G8I|?WeXvzAu+@2IHSObe35dd?Q?J=bn+u4VS8%`Kv!r8sW(_I{sZd76JL~K5msW z7`BC31;Pq$3T=H;5jW;x3k5kIc5%Z$9g`r0%|kK9|uQhM~XLNvV~scBE8NLv=3l#aV)V3%CCEU}o_ zEj^ct4zFYlRTmF>KW=`5{af_wmSZb&rk%SbmhqUFF$w@q= z`od%2@qQ^_WC;enfTa4R7fcs;IQljflpf*TuwR9c<3AdDVPyAJ{8XS%Mcfj@BXwOW zTuWs(Kcz>CM@o-g7%0UwRT0b){FkYE3R1#^skWqeqzvR%EH!RZlV|EeL`sjBIDNgD zq&O;Bkus25^%Nvkk-?5&G^tuV1ud5kTGIUMlYdel;M%~mvv8$n6tx$G?LRPB3rA_rz1 zg)orRB$b;{K=RlrSbFWfe}|Si$YKXExs1~CSqm&&_+sJ=OeCYk0UT|XWQ4d?z^Y++ z!_I@(5t{;|7NubGSRMR7^y)hwZ^=!FDR=e*+)z4rz%jnHzP8SHp9>!a2<^@SHvl}} zg~#TGn*d(m!tHaz+W=nZ!oq!7%xJD{@hx&;INw}0H-hBFtQerDT5fm2MDS9WF))tT zOY=nB86I$Uh{f!h4yL> z_6~IK?|rBw3}7EXfRMpiQ$RMdL;>WngaM^b3N+Tp4ld_;0xv9hnu5OqHvCZlp?G!5)L%8|$iz>K(ewq0KnfXOaQs6hGLd2aQ+) zBRMP;xNHo{_IX1Y6(? zbPEEj*-Nl;=go;nvAduMH&lip#fe$NDq&qb$e@aW!7i_NV6eZtcmG;4_2kRvj|dxt zmuANjQ+FMCX?S#`RM2Zd93|?k$Rln0^+3FmwF4p^d~G8Pvf#kp?*7&!TWXVj`_{9| z!1ev|_J~l0=SNj?Scz^Rsbjs9H(-&@E(#s8PO;*4MDZJv5x1j~Vr(RE zK8U)#BH<-`!*f6T3U-EX-u0qIc`$@kj^~l8PU{tI0HPPtdxcw4Uazc1ZOB+FW@hLa zHKgd-nx+#Vip&$=7&)TLyD)MdRt#pJ{QN@;tw;Mrh3{4(0a-Evwkl~-h#Ond3~UEF zvw^cSDr;A(O|;Q(|5z_vBYZ8Hq6yiFuh;{u6ZCz$`+N;dbeL6+e&~I&!7ZLzybrQ4 z?0+}hcu(-6G*fE)Av)HfJNM=ZOf;kvj2d+v8v>}RZ1dqJ@D@5ulo0m88TFc5Q!Hr4= z2!wmaw*M*9Y@|kWuD1L8V~)&~ju9b4kENZkiA;EZ^q=XdgNttsq?bhZoy0|?nJzm8 zXRq%;J8_7T3-As_<}K_ntbO_Gt!psRz7XUFA>q^QZurPHSf&R#Oi)P<+8vUiNPcKZ^bx`9L>tNb+fdmcC!QI_mg1ZF>ZU=XFJNUuf-Tm?1``)ityLx&} z&-Cs+RWnu7Yi46XKtNO?L4KAH5VoHW&!^Tjb#wyQ*^;rdGqX84n=rGIiMs%-P00Az zxX9R8S=hN)*jd@x$T03m(?$1 z^?pwKr}g*G)eZMxE0M_?;c5-~weT~tvHR%2=)%bAgY+UJ8Aws zr$leYRJ?t;9clgZS?&=AQ%gOEmg_Wj1L8!cv2+@htdxhJW1IR14bi+xFI;7r8Yz6nPB&t7#LI6T`xmHJ8G=4;lGAjzHD%c93u>1olie+@k#G95 zruwtnULzDPBDmML1BAg{+nsU()PumHD-q1Y)*O!qUE&O9wd`jB(6&~!#JbNxd55o1FVF!@xgrhwt49rc zrOV5u3i=(sYvax>_4PXzkwV?Ns|~Wr#r|z6_a@kGZf@qdER)~Y>Lrt}=K!wHr%Fp5 zQ089Fk;+~av-~O)$tMUSJ>E&iG-z@@MvKgmX5Vm+Rxcp=7s)Pq2Yrw_XkwYctX}8v z>I%cO9LG|rW<^d9 zu_KP-uD?7tyYD{MtFzGoSzg=!?u4yPt>HavS>R(=FpTO)B5%GL3`%FME8u4sfE2y! zbPsI28ChBZdf>^5j(wM9t2SMCE;N^ucEFV5s44yfNE38hJNQTDW)R@e%IvnL?&>|( zYj#yP9;{TDm}*+=s8pY9g}=&CtHPw(^8JXRC#S92*qHQ9a}=(wxARQO>))H3y&~U+ zsaQO1=E`KQaDxKKh410}hhW^TBFBd7hiKmX6y7!$8jJ3+Nxf-j`+O?!19;KwSNt+G zFo>Ws`4b$sNhUPw!?t)ogThUWk(1TA&#yp}ne%kLHfQJ5n7_D`sU9ZmV^|?*zD-sV zms2h9ozQ!C`@kdT^!-uya*A|^QKx#yV_BSfO=ZvX<#@9~_Xa>hlDY8h@6^pS(#<=- zll<9Fm^(4_<&u1RktvjpPG%R z2vkqsZ!v$T)*svVtvWV8<{+wXh>3=_32PJ^Jgi39+g)^z+0)-i&t)mWEdZ>Gv~{74 z;apyIb>b`V0o>I|cfe8)klW5iz9ZNB6yU#izbOoK;hxT)bQR!G`~I3*w5+xbhd;Q0 z6SSl)VAwld1v5=7bbe{=m9sQ~gKIJDcu2+?{n)vEK?lzn#1G78aE+`&xZWfWbSGI& zEEZwu;FveEDvEVm!3DV&Z)UL4@nOjK$_Lvei%1mkWx!OUk*dgq7#}e4#O*v?KWc(9 zXzx3mhfCzx=2d%iipt6n+L6;}vX#N$KgLCep2lz*ql2+beTTa#^_zE;zQc4p5&j&& zuI>`9h}+2-Q!?roK}TcOd$=%p5u5lo^(olhbfg#BRN-&~o~;H!;+?L5Y-$oCF0>nN zlAIE-yY6oDb$p!M3(9dHe}ZjY2vE>PK2hSioyfE2)Erdg=RW>-C32BNY41;%2cb$e zbX*jX{&3xqk?1cEu&GMs?xm;Qs#%Vmy`Vc^V^DvN2lblt^=siTkT=dWtipSLjX7<_k(OOJvRreQ)6IfiDKUFW-&FNw}2ISJ) ziWy z1D5pw63SS)mlj+Powbn-)SSlUo#}Jt6C49C-GdW1oqa3)DR1cPC?GVU&*`^Jn^adN zG^sKhrNxp1Qy!l}pIhsDo|EqWpPLH|Rh5a%iRUMO8wyFM#uCf0iNF&xaB)0+(aDk2xQa^>hfI}AvYD+g zeDvHTDwM(1R8xFrD#MA3D%*>?yDqCW9qk$ogq(&~)*`el3^2o=5C7fyQ* z=;In&hrPJ3XW)O;8eJDzk+ME5Vq0Ao#Z_`g$K|*h(uPI6ZGO$lye!0yK0q7TPTf;v z+!?%0-dBG6u%SKp**rviG*!f5n~}?7V$&9cB9MHW2n0WPFN1NK?bw}{=?{K!e zofb;qDWY*m^cRXhl3L24^9d~+C5hv@5U97~Ada;0Je%7+Mp3V~qFGe7({;N?M&+KW z$j<06aoou$#doY7d_G5zA=jhuMUWL{o20oo#6JDzCKo;vK{2ZBLw4U^!}v#bbB-xO zV|t^(JuMCzopNl>9h-d9{~{k5S!-cp7#=~qI9NI99|CEW^-}u?zIZvDGQNHSF6%Bb z+0O5ek;&HzR~r*S^M-(7owF@%z{FNflB7?beyV_TY;8^B+tZWNd^lY5)q!RM%Wo^ays@Hg_C-Su?@~C=p zynnq_#E-l(XV8+dqwoj{Yc5`OOwhqaBy~zqk}9qfDB)Bs7z$1lDW()8D!?JIOMPqV z(X^)55rtm2t-*yz%Q3QQhr4J!%jRkQ1SqP3`CO~`ZVpmMMakW7GrHY@$6k89nUJ^d zs~cRoqcb128?^au%v=D+we)c}eu`}JlnQ6%D5ex*E&bGMKdU8>8oIO0t&J*LF%d>W zz6AQaczJ@?SBP&Hl%le+_9l7=wf#FR#y*+xr!!re4DSi+k*BKn_{=tG&!Vfdei?yPX@Nj&KpBm38RuyL7RH^t1(RJ~?B+_f zaEg4irbnq5RbhcXfQvxq%`r^~k3(maW3M4L$YHSR2E=g}P@}DjVgQdFXE;?pRUAX_ z%s)c*JzWSr*#p~TP)Pt@TsCunIxN@*E}hX1*gnO;4&U9_>=B*M8#9!_tkgA{N1Zk$ z?xuRCA3ze}v+DnYTH4Bo)^fs*ZOE!BZjXn?mghF!UNstz6EB#Up?KC+;!!nF|7a}#q14(Sku|tjQ(MHR+9_R>p#6s zlPu>tRhmS5ZMFqj!(H!U_21`T3nk0q*zTLznO#j!T}^d&N0&@ZlFaADDkpsZdI4wg zMZ!6B#b=+~^b_vjYY-ha+ z@ja!QT-9T{_%w{oN-#~PlUO-S-u{kqDwfII?LW-`5t}L z*@_&sd>I1A?SjNUqu8#Anca3G5&1ys0rk zj~XyVt=%UdC&RH?B{G`m%NAoy0rT}|gi#0mtazO5A6)Drx*lhvSWFh%0Snln17m%7 z(5)8i{%J{1C9l40in_p#d7!Z=azek}gJA>J+HTcteXdzxR#uqMt%cMJRg1fgys}^e zD@&Y}YV&`4bs@m$OuZQep1tvYw#!9-X^ayH_Y6c2BucI9B$>uLp=7n{YLKt=@j4 z499Yn){SH1kWYs!?BIfy_A-WxzHdavJ5$1E1?#Z?2J)D6Ti6Zx&#XtE;y5>VU~`pp z*l?C~IB=G(UIsN$p5mM z84MR~7V+JyJ5ArGlI}O>;u;y7PjI-LA}P61^bv(*^nW$B;y84+!CMS*j=DH*1F;#b zb0wuhzB8LoiTRYuPnjs>n>f3vU;5X#K9ZSi2>L-IHl>3#0#9YuCeCdF;w{Iu&e67O zXB7h`mGTPAnppB*#8fWZuUqgas~T<0jkjcky>JQ(lK^&hVieoC%#D#yb{Uop<<-Z-M#QO26upxW2HZoZ z2A4T!v@sF?ZqJk{kx2w*p|g=Xi z-@%Y}|I-RRnKc&})pV(#UEC`xbYWeFPr3B%MwF4zKCh3Z*LLL5t; z`pIHqGS8W9RI*^e=`tdHLNn2iSFC@7Wz0<+jYP@( zqE>p9qdM@MYZ?vdTzv$S9nSvTTtAV2zIcp%FTV(R#*RI%G!|3nXvhvE_%#G!xF0_; zp7N}5V1$to;y)$a9lWEN+MuLeu`nZ1?q&w$=1i{EZgTaUBN1TIEPuu+pN9zbH1-tA z;a&U96ELxiR}(kL^tOPI@?3fMXM7>!Xs|9L55KZQ_SU()RQq4U7(m)8cQ$%Kx#ZKR z%+cy9;AMbF>Dtm-GS-0IrmIss296Zu+q(-DOdZO!i=TwTr=A6l96#iG9+zqkUe0as z^8+SP%M~P)wbF?v*#-D3*q8S_vO!z)lfq1zC0|ldikTnLY|^v^I&fqR*}0(J@|c6Q zg$B2x?W#kzdXW+SoLnEFSl4I1kN}v0aV-mm3YxP-2V||ehGyBd2_AXkc z)~My)NOLu^>HKrr5B8Q?9UMJgd@98zEUcTWd~ctQ-~ePw7G>CN@UvYP{UoHw{P&d6 z7E}L=@Xoah)5;02zLtIUDb|c2w>YtSp;@p&r~mPLn{jlt0;v;ATVXN0r@DDCp22Z2 z`i??&sj+t{rb{%7OU{CKdo52X+O97e@FW4!kn0|LNuw)!W9r3Fm}D-m`{bG5K}?O9 z@cj53@8b0EFwWWJEeF>l?cm>JtQ$IaabFFUFx}`yq;h4hE>At+`E=POlbL)+Sul4D z6Czd?D^Fmr^RNQz-Ow6~zKK0{miq~N*IgK8M?P+aEH1r-NXaR=^oeuQl;y7Z+R8xI zS1Ia(3hkyf%hN^J=AzfQchKe7`1(6FkJ79crcx?K$qlm?6H*PUQ0&sG2(J;*@lw{t zluFhOQrOe`g`QTh74-w1ppd#%il z+H^rxJgsNAOSc*nPn=Ahi?7;^%!}+Ti=5|owd;vyAd0bcb8#*WCtF>&_5C~b%mte? z@;kLH{ss1Rf}5c>^8x`*3XOS>7YfvTPu^hrhHnzZdLD35%4mHlZ-LOqUX3s#Y5|D` z$eAvw94@5Kif&5hEy+P=KTmgrVd)gndU@%Ht2l-alVx8BY0N7n{971feH z^7?2ca57D`vD(UH2MXyy5i*!;mPgG_cyUOQaOKCv1`gjTG)*3g$B0BzfDPIL>l)I> zwLBZ9DR6~_2JXs*%Otgyjrvx9Ff0~Uj^k>?F}vxiw*9c!1t3s=pTT2OZ6n9PGgCSx zS;7cF^0=+tr$M`MtiH*hvB@16VsDYd2Q>2vAsKu9;WFpm=h{ zQtB&PMM^p;3`A7f*L1o42x@^Y!|l1KSyfI`VSEx;{I8f1{XRhdX3gHV5`oQ70mfW`!Z4{T*!I1em!o90)nwm4aCmccPtDP-J); z2C0?2QSGY?2NJ~1YtKnh;sVE=hRJm@-oyD#n2nBXh3oyXp0x$|C|T=uA~2kQ_X0&R z8N)u5XPN>O86MMcXCF_i|BBmA9%ot++=OX9;=Xj!vKPRiJYdiEG8Ux6KLI5?K<-a^ z_Efp-9*Jy!WOoyD6Ozh&rVt2GuX|#U)*7hO+pUS@G?G}Of^CXh4bXewR0~sg;eTP# zHb@U;m^g|@On-^vX=7iN<&<7i_y*-5nl8dd2YPUk5G}ipmoRr_D5*UM|FQqL%`^cz zF^3DD#855>CCI)y)VeMo+Eq>8+_mV#+L}{w zln(E4q#Kn(`pT{qlotO>^`*Iv-RwXQWKW>rq--Qj{T1`*h=xFA{%)XZS|6NV!zD)c zqKk3C&G%%SA0TE{1su8?|HYN7FJ+#d^-6$wwV~9Xn-T7$MsWy0fzar7JxIZWv7o_B z*Z79Ze9(yS_Yd9{Nb;N_B5M$^t(r32zZ1^KsQ3d*hC#XTTWn-P68-E?3W_WVPx1XI zMWpN8!E(v74(dri?vZHPEwO_240qeCWQL7;^VCV@?54}!GYc^San2?J)Y#rZ!3|9# zs&o+q?$hp^)IpDg+`g*f-j1BO5t)oCb3qk@s{jb2;l7yXh!?`)vz~Xt0PpqRWN$b`PQCAF*5+Gy zZL-h2vG25-R9%3TSW$QU(Me@G9z)JEyMPufehUVGRgDkvPzB-Q?+g~3YKIB|k8p*Z zWamj2U5$3^6?yBX&9nz5X52?4`&T9+j@U>4kaeg1)Vk~a4YXem;!g~ zAEF=WyzgC~nLTJ#>fMRVLkacCL7)@rl!h#!R?NVr|Eek8L!C^0h6b3dy-zLkjss3#@!w$)yKc&PxP02zD|pAmd>pLKD&EgP)VKpY!``_hRfgN4D4S$5v1EOJu2h82C*Kr3cP8DwQ9OBhL)0- zT;uxi=ki^I^t_(8(|-@m{`m0dxH)6lAzc%@nS!oe()){&{Nb~x0)}5CeKUHbLvyF8_cdU z>OJ|3QH=P8KQA#9cj;^0n5$U^w779?b=!)YZg)1tLBHr{CLek99?cMsitU=?ZJ*7M7DIa;td}(S zXNYx$%PwXtzCE-z_XPI4>7ha-oJ&G>su=w-eYHg*pSVcsCm*fbcl#ABHE~gc7nQN0 z$A-hzRsWU6C}z&>$2ROJLcNc(T1TLt;kI^~)!NdchVw%y+A!*k#pW=7SLwI;>#CT# zunpA&SV{Gir%XB}g_sRlHK~2Of@$5?z)X!k4wy2_HzH2dq-`_gyRfbsC4Mi1ME!_q zI<+txXIv{sikjw2Hni@y#e-9czbag%cqg8Fd!=ZP6db*jF_f;&~DA|3!s6U|(;#eE2C-}i0i&{!; z5tM^KTSf~zx3)$M$p<(-*C&EJ!j}>%&Co2$p~66>P@(!kMY8oU1@)bS`QVyY?6R-ew*2Bv3`$gR!$wA+hB6U69qT(b1!H#fHFph3 zV2;$6+H?xlRzG05RdCyh;C2J}|q-~R}ur+Ax(REoPW^)X$prM)b_a9AG> zd5UlTSNuNCs)nZVr!opBnwB!tq9v0jC$88|t+1XaKO*uZXkb+)ucPu02lT)MMEeHdKnf^5`f+bS~gsg zk<*FbP**X>`?MY8Xw$J};a=_NW2AHoJG&}LRm@x{P3YK;F|<TJ*n<=Td0z_)S23naRp8-`C_1LXl3yK`l7HKoSVa89A z+pu?Z)sLQQnfJ&KOjTi-ZVX?%^6>Z3>1hC{o;`QJUS@@Sw~H(ov10a`BvjOU^c>PY z>Ap9k%+`N##*49@VXKM!TJbWJcrpV+nTME#e}Iy~%a` zylc`sJGPlHNx)k}1}4oZ2X)Kije}^;|@ zUx_?VfES&>Qw%KjE$KRUdy?2VLtEQM^NAC=we&mmddt^&%fGk@>)arHhV(n+_B-Tq z{YO%+m?|TT(ClNjIe_gra_iUGliuqNCZ0LZsoRA8%TNL1$*b z(gd7?;QL1UA}xraqN@Jc#~C5M!EST?F1r!hbJ8RspxjdyA0rxci+N z&fokWZz78p4+aoFuD=(X>%F|?KRikJLHsiiB?=p&3fIkQbBINYk8-3CXiuny1=Smx zz2^JvqIG~spXK}xyC5P(R@n`jA7xKt=xkJ1)L>q#Azom&-2!%l3@8K*oZBH8j_-uJ zg(!ApG&6ruDDw->8|oK_okf0G4vbiU`KTqH4E-ip^&|pOG&HC}xMRu)NE(*`{}R-? z`hXa!QPT$6&&ZFk++b8pf8=&wvwpzbTKJzvz7nXGDXU!?tPkfx9N`njc`#&)cDvK- z;fo4fZ%n6;mo_x*ZPJN8WucH7rd7n;E|&MrCtQtA|Lr4Ql+6N4rf42;%8d6|If{oY zG(l%j@O$Y@yX{IKI#oN0U$-*kitgPgc|)P`h#Z$F-B-`6}hd)q(o9=GBO-4Ypk(XKqpY zDJj3lSGUu0inn8VfjxXrY2CDnZy_&nCtdU~k08-oyA|`#)5O#I199 zB9(l7=o4)AHK1_af@q{oy~}Ki^sp^g{RJX|GqhaMN5R--3*&}_ksMnSjRGZ&0rT}` zP_lGkqj#{7JU0BtunQFT8IW;#&6`S%%2B=0Q&dQYcUd>sEwp!;J?lpXT7tPkm!_m) z%28CRXr8?sf3JZ!(jwAH4#B!aAThiKcQS_k5vl^`Y$H;O4noMGA z+FFNVRB=6>en);h(`o~kjtIhpW`5wl4(c+f8a6lTC|l^aM#Q4+k%O>X4wDy`XMN&n z4Husx{j$5Okj{PKrgOp?an6Q1iRsNiqV;mq&(mVN<*Tcg(Baa4nw4eMyHR{%yYUoX ztR-E>3*<2D*t@weTiM)4RWo(8NuAa4>wwy|U!F?^-ZnZb>+{S@pcKoq=W8z2N#6k$eu3X1;(`+n&FD&5VGMNIFkgaAv1=ksz z`MN&HY=z`*I_|iYZ+c_;FbVX;;U58~Bnnooqo{qac`2tqy{tL*+$G4Iv^`4+t&KKJmT7H4<|(o+>a4OY9@%3L?`1SR zSJ??;ofwXSBfvg$Pq(QR!GP#q?K4WdUg6lbJ-^WkT<;23D9YIsYO;g{Zdr(iFp-8aPj-of0zB`ylFpujJF*@A*{}o__=ldjAgN zsnMcqL(NwOkE28M^3(#(jjV1_e^N`j4^|P@(F4*6u8ET1#~7EMFT(5qS0&obZOC>Q z!$R_o5*}H7|49@?{>}@z9v@up<*+NVow!MaS>a6*kb$TR4!Ac_-DeVYkWGbq71ire zhzKKdzaOSkS44VF+aW-N02iQI34ZNWXnd@!sw5!j62vkP83316)$4058E9EtO|ZO~ z=xpp+_^2Fa5%ib;D_v<#Jb6pVVYO67G+p_2&1T;ktfuW3~0_waR651+UuZchD5mDnH8Dpic;)hr!2#u!wwx$L}y#_CW}86ypq@Y}r9 z=!HK%4kd5&4^FzLG9$Nfm! z&Uvu-7)dObKB3V%zJ!rE1;sq=Uia?c1=eXB{K`hA%msJVO=iAQQ2e;-o}xMZwo|pq zHDuWln|b)gP*&qmqc3C{_^?j{Z^__5QLU_g5vsc|^_ITW*CV7Wfpj(D4Sbh1ZjT)z zh%eWoQHz?1@bqgCTdoeZqg#2~A|K7cD~+k-wW*uo#8DHlmk+Xpvl^q(**IN7T}~;E zdI{=TNaDc2>#FPO;^ybm&|AK}>iVP#Bo|ghsq@{HA*a;vBqcPD;Ga-T`({da8q}>C zz`LvkIH0gAey7T6ZVf__7)L+UmZz+-XQWyQ%$?-U5jg!EQartZp7Q>K%d6CR;_dl^ z`7P|^XVH(tB>=07wKFyWvZ0y~_I+Uq%8#U4gAg4jd0#5Zumxq!p;iJR;`u?!^=%@( zdFK&H3cRuN5ti&!QEgDBIQ%6os--Gvu11U5kme*B*IK3lrhuD5VhdAOiUH$A@NF&} zp-dTa!g*pM#y|A0In?FsPz-i^d)80M*Xeq2ev(7qX-;_o$ zX0At-0%Ch_c&Gs`nS%m3oosS5EB33Qs@rtviC9ci&WOB{m1G&9dA~`fX!Nq)o%${F zaqhoUE+Bek1(m?*@?fqH;=q4@F||+RRw@d6Qv)iqI5LVJ#ZrSC8tKT1 z0fbkx35}3?-Vu^-2e|KxDfm7|nC*yXlai5DK55n-f2>Otnq;ig zI=P-FtIZHr<^0#iL|z6Am-7j9Xcl!nBc$@#b$~Rj|cYb8c}42I>c} zQsP&a6E)Qd0v)||vmxrkjS&-*sH@rb63qw&ujT4dXrKPphAP$-H$^^i=W?#Q{r&@* z#3*m|1}XL}^~Ku))MFF8VM|vJ4cK%ENm=3+t;SNdPWHgVOZ~hP#szeWpt+TSI;PxG ztTDAp+h2TZFe^;^>b2yQHWW_6UN(g;!hl9d!CcOjyd0Gbo4hHS3GT;(M6(R^d#M|p zZ~aW&G-TmDmFLi)szW3S_VcN$^UIF-G0Gxh>(qVCAbE9H$;YGh!UzC$dzD_XCQ300 zs8~ln{GV;HZEC(!&L1617KHMiZ^#26G z(!}HEy_9V+fKl0RdgjrTAeUZNjk(W4RF4K3dd_9=6PP257c%Ye8{#FkPU3yKM*rvf z|9~<+oJDoytHo(%@qhhCSw&w^w3VDfA&>dZ@$#zve~|cJ696yI6NHeVrT4fR3mxkk z%cD-21YJjv#YYcQ8t84^IV0sc^%TY$@LfvlQDOs;cA!CXTE5^hwfI)vugDJiR!TKM zrg1b`xaD6H3o;7oWsR zHUWJRfKcUpEm%_&VxR}{vJSOW30rf);P2xHc?ysCy9sh7WztQl4aTQNFYx`ZUSL&7 zp3gG2JCnOZ<>k9pdH&ueds|W7 zSI#1~M5*w{?is`kHG5k-4_}4?;`iISu6XwBAO~_~fUm^AZPGFAY*O>O>{9clfC|$h zpJIc4yKUD0j|Sy&wa4M7Q{2Ia%luS>%G|-qkB+mmueWh$<*T@0(^*{de?Bz2O%ep} zg8Zkc5I0%s=a*r=%PZ?`ugSjiq@Hn29S@!qUHf+Diyg_neS{t~1>ss6Y(4|lBfB+5NCktm_#sA>P&}8iOW#lZEn|E$# zC!9r!%OK=4FUq4B#GCgWji{&eT*JEj2bSz>GF*c5{Pqj5>>c0Vn%pRTo7X2R^g~tb z)dlv76fQ@pfwF0jlK0ZC9k6Rd<-T5G9ZYMH+WrNze__ABUrsdL2O(nY!n*86VZ;A*RHCe(lftH$6gq z4B|dEL2OTz7p`kVygb0x5cwzUv@a`5O-*@3A5|Pr42;O^^6<1;bl~77866u(TJTebtyKT53(;JWl%T<_|J@ zkJQu67FJdt3j-ZJB+NY9zne~M;EMafS#Z0(WA{RoDU=%s)ESy(RRMphgHEPsUHm=K2mn+(f$1#7O|tA*lTpxk*?okj0o-{!`dO*8uFnyFLo|A zqn659b!<%P)ze@nIQ-d!nq*vZbMAR;p8T$_yvIrLxglBB_>!zrjQN)5@|)k`!27hq zYkn%!=={Z?Qhny4HCRv}`|`V}tkZ(PtBb)Nnoo(y?dRfjgC4cQc>HU9sRn9a2X9xu z3cXL4Lt8xjOr7}MV1c8kvS{?Cz}jzT(%MJ(WNNpYy*~W$IddX;u5;TH+&m~Q?xlU_ z2|eO?uJy@;Qg01zG@o7Uc6r(pHg2mAMZ6d~rU*T{c&=C0hc6(G@Q^m6b=S9`QWCAS z7JDZLA4T6c3JwJRc*`e3aocLQ)f5T>ZD*ZZT3v}3A81*Nfu)`>tKM?0^*6zj12oQV zsbfL&ozId*zS=ETN40xZu~kyi9%Ryzk=PG>v`)^kqI66L`@#40NzZO%0wRE7XsbI19kq&jRZVm{5s(xOrAW<@2?q6V}vckgv+F4ZCQR} zl3rd*ItSrAjyC?M<8KIa&i>;c7KfjMJ#w@8wJPeS;ewTRQK3 zm=Zf&@8aUgMa7Z;v~9_BP+IB{36V*dCeHJ^_+d4+xL*hQGoh%?v$N&^DI?s6A={(G zz^2G2|NdZfNdxf^*Gv;dXW(zEmACwG=^y0<)dkP{4$5sDM{GHO9Jwwk?X!eZ5|6(} zoj&T*g{sV7`tT*c6&9U6(jzW4wobW*hISv+W=8T=W#jF#(I7L@*F^TE{rtb>S!u4K ztgE*|R~RQ3mL+rR8*`EkKz+zV* zo(rjQXlB;?T}tX zjy7hqx6jv`OV{#G^9&{Ci<3j9+D93D#Fb&|?S| zE=7OdeLY-HSqZ%^9FWR3q~TmiKWn|g9ig&?4}=tFC%vQbwOh@j)*nEQbvbrCkpNuQ zBbJG*NcdDY5~qejn8(x&y@p@)zMNbahS>V@EEqOM(c1me=f}0@UNvfurW{VN*6gc9 zn{#XMI{;Bqi+U@ZGX%I}5b~vQ6qH*%vmmgT3KJmxt2OG!wFXZt_Psl;Ji)z)jId^5*QBu!YU~GK?06>JY|l>iKc*$%!F$c9{jtXdssEd zu|xZ+b}J+B5$$=?ODE->?(#NaWBX3xX@+T@>P_tM{IcX&Du2|hVLc3bEsDK*w4~Bo z(4uIq_+xgK3XvPo}B6NQJNPfqRwd8J^}=dDV(N-)<}phsG^;A`a*7ooJdl zYK?P6SvQen(uHf7N0=&gYGx5l>uf?qE=Eb&W@Hy#S$yd5|@^yjW2f@vPW#3Im z+*rRFyw|XSO*B~hG1y07+RK;C`w&rrXTQkhcO|ac%TT(s%edix}UY!t3&q-&wH=< z;ZDrqBLxnC>jHwn?^o{i1Y-N7(dFm-k{Z}t8`zAB>hXebi%S31_xpjH=C%RvMDef* zSG=na-zUH4niT2`q}9}OuYmIS;R@>Hf_>x2yE2b@;Cy6>>Uj_yK(g>l5O{SAI_Lz1 z?U+;pVXJnwYv;!NCgzMqkSLQ_2Z4$bw3{|{n>$|;-LGfP1SX7xc%ksU)h(zD@{e>I z$Inb_)>H5|?wURh-lsPpbBxb@whOnbCz=UZM9Q|DD1b zB^m;v3IN?&^nIPZwi)eBTl?3V`V`zrAz@#vBP&#Z#1PBzihTvr&Hhclz0X zonp)|fT#mI{)EH)yIsHL^E7#OKjvhC%T##T^Q^*z-p-NhLu73)BBPv~95}tm^rbdm zG8s_v6K+MX&GigS>b1{Sk&HSR>aXTOa)YAzdGqx6l{EYnNiqi--(z^pEj>-9{CxXb z>%f5a+PhwL_Nf5zqEr~p_t-b-q62bR5c-dI+=urfv*2*aHKV|{;fvs2ii8c^QP)8D zWsuHOTxgBBB368&s5^JC9)E8F8SNbiRg)h|4zGCqHVOS%fbdVeA27lOA5!6o#uoPAk%_ncoE&~RmjbeL!6F^g%WS0%gciP68ndwEj^Q%k1>6O#l>dbNMnV{cd0I?k^6!S0&zEumHDb`$RJXEKn$DE+cw6iekLZAs=xcF(L4w&& zOd3iuL5~R0Q;9!kj&=0YbF$@8A}hey z2KE)=pWbBY{p+}(Va&!1bVP@TFm;@cOej{IlM=$!B3E^tQshb>SW{APY#Ne z>|Q!4XkB9KWo>9o6i+t%qCh2MM3v3@rX-yki_lQb?kLN2Z>CBFG&mYsUu9nWf_rV` z1SqeO$mY_g=N;W01_p&J6S>Y}lGID&m=gK)jQy^UBIX35Wx+{_7? zEX#i76Zf?DlECzp?6qm0%KuC8@s_$~59?=2y}Q)qFhNKW{E+j0Xn5Qho#Jp<&_w)o ztNG~I>LCK%*JXC5<5+kTdK9Aw#Aom_oSS5pr#z;5OeMyq4=vlTd2dBdbgnWW zIWW&e4&N+)aWdpHkuK9);#N42JQROa(|LyRv3yvvQ8@z-yx1!)l6|O0*R`v-%~fYU zw8;9QbB0c^1>TE_>d;!ehOl3|d?D~FjscR0?G&Q_%_g&W2pshCpg|hEJZu|mf zv?w>6RTf)eh;Lf7)8@V@nIwg9H4yV6;ye}-o%SV|M#Tooi0a6aB7lP+H^jwOFs7B! zfnLzsxp`MKhoujcVk;!m$APX^q5^u;wx|~6uxFwE+!PjzpK&sA5y6_%Brs?4Vr^eJ zLx*w1+BoxUFoDbduGx9nnA5iCzE?fNe#^j|HTrAf0(GFlM}dRzHl+`WVr_JJl{i2`DDba9S07OUndvI% zv&FFwzM>AL(9nm(`=|(u90<4XKXq)bI6{3X}A~-p3EyQ#6znHcdKtm#$umuh= z6UapH3Vfu@beb~(CVV8u=HnVXD%sz3>=CgwH8otAep7Y33Sv%t{ACpsW!;>vJ6xT%W1vEO6wk(Zi^PRCH#b z=%#inx-+I|B^2ETdvD29bb9_8?Hh9)pGDgSF}GzZIw!xPyAa;eb%RjE@V-4$(MkCg z9U61piGiZK+O2310@)@^4DT)2({-0(q$156-X5;o4%h8RiAYp*Dfasza<>GE&SDhX zyJH`qa)7xIs67DPnorC^R9lLfg&2NIZd*PvJ7U8f?Wfr50x`&{`Rq{~UoU9vwdA`& z&{pI40GM!-pm79-rMqqxH1-cV@)kkkaPtU`ZxysUXmsvvg2wTWRoyOVjLsfxw+q@J zj_D3fb8MW0V~x`XF&r!LE%%IIqVa5eCbhRm!Iqe!69L^1iuf!U#h9bkL($Hdq7xvT zqszU4B1gx3GbV=q=4iITOa~yZB3d^j zD%TA0R06+xr|v3hj#Y8URGhFaPB=VHNT{`6aou5+kq~Moup{kFVMsU9m0Q8bCj`U9 zF3*kWezax8W3MNbrP?26ExVsX*|YoAK2xgy?6CtUPwkJOIT5;heERGO+{DGe-DCPM z;{mfQPH0C%CF+t0sl@%M%jd$5cGP8FM*ByY-Q&|l6z(3i&mJv_UTHTyaT72Bd8T;% z+Gp>8lI}vil>8sP_|^pVX0dU_ra68bhwP&XN*%lvHl>E#oyw7bXhOpD#FH9RZD^PG zQ;n>xT7*kNSQl+rI|zx&C4_qPsDzB&Lr6Ril30)=>_}AKPDsdT2LU%-qpjZ#p*dve z?(yI8^SMxl=IF-_s7RcK9PJFtXR**k1m&oUcJjrzqnXpm<|h;6_>s6ZZ#Rr>ooz>= zizPats*|+idg_&gopD0KuptgY5|ZEu2}&KfU&m_~ZV->a)mrX}H`Hfz_s|@V=eL9J zbw*6LPX!0WA)!BX<-&H+hP{DzmFLVsTJ8KJ@X@BUj}Fh2`8LtBFCc{hqa)~3PsSqX zcig+Fj*mo~ww0hQ{V}c;M`AtE4D(O#N8_}u&EVeHiFSFK?09aeO$<5D@n+c9I-9m5 zKHA}A`;DQ*Fm7Md*(;a0)ETZWQoDM>j)aVa+IBc7Av8fya|HjTnx24oxG+(dgp7oP z?1m-!ZDQq^xVDwB<4x9Buf{o!ixUzKvYVcOBnSyh6CA%ygkh^s6Sd_d6p=+uqV*Gy zM2scQ2@{Wee^F~uZHj|8$JbJcbB{#JZP7AR2@VpU?nqq!NOX@xfkM z-JScJ|2_AdbI-jB%U}x_WADD#*8&}TBa5+>&S-k&3Pl zCNiMMmJ1C@O;WiT1|*BU39sJz@ZTX6L1c-8m|RA+Y}O1f&U`g-0y+YgJAgBrB^d#3 z<*+Jvy?)33D~Oe4GqyMdo5$+l|AE)v|FkVLE>q-;`?#TWaGzs*%ck18*7+{{B0y-= zEO0%*3taf>+;Ahn3thNm8i(FW^Zx%C}YMWaZyD+S8E}I)bc?ru0=&9zL-Ov%d z40;TV<8{-P_0Uq1yuIg6G15q2pF|;)%fQ#{>D}k{K}S+e4iw;;&9#VX`$JNoCFwJd z2j9?G?f%|@*xug9yUSy}gROZq!Q_tDaGj)8;Alx^K!e#dOHwp$|ep( zdqj-Ik`tQvtB^Q#{I|@rj%Kl=sdClJja1cD>@gUMj zpb<-jI9Vlf(`*gSuhSGHjo>go4+3cw|VdMC@cM8s-3$(h`2^8Tl3@ z7#g$?8oC96)$A4cck7*rN3lkrgc~ZukfOw_VVGo*<3D!IpT>mrfFcu9#>!z#Jg9?a z3=DRAJOhLMvEIFFX*ownDLgqn-k9Fy1-ieXBpWTL`qhEe(q4j8= zr~u$DP96-y_7!lmCWPl*tMH>F6rrAiv=u~a&`jDep0zJjp&?9LpY$6jr z8vT1pcW`kYL3&AaCyHNIn(0E6bMne=v=f`yaz5Un$b2h12=BiB^~PoBXj}-nL5Ta* zLq09PaR<|dm4Xt2su{4|8ROtKT6*;7=Lbsw!Wq%|2wJ?;nohT*<#r>`Gv{{P;#o6# zJNX1JTsa_t6N`E^?ZUMg?jx;KKQj6Tvk}BKVev;R6{s+l;#auQMz+CgdXU2em1Mu& zAsLF~g_1-E4PH6gN$;~0Eia%JvLYv_bSau&m(rHH4X~M)npB=+H+T!P4dhRY(a;zBQUP4zfxN}GF@?-|nET8%Mhj9`gCE}EN W{ZrCT5xk0^lbl;=&3^y@0RR8bNX;Vv diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index fb019a1d5cf264c9f8e735c4f939e68a30fa0480..87e7bc6fb74276b821945980ec3e46e841f12382 100644 GIT binary patch literal 29869 zcmXtf1yozj6K|=|;>Dd5C{o;|SX&$llmfxsHAry?ZJ{`&K+zz@-6cpMXo6GR2@u?a zJFnmWy?5?8GrO}pzq5DF&fdAZvt*ARJu1h;`bQrovdDoi1NMS6Xz8W;1%HG7k{Ot^6I1g7wcE@R`y^1^_}j0{67dA_y4g+m?!_2 z|3}Ne{NJ(<)?O>of{v~M>W0-ITC68LQGL5t?h9Xz#Vb;Q;G}rbuQ&<6F5}G=Y(i7{ zaD-FZG+DdYf!J<&+~*qxJ3UGBMCTS!+{5cj7Wql%!L18P1$FrfL zUzYFN9LqQNY%6QerElm=lwKWvKdY#puFZTHxvrgF+DzxAqor*zO#Pz4-3nhh% z3ROo}TJLzJBG`=yE*AdO_pkfsUqkb6f0?G|>CQ5PtlnMp&6SsvUa{Y;KEKY-!aa`4 z-KXTOP|ZqESj}pk8afX$bYPw5HA&AiHA-H>Umi$U-KI8EV?ySzA+$~xA6`buu+E@& zy%s3bukxG21%k32stF%Ehh+TNiY;OoqcrSEMkVq@pFJjuK6M;@J`;fZTuxZM{bPY% z<$YVK+Yv)NVeZIq-rS(J0r43=IAWN1xzN=55jeG`pcL8ly8bxMmf>m(?MPdY`fe{? zY4((urt{ZN;n#u8<{F{fwaOHD^Np~H^)j<7p&iOxQJVAlH%vL9`~|$FLx?1M%*|A# zNCW;(HAe5}BHk~1C&9#il#&Pw_HdEQRP@x&?4Y@}V0=%^O1C-`@N-D1Ypa%7--?Q^ zc92gj=+|x6AaYNGRUSgyGh-7}K4~*U+YuoYljBwd-3VxOF-VR6{L=&VLX0xlIojeC z-?>(0jWnv%DC-Vj54nZQFH$Pp*3p{nAF>HZhrck9M+IQ5tNs`oN5@aRkPY{X6M7u!0QNzzh=zyei#V!XdM zJsbKD%18RI*(r60`Lmz)R@L7tmI3;Vv2OPI; z%tt1(%6zJ=l_q123ez=0Al-A_9}=o(Vxh|~??iO#-^x_vq<4SaCRl3HZHmN!Oky}@ zirl}4r5Bi1Jh@F`&(g}G6NyYeksCmB(~V_@Lgstsa?6_{i-&ANWoJX6@2bRdr|owN z__|$48TN^DJ99T`&pvsCzqfk~2rbyQ<#bc8ePe-Oy1Xax%|W!(fEZx74hGpwAh)v+qEQ;|#PnCYG@9vdCn z?Kw^?llHz^*(vX@I_+)7pO1B|ICrFYQpfQPrs1rXzCDhHDdn;F;xl0_+l9AfmbL>| zgZn?CcQb=9SF!Nhv8m^si8ML*vBh;W(iU=%$tXY}*(5JP)1Au(J5qVHdjK`!%NsL!< zgOyv0i;Rd>FWqVLT{u;jt&=T`)}qmGw&;e6FC{sd&+^f|+j?Jw&aTPz+JHoZ^uy+s z3e@jP&2%9MT2lGV_OPpB|J=WbjGxmZ1kY+CUNGF8CMyu$KuDLrBY41I8D2N64k!d?k2_j`{wKK zX~0L52(W_M?-?f8L*X8 zdIV?6`{=_H_`zooNRkOAr1w~6NaLpV;}Hoo)iM3M_##L?xy$aJxbkX1*6x15B4jZu zz+n-sQT-+1CMeRsNY{b>Iw-$6#vjgpV&II$(o$O1}rOsQs!a8wQw z5MpF|x5%J#_I^fqO2%Eb%(R(!${!SdmIWuCl5r^WR^SN!2o$~arrFIHbq=m_#75bc zk;c96^_=3R+Wk2b#P0G*Pjoms;Dc^Hbt;4OyW%X};ev_hlOc^s*b(h-w`{c_)Z!uwt0QHbusR8eP{ zb!NNph}6w-El20l>n}N7(%k0@8nnwSJG~4fl=uvTJNmAz%KE+2+`9sD?_!2p9o85D zM0OQqDh%4L%~i(QUQzSriMu+PnoV-+jvSLLy$ifFki2-iupe)_J94Vm5pq^L3=!8K z?!=RcPXa@xUZnvpvR<C!d}>hbO3yqUtI9~Q7(_lYiIg{S~O?@F{=wuDg}fiC2L zVK*h4CjoMib7Ann`|3q@v3aAt-t-+>*M5XnkShi5T#w8S4T;zqk61&+Q)zm)Jq40< zys>ONfG2_dzxnCF_$@d?bh=Et<&U9KdgUjqu#-8SnyczZShYAq){vczC-xyp zZX^!B2(FrYy-Hoh*cemGW2Zwncf0VN#b_lEScOcX!R4a;ibhD5ioxe|47N;t6c^8} z>AYZ}T`Lx98KGC)*-vR_=5eQNm|@L7h1K5{r7jXqzWr@|qZInYC9z*D-QicX24#9U zKONyFMP}>}$Zas$+7h+uHe>W_b>TBYoUY|*AcYs5OuE`v8xbn`2Gut&=d1+hmtJJ? zh@@2~uqomXEeQ5QJx~GmWSJ=(;=w#Rgyj;AcZKzLYM%@VGW*xld zwG64EE$U!*^}rK*%9izj=4C3l`Q=A+%Ci#B?4haq^1|9Vf7e<=&7$vEG^FJ3>fe?P zTRNDj-dy(dmY(F0-sa4-i(%VJCZOlDtYMeuw%x(s>9YWLxx3SM^W}dfm-pOt#T2&` zPJ~x#c-MY+&Nr9I=IQHeC^70>r=}NVT?BXFmlB9X8~+)w{Y6nENvZyjlYWnnmDwcK zVaY4EfImf#YqILIZ}>-7Lq}Domf;_1nXT#%+ReWp;g>G)6`RKL6`JUTJ%+p~xZ zM~&bDV;37z8Z~G5Fz#}XGn2v3wH4sWNR-upX1+K z9CAoI|1_da+wm#=F+s*VXef#sRZYe+u=)N$LHctwgY%eK_C;lru7x`cML05j_1fvM zRybA~Gm(3Eb3F0+;gje@jyzRZ!N}R}MUilB^-f%bKumwqH@)i<@)gai*5;i)Or43F z!Q}O5SvlMMbYTiOC6_Ix?mjX(Srdxcw5lp=Um zc9qc)C$mE2$~;2%J^6AX zKeoFmqYy%@Z-KD26p>7!Ek*HBN(#|?jZv^UOAZ$lG%zVuq#k1g(5_^^P(aTkKLEAcW%2QgIM zN$%h&^;C4K@z-^q0+e>Y_z=k9?jBgp#y>-r)$Q`8!oKpTAI~q{zoaSPFWqCc&&m|E zkgEV2BEojgA=SEs(ClsGO_5OUP|4ZhZ>z=<2wEk{F4-aJ*_e}~nPyl`IWxR}>aKd8 zp2#H6{7SxzwkJwE6gp7klRLK#JfY=c16;kGtb$@~T<~6KgT6@|bxgsUZl~QP2BzBQm{slw#US2=j}zs%|AT%vSAsvWfw2 z^*bev=LKq~AwX$1V0FbS}9a0Tk3Zo4Yyhv`pI@>}<`Fq3*+zc0}VR_22YxE{P!jX$xvRIHY1 z7n)**TIxo0&%w`ae6ZFmEPl{99X5zO+NK`IB@ay=VO&xi1&}jLtNE0%ZNMQvl7sdb zq`qOK-;bXgG29r$UQDXQKD_wz1o#sWvzvU?Zga8`; z?ug|FSpMR-@hK_br?~jb`DM|H(js|enB=B6A(r|4S)B*r267+QSakHMP0eq+9Krpg zQz0aMX2~|k)UVtKz`pbCN6||^@RqYr9G)(J`6n#n&s>}-txx6g{NxU@N!pHk(ey!y zBOlL3NKe~H7;@wRv-hE!u5s{|d^NjS%ir7gvBXe(B4-%SP#sa^YowD`RjAi(1 zcR8YwOCu-qQaR}mdE_NyEc8sfHv(*;ZlaPOvru6A6Uin7%1^&h_&t8!nW$Jg_dO39 z8F!aCzuq41UbC^N;$Kt1Ea6K9ue6Ix@TKv~(*rgPBjaKn=Q)`ae?pn+sTV8ItwWh? z2?tDzNZ#by7!;-T#ma`v>18nAbO$52mDocdbqxtP39U!gS3`y*89YHFM$d_8$zzFh zAn9dlnHz69SSyX;ZKma02j)wxO`vSsWBjP+JiauQk(9N{lr3vFwe@{Tj@k$!_Ni&t zfUt5^|3E%>R3`A_%aKdy8uPVi-z@o59UL2VSgE_)Y#-G6fsgBjdO~{LlMA=Nx5kM_ zN%>dnHmmFRmO)0CU6d&#{py}3C^#$&22RL9f=7=eoqqVuNsB}A_`2S7q&X2}?k9M_ zx_+|qqph<+v})J7Ud4#W6}^Cs6Ii_#;;Ok#G)4fIsu9_`Z9Vj@E@5SR-6)&WF}5+0 zOVYAn$Y-O*M-uJ@lV*bJGat8zFu|#rk0EiZBd3QBBaVGjU2`5lJUE*B-tb=!aqe55 z`XlB7#Ry7QC&@LmQUyWve2-0jAW@z2eRSFjkl~YG9c!4jXO%c!@4vffxpY2(3N3BN zy7Z>Ts=3Y`wPzp_h`%SYb3S~jR&4{8lY`fD?>*#mw=Za56G(Bn1XKsVBrh9yA9uGo zOOGi{?FMH`9-WGuT^B-dEdKKZ+L+{4pmKjh3Txl@PA|C1iSGLy%p!al*V?Z)8|UG!_DW#?yz(+7`)*pi1x~zC2x@R zGB%=h9bNc6r*k?gBy>*C2#PCHa}urm9)f zkP3Ny29t6pN;&q9KVu_A02y}KO*xx~U^r>;<-+ZfXZai#-IrJ2cGo3;S^Ty0ql#16 z`wqFGr`lKZVMvOFJB|VTN)uZ$$4P=0B!n@!{|$aq2|?+x)_6;d@-9ON3ApOS%X5Ta z+KhYx$eW>_lrZZ~3BkuppI0zgDf3i%hDc8pa&O?{=%zp2L{z8E?Z;xZO|MqHBosRoMJT)L$#E=l zu(=Uzf$sEQ-9m{`P5VgnJ-F>!Xy{q;(~A-7Xv*v4Rhr9~PIpL*fXGOFwAulR8q!On zWlcHEQ>CN)d@elMkf2ulH56~8?CAZezXzApOQFdxMcu6{AFPkUSq=>Y)acBUSy4;u zT+`-cC=+|kKK_+g%o^xKQ~CL|=x~eH(*aM>haNGm8;!DcJipVMSm2?Ildr@jC@FNF zq|fBIi@X&c`=C-~xWX(Gv~fFkOBwjOBk`6hIP_w)X}((#PY`f5A|}b5F{rrZXo{ss zkP^dO0TSi{H%hy2EKSE&vjW!9TV)nUUQ~+c)Y#)o$x^*}cG`69Ssm}Tr)xt+66jv| z^J=N>if!q#Z&&y04h|zoRE4OXOl8+n-V=eEB|mySz(RJ;kyiT zU#{wgSIl2p3HJbZMhBiLqB(?uF4ct539R%z$3O z2;+EirEH{3X|W1bp9K8Z`H{80QC4FilUYc>HVacuil&}D>vW)*&h37?5f>J+O#zen z;I&M1XBVM)u05J?ap9k{@ic5KK`Sdh(=cq}Zys zsrF*gvi(=nESvLkdNNN=vwHy5rXJd|Nh%Eb^Gti*FW{ST9tuvjWy{1KEQsO7Vh{%o z^5XVb|F*9wDBon-suNnsJNTFx8NvZS`H?YJsa1k~)`GYPXub|S7{^@LbqM2>)rs&D z0QRmbJDIKa!;F(d9sy-xkf4wG)^lLs;{Hjn5$V~@Z)nM4Lc#svq{8n;l+7K`_*kAR z`!67&KQFINM1xQnZZfOd+-N*Ti5pMjt5l2otLQ=PY~3TS9)NYL{6~FCGlhFkq1$ye~_+h?ur~9r zIL}hWcT7VRInsdzZJdai;&6gtcy_{`dc8&u0e$86QV$`~-q`|6V_w^BrrQ65NWc(A zoQz>1ZaDab&{7LLOSZU(E{$~N#At6dghVnms5TnPO$|!w@3Md!H}(0zHK{>gzo0g` z3$Ke15(&}Xdg;8@W2(zbi!TI^B@%~xo&>bCn8C!~Vr{&twt}??pH&e1Q_u|PTEY6P zBuyy9U(blFv!ad5qD9S&0xF9xg-S5PtY~`TO{41tAJaFXHZZK(ep3#r!(abKAf$>w ze+f+9kQAg_e>3C@Nx;|?NfwDTn5ZFcViPgggS}ZK!qlO%1GLop|-$DbZ-=9-GiUg0zE5noPCGuA(hf^ zL@0<}EDG;jKQostS1D3FF7vO#d7u*8gF)?h)cYg$sL;#ImX0fN;S(Eh|GgiNfOq@(du2#NAqJqDn*w(3JLI))?2|cZjEPr^k-qe;29Nj6& zBt5>0+su3-%+e?W?EQ)gE#mQv@LC!?Az3HcoL-`lyD_k~vdDBmL0U~)KU5uR%j3Xl zJog^28~4Bd9;iMRL=R8AGi-kGME`=~%T`VrF^I#%cCEyi6mb1#?ko9fE6HKhs2LkW z3>v3FuOUKeKa<5WPVkAvE~bz*)6bo}AzxAIPW24KP2m{s03*a3+5vvBm+ky9Jzf%g z(%oDTciH&o{^^Q|@d(9~qkh|;UCw@|jc7xyZDCgMeVhPI<@JGv^i9qne(bLoM1U=! zd)xKTFR~FLcbIM*MiW)52K_5%4e5ecZyB!wUapjC(KOi#t*5|#wL89EyTM&oX?s4u zegkC!;s!H+Mo>8^d9)a7`8Bx>Juto>jUd$#`Fdwx`l(a=dR(%Xh3o!bnh4WX=rU-l7`oL#TWH{$q^YzctjyE#s z1uz|tYpie+>{52_OTPEsghGCq*YTXuC-*_P4qLxI|Jj#VS!y)|3+m>ogF)$ z+VghTit35veWoG<3Ei|{su1a;vs=GY&d5q*q?wkwyA%W%L3eO;(A6?ASK3ZCaaU0r zr`_h)JnPUm8j=qY$)z`kILuMml($<9ctj0VD$^Mbf!ZUlS>SfRd&m zVpHP1o`>SEhIjm5e3Ca9g$^+$AQ)*IBb?fwh>J)+8B zQ$R8Zz2fhrdpPO8U`O6{ub!V>YkHmcjPF84`(T5&h{?A7MN9`%cb0c zsuL77hgJ>0@ZFx4qY2rUTMp|2%AY*gl2v|L&4~wm?@bMkrsu7Wmo9JhWXp`UC^%7E zUoJ0GQyjG_i%)k}i4iokv6HbCaNq&P8eqVs9QPcMztjVcXzSmL>- z{bpY??l=8znLsp?f^sQ6K(uT?9l!01`i2W0Z7eK{;K6vooB7Y*-dKNtj($tr(Z4n~ zCi0-YQ{5S9kARL6J-zdxQd`*M#AA9Q%O&E@(aAk;Tyl{UrVg${e<-lYI-C^tCc7x5 z6UGzX6BctpWZHw88+}37&yPRYL!`?n!RqnBg4H{Qv?cdp%`D4}D(J~vOSQ-GZbaDO?W8w$vhF2-V zwkNv|mfG#Ca&~{(8~p~YY2XWud1^T7R(Q_C!EaONg^u;D5n1A7Kc?BA2(E{5du%@> z+MT6e9(b{}p;_M&x2mYG>Gh?HEnh)|iig^?s4Y{(Q6eubkAyJTl0oyi6__N!Nac`s zNjM2({-K`ub3Uw#%FCy>>W_sQ{k3=CMT0i~1g>Hqt~-u&7raCJe-nNf?~eNZje-O6 z4O`OkhEtX%e7%=?i*%KYGS9G(UXh_-@oSh_dLKUezGZg~^J@p_c;Q>P_*Ic!Mj+2C z9UzWsJ;k=WP*iWhvYq!2n>fJRo#ST>sEHei{7iS{;Egcn+!+701#{H@VEcBr{qDUd zb2sP<rF~t^QhD0GHQkJvzIN#g{0zDv7zSp_V|z*TgV0K%zyBs)018Zt+vG zm14JQOw;Qwqnk$ad_N^>-O&BLvGa_-g_FGS!v;?}YDwpSYv=gsn61Nc>y*J~Ox45- zl}^yHvCS60CIa*072A;6iLzJ8X>sk|gOb#*OV=AWgi4W2=U&!9D9`t8+V3F|EKut=Zeq$RERB ziJuqpIe8(n9d~gRUx8KncQd(mamUF%SI>m9l!?SYrJ~)fnPI?}LR;K$j+H@Yz$%n1 z;*>8KlZQ+<-FdVh9I6RaUm5Rgi|!_4VdcCq>(*#)u77Xuf2`OrgAI9+o^Lu`% zQ+8cYv=as&O^5N$I*S>$r?QmiOmFak4%4LlC=CVC0BN$V@M9FG{d}jmNIT{p<1=|E!P{-wA^VjDp~tj zL7Bjp2HtNwSW9ehGy?<_O<$60Wovi#g^~I6jS|GZFlohM_OqWImEko{QxN*VB*|-^ zKsCxfPdkc|k^gzGKztzXhw)d0=Nof>Xu^A$d8Q#xWjj3D!TRs5#|btLSGSyhj+?4( zj7}`NdhzSq8ZC&gUAxApgLe>u4H_ERp^*z zGf)c(B<=`&zhS*d&P1u@p8>MAM=90~sE3{w%lN|(W%(C{Ae^`AP_1;`uv^N7Bg)X`a%-@hYiQ>yH{7q#1Dfi z#VgGhuMMAVnhzT8zoT9M4NdbN=Jj4O>Fb_iik1viIyIS;y?%Tb`0s;}rIQ(%eerq7 zpI~5#*&>Okb=Cn=obKpZTPa=@zn~=^@ zbBNDB!CspSZe{3DXUvhsh(UB$XnlFa^dDhtNt;@x%FxtH1s`LVRpB+*sC?y(rb2*X2QD z`N%`l<+o?K4lLLg_S!Cgn-s+Z#VuCW>o(X#y0Ckr#-fdPpR)qNMJp4YC6d_mmXmyU z$72Z^HXS?$SL1YLwq-OnsN4DsbG_;ZrdbF3G8$GCv}dUmuGLXue!!v>n1%}^&;pGM zcJZ_wV|Rz!w1=i%Y{FDZVoS&iMG+~% zMqz~Fv~W-tXKMfrBH9ERInT_!;O-1cna_1z5JR8!HJT^ho_Cls=TGmKexWDq1xnYK zh+)7;vS+=Z0v34`1xD^<`n5(qahJDNi0dh$#!u@jzGF9$swk^eo4X#{**DYen>ffN zfyB%o(#vhypJ!G%n(*Sf0*vF0$~tW-Q{X4RyTQy2K~s`b>DgCQJ(<022XREL7Zj<2 z)&3fI5_L!RxOM)GKQhWS5$@VvPTC1xs)jRa)=sQme!VkdWcQ85iT#@O%tE9RQVC90 zVZ;GxkG=dtW_w*Y*pl*$=V6`SSH{WgrbWuRPGH)A#EhuH2K^ry$84EF#05{cjsH|H z?DTnG&Qa)e#a}Jd^2(^vqr=p{%#^q6mA@!@y3C?gDxBa@o925W?Nf=@DaM;DAp>)Q#ffrg(OF4y_Lnxo z%*=D^%>Yh8a*)BjlCI<(A7hYDz-eIr>9(1F+Qcq=i1?CK2?>;i5)*+z#4 z916Q_jGR=e8`P?#v>b%nR7(Ce1rwWk^-wldOn+@=&*0(knR)>^lw5{Po5W3DicAD^ zP!>xL)-&1QjQ{#9K9yG1px^c~F4N}|``a(&-Lq^1%Mw#`Wt4!lN&f*ZYt#> zrV3kYf8}fDO!S>0FI{<17H%dsDXu_E9m9;Wp2Nosn+8Bb!T8Cak*aK?2KZlhiJdSQy;H9UoaZSmkCRTeuJ@>!^TR;(EDiZ99Lstt z(HU$1pk(4FH^Tox*{GCJ*UT-};J;X6n$YNT7$}%tYsvP_BBbAJNt zwRgP@)+#CPa7c@Z1X>=BDc9l6Ef4;FQ3=&U$mz4&gxgOq%H3SzCG-MFh+R1*ae{4& z$9>)E-j}bYylyL? z?zE6Mh(+SQuBbjqR`F8zxc(E4mEo4v1s4oOJJ)nYJ5FpX`{cs1Q5}qNR9&S`oay_q z^u{3j$+MPMR7@n^69fvy1=yoo#@>Hc?VKv!PN=VUi7mrk>;WtydqsfR5R2c0qIupW`eqZ6X&Lh%yBcx95N&2$Qk&LRS={;MJ zICaIde(h&bvpEyWj;(viKxmq)*IDusIdneyL;Xx44w z(|kxb*74w>k+S7@%12+U@%m*FUZfO8oNHUp<~{GLGyUV=+5#v((z)nu)n%)b`RXrw zg!O9u-}cmwsUp*>66xmj>x@a9E9E3A>;OX5{-S!ux3mf`v^16L0{>YDNj9jymcr5DEIN0?}fJj=$dq4szm=WL-OjC%$}Ke22fai!It0 zJFt>x$XG9L*Jf)(1w3Py%_la@>Kc2Y47vTwE3Q{d_U1(Ay6D2QYlqwFn@maqx85hS z1)(L14F|@@IO9{3Z?oux8Q-^>0Y%HyfK7NSJW5|jCk%L;a*a7?CrhHhS-pc}@dwI- zI<8l{5aZ+*`ejU$*6Wa=rkJ*w=f!@+^0G5D&Qry@9gzlnQmy)?V8?aEw|R3`i7f|O z`$LXBvj#KQXEARsN>D@f`|#hx_chj(nU4$M7uHu1t)1 z@6T#Y4?Sy~nZ0@Ac(~f9l(=O<>rD^yXAwSSj?(Ks%kHLiO6zcftXW=kpuEq;>(7so zg_A_%pZiwL`6(<^e^Q#qnNm-M>V^iM>m;S7G;e_!x22tHx8OBHIZ_y6YDl@}6)=Xf z3=_5TJH!CCDO1tsj3ug|;{C&oc6VkMyw2HA#4baMh~!X-#`u*eVAWgptDYI*!2;-3 z3j1|EVb=CMFYG!jbqG*80i3WB?(?Cm)&iTgWvmZ`vV>-unUSpZ7z%x#FS$H@gyalg zyq;{an63N1NzM-=;UFI>v5_iCDiI-wIxpKeP9V7NQuH4(%I=OFmu~i~*1obc%!=iZ zi5}o|yQiYPk*IVJrqrS<_3Am)+=+8lX(9v_oGl{R-VUmC3g~WlV7*csux~4^%Q?3R z(K!iNm#HiHY#dgSF(*{!@~n3FRf{%y%jH>=xvxKotsqJ2WVUPutM0g4sQHc;PQlKd zZ)sPF7@9sWQ~&$Wst=&*PLlxu$+cnO42FFj494C#d&i%Mzi>vr>mln=*~A zLDt`i!X;@Pr4$aH{^J5t3>OO}h#Y1z&xHb2YUaa`+o znGe?p>0HzQR^4+P$G&OUgLn97?ko@ySB`-kX`q!q(%n8qq$dsjVv`bi?NfBuVRpU&_p{>*|UEbTb+R3h_{ zqi~9Q+A?na-Jl+5e$9uL^oDwc+RQjfT`2e?h)@!tpT3!!eU!D|@pXMf)R)&g>U62V z8+ms!v~tIAr2`C~ZYnPqJ`D6nd(uKq@`a>lNLE|MQfPe5_DVEdG`gM$_|WI-<}D*_ zj0y+d!csE<>(|Dg2NhymHl*{Mk{5_r6}fiX_QT|>54-u3P%=)5Z=Cjn;QrHgRExQ& zTyke=L5TX~{oqy)$%9%s>ZDbaF3EAeNgj~&(<>rfT*Ek5-1l?khjK!5A*CcL)ojPt zarZ!OouRkd+&cR)Au$fSkZgbbq=lftEZ8)qr9`V_o=B9&hP&AkbUlUlq^0_b<@$JN zWqw{hi6d#yg|_S3LN^hVtI)87C|!WU>Dwxy$J`hJ!PX_JAk$)}WTGZr4a_8iP5ZuI# zos+k2%D&4Ke_4)pI|H^yS(a7Yq>>#D@xY{6wp=!89;tk=Z z=?U2+H>-xU(5}JY!XLYJKOml4(-Wr!_14RJ7wr5<|w>C>!3imo|DUw>1{@@WO4=lREohAE%1z{e) zfm2U?-$95?b-Ldj6TCkt;TQm8-8h2Q@YP%Qx7^pZ(P~tQ{j^A5@s|0yO0A!VvxM#0 z^5@&o1rV%xR$nyR!h3fvS#UpJH+3+5Nxz{VexX?r-0fz+v!99_@IyM6toFodd+vDl zT7KUwdhY*mg;Gmr7nB;Bs>aUgs4X0<`_C)IQetGP)X9f8uXq}vohHGp&MuBle$36t z`m$sEddsv}!lDJ@spGfNcLNNw8`CIe zuHn8!?d4zpmQV^t@xhG|l?#W!cf3@?*5Qo7l<+~Urgea%u8F+ z4#2;IPsDAf?Sl$mz~84`P_?S{?~Kn;0w^-u!uoq6Qd=o3RTyEE9$*Q ztA2BspD?}cod`1z_G~_xt-m!orqkH8O4!Y-4hy@ImC9}E={zHqJ1nP>iZyAY_`!u= ziff@bGT%2xxYq_cK<8e%&eb#Ka+Rbv_eTH#?It;ShZ9PaX4d_g)Cpo{KK%KJh*TAi zOf?;;Zq3D={xkp0qA4gDbYY*xFEbxDbM0M>rNAZJ5uVRFGexF4&<#q_Tctf8R;E~O zJ9YXr#JKLyciPC2i42j!U&2}`BVLtW+4Q-|4_KRmZ%Qyr<9#_C_UX$q+#?-|$`Mz! zzK;$}Lg2uutNfFspy71CP=&XFQ283PQ(&z9p4M4~L#SF56?>vNmJk`2Oq3LN`CmxD z$*{%z8m#Gh7L0>apaPGnp+=#k4G&p-Mt%nh)nE5uC}oi-??0yZ3x!%=i^~`3AIk~(lQ>YJ zhr828{5=28+-c$Ax(%KaJ~SIrrvItH9Eu%?lY+7q++xVPB2oF2mF@GT0nlOM6vLB1 zai6l4%4gWOsprlvyR;C)d4%lj)F_lVR{U5m3N&j8i@)wZ#GUv-;v)%pL3y2k>;~6Y z1N_tikEQxQhb13IIMG9R7t&EA_4@r+^e?~O0M5O|;qu(K8-3KviI+p3j*7#FW_WNP zhB88xCNQ4CCC1;3xD=Hc_S9|+WD*6AGPoRaS@CZCfl8+Us~TQnq%9J_3#d31OlPLh zhnxK-NlZ%tp)c$R0uD{S0YM&hKl1=G@S?eI)$Nta$ropzfz#E5F!MZ*V3(R@=_ui_ zWaI$Nw)dNrjVzY>>c=C+1~;)P6o}cC&J*TKZRPLv!|T;-tvkj4tWvEA9$Cj6U#Rd5 zC=Mh-$<6~*Go(CPT&H??>vB;0&6|e1_zAn4??5|JS;-zpnY`!2XzQcqE1S+JttY!S zQrSAb8EvhnQ3i>DWS-9vEqi#v(y?IbyV9kJK@rF!;r1_bj251fQ}Sa)d)SnNSOL!v3@S3C}~}Fx;x*nEYGtiA}k9%j@$cJ`l>_&K>UWzu`#epYSZ+ z&C7g<8l>-W{sNQ>-Y2|snHIK<5ggpF*;t62@wCxAn?f9v{{CZp?x(f?93;Qzw|LlH-RJ%8 z#AqXi7Bbq|021`y+-0>DXsHu_@-Nk$fZNie<%lrqa$iyeImFIUh_p!$E!7!|{$K3X za(7-=o4^DKrbz_q>~Cy~4rZSJM$9aQRyXBZI~|t=KoA>}iO}8VrUj!v$6oZ1g@hCu zXcS>rH{0ejB<-q)a@0W~SqqG<^+@EWhO~$6wijl91lDgqn5AtZem{!L0z0gx#!8>v z+h&3v1OdkN=W!S|;CA8VV=HbotlL4TOe;rd)q-#Xko5J4yBKGzhU5p9bOL_wF~yZv zPbJPGoZC>n%Ag#%H`?iuPdpZe2NES&Anp=3}W8L_-Ld9#EViu z@`c^}M)5XWo!7_?dct3$j(OIJ6QKi{6IrTjUYM%mKv^M#(BQM4cEK5Yh#GR>3v4ad z>@DlkAV2ZN9<)=@4M6SO;n%%s#kIb>|E#%+q;IkE$9Q`Uyx%II6!*e>jHI7ad*Ceb&U~MR=qlDH1_@q z_BS}42S49}LgnG*|EkG`r|26smy4@)vnVhm4{*pmqT6PC!F#{h5&*9D4RfcuI{-eM zz;j1Z18`D|dbB44RE1ps_g}SsQwzxlWVz82F=@x^)=S937doNp@ZQu5VODF~TASz* zqY@vfj{!8T>0{;Nyd@#vmUKQX;d?q|9nSKSw6j0!8$aQ?*SESG-YwUa*V_FI)BKI`h@lVa2i%3-R^fdOa|i;eWmiyI%j$O=#x9m{BJ zL#2%j%j0b{{FH8{zK^<{$;uGfEQWcUXC;D9uFq|Wljg6zj2~POcUgEiI-IIH!n^MW zhQdd7?!O&EgZ`~cGr)jt^6)r)+;u`B2Xn2wKy>FC+?R^;nmC@Gb4_Fe%=wBVG zPsbki)xOzKKm8|_{_~it3y*LL4D-)=+|EVd* zj=HF7CuBFF7@oY?wzFIrY0jjGK4dFzv($L{`7yRy%kg6A~= z3K_fMG2LjX6IW|qf{CPA#mX#Ae9F(9j9adUh&6e>1EOK^q7}>|cZ?;?rFU!D~+Wn;k2f5 z-KdSRcX2YF`akQJvrimpEKfSqb&wG5G&F$_5#gV!NIMtazia;Q((I0#)+Re84BOFx zhpdu&?)vHmRBfu&6|uJv7N(IM&$5ddvY4hJ zrVKAe=`CNTL4J61EFV4BKt7EwW!|3aq9`FqyOctFq$h%thUJ+a#;jIX%dX1f{~X9% z2J0X|idBhLB;tS*&WVdUDwp5X9Rt(g#_{1sjL%FYe-n_}=l5G}1hA+v-ig9mJ~zdy z_|f#1pQPXD(o;*PM6;G|ycYhTjhc6)67k;>H2X#B`H$+~gRruf_);1qUjc zo<_m6smI%u>?8VT`>{{lEq3i5>}V?`&eKbyqpy7QvKy4G_nNe4(X&3fCo}qM{Z8ed zaBGfv{s4#|6G0q%tJdZKm?kxS)wkql;L5ynT8u2I%-;T0x$X= zHn!0S2uX%Hk)Go*nfteId+DMVCO7n@$e<)``yF1E`E~273&6A4L(O@t`t6`z*h8pB zz|?0xn-P|XdA3CT3}D~|TUH=O%JQOwh9vGGWk3jQa)mczyC2=~e*zse;>)5Bw23$7 zFKAy-Ch@Jz>y@FsZsk*}cHB3n)#Uo;gP>|tLRE&_g@n3P^BnDv`3<#!I=Suxpwgrk zhdeg+$JK_V7NpXwHmHOa7E%%DGAgm$2VQvY`KPun32n17UWkgOjArmJr40o2zo9ln z{rF3Nev?Ec{j8cmu|yrQHmE-+<^ZUCxB9|<-O3L={E>uDAD_VIi$>WH=lEPF{H2Dk z_n(89M#CJ13T(K+Ih%ku>VK$BzfC>%^g0Q!OO@cJovJ9o97JX*&z$-JFILM%b6(WhtK*8qb1MK~&57<}?0UmkoxvfIKTX4FM?TpjM7pn>? z_cSIzsf4VBJ^H>w4UEQhFTV8h`zBxd6nhBz)YBm`zZ#qwR|ixzVQnmrClrMJFOq_| zSoerT{d>BIlRzBJuvJqK(~CoWzj9{g?^jbuJ7Zy9GQO*mkwE`CWi0XdQxi`;Ik9S8 z!1%}doBPRx$3!49oav14-=aG3=%*h|kdmJa8>5j5ep)Pa7OSzHp+^``pydKA`NJpx zLHy&&7$MFb?IV>?3G1l(le}k4ymM)VLA*aVv~sMxq=Ita86gV7SSc|_Q4;9XhqEl( z4#Uny0J|PQmOOJNN!;okLq9iwet>Jz&hR%F?zBi^G&3AC7il!vw~r?KZZSmmt#DR? zIcb3G+=P1B=SQr9>^sbGg_-AwuwZ_!S@x3QHe}3~j>P53>J~;sHf_^pq)H{E_hhZf zI}%92av$L7Pl?3L)CUq68PZ|lS+!OaepxMk;>UFJlv(-6`34fRd-Jdm{1^4>Ed=jv zUkLub`j&2}plhbTpgIYOpHzQr5ccUGRV5epxY|ruKfX)xfw2EX871SY_3n0QACszI z9Q2KA6G6E~nd1p#P%6EJ*a-Y1_3s7cl5$Y~f70Ujf;Z#l#WoOTmHS191Z_4DSlr!! z{TW?y$7mY>egL{8+MqVJhGtJn#D;ZS7C1b~d{`yU(oFJh+G3*Ru|fvQL=#ln$yJ;* zY;)?3Nc~@`Z>IFus~w-%(LVJh%B%}*ih9!6nf@pmCXK~q|NK<&58_rw4-15YkuEEn z*q*QFL-4IohEEK>2ik*=DZXi_ye=5THP?oaVW>QzdT*#~R6{;g82N0I%)MSx>bbNG ztxDPl+ogRQ*ntFPb(eA`NxD5P3v^qn%uM#|i=TS-dq1)GJv;8xg&h`0g}8<{dmEIc zMD9T{W3)=<#qBcxq&g3>@GeZMgoW3rf6u}nRzq&#xoX48NXo` zpH&VbS5@9n~4GhI=-X)Jt3GWLPFssT9$`t6xX#RF{HCTq+_wJ2y*iRoeo!ag9Td zDO1O(Dl!{DVPiq|l4P_Qg?{PaRhN#d%|e<(MTugQRqo=-WVXhBs*@Uf^n8{sl5;G? z#cEN-@;QD*ofz9DM6ZXMf(-p!j%sN1b9qH$B}z^-91FKoer0yD_RU;5n)1a^ta+i z&2F+Iu!5PKv`1Mh7&WuYRKsjVzcIf@-&PVw?QV=_q%pNLqpMkznqp#V`P~d1-h4EP zUE4??-Dh7-drZ}V9S$%00Aa?arHU7e>tmWE%HRY!H>|_)x5Y7E?t;G+# z$Tdeip_}``&soubfqbygT=|XhM2ZjHqX5X+KgQbZ8A!O*Ctl||92UO_>5rvd(g9hx z`^|MY;p~~~Fi$kuZdG`Z13K``HeU7f+aZ43Vq7TjJKn-?zG$*WRCtjC{5VgJSNyGy zqh$`3kE5-UWr;A(7i2lshZi{zgWMG0^Jup^za0)Qm&G3cKq;4Hi)2B+iv?LGw4VVd zraHd~$1kVJi|Wv1p2>Z?wuR=#7MdGGbDQA%MK`Cp#?icfy;|(?(Hb{+^L>aPWBHtA za~-aU!7I9s?bkBAP-d-G@1XoU)FIyYYGZ>2F~4_Fn86^z;_Fk~@$v4m380T@?xE>U-n zw?KXTOUb+denR_5;$gG0zdr(xedH{a!2Nv=c<4ww@VFO_{D7TJG?xPlFO^qvE)q@f zcwXjX34V5-wO#d`pV!atQQ*h?xlko^{ShxP=1=TZf-!%VVDr>&J_OHya0)pONf^V6 z_Kdn?i_40aH7fTiS02;bW4bz)>~cbVa=T#bI?X^J;F+y~$6ggbvo)}sCbnLnc49c% zv+@xi4mIEUd#8SzRrf%^>Ncyxyv^c%sq%Z1&7gTO*#-Pu2eXSneX-kQ7Y)_xZFae$ z4&ai!T{y?KcnLsvf9rM6Vc6Jo2R5wk;8ebiHiO=T{73vnS?Lk|NBp3l8UkK!vvJ{} z3%Gu~FVeNWUjogDr-~AB&6AJFtB!{~4X=_DtoZCe>@qn6C_Gm%7)&B&o~cn1bteS(wqB+=-qTceKWb4+1(9gsKeXK&U@l&3cTN@ zl8EH(dp*J1abI{T1*pXd)aENgg}6ZQab*(HuTvXBr1zsa_G*)#V>S|G&M3n?zBOSl zP4K}7HTDE(^Bk{#G08su)VgOT#?$L~0hu_9P6(GZL(EkZ{3o0fa3Hc&FOq$$u0nEd zWbwfelgc1(a;AJpFlUHFJ5b;c!t77zYg7l0=p^h&8i0Nxp|gB~j=ZD-`uD22HUk;BQOuR)wnmQ{rLGC>Vx9xr8AUbNR^l)J9^P)HL;?E z;`PrK0QJd4KO&(f1OUE1bugzvIll4Ugw5%#Ma=7}L!di0L9<*KP;bWm*XmU=8&8M9 zJel}XKd0s9*vT?4R-M zCv}mt$8HMoVEQF0L4?R@W!T3V^S#OPE7W6<<&S`_1bZmz<5?UbdN8BiKZC$GC+E5vP$ShB$j&hzbC?197GC~ zx_c1qd1(%eEl`iiJZ0A&uj*w`TB2rUSnOAZ1;d8Oun!~73EivS$ifv`=9GY0Yy!(q z0G2uZ2A{lEvb>h&w~A)eFSkqlDusxLjGkY7ngWFw`AZzl{G@kwiZFuS+Zuk8l_~o4 z3*FNne{v4q_MW}yK(NOItgc5hESD2oM;ZHVeRM=y&xxiDA3BNtE8E(?C4>B@+;o%s zJ!)!yE5q0I20j`r$`a{Avn>V}jA)m+GQ)ZK5PP@T#p}R)<5O<4HaRb)7zBTqvEpgw zvD*aWR*1O1ko5C-z&Pn8v3TXM`8rit8ru6|Y-i`IIUh&Rgd{V14#Q@^EH;*?9#UGw zfq`>HvJ#p5X;6w$T3QX*NyI+!uTi&kkh6hy3cpdHfDG(j;A?iJj$G_Tv>yB2KTEey zrUq()X2Xs;X6e4D83i*K6iEFPyz8X%brU=GPj*b0O)$80hegU?nM8U*m#2UI`t=Lx zAmgI}9Dp?k(Y!G^WvRmJuGM|NF0I;YyNC!D**V78{$dE6Q(eP#Gtwwq9b zEm^iN|GvH@B;f><-XMur_SfTF5zd?rI6v(8ye^#C9dNEDhI6G4HW=4u*JzSS%+`H& zi~f#>spn;Ip@tN=%;X*Q&%nd*kO<#{NWrmhJXUi4=!^+Y~^?M_=02C|e+> zKj7H3Wo=FIX7HPhvoHB)wHO|j5oXHB@Q!XO+u+|PoFfYU^D@BhbWa;s_Oh+_C56z( zj|IkHvOyoB`N!rtvvnj@mhEGS$_$NbP8$k1eSDrv`iv_Mwo5pcDtN>VR+-O(QHU{O z=d_rtPmJQmq>n}D9Y0*I!zlILps`@G#cxq5<9u(D?Tq+rlWYt7WlNG&#Y3sei;38J zPueX-4rM;~m<=S>b59ha&DQf{m+)d7FZv-PO>O!-oN(rfANo&rup8%iprH?+;y9VcLfg9V ze3~of7Us@!8NppKp#RW9ySXYdh%k+etS3v%aG~Ka+4S3kQAXbNwyQh zT_Qf4JLnTXC#pD0`Yi9Dk9|@Zec1bS@edz)MZ62j=rg;6KK7yNW5Q)UJ)3Ta+T!}p z!bE9z(#PLAThzrK)!+Y?WJ4d$q`T-7*V=drk3KUx=wnAcN5qeLen$uYJd(uRpY)mE z!9VsgIr?l7-bqamkP%Cj<*{vhR`$K}sThK3c3&js5*? zP6**@2R@%keC}4cp(CH~PkgSZJT5-3ci{7biO*J*n>+F`1@Y5S@!24I!#cJbGzpUm zbq#&k&-;CEY%k*&g}oV0Y;VV5-tm08;A|a%&!G|ctQ~>R=@IyFSkcu6hogbUw#k8n%pFY{rax;`{e|-c# z-;rb-#s*pjdiu=#kJVfiNtI3ZNyjHuLm^V46>$1&@UgTGAlq4eo3+h}kwcl!$5Jym zi}Xz;h7)-PU?1Y&a(s^I#=L;jCtIW!$H7HWEZ|s|UU|QhjeCUxPM>VQ;B)r~e7M&fRv$jdH!Kc&|6y_X&`lQ{ zKkv*OVcwbBao+i%6sg4M{IYC)!To)1zMe082;oowMz zN}>^qJ{F<*pyRVm2U`U`H7Z=hv!A%upZQ$;3Ln}dhccgSZcg0(&PpG*W>`^HpfC0q7KihiVQ`3@Q#ynh28Xp|TxRNm^LR2ReAeiYmy#55%eMZ9$=2{W;l`<| zip?_4i;mA_JqRht)`!Sh1G2#9$Xq>_&|1fJ+jES^=%9oiJLFX36JLW2Ec*EDbFnkS z#ZDj;^$C3Ab^R~&PitQ_E8TC$+pRtpH6)YC8si>qIGl*vj)M&tr({y}Z5hYUAzRef zWOVoFWyC~xxx-OasodSqZx{5X*~Z7=wAy;RIu>vudf_j9H;sU^dIX$b%>J~ zfAQ}J-S}CrJzoK*FRuTtTepws#f1V+A0NNY@ymS$oIXCaIOu4%pG?XT*AxG<$fr-X zxJQZmoeg^60xl`a*e6zi{cShqSm&1{B$-C^%Q(LjFeCjn9rxsaA=#R}*uMX+UxiBh zoi^DLn;^foZrA7Va*0w`oGbb@LwhbG^hSLm)kycG>~<#n3S#>+7LT(`av4r+FWYSz z$1D(SeW%%NwPNgKQn76f<`>#X47I&~TH`xhwa44hG=6w@<)qt(w2jk5Ht^Z42XArQ zSZjNXBAeg)$9w9*IQ!pj<8y4x?fs2uUkM+cF?5k_N5Tf@HNEdx!08i^Z$Kx3v!#5$ z5a9mL|CwwJ4$ln=eEQ~IFWX$bBv8QVlWkX+lcH?b%IiWapE>3Aw3W}*^8TQe&)o99 zs+G^{<^5YLpLykTiatK(s}MVa7Hn-@`buyPX)zfV=YR%47o1;79O1)z9tE5}8$9UZ z;B{@pSL=lY$VA1<>c7+RVVrJFFIb3_aLYJxPDcOJ~vZG6-%BxD=*z7d>DWuHc(g}>m;>bOTT z^dOtNH;e6kCbRCL1m{9|{M7pS3vgDCz~{&ae723iXU7P94voNP?Ff9%jlkzhdA{~8 zsnzk%lJY!S>*p`PnH(YBj&;=M8xk}4Fiy`hG4xW1(Y|pS_YQI1In;69;iKS?h5S*? zhc>p|IYp0aUZJnH2R)n!Zy!H*A`bUVX1A_3I58v+aj;3B`yZCiA>j>+qhUItm3FF~`_u4_Bq~*tYXGx^^Ag_KpnqGVrl)A7~rf zQX!;a_~3hxhT)^_N=Wdzr~x!jb2bfa_jBq}<1>@*!BA?`hu`}o!RNgA9M(Mp*KK^B zrh^hVXQkZH|9+AUoO61wW^LlrcHelx;ozT3{rdDVvj$riD63@9s)d@h z-fSF;w_*5LybXi%&ZHjkc3eI^qrpm(^J%zF-9lkD;2!v_aMmkm0JQnkaNxsdIQHs* z5gfTqr9o+_lC=^C9w+uNXw{$EV<`@>!DZdo^Lr0fk220bN*%ztrgJ`%EjE{NzSZ$r zqx+a$6%*CUILA{T_#DyxpbH<)XFvmInfCGPbzjT1^ADG`^pDdOIIjuE__U4fznO3r zsobs2&r*ITO{wi&YJWz2T78!%Sp#B&4WisppG6|RKlw+fjd5_!*?_TqIuVKd%eJ;P z3LBi_Sx!2Kl{u8kI4?Rrr*%$F{8XuoW3BL+)Oi(WNmVjv)k5uGrptLMm+9P=UwR?6 zjUTTMHn^ZOI8t0M%6xJhWUSKE;e1sh3jHJ6AI#Oc5Gk&+Wj=Q94-Wc_rww;kpMujx zpAS2qcE!0>cDvK~_^~~0>loKvVssR{eXZi3^n~)c|8)KO7Hy8WW=(FI-{NO__4yL@ z*rEB5^L2W&KX1Z$E{&h1>UTuhVphlbhSN8|?KvRpUDtP4^h#oo1%2j8Hv5dfTYmyv z;9j!NpB@tKgyzvMvZ-sJ!}}!o#&9Chph_Q~4=0Yuwz-UxdQ`;=i4Qn?M0U|vMA*Hd z=rdWZ6`Zqrk85ediI`=aw9OqmA%2&g4cxDE_aWMQknnj$Ja}ge9&!H|y7$@OS5kc8 z7uGGan~rVNH{kT~`2~n7tx?9-XSq;nTNnPRvzwOS>&uf3+GKkv;b4Qg?)|qm8ytCH> zsRJL|?`#p>Lmm12Z;lT31`1M>ui(lA1Au+ z5zeIY-MY6Y#dC$S-E3_{w)Og;?6J7Ojm7hevfV!8_`D*V?Fq;EC-C)uA}oGh^Q>@| zC7jr&%tx=lhIw+4$~o>_Ove?Cacy(r5|IqCllZjVmptM0xgyyvr%69&8HWWKI1A64=LM;A!JLB7klG$eFxe8Ly~Q|%GZaK z?c@-$%~fBL+0D-1+U%xBnIYbmsT|iih54r~-XfPc_jdK^C^!~7loQG(TclMUKh{b- z%?wtnV^`j8E)c#(AgXgAK;x#w`&Jz&^z9OVC*=8|>C+1N#mL{4=X; zgFZfnvtBrCbxa>sl|uJEKA(-iu%FnfE(M%EJ~n=^^%2>(fRm_Jg}pOpHaKHMLYJ~^ zhCNJ-zMhbft-)?awpV_g!@)mSJNWcJq_G`hRP}-l`fP0s=?h)~$GY^DqvGh+tR!0> zeQSWvE-jS9%EnweEDra9!{TsG(FG?Tr)xU+)Ia-}SsrtJKK&BOgYEDqv2(4T58z@N zCa#Tu;lPU&2WQ_9jllHRa2R_ei7c7RIA*tRP(PkK7i`^!xGC9yb-Qa^|7!$Mg3l{r z!q1&^?eyuh^_j%y3H5{5)|zmAVnHtH8qWguiN~822fL9;&IYl;{k7+S*ns|gCnDfB zpZ)|Ll)zz~<9h~3?wl_+xWAEJ#96P4@igCwU1RKgdw@k8zO#pU1d@?m*+1W$coGL& zdws{o+*xPpQ5Cjy5Ca<=PV5o*^f7x+l8t>8xfVJNW5Iq@8M{p?FHBV1&H~ORJ1tQE znc``DG@Y2;`eakrkkDt5vf;$ma`yuf7{2?AxxnUww)0-UX0c9MylI%5Y{6fNbSP{- zpf5U^Y(g=dvViIf4sso=^{8D4T2IVTd&=u+y`!#>hs0h7K09ip!$qGy++Tsixw^Mo z)GOfBsLSC_5N+Ln(%sav0Am4VKe1yBOTdf)4W|vGCXbu;+ZyT%^t7ITopp)#2~ESN65K}?dv=fK{WmH7*vnS_pl3{L zR3JYYIlWyL3U96K0&J18S-@&gA>J-1dzje;dO4oirG)pI`eT<89&MhVx61;-unT>n zACNdEboO@fHe(+R41D@|nCFMA-=Zy)|Iessx0PpZ%% zhw@9J0He=+y6Olr`Iul3iH3?l;r73XAw(Wux8uIP`+T?<^2{Wa>C5lGkvbtSR7W_Y zDrqQWkVZy6Fa1VFzM2dcJysC=J4E_ga7a)qh-t1uXG{NFQt&u^V&#V({>YB!UfBBl z$6rqGnxro3;OIn!jgnt{2#`c+>6B4=Xv!$Pd&($1IAxTUOc|xcWlGbG*eJogb(i|3F!c_qT+Qi2iiAO&4z(;5d$tDQ9_6k`fgH1n<tNTOx0wKBUS~^^FRmn~w@*#jv?uH(6?S~Zv%>e-W)pbx+9HBK{n+F9Ii~bF zkX_a$5>pD~7c0RZtXMPQ`{Y@BlhZE={!oQYZ=XE27o7Hlz4V5#AHE^%_f**5rP&b4 z2aTN{merUv*ELSRe>EAQ6!Pdy!v2h%WP|rsa-2!r%M7oKmdd;aCsAMm61n_5h2hCmcvY)mF?m=&ujj{fx( z%~N73N|YLA>g1b1C8uQOwf01*Vf7e+Qp1Wi0;Pskb_7ZdD?cB;^^+&VohfF4Sipsw z0TT5eP$pQ?Pgbio_Ko~;3G{<XU2?B6X@NwgB zGryaM)Y>@nTfh*v^fUB#SA%dAbT@~Ng6?MjQPADeV`t}>k%Sq|?p}BLajiwuscvtV zf=Oz^{t3at{Ihb^`gND|!sb6BZ-H`d z0SNO%ltHf_>YRA0_gkGnKA}I9rSP+9g7|qsnN$4953JJPm?D3>s;jUxHCyaaKK=e) z-%k~U$3?t~fjH(q>O5MCq5Gs6kf%~TTB@M?X|-{8nxpfS3IB~hJNcc4&xGd__>9zx z>I*)1`6TMF#sQB!_uSUUi~tQjK>(F5Bm!Q39@jv`%^KX<=IGniaHxR(m1;NsJp;hc zC$5($pDYjnW(1xv1-QAwCzhzsRU6SWu8ijQE0ehK)Yn0_-}0VH$jgP9BSVDUTex5T zRYAG^d;8A#REW-}Wjl|AH1ADFlj~B*(cxX{h(4eD^dANT(h*xDHm{L-B8dGj28n%G z1e=hBUy1!&BAts3vge32yOmLF^`r08-AjX|B!Keb5QSLnZw|bG*jqMm%FI2P|o>E!cg!D&uqhIRIZ9uXcRf*4!Vs z#87qowcTpQzbO7!lWYz6+GI83&yt;vwd3ocC*pHF5DtlUs-lF9czr@Ny!}|ke>~Y= zcPc@C;652{?sRbJi|vIQY&Rlq@Y{&EA!dfb<#P{7<}gx*!DS&xxM7t3*JRJPr)Tug z%I(C!*{jUpm|Xp6b#lO>ptfFWLS|?O;d<8O+HK5?jC0Z-UIIL z2Jjv++LGiW1e>$Di?y$lEeY1oU60#DY|HAw9-l#TzcPsx;IlGPni0e5lMisi%{9+f zkFfG_CI$YW+4Mejg5JbRJ=Rbe6XydZsY{$cDNYRWa2|c%*i%}X1CgDvv|!2=MmTPV zK~47~H09^VhR!)CaI+V6QifhS1VI_jEIOd;qtUgA1?~%!@mP-jHEQGTE!<46`>y9d zZZ|Yc);>Xmhpr_ezuIqs6KW!sEKvuQ%(Uy}V6%9yGHXM)rSXVrt8G(r=k@J0<++;n zo-8cc126l^;J5+y6BS`L-(db3U=x)Zy6jc(eI%=Ys4vn~xvcb&GN} zb#{9*^RaEmw5^AWw^8iIT>_DXt$F%jb7G8-5N~}!XAR)6&KZuXN(PNr_V>Qjocoly zu4&%kUI2V>4tJEzo}GbnQQ6{L=*CB_F=Cc!14RU*xV;p4Ii9Ad)V|YY0!U( z0>u+ZpUVkMptZyS?+W)3k$+te?n7BIA}R2W^x?&ZGG2t4?1nlFKX5G#gU9+l3f}sT zcwZE5?kc<3opBu7HnYSye6zyR=Lv!m-p<%9eKw^RFKs&59%;9Fx6$;YBOeXC)UX+U$FlXM6z#1dx$RjOtqVD(rFuwu-= zMPjfwrddy_G@4yL`zmKpcLkdGrJjJrRQCaG6|63Y!K%46Qn0^)U$`ja#eO-=uVb9! zvCbRCVb+!ThNQ9$@0swqoX7&Z*!&sM>+$(!fpIo8iY(Z=36F7kC@P)))^*1hmi%Xj ziI=4#`pDkefo_|Rd`!U4=G_X9nx7Tob;OHx@W__h`1zRN^K@QL&!z3NcEG&NhQaf4 z-VD67b_7%KpcHD_m%JKFmzZLMbqCpjJW4M zD}w7Va4s~!pjr8%j`wDRp(E+PXomkhn(pK(Mh|=4S!!qUw^7a0upQF-9+mb6kS=?3 zt(rq$aM2Z9^EJ4foh97xPQx&`$dGWuyA&hhhIcqd#0~F`jEEcFSs4*GJarrqH$3g+ z8~|UGo>VKXhDh_IdDBVdp48inIM!fO-`yJMhw zt2ykBf!cuGF;G1=j}?>6U7+SSTQ(s?m)g`HLmcUQuI?=hZ+2Le_@5=&(P*0#;Y%w? zu}BxOsrT3PrQGLmVuxuQvtG|&sY0Yt#cuocXR>Ch+C>l5zVL|nTQ59|JXJ+2;JDa} zXhw;sCL2y28n5i{t-|pSF}up)ERC2?$ROM=AZV1V{ z)W*#%T)FYssLTx(*OqBNr?yFz=-@N-x!xive0yH!^BT0BP~O|nmqQ!+EYhC~rj^>z z{DKCq$y_Q|Yi9>;QwC?R;CxEwpz^-NtEqpf{Wam4P4=sfJ-8m1eK%|KRl+fyR;&L} zW&3d^p^Yt8enp>=;^qDcdGnZbULab0L<4)j_D742piJ8(WgcYlQIJi_bLcNR zJP6n-I-8&=TC0USS2C?p+X=y5Q9H8oL5StTJDsjgAIkY^$B)!`n6+E9@qL~zCtTX; zYw#Jmuxl%U%~C#+`q9qZxL)}+@!&eoXLM&|ZJx(*;Y?)xiRU$vnJ;-Z94pVO{|sep zfer@U6}$5rkT-z6I8#L80nWDfiV+awqV$8!|RA&!I#FJz?OOu?XWw@UgEzsP+? z8Ge_Qc{%R9+Gl7?921@8;41GCj4#i{|9k=DDZz=41JqT*5B!T7qkL9uLAu^6_%dBz z6)evJg*NE!m8>>4e7Q(;7{}x4b3W1BBHl+*omkqYd_wT`YV$l^hra02wnp$psw1bT zkA1c%hnQ5KS?Y66dRuG@G&WlVwrb1(+YL?SOA;RcoE3bzuAxIlRxg9EGZ%5aQ1oYu z7j`$0LR7^}%AQ01JAq}6;eeaalm)ESFxr4ccn(aSf;V{(tj#IN}K!rp6x z{Y3uOQvdRDj3M6?HTPPbx6lOrSdaNBa~W&ZTw29k`7txFEH%!t-veuWG5?F}0hIb5 zoIO+Rz44qvV|2F3X+*wv)vL}%_}zp*B+(OmA5-T!eov_JjC=WvxgV_Av!{@IexLZ{ zEqI$azmv;PYOlXbHK>jV&-OB49C=e;`v5rPTm7#ec!Nn4H-k65xM6h zXol>);|%IUkD$7G=|`KZ=n|h7_zqundhToOs3YxynW9*y>s;6}W@WMQ8nw~*lX^t! z(g(ie<#$?sb8WqkIxpX4a4G{)xTUcQt)A$^=LZE^819qL_)^?eX!U&a5#R4Weh`^n zJa6J$IL-qeCgd?zd3XK+^sI9T+~=M7aK1d&!|yL3o8q&h?u+}zZ|%FU?~6A3tWv%B zF~Ue^RrVaI)cNi~`CooGA~ z_47Yr?6_mS8l>)}yTCQg$dU2)UuUbfsvrAl1|;!_Adg`g>ssEW<#~b2xMXVa$F)4X z&2hPrO>ln!00960cmZ@%O=}ZT6uoWIn%ML^YTAlsqe4)Kpja?OXC^Pnlw>A-^CAs` zqoAZk2#BV%U`026;3i8qE?oIRH!A3&)Rp}K_75mj5Eq5ol^}xWz0M?z*1+XV-aYrc zd)~WmjJhaIL}y!9w!47ch=T|tr@Kx;6U^nzuq{XMsv%rv30~`_Bna;|?)>%(CjMJs zT6sG}5#*o0x{(2>4f-U%pt-g_#S9Uq8T?P3SotXRWW;5@-9XV~T;oQu)yq(xw z1}NgFM{HAEU2|A3%|d1V(8hhg!4Z$QiQ#R;1aD^Iy^ zM?TbdmCEJwBP8u=7hbP_0*yn|567`8mG$ zLz&t|sRix5g-eTr+f9zF5`Q aVPK~X3`<25re2b-xPTTJcm4nX0RR7}k$%7c literal 30258 zcmYg%1yo!=uy9P~2S>ihFT)7F*nH*^mEw=e+Zs zoSVtDNoFRQn@N86%e!~)s*vBmI%0QibU-eB`!lZn~1c_#wngf zAX#UTs5v+Tur{(kii~Ei`okv~gI4r_yE&t^A^lv4o2Dd(L!wS>2E^gERre0uY+7)8 zOUr%*0h3)BA6*v@8YXr9gdvZxN>RVlfXT;`q@<+PG#RhK-`6&$Tx-CS8lxJ>s^jdC z)>l2H;KwQv`J^|uV^Y%8t3ucW<{LQ@s z(qK!GoKI?_^w4`JVfXiA6#c}zf{Lg6ir}m5+nDpVgM4F+H05?w1Uy$B>?FLTH!J*=7w?zhgF#hStw4{+zc-Ubs~*pUXf^f@Aww?cB{M~p~% zL?u&SHENh}n_Ya4(mDG^ZRS`dYq(AlRK>+ncQf06^APEk3>4^qs_QJ26XMcjPZWd3 zO>@fBUnT45*_|~#8Y~+%hb+;krdV)!!?6X|{rPyPP=8N}O5+lI9Ae`9G+96gW4m59 zg@;(X6=jCXgf*?8Ad}kQ=SJFm*-Z=3wHt|m@V!=Au|S-=Io#Q;&y*%~{*luw(2B@TK1YBeuP0>p|->i&xk~-kpuqbiy{t=>G zvu^mrnWM3tH9&@7CNF`aYOxUg=!U1sloUh0$=mJYRi(RMznBRsZv_w&uzV`*` zVu}q8t@hn;bSiazdp8s+TrI2R{?5z1m`E}QEP(G$=EWYv+$l^6I?LqdAHvcq3~jyf zrp2tqQ$umTSgS6bV|7Jjj1S2O6V$rp3*W+k@)$Ka0`w*Lqu$RFbzZYN<6!eZ2FGem zz#q~16+o>@aWD3K6Bi>ea61 zxTYu>tHa|2^3Lrj(t1X!Ty|qlE=?s1t$aFhNY~3h!REWa;oy7Q{)(%r5uK-n)oaP! zEZ$G(*99Xw@P1VfHL_kOKkT)7@mcpok<94bKa-93EX5|@k{G4zR4b%)--SsCaTO(k!5_!nSRfo~qo8fkp)%2hjJ6yO%hG7414j#Ejv)e-SGs!{-N$;Gw z#En=rj0p@Y##I|%m+wWN$0PDrJ(WZfe}qTq<3>P4DeU|;SE4?B*}Gwqr3sYfBjMLV z;Qt;I^`5Q7UnNh7(!(75%^k8A;%WFu_Q9zM80xRk88~wN+9=>hew;((lUrt1+89|l-8r`;sVKh!h zJ+f z>I)DTB|TA+;a#a6FAYEDAh#*lx02xmV`Z83?o~_ev4nf34IsHC$mXS7{@sa~rOC;;Lnj#oqBfPw-EO-%3=xTHNyRoEvb2d z$RtwCu=pf^I-VNa%t1_xl0x$A)H1$>2+dhY?nL*x<6zDMd?*_A;0+kzR`6E~2p{O!@lrD9;Sd5Cx4}z|~!P{J`3SZGl zak=#80@TQLiz_D_`h?8{ZhKBh0I9|;V9B6Tl#gZsX3kJ4Dnzs3SNivfYS34!cxK9c z9RcQO*2UOfMdq2&3tHEvql6}Q=$x}JFxSC;to^D52C>U!Mt3EgFVKEsDvSGc8g; zTr>+NQC`XD{q5I0-zfi~XF296Q=Iq{e#+|Q;o_it;KDcn<$ku}d*s5fV)pBU$oKqL zId5z>KUGOK@&~Ct`TZ786GYpugSRgFVg$i_3=cKO_DozlS|mdhDa9&?)QoeJ zJbo8}&X>v$pIcpvFxXLBjF3xe`Hwa@M6E*{*@dXbfNX7d#@HX%L*=ynaBKZ*fa4dk z_-tuQxY697sJ<_K^jHCh9VG&u<#8F#gC8As$-EP{#2iD+Q{U7S zOfkiUX2nnaU>p)-fL7id>|ouqyu^XV*QRpcW0m!SO*XTPr8N-|LfH4cxk{$lo5?V) z&oj&XNqJvAu5TMTfYAAa(CkPJF3;8t+b&BRI@uKET$jjL*d{nX`*9_bJf}ku#`d%bL#HT+Hr3Dx9lRR{2IH?3_r;F2qbx8T4})t4zpt_F`h%^6W2K#N3X)hwSDfe?Gh*}fDEK9WKoi>@qvKmfjSI7?j|j1fB+0(H zWTEB?w$Pn^e_TR?Elk36%e{0h*=~WVWp9NMDaP}Ax(B`Cj`!${3ROe8#4{>d4B^j3 zk`4uSc8w+SOIQ8mybM5u`dz|fr9$PhRf|Do(@CD6PQn|D8XE2Rs0Mn3h6z{y72-B- zE|aoY-olD@Z<7;699%PcS&d2fS2nHuDp!gU}do;cyip=LgAQ;{CdgVMiAvbakvNT%6cuW5E{|+`Ol9m9*9#XSfGh7ZL@}@A&*lRve}kOTN+%b=flX4 zNmMV2cPNL)by$eDsn}!>bAc}d8#IQop|*g&_=(62GaL1%tV#=c?;KRKDOJW3ACu27 zzak1=czKv<#{)x#eH0s;X?%{|Bdl-~R!S-5%KC7vGLp$UnbN%XskUhHj|#a-8b2{t(_brha?TO1pNEfr^H(yFo?{{%dR%Po z7}78OtPHZ{XLx){X^Dxb`oaVV(Me0>*pM|?6-&iJP+%RSGYW!pqV{rpn5n_4G`#P5 zpsJ{FBBh!i`mDRShU$3KqH4t|R+cd`xpr;>nbEL653ia7mg)dvHu{du=^rT&H68k< z#-f<^Tasx{`)0UEEN%9+18-M3s~!43s*;_o)w|*>s&2&bY?iCgLt0d{5XJxrTsEav zUjCBVLqyhBw{k^ois;BfAeA8{4ImTpZtspj5V3t3VXP(6F6nsR%X`A>#)!u*yiOr| zZK0aJ(Vry|3V5P{N>110A}e1OM9=PvU_mAZ*V~d_j+Sns-Vgm_NaGhDh96CUg!ZrI z-8bHhvuT&twH80Ks?20+GFy27{0LO?3?BZ1YTn=)u^vQz+Ei#3wu_~e3XmzccmBv2 z5V2o@S`$k{I!sP?ka($wRfu&bV+g{R!)#U^XuZM($NWv${W?ev;1$xC)zQcm(>z|W zH&}tsVNXz|RO07Z&iOaVUraX3=wTp*ojIZ^ft_a)QCf;5+^5EEFhFQl7+)|H;#iEu zu&vb?lwyh$Va+M}+7lxZ&9h38Lo6c_?fvMVu*AjR+d(B1sUZ>NU7DN2|KCuM> zfKEgZPd)3A$e-MtFvk~4nrhQ42${Rt)F-oXLc^5YhnZDyPonD;4*V`2O{O8g9E^le zbrO|VTXB%4J|*Wx`MBi_VeIiG(Y4w>(XGSyP$JqQKC1bW;PMJD?S!<$FF#T!+kUX` z_9zkT7UTAT>^hLt@Fuc8Gj|-0T69p-!{O9Lp{gZ@Y?d^^1!4je7Jjqk8K%7Af~L}S zs`1OW;qOg5$mn839H+p|q}3~O@8S2P;9uTb<2xgtdKptMGd@qS023;5E zmO>!A;0vtxUp%slgsNi$HNOsZncfE=b_Qv!dj+YCx~jS?d||z*xpvUY+=QGfaFA0y z0BRDLJp7<($1w&yWzkA@r8fY+ylRv)6UP3j6|2uRjx}VD;DF+F-fSVTVc-wnU%cH{ z0$W5K_lSmr$*0SOkb~j0b{@mj{QXgPi;Luv(`C8rVN1SYu+7W{1k?%p=;*S4zp(^# zJco7;MwIHb+_Ql9ta?{Qb&ef5R3-f^N#t>ZQ(L3`4l}6fUSh3eW>@ID6835N>+I5; zAQ#oBBj@oHkLyW;Nh7nGAiELTkYipiX#gwgh|@;mOEt=fQ*Yu63wjSLpjYRUY;y+X z;3$4$Ch45i7Q51t{`SEK<5)5xIR}%BFN>>bkc(e-0^Zrfip>uq_+g=OW1)8`B(bpVM>Dg{53MbT)$O(k zfQ;i4sq2!6xw6pgc2m4owM#R4Z-m1=g;0!nRe21}O6lk8=fr?`Qg^5h(iGn3G76je*ZFCoB#QU4yt1M#XX!8FRYc})rah}E z-AjYc!tw@vYoo8Forty}EsEa#5v9ur2}^v-i2q6F29dZlajcpNh<8VnB;My2|0Mgm z5e4}gGpBAVu<4LZb=hlavBO5ItEx~>E|r$sB1i39e(|q&sOi3M45{?<`58iI1*-Qo z(S@OX50OL9DvQt!?EuHBg`F+uo1dx--iO~V^h!HZwhA;rY+$cqk=4Pq-BKS>{X4qU z^hmRF*X<`I$bMK5yiJu7R-Xz_JSb$vu2IYQllf0@*Vm;##(;CrZ;P};7QN7ydWFS{ zA21=!50{f`92(j-4JL!nr>-B(R&FjlePplWdJ{YMywslx^_>c7Y-Y=ST}NZtJAeI;n@4AtJOqQKalD7IuShr)pto0w~znMH2F< zTt4XL%AkV9`pK~(7gJ428^wTxZq(yAwy7MaD2;PHQ?Moetdc^C6X;)8$8Dy)iJ5?I`L*0QRZr%a zS>?N~y4r_6;tPfIxnh1~pH3$q*r-49__ODw!pbBb#ML>s;)6AU_V!HFObSs zVk8nq=-(6>a+m_i+T>u=E9t4i>U{?DUf8>yzqnM4WNeLDG{v6Bjeb=Bs!S8`W zpjv4y3kjdX$Tup}=1k49*uenSfBb+41ZsbX_s<&Ce8dAnUKp>AbCf)1HAt#2Y5!-n?H10 z9afT-p0N@kgf$ZCHzcPm?Avg7I2X(HEQ3T@6%ey*e}ctWDg>@ljvY6t!P%L@#hntT z`CPY~%0!ATfm<(sSRUJol@I%hIq2!DCQ`&^!bS~eXeN^DtuAdcL4K0C!lS7jz=<(c z#7m|O%6hH$B`|Trr~Q4HxCpr`kiu!Pz~Ul0olXv_-$F6Zadpl$3x>+wz^Rg|c1T(A z_)-*J2NnwAl~Z^Qalb01Vdf&bC6G; zO{06}+a|c>q`P@EJNBZ?mWyopp{UK&#Wvt-A$_^KCUmg(;@M){s8h|E)?}8-%aHPE zS1E>$%7}eNs=e=5D_7-ZwXALNGUKG*(_73|MBrrO_ED6@wIE_@wsHWr;gE6pP)e`X zU4DZ=0eHwD)((gL&{)oS-~@3*PmYkp*si&&d={-)9@7`sp^0+3R^6rr2z+fTo05Lj zIzF1Mb=)aIjLIZPzjK+@`cu$mBh!$RkK3l&$?1wc#anLrk{s*x-E4)(DMeh68kwE+ zVd?~%vQ^7RVH9`?kP=F{+?Zh^v5QdZBXnxu`GSA`i_a+e(C|rBAm2Re^2`SJJnQrB z1RmonYW1jhhD9fIX01WA8g#}-PQbSD0laGpl4_gu2Ia)HRO+;!lXA4iveg5a&JlqN&?!gYq-BY!_iKMUTN@L!B z$(Q?O{)V-AqaK6LQ2S1inE-utf5HXt)#S_gNH_Wb8zFD)z1z!EKgQS=nFva+Mf?Pu ztKxkjPGYdhgpXj#<(a0Bx`SXUB=#P0**jnmUTNlkUQfC7hAT|{ul_Aep8(6WdkuW2 zGD;iAxJ$$2OHb>j+rhqHt0|ZJw7U)|lW%n!?bvjma?+e%m#773L#k44(Wrj&VEIW5 z#Te;MokB8hmQjf-YV$X(=H_{kr;KYm3>KOpbs{^^s0PD=^>^8iT(P%`!)=k_nW=ou zBfb}02Y1VAA|=%Y;4`XL_%L`sO4)P#vqzZhq*+m2e&7*{2dBAt#Scxlr5%q%pq5ny zSFDM#DM86tjLJ69D&bMWmaXq*nJ!q@0)LgucLskSy~{+i;=Kr=P{T z$+ui?oli3~BEI=~l{Z=ey6sZ}1&#zzU3`ji0aHZ{D#1&?l8bnab@_3BjiW$`#K%I} z__bzI>n_6?A~n~>@QJ)tEVb*#q&sDw{u8MRhW#wCU}Ac^_ygRozJ_Ex@7>4;#I|YF z#cJQXtb+~cNwc3MU;HPegDeZ{hZMGUxUc@s8S&hAUw3I86@8dYpDgi^rD(zxlxiad4_+;Eml8^URqa#g^r zo%SI9Y?*XrjsdIad>{(hZuqQS9~=F>Mx~2ImRXlxX;dLq{8RH8Z^`l24^*>LY=)?> z%Mnls*+wDtr1wVZ)zQlip9LFP6oz2p*uEn^u!3@ttn<2;w9jK9D@c#v-x^_^()l$z z1_<#(8td1jI4P<(EE`T0+W{JmcD>J{JQw*VLw*vd=W3_kun2?=!RJduoxbO9f*Ycn zJ+9+jT~;gs@1F8gt; zDc{6ZKEDRmlq=x|jaQXE5twPjQPHmQ@7RTr?z`XL1lcKSb_I;KYejMIq>N&Dn7xd^fDc)^(CdXSAtd_ROw9t8f zp}WREVAYyqPWy3=S=w0_6XoYxh4M`cd2`EpX(H}n`xGIOiPX0vPQmk88#OS{Mo+5z zKtfEyd33Riy0TAy`5+#w@S{4LVM5>^ExB}TKhk9{WwaS;KTJvsuy2LFsC3MJTi2CT zI>`hhu&`u~H_Iu2^Vgiw$`j-05Ct-x$k;UVcFZb@l-l3po&0-}(MMzjic;y4bb#7? zqI~t@)*bk~SC#_utgSj=jn$(^Ew(Npqvv0DGfFpMNu?lYh}>Dys&oxDQuu)S5Y=F( znz?t(P8)jQr)c3QsZ9+#1%pK4xBYN51E1t(ZFTzCGG|^#=?Z>QR);XDx{{Xq7Mwrr z=-X?dH^QUNP3Bm0Bd|1sD_9rdBcvAj5f<#CU?IAHm_}uBFqav4keYd(O?aK481;Bo z#twT{Gkrxb9efci>Gz*{yX}YS_A@$o7ZG*0N^q;&&vyJA&*M}2ELMf=tM>*^ zrwI-}a4R4Zy=WC&oS8`4@uU9V9YX$`Jo{p*z=~a^pet}l@t$O5w6UyR#^U9|xPGRt^C|Zl=so9}Ew1P@ zd>z?XFbdl&ZfPPo@mXoN0>P?fW19<+S1*F7?svI#PEEGqk$(X#OT*usjW!^xvHg zTI>6`eStVf@;!hsQIPe0>LJ|WD?F*z?fW*^^js^pfe7j_)G?vrDJcEm6kEyU+nJZo zTK_uwiHOR5gxc;aS`vC+37-?bJJlsC4ZoWDnslfKMsJ7OOI_)jX4B5oZ+1FM}t=^fjwXl)w`kuGm#R;cKW}Xae91tH9!y&NF zwQETF*JLa43vq_+(!D0AQzKlXwEY7M9tmXxj-N_(IfbMESMzX0g* zP-(okzG=N9^eF{tLHI?HP70Otg|A;6t#xHGbNfEsmdu|uz<`5HeWE~Qp_8)q$;aaQ z{nV(3Ovc$wn?wq`Ua4cgw+?F-R9%aFvD4))#5KgqX&hmGyavE6>HqIqWnCL{3&`@? zK8HL#9;w7q#lKo0OTHS(_<{eA#^JBAgn8|MKbI?ZAb-Gp|46Md!@1RZtABRrI4fI0 ze;#JS6cC0{d>Gg{fqHFOR1&oL_t)I3$Y676iMyT0!Kq5I#sI@kaqT2xD5E=~CYU zeyt-dG1tdHJ^xk$eb`9^ZNB$jTj?hBq{CxAr@MuAZ#6SX0TpR|sY{o9f!K!a_SxK$ zmW5dt zrWL;Np}|6~i3Vkaz+2r5ouTw&^15w0dbBXXI+X?s(loxn_)kZ90j8tsS7Qjz=dkkb ze|l4jZU;Z+eIx9!MQ*TOb4nuv&%az|poL5sSR@;Pgz7ME`|wfHZl;Sx&FHo_%^qM> zVSQ{Z@15L*ZlT*Z6_~oz%>#=IepHZXJPnVS%I`z_L|Bg6uj!%7H{s)}CShOQ|A9M- zJDs?jm=edJi3U^+WH~T?{*XEX+*_9N;670H2S!mRn9?)_?oW8T$(}PNdx1#Zh?LxZ zD$r#xJ!yq7+%q(L#Nl7-L(5KdDm#m9v!B|swjqWKmMyzggVHumS@v_EkJ?2#nSp&P ze%H3dUx%q~y6&rz@aq2i{(wI6SAoM9?lNCG9(T@p2`=_bS$pRexQr zLxUT~OMmJp&T&%{cpO|MIB9DeWGoabMSs5*6mj^Af(hW$1H-#i--&gr(ENDvFM3$G z>^0`OypV@Rq-E{yj2%o&&DE3{&11UeU~uNglKdb?pUZ~(SB5g@WBP*u(RSh^mjRqd zgZ5zXQ_s_rk?V66*SnvgPx$6oqS?+WCz$hsDXp4+%Y&bu=r2}|yz|sZSnYyqbUr<< zhXUYk^;NTiM;joM-`4LK@c($gS(dCoi9Lm67R<)z16Sz`CATj~x8?zXoi`02)aiTKyE_5FTx3=zvZ?CXzS3#fA{mmZTjGx(MQ`_=)_}ajAo3+dB594n|zM9VR|S< z{#2wTUH;?9*T#b5ktIEG%9>>EXDYPDw-E4!P9pUEuYzvxw{@_Qkbb}zlDhN~7`e;f z^@wX3tR&7C^^^#WWkKnfZ8>zsP!ZjXDfiW?T(u z0?xURo@+lLj>#^)sEya2DCT%9D`{`ken2)61&2WkZLsc6DeeF2;`h|b6V0JKfgc_m;J~XwM z)~Pco^41#%s=k3O0w;flS#aOlx@HhQrcLIVHfY&vgHd`%JK#GgGYv9mLMxiAew1UK zq9t9Z|N3WUF22gzuD#_SXKm$<(khQ|(eF?42FGw=G^ANUo7klZlb!a2XnMwI7c7X~ zgWIPvOP#Q~zJ@)rryPWtfIl={U(X!OJIO=yGlo-alysPN^X3;K%^0Wm=|8eYO;FaA zxgIL^dZJr22~6iFCs&E@YW<#!zLnJ3jjVK0-{1H}5vcQVKc+oxXs%oFRB!G)=&34c zc!;P9p?0+Jd7v)M+RCEI!PM4Ig=$T}b07d^G;+yF4!KO3Yr$8BFxgX}_zI_;%~Z zj|eLa9?hWP^(6sH%^a!<6Pktmd2^Sk0!u>AlF{WgTa>>K@z0L9!^Z8grd^+j*^L@r0 zzWQnN4HAd<_Eu&RpIu82!@=UUr6}S%SrX(pA zJagI(F~*1`^R_vk3VAeh5}UL+vo`PK$X-95Wz&}9Oxj8T7yndGmF!T6x|Dt*kGM1O0F?Hp4+8Z=C<|1`+btf+Hw zuxP4S`C&Nc6^{prg|7=;#5}c77-*?*$v3_>C)vs%&V}?=4Z6?CHjdQ~n?yD1oO}<; zn=1XNM}cp&w#W5k`kqX`aiqD9hoa&X^O46o4jl4UGX9<_@D5NZ&~78P@Z1{+lOB*(#vC`t`D(*(kQeZ!6@Xw z1Z_Hbuy6Yf@~#;i@sJ%xjE12yl2=1!+5$ks`9!Sjw`HR##F5daNQi@iF|NE($jGC> zgP^v4zGp>Gdtx=L-Nd6(TFe>M)l;r_ULvX+&hM*BUYm=DVu~H-yiD-XqaoPe3?QjK z?VGAeMk7vs<(6H4Ui!=kwPg@C>r4CEKVYvhkk8C}ZQc$vf>OU8GzA z;(+c-q=Ju43YuAkwT2ALqiq^H@x=ewTDBxY^L=onNL(F(4bDG1jMSs}zllf17utL( zit_6*G;?s5M#7CyPmF<$*<}SqeVOm@<3e2Ii8+vqAxuBSDW@#kU zbCOLwTegXB@USuKU~vezKv*G+(U@p?edVze=Q>+ds^2OU5dEYsj-<_4Y@QN_T7lhX zQvc2^!WG-{R7eN7VEORXBLdIxwozj@tR#173W$7#Ii zapKeNE^^2h?N{FtNQpTQs;YrXzRjeV!@_WBJG8MlimAsG#XNg1ooD!m&>y*0np?B>SYR?4AHT=-*y)_5$+{*R#5e<= za&AGVVYI+EIOj4mGAbi=(FOL){T4U#AV7Y6Q0K<(CAX|DdDq@>LO+P?WLYqf;yfT! zeb4T1oSS+}ZjB_qn@MWBuaf#PUb~k_`z?ekVw4Gm)0{EW!+ONU^GB~~BAIV+UzDxr z8k67b9~bqgI#-Tz?DK>??%EGNo|VI&!VIiO=%tja3AoLk^hC`pF+&Z!b|YQ(&Z^o7 zo`y(0_x+HeG$P>G=xJMF;JT1CMk7CL`ns?-FN724Z=#I#DdlD9p#7I6PIyl zOSjQ1TB0q8($=dFyQy}A8ErrS;Q~5rIVbh_1GDvm5g;1x*s{D3Yrp7SxxLwuOn`e(>^IIJK;XYNV20nEDx|fuN_E^+l z4q5X~@YH@);@#-{W1Cz?v=F{uTR;9OKfkNrN91{GYSAmartP4O{JGStng}~8;3zHn z`@ZW`%}v1po{7q&y~lrcsuHct9ebSoWAbQKKr`|EhSRq$bmrz5<5cyu==E+~^>*~} zIAqy3#bP3Vr0Em$J!^z2;{HFUuNxzLzxYi%IE<_zWLDD)G@zWZg}%Wp`9niyxf`Q+ zJ3TU47$!DCpWg<05A6@Vm#=1jFLv5j0?^Ib*mk?*i-R}OOMFaYM!nI$n2b+{XMkg$ zP_a4;BXR^M>nGmEPlIL~&upz{UB}mUJM)J9kaq9yGaR%m47jxO-73pNp$SvpcTrZI z)BocAbhOMq6s|m5YLxL3%`k(sU2P6_s3Xx!;c?$3(V0&%;G1QD)GB|vK-@|Y5H`9I zmKF%L_sj~`U3Kl4oY0oY&A_Sl9EImBch^<0@Meky-rr(6!JZBu$$JmgJIqLYD%-UtloUaO82c8R8W>_Tp z{N0@pKS}R4pvBIgpGs(Huv#7~K0S!g>9j9=z4m6_17>c3pRJl~e>g$vyxtGT&(r&W6bm)MOi-JRL`HA1xM(rBvly zAVj3qK{iX7%`!HV%wdB<$Dmc!v#FQ%53!q^(a95govX#qwSYe*1Py~89b|n z+M>Tb^9L5z$JDo%0|p4+%juDQ{2pcH2!v&uZ{H6aCbP1jQ6S$7#H1oln{0bRyB}Gp_H)Q3+AV~nXU(67-iZx5?BC=Vl8pK#gE?k#!4=;#06a0rGZlj1bo~a> z{~Uq|U@py*9<6aAdwe8FL)&r0z{cXwktooHx2DU;cG&@s}>-Uyzb1di_cx>Klq1%otXL@w$uGmkM z1?0@>4mqXtF+QHkd277H^LHkbxk;0Vf&%FuNvyZ-{oz2&s4ui6h9l?7aG)ZzH?T*= zh4hKfnD?bw!=9Ho-x6>%UyJBrLe6`9kw#Q>D&r*+F|jUsGYtVC6cVbH2EazE+4K6r zcZlfN#(Lm`dRm;ddN2OeGW0iKMpd}wY55OfO}1s5Qy%1m<86sIZTRKK`qiXJg>L$* zo#KBo&Lsp6MGE^ty;@MbWFCR<3)%>}RDarvj#t7SNW4<4+Fz`0>H)JAAqfTxxo4?W zng5FluE4=vpP{B}=RI}UpXyoSvK!!#%lOY`N4I|bJY8oNC;7O3`|DM{MsBuKZL$hh zilF7z$!5c%<3E!YJ1bd5G1*;=2JmJiv>Lr<@xNrXvGXI+?$KABE^ncC1es!!cR0k6r5vgwGjV@eP{8GMpN(!K(Ta{eI-KRyT zI6W@7%cIksgFWK11+)F#2AeoR`a3`BQKYOu+t-+<&XpTZMzxK~r!FD5XS-MS$XoW; zNrgMz>MXEz)<&JqB&!wC{?x4L1SjrZrw+8m7~#cgBMLnJY<*0MF>uF+j|V>Pu}cWt%=R$t$^)s zesJ51*7J|oKl#wwiM~{Yaj-l-AtW`ZLMSQ+6*{pbF>|vd7ujuagsRL|7{~8{NG6nk zuy{LwxyV6>+#81%KLa43gcm$_laiFWri{MWZGoZP7XN7F&si$=rR@!bJMR>1rhD9? zWy&t)nEFZWdYZ9x^X8PQ0WEhRen>9Bw5Q|%mlFcwMt#NrIE5c{_*-pT-fpg=K6&W> z8lAhz(IIol4E7j@Yy?*4=s+LNf`G1Q%dGF*hKt2BvlA#0hZyRLEqrgE_mG94!&Y^I z?oApZu~S+>1O5EWYxK8#`k%Vk_M?x&qs+H_s&;tWf|6uK`xhRTH__|J+DWAAh&D-n zgVS;#JY3`O{!%Gj>k~qY6Au4aeW?L=_nV9dRIvEvIn!F12y4XOb%N6zU2fmo$U1RH z5SxJj#ChL_2@fD(w#CTT`4`1->X8c%$)tr^B8B?g#gz(7t;6W||^tbw@o9)v? zC0b<@tsVbhWuB|8fVgZ;Kd~#&1dX4mE>kSMVrrs%vq~gF-xlAj=!&RYu(TmBXFkx2 z>kv;$;Uza4;DVu^0Fn;;PraA%$s@julN1@hAAd#z0aEj$#P#Y3x!DQ7;VYnQ4X+*Z z`-$!6X>LkBtJ1Gis`g!KS$Azucqc=BPK1(rZUxJE^;WJEzI*BVIbpY=j8Qztm#`-V>aJNp!8y4_S_cQ6n8!_~j2bH=t z9N+6MAvbJRtspxoovz*jmzTS0V++;e^)@+>V|b$9e$s2Rym$lbH`Fd`Gf-La&^lIU z;@`E+ZUGYfwj=TGbWr^y0HBvhLj>83EahY9;eH2|M$fb(Qms!_0Dp-Mu>L;)UoO3=-Y8)ir)5uZgn4x3umFf zsqf}9XR3*KP5XZe`2UnbvtYf#IC&z_1v07ej?gxG`kj=7%6lpJ5!v@nXE{H^!sm|S z8T>QHj*PM}Z3*)HrC@Vk`v>nG1>UU@T7#A5v}%J}LPDl}oIaL;$k~jO%%7==dc};X(iYys0|(EBW3MH8mHc~s zOnddLP0|jX8%Ef5e_6&x%9zWCo77bBbDcWH2 zq~G;@Mdgo)yQ|Fi%GuAS?X=bQnZ)xOn7V=v1AWsIHV>fZhM)o@m>uS&EXXgLH)a!& z0NoPrrW23{6OI=-_5%H;ufO)20Q0ra0~i4qej+tJbd%CR1D>w%q0L@|4*LMq)d5hc z+F%S>NKKQE%>s)H8vRsM_K9oR_6w70Oabqh!2XmT0kYx!RX#Pq=#3>~EKZ=ONqa+e z;#k0XRmpQDVE%b8$6~E};pKjYndkhC9>DYt7IXx6vW6C|yOzq2%*z~?7DAub{H@Sp zo500qalsOxitk74;N8(q7pPOwiZN0{qu;&_MOG(!8AGi+~DoL@5UzvJ$j|YY74!y#2+Q~#ZtbQmFLiE ztuq%whGlU)1dQp7u}2TJR4dwNzGmR>(JYoGQsBRA{SIyqmH16no-m#Dm7PT9B_@=_J<%GU}e88^=^@B__0 zlK=!!bDm%~A3aqNguM=ihwDX~ygtqS`3M?d-}~$Ib?9)4Ju+cj=`|3uZdqqqzxoJz zQgFHY=~3{Y2fsrOK1UfCiiu4(7jSQM^S5V}eo-G~_je90fGq9I%tQnd9vrb@HcBIE ziiFR@YO;p6xb2hu+J$WI$+di<{wpfX^uxY`=N|k5h>u4H_JFGUa5>&n6UZ1$52sgs zVpW)SG`dAPxKxx^_pUmEVu+0m;ASV^+OHt5BdUb0&5xHEJB)phNG#X*o=&3EI-{zc zR7>)eOz5xm$)MC580NHEU<>4Z*qNqhG|*LyWII_P9BArktbJ zL!yl4Kz{kP+R^SECb)7MY%w&(E}d2#7;=^w?cVQe7z}yJp+3vK&jG-df4I3Gqokfc zv@QfFa?ASVj_$#~b-H&33feNuP`?x9WpoumxZ{Q;Nd2US zm0D;pdeJ1VdiuTWEU-^vq@Ht{F~F}7m^-`+7WYa~BL_Ne{-x6^BHiD`CQz7+%%RVf zbNw5&AmI1m+LdF9O!fZ-el>y0CLwPbOPfX4Vu`0cmWVT??gxt!R^!bStkln}3%{R{ zK7Y!{+4UD;`~c(kxUZ<4nQTs2%i|Nmf2wOF-@5*Z)jJo4hlc7E(9{}|zQrHRO_)Ny zZHAQ%ed^$AS2Oa&d*A)+quZ7SUWe7eL>DNSo4;q~XSBevqhcoSJJb&T`c+Tf_fE-5 z^0;=*R_C-XN_?&p{xZY=B{c@e?TX98=cy0w9v3gly6kBq17GpNE)Ra=H-6(bmA&bo zODgT>uA5V^#xh|sv0|k)%1n6F$=e09`c75qB&llm(h>Zh5GMR!qh(_!g&0d=RUA01rhS_)LgA0A@4=yeQH0V+(us9 z3E5!c`y>N3d<3T)lpqa3TMnl&ci*BD_(3NQiKsvJ+vg$b1Vw<>Biok*h96R2t%;3w@*qe3b6H1sTxm|(t8AoAMb!P*> zK7r1iJxZ`#0EZz70Z4t&`ExzcuPP6LK2HLIerh=MiGC-|^<5HGsVUfgRPZ9$E(ty0rH>|bx;S($*(S0G#&Hnq*vn+6UX}62 zgfa=1zbr8rg_93#dveK=chcOGzFX6e-@?-Wd1W<+J<=wXB`^4x?br;k4ucoBqHk{A zC~-5dzMTZ(pc~0QPl`o`OPU#ek2=@w%;t+Z+L%zp(f#V**_nNp8rlXz#m?+Wp@&%~ zZLO%o(<}<_oyj2ZeuwG=Lt1im)nzNteGq`o{e_CE<>r+|KP2{TP4~-EfcbOsfwhy* zzQ^``AC!K>j)TM4M2T_0yiS;fad1!dIQUq_I2ad>jf1nIVP3Nm^ZRkIR@ZqP+}j+M z5LW+A^5X!XdOz1Oghpn#w3*>QQRljGFjr!f!NdyD83+Ge9sK_Bi*;>M4N?;PW>S+L z6EzE1Tfz;NsTFXN_}V$Kp$6~VMzepUmZVR zENxx}A)U|I4gof{!`ZDmiIKkBTlb0>;_p&U+|p0Z9Zt@_ul^?ITdU<%@2;?8e8Ixc zN>wZ|iA^<_1Ukm*z8lACSv9KzvVM6wW&;Sx2`>`$jml`v9J|)0n)eD4rkWyBj7XJ9 zXe-U~L(VL3sg@PX3A2;T1B0@Hj(OnCH;&c4H;&cP8^@|ZZ<>+1#ML zva_Dhv)e+PL?5oe-(-Y>9gnn@eQ70^)7n0mg!O({C-K@@2pYY*@bkXQ`s%_TDYWBK zZLuS*blKXP3%U@6&%Af5K4X%(J1+oq>v^Xj2MY=I)$rN`G0<-V%j;ZKx6Yl&L5Q%W z9qoh7jPuNMAN~*sXwpgky+uw-Y$c|{t@b{rg>^^yVEK5354uxs$u;YdTXOBzf%*46 zuo=Ihc)McbkaGFmP}7<+z5^6nZnUl8=VLdGsvyjbkR{qI?hr~@2C*53+^qI7<>-Ru z1C2Qo^05M!DF6)r+@#@%NgepIK>d+a^34 zDEav--2jI8P9y;r8c8+B>V6It@+>&b^))c$d zYk&W7)SqT4OaHxF(|Cyb3_fm4>v_ApnqW=#%yOPjd%id(vW2N_OsZAE<~6|XcCflN z=T;eG!^U9z-HSXY+gyID*d_XXR=+%=;-I)$zRw=#>+HX|QiRx?qxs@iAJen3TfJ`H zEU`Q5zghp4^X^3WPHDS6QQE$w0!r2P?7ydtBuZJT;xq2CZl(Kb%cU~`!_ju9Pz&JAvYmVyi-Z0VxwmGdw2Z06OMm*k|WB-}=^;kdR0UJw?bb*($ z+>vNJVbc#h z{~c@Yu@6Z!lckK$aCW3VF=ZX7fis#QC0-p2yV!e-5x1M>kwiC=?S|FSq}Ppvp#%I# z=upN4zuAGE7ttZ~?2XTe&u4FZW>_E)&P7)wnw*jPa}8pG`!U*MOyslQ-gxPTb;nCL z%*TsA`$c#5btLdh-EC}Qm+8=5L;bqxj$OR&fxqCiH|dTf{=6K!nC<}-o-xE4a+~h7 z`12+3*3hWLxbXU6f17)<|N8s(WYW*5mGct5jr6*0JuZZO%IJ+Q5ij!N{Yd6IQm|S0 zO_Lad=y%A)QtT1BhDXw%K{M;u3(NXd!@MlSOA;l>@?Oan9*wxSaN$Q@CKyukdpIQC z&0DWFA~Sq9>r0~!z(TSY`w$2MfVZRvL?Hj1GK#Eybp6A6Aih)IdeN0nMgeT7K;dxW z0)>agp7!|FgNJn0^ct=4hQO~X!~5y?f8^%ZmMXYBR3530#MTnhCCYD0w=g#zlBG6@xytBv=D(tFGYbQyF2u7m{MelP0H zLfRqopOk|H53KbPd^K>rbvUvsR61kH#uVhpsBd*&B5B)<7-ke=>2=C?D#zE&mo>j; z%R|QSnym{q8>IUMgZS-f-osQ2yG;eyC#=3*U66gNGH`SxflhLku0lVU&?nTj5Bk5Y zaMYyG_NX0HvkLX|%DhX4j~}u?`DnN<#@RB}&m{%srbxqd79Q)zAJ9noXNfGBB*Vt=#`>)D8;b<(ag>T|9Als= z88)tZN*U42c;>c@T?pR6J}RmkhQ>Z7>~%w9pAhy$U+k|_uX1E#F`VRerv9Im8Ajtz zZ+)M|%Xg|Dwz6b)^H#@yB^Yx~ zpukz(oxdMU5GxFuC3#dC$@p#^aOCE?!@3Z=!Rm z0{LYCN^sVFM7G$fg0)8`Q~|S+s|d*42jnolSCA@a8>R&~RDM+14Q`rDB~?Qz=LU~X zhXS4MPty*q@Y?8J$pg_cGZ=jv_iP|SVUtw?g*l?-dp6Y#vGZ~q4MCVKD*gQw!GAVQ zG_eofL+rdS2^ab(-~F(5%J?ilh#q9;p2||wv;6X%k)^Ot$?vQ2tUZGye7tnPwndQx z#Q&ZZu+5=0ev~v%I#@}w3)I=A`CiroZ^e{ry)CyQthDv=6u(jX>`eSX&Z!>eeZ+Vj zPwx@ocOSdZTkQBXJ+E(y-S`@4j9qBj;8s;5AY2|)a()mJiE7} zcb>DNU4Y#!yfu-aKIs)%0K2Mgn^k5!T__n%#zh?rd7EI+`8XdgnT)JINO&s2sB>S> zZBD#ZTXN6Ng}R6v?NCV{MgsPr*)XDxX%IP*cx$5YJ~wy;3??w)!Fnmdsq^)YJ5@fm ze*K4@-u5BY;*X?+O8O`z;C6rn{u1RZ!Tm{s->r}U)0qyGBq)%2DXJt~3D8Y6H1QL31|r9U1w7z`INE1jz+4c0ltn2XnNl zP+5(`pIMO(NX6Y+luT2JSc}8v9vtWfJ95!UfnX1_?@KsyRqocl_cYr}rN16NL-#;4 zT&53o4Q=yuJ)s}jJe6=FxQ9h(_Bd;VbFu}_?!<>1mvzE9(E{gZqYxu6N{r2_Z5TmN?8A8>jQ&mIggY4Cjs%%V?w?8f(;NbotWA2%{Sf6%}u zAS4`E7*1^O5zD%V5#TpL?|OVa1Ga4$%>tH}m&@75z$&}2pU z)DJt#1}}=qj>IT#)v9s6Dd8NJp`Mq`&ZK=^(|qvFCYw4N&gB~Mqlw?6NPK#jadbwXw<;fyvCLo21_4eVOP@$MM`X8S;&X~c zTFK$W2KTuz)%U5qD!J}X8+;Weo9i8`J*tG>`j57bKKyX~juh8T z{^9GdAWta6=UCE*dYylWOVVEDmqmO*3w>4v3_Y++`mhF(n)HctQuNPq>9f0qKAfyU zm$eoh4z;RL(2(8o??IiqK7JecN?rnp|Cz9fsc zElHo)T0MT^S{vhST}yq;r^EyL>}a8n*=@V}&ljI{E&Rj&kn~jY&&C%1`E;^1{@Kt{ zpI-@FMn>R=K51DoLfV-0X9eMVJ{O%nPl@Li1pqWu zRaq_Dr|6)B4Mx@9^pS|QIG;)SY*c4#U@vaCxvGbm$OTz0i3eYJGCr}rr#m8zd{_94 zzwpNwp_V5bz%%I+Xr^wV_>RP9Tvyk$A2mLj8cm-bW_uC=_C4o?u&f229f{9`aPQC} z+J?`^5}ymgJtu^vE%cS}B|;6>T_jQEVJgmr8;Xc8tBViWo-SAV}3i|y4o zMqykPj}zOQan6eHpg4<%;KP_0ln?9opg7EPgW}vh1RvtKEg$9^5`PrJDaN7rBs#QN zG^C53f-z<%9~oHf)B93~2ArO8%5P+X)__yZtQjXsk_!!)etddlvs>6fWcx=$@cBp* za}Yl7aX4Aau6ySmeV$44HGH_&)({UV8o1ibn^QA5r~jv3DoQvJHvoGO4>~><6x_x~ z&5|i}?~yH$4RJ6g1jC8#JuE`Am+hnm-gpbyUMT!?ByDP)Y$i&lG+7$_)1%KXIUB5S z>rW(Ft&cH;&qdv&7~i%ycYIMhyKPGBO`muuY%@W%Y=@ja<2trA*C{>mVEx9 zo8M}1dgdxGTMbT+Y;Q;{$aYTkaUDXSVr&kdJ$&wWvN7kJOTP%-G>7~MM3vyPwB>w| zw$lM;uH@q36*Qb|Ty~}JaP!-SzmfgZB%2Yympt#O@Tqm_De24pe^8u51L9z}efo2O zHhjJ%8YtN9boE$BJ+eA>766|sdJwU{_a37s4nC1=t*I@%mS?gx*?Mc@49>jYsnn-O zw*AhhN41$ZK2iG6y+^hPi+#6<&)n3|bf)TYtWMcDRSXcyTMdWUVLq^Z z2-h}RL)CrwxoT7fHe2YZOTG+t>oK06L*Q{x<2$FG?g8|TfnL1-JN28HPsFRn@%I>` zE!VkANf2~ir=O%XeVX`uRgx`?x$3cysOG}23s(O>8cS_tGmi8f24}N$8(OT+2uh5f6Yi`g zG^QPMtmn@k&|Je6INP<(ZAqX=Jbd=Z_WcfrHJE2QBsHsVk5~crAH!$lvrm6yTVaFL zBNw|gZ*YZd=j9*1RLFJ2t>y!qL?6yoClaH!IH$zJo*g#n6PP4ETNI;P^;IR>Byz0{ zJkCLVHN|jZdw+dqaWocgF}tl$yqrma5$|=Y5g|Ij0ZLx4F*%5Fc4r>%%u5>4R*~yR#?VM%&o#Va8lzI7b~0ZFO^^*JoYJ z_4F$ppW`|VX=4K~+kuv{p*x9kpBBAA`OJ}l+u(RUC#ugWf*k(C>vM7lKDQ6SXL-we zK(Ei9mS>$_pUXq&^J2^A3!cwaO$vkhhd-!qgX8%uYx%6;!A5Zfrd$B`0Bx7DAHJu~*{pfxdJ5BT3 zKXzjqeJbYB9vgT*dX&@gPZOU{2kGP3KCF&s({;QjsJ6lVPPTJ$@X;@gNU?YL?2+xV z!aMeLMaJJ;Z>X*uQ$37n%X$F|2gEyO`(TikN) z^?a5O!RN#fe4f{M8&sbO#m=BObB5q^Y6yLf48dpJ5c<4aeGh0Z?38}4TBLi;Yg+FC zHjTLhZp<-%jOpHlq-Nn(k8Se@alJwNqh+a~X+QdR5+CNoGkRWcG=yHu2RTUe^>bBd ztdT9kZcUu?vX$Abtqt1ldp9Ik}F5oQF$3(hE7pdAf;5~9^g0sQdKtr|`hyEn&1>T@`do|-+a5g~4x^Ws|QTMGa z_x>KI?U?&~7d!j)9?E|0FSArVVG~%C^UfZ=q@*O(YJD2#mlOw@IOZj%HtnnaT_hXM z#SUxUxg_~WaqCwd*CsJ@72gFqo#HPb*2MKs1-YYai`8+z_Am5riqX;rTV5AAG}(Z- zB!#ii(gr^hQNoX6U6u{@_1Yly$OS(Fz!iLO&8Bo-6-6`)(IcmiIvm!L6Y0!BM+vn) zjq}T3`utg9$-9%6bk@o99Gc{&T0Z=u0)5a2*|veKB$Qel{7(Ysv>bU>_U5`N-u^Bf zlpueHlv|o`n)b^7I>`?o-V;BXaGGMr-dl$c`W({Um!#>`^yzVuHJA-3b24adp=PZ! zjT8RFSNsOy_zXTqcS~QQfeC&2gALeBR@5@XxXI4pWoe{zbAI{#hzM$>y)K)wX`j$<}rb8HUfTh7~;t{=#RX z1s{9Y1G}AS$>;B@FX?pRv!Vr`e-Py6$8+_(wIv@r=N}Uw zJXpK^ThI8}M+c=xl-bKIPO>?>0q#oQlVoFTZ&dx|^xnD~r(ONIV7?(S{4Du;4JXDQi zq6iw3?OizItl?u_JEd;r18-#8A&PBe6G{i?xbP})I`pmb-h{)xr>#DQtJ~k}H8|F# zr@Sx#&PNmW0+rZpT{^3YdIdB0_{Y}Z4dOGVL1Q+M>D4#j^!R62;}C9(~@MWCMqHO3Vf_ zqxzZ@flTLPeZl9fO) zVS^q%CcEi#cUO0%xF79wXjqpIQ zM?kyRgb(|rHhgSt1m{vq9M)dsV9j2m+=h>Z*QomAqc%9|7LxgAOT5uetv%|8_9v2T z@EPV^kB!1>BioNADF%@(p@cofF-;u%(wTSDykqf(%@_w~k`3Iv(-Uu=4>-t}Q?=dv z_^H9^L3~3ZI#1=5mSf>((%3eA=66x;y2v7@n%TOIK86gRiE7!bOHYaa;n5}z40%`_ z#?qjC=E?bk;4)EpgN>B-Wlm<9c)m z9G>a5<+CqH7Jn{X5PcM=ZwXyd}G(5DCSg~StE z^IjgmJxP<3t;awABk@5tY(1xoQ4b5qjl47AjHcN2h4a+yF&HDsYjD+ zPbEH#(cLZi{AyIE)MK({rkp&H7l3+PQhetH#+b z+Q1n<#Hod~E^A}dUSVW!&6-6f#r<&L(=&d4K|Y}m`)m5YoEXKkqH5XRo|>6^Ywk+~ z=}z|qx#N0uR&~=S_6h{6nW+Qg`o(ITZYJ&u9L_{4__$u(Rd5cs#QDz&6`V856?}SZ z{p+F)+NkoHYCerNI8e<8l3nRr5+Bxujn#a5WHZL#Y*Mb!r;7&{KayIQui0C*!TBa9 z8}ZWy$II51Px+qEb|VsG4i{Z5G;6sDc0r$$8W$C^b?oNDMk5tH44=HC-H z$erorhijV;4EAT&>+x(9(-(fkoh486F*SNv6HSjdh%Ek(TOJob&JiueKQ4}@EoHeq z>^P#CSO@SwX-)WKwTp@M65GoKq4PEOKV{I|7`uhf|HEm^`-hVW#$4ttVKigA_Osy+ zfn(=&Dl+$xk*Gt2!H;ogX|4Ms;T>w6Fo4SV=crLXe8ikeDrEtNekRMWx6}{6n$1d@ zqkU*@5IiS4iP8U$sL|WgPA2IK47=sjjc2k%csI;+2NrD>dl4_)czKio^Req^hZ_O& zerG&%%bE29=HtcN44Bt_5SSlFC0@5(x@EZ)U`_UXwdz;KHSgEv)Gn^s2bg{*19HY2 z(=}H%5BfC+9B(r}CqE^a(Nt9_(`0{RcyVk4i-OyJ$@oRQIG=rudKn%RJx$_vG_=`A z<_BK)c*rn)t)nAL#LTb>HPq>_rUAC2f#z5P9&!TDDa*p#xhDbQyqjU{<++OObmA)7 zlkX*Y9sK&h_mq~?y_0g!1ER^F7*42+bTbTF8o$_@VXP}xl$p0mJiq3Yc<0oAi}IWb zJYvN2^Xujz$#+Ck(diBzJ!3kR?6O9eCsp@<9DYLAbM%}6T^5DzSqExRAqFoidkdO= z^eOR7zbd?o>W_X^cqKot-#+n2Kl+eAAb;Z7*YDDY5_RlMd0B@$D#GsW?J7Ase{ktr z*3uUK2kp(048=b4(cBSaG6&ia8B->4Blj=F93l^|-?=cw6QG!w{|)(N)j`I4B)bc2 zg*++*kWr5`5Hd(ZBVUq!LnF^g$TqX|i2ct+`dXa1f?jYkS1k%H@#DA%ar8F1>dE`w zx%1uc-S+G=9~!ZqX}hX!XDr=2q7QM1K94>0FrgaLV??OrFnySe*m1B5qnqvYsk5r_M)NbzePGkh zg{tV`hS~vD@n(`I!TX;ob2z^B;YZg$J~^&hOmC}T50ptBx@HLKyzGb${J;4l{;%kv zq4rKfhY95~iO7lsfrt%Ah;;p*{r3qN=Oj1MTGjBlvx)h z9}O0mT&241Qw0KAWdJV%@2&(_u3Ejp{xux@(ICO~>-ySsP=!9&pee)ekTQwA7Vr5G zVXMFO!%x5ets{5QPD1sET&69qY@(nSbh*asFA1LvU6Yx*UIi>8_l;_XtR?E8Anv#_ zXpu!+|NdsWe)v(DZS}gH3&V?Ib*qUD8zGI@YO;RSlQmPp`;znMO+pod_E?1~JbJQG z@Q@z`yr+!NmZ+l)rP%@)&!He7acU;WXXxfq9VMtFN;Q+Vmj{A%lxRpM;Ew~Ea_e}|V*_5!~ zG9~OcPYL_pDPb?261EH3CV$s>%*9$~=2aY#2-|Wp!QV{YY%#Vzv09%ojcKq@vn_?k zWzblV^vP2)9e~rGDAnwZ*^~Rx!wkzDeNJWq+o)0h5oI=9<4;Dqz%6qCHWnxes9}FZCK#+wvr<%?nWa8D;hkVFk0@%TKB! zjr;%;;YB@_!H1vu=wF0bqa!{){6!36p$PLby$B^g~cvZSnRt+ zko9BzxK^<|pImFO8M}>=_qTc8ZxZjnLgZb_N*EuZZI5j+@?~n{jTZQaJC=nbKau#V zB_vR>3c7ioSWI05>eh(|IpHAva`@CoGMS;ny+r5k>`t}o#$8*jg{qB={BiiqAK)_` zRN=o(?FnCdqlLN}8Tq@(!r?+6xC~r!sRAy0Lb>BIDn5B+Y~U3Dnbbl4enHE@Z9(o4 zG2D-3&9xU>2%SJYRMH2UvoopE99Ys^JFtbis>ZM5@9;I5PYGXB_>}N9=}rk>Q*Ffm z*ho4q+NFvTI%2!ham4%&Ci`nw9puM9J~iRe7uyRr*ltMN;I|=hL(B|<%deXxnZrmK z1eZ~haKkA5Eztu1^i)0IX^}+6Q3)Kg^&n;9R>>;Af*?h=gLncLwi?y#-3&HxC>v4;UXX;juPbe_cj2Kp* za)3AC(l_CTm5)bCYUC74^-^i$oEp=M-3!d^%v5Oe$C4boy9@8!8ewK8d~f2NqqHpby)8N^r2b z@reTUmyIRga8y;QR)sqzpzy)x;N?_}V|~DRQTT26aEk=pL2SVN5D6SkW{ppi4Y(CD zoE5606UpJk2KUK55SM0yWl3!{tG(I=_E^ery7j8Y;bw<42o5(lByhHA$e0a6V~uPP z7IDUO(FTXx8SqTDtz9Z~T^RWeUBjWw>9v9LgU)W80kw%YvkdlP7Brk_JA-CRQnOZY zI0PRQr!61#?1JXG9KbAgHlDHu*oW+*SrL!hwt?qbSw}9(eXed$Pj4pb#F*nO0Vb! zlVQ!54WRP2a2F9kg%;dCB5foE-k~16*wC%L;YApDwj$Cp2tRNw4T9&-fJ=sj}ada;Cfhj zzUN`3oNZw>{Ce~&Wg)Ij_vwU1TK1VoPp)}b_1N^fmw2Cefs=ulO5MxYok;ywgfho2 zcsA?AGQ;pgTUgteX!32TgV((YZx)uEtD+p!ZT;t#nlO)VO(Z#!@~|={{yaSIjvKFY9aygctFQBnb3e|{y+$HQ0WGEG0;KlwuLP0e;BYr>qDVd%gZ#HQ>zUUFZV z1h%Z@x>ByUqr$b+v>tt4@J!a>c_A?`Ba2^sy_`|{Y8_?*#@^xW?(2=n;%!}y=~oMk z-S;rEU_-wTDfL5tOXXLnRty<0>=-RI#Y-7ycIpXk#EVqQbtU3O81j1^n)vxOho^`h z&(9&i7-M@ikW?t;HK)WYzvk9WGfUp@E1KQ=jrMrM@@tD%e(e*yeZeNxcCq=q%4VF9 zMbutc=n6*Z3AcOeUAD9p-N&z8y* zSi|NTG+|tF2d4M8qU!q05gMDoL4Qgc|KQS(6_J;qRKyN;v>zH$n<0C~^aGjKph90v z|M|-JpzeX9-3jnIO8Fv`=q2w3azQ%omW;VY8o1?4P=ny2^_|Lk0V}*xG9+$zm1RiW z@Cwb4xZ%~EA#uaQ*CBDkLtp(iSnX>t%Vbj$k@bV>hu^}hMl^=lD>?hPq&Ep{YY#6Q zg;qX>z;5}FzJ_Zuv}_SUz4RdZ*!}n*IK$ZO-^jkC6CoyJozEB_MlrW%m_M!Y@M@gzN?j&= z*G|0}hxM2)*x-b+#X;;{jbn2aIMfYCmrAoZbvCg1t&QE{Pa9&-zYPAts@x$_^0Or> z!cLI+k6k0*5KSA4Yr2e~rAkU39rh%qH2N93+gaFb7y9X=4xN66-e*f?!q;(kd#V(L zowzh9Vwt1ONM-o2u)iY`Q2W}H?Hb+4T$BH+%=OjA1f!6!At_uK5K5$`Ad@yhXR_$DELRk2Pb`|~Yo zTPoNA+Jvj>^`pv1lsBmDs2Vt5qvZOC$}^(7N$|Us7m3aq`TW@b&))gMv=s&c963EP ziKsvzCJ_}REQG8iG+DE3Sp@>oWQZQ(1{@;D~eY6z%pjUxy=O ze)IMz?j>(w?0eu%YP(Pl900~_ptA^An^30*ex$8_oJ(()w1I6EnSI#FAG=0Ha1Q0w zv3LIL@g(qe?$-O27@OF9%XJ6P&e|fmMoxj9d1urZ&S?$WGboJ_YX^IwjG?lyFJgPi z*HmE4Sv7QIzQ|K$1JqilLyT4 z5<2GOei1xpJoChYvXJXfaGi~L4Y*c-C!#VnM-_Q_se0DC|& z@8aWAbi5S-?#F^L0y@O)6T{d(|FHJQIIMv`p|?Z6-s1id^m};a9B)H6p>M(V-nlmK zRp>T;I`nY>ubi*TL-xxRzM9B(u{(iQ-#qHX8<(qf$ydkT+6Q}UPo1@4&iF{NKF9e= zdHd8H^{4!Z6|#uCPp0b`rFG6eDy2U=dYVXO~28Uk!zB*dRnh< zttHl!ojuu4{y{ii-lVbB^LaF}-@JP}3}~Rg39dQ8!(1P_NUuq`%YOhgt6P=1>?^fr zbJ^F{U(ID-sXm?6-nFZ}oYi{Ry+Zdrf6{AG_hWKuYw<40h*iFbB|?-DjE+PuObrqp zYZ9ihiAhyIy)yp&aZ;O%9&Z!eVx0dr(ZT3YJkD^e;dFfq?d+xz<2_}wk;;mH>GvWP z4u1)hG0b5!4}N6i*C=1+zaje#00960cmZ@%&ubGw6n<^e+O+BKsA(&jOBI4b1jUM> zxVxDo8C+)f#wwoB6)?&3iL%MyQPvM0BBkb*By3jyQraQo3VjG|3#n3~t#{lnm)GONw$k z#UOaUdG}8vVeG#Nrj_Oa>H`1b_4Opc-JnP5Gn&Ko95ZB)rtv?1YVD))QxQ>ovw*D0 zgeHt^xq~J^td9Ly0l30pPuP?=x@NOZT7XOK=;nh)fkU2duOo}eylKk=6a^pJia-2E zCRO7F&B&S->!Mr8{;gek1)v!mP`}@er&x%#6&c%p-U(241Bg}&wleId1uU-De|=kq z$y0=1#3d7j9=ZonZ8EWx11cx~ZU!&tVY-O*mHgVB*)Y)@!f#|Mqh1O^wDBx?AK)5w z0H^G7p^qNnt9oWBAM=<&V5?ZYluk3z@3uYvX| z?42_&;^HJ1gKkf$@8ch8`&y;a=^@I3(k{LEyb64W7)7*dQdUlp3vUF6sSf(F_BK}p zs#EIq$xR+{_xuvM{ZrMX6#gbn?x4?u%_bGARQ^ek!i3B||gT-kBpl+1&) zj4VqzYMzp#9pgA$prcL4wZ|Nn;yM}PnT diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index e6db90d72627690e67d0b8a489342b87993ce2a5..5f0f08a977af4a96cb06b8e4292a28a04c4654c5 100644 GIT binary patch delta 108 zcmX@lc!n`Wgn@x!EjJ^OWMIe!LK7ezmReMtnV+X%XsKtYXQ1FznwgWLU}kQhU|^|l qWTbCoU}&ij?4{t7S(2*Ynvz)pR0}c&1gaqvLj;rtQD-KmX8-`%+7(m) delta 135 zcmX@Zc%HFdgn@x!EjJ^OWMIexLUSM;mReMtnV+X%WTaL3(D1e69*=b`Kh#-zjs1~m=dMIeFy K|0^ce1ONb#h#TJk diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci index 65c27fe2ea800b795657754ede47ccb86e5ec502..dcd2274afec766142d08abfe82be607ddc4193d2 100644 GIT binary patch delta 108 zcmaFFc%Lyvgn@x!EjJ^OWMIe!LK7ezmReMtnV+X%XsKtYXQ1FznwgWLU}kQhU|^|l qWTbCoU}&ij?4{t7S(2*Ynvz)pRO<#dfPtYNN*6VEw=#`rn;d L;V)2dVofptydWGI diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 65c27fe2ea800b795657754ede47ccb86e5ec502..dcd2274afec766142d08abfe82be607ddc4193d2 100644 GIT binary patch delta 108 zcmaFFc%Lyvgn@x!EjJ^OWMIe!LK7ezmReMtnV+X%XsKtYXQ1FznwgWLU}kQhU|^|l qWTbCoU}&ij?4{t7S(2*Ynvz)pRO<#dfPtYNN*6VEw=#`rn;d L;V)2dVofptydWGI diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 34439db..e02748b 100644 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1677601288128 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1677601288129 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 28 11:21:27 2023 " "Processing started: Tue Feb 28 11:21:27 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1677601288129 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1677601288129 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1677601288130 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1677601288250 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1677601288411 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1677601288411 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601288455 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601288455 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1677601288513 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1677601288921 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1677601289007 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1677601289045 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1677601289084 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 10.278 " "Worst-case setup slack is 10.278" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 10.278 0.000 C25M " " 10.278 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289092 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289092 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.376 " "Worst-case hold slack is 1.376" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.376 0.000 C25M " " 1.376 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289097 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289097 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.311 " "Worst-case recovery slack is 33.311" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289104 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289104 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.311 0.000 C25M " " 33.311 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289104 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289104 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.135 " "Worst-case removal slack is 6.135" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289109 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289109 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.135 0.000 C25M " " 6.135 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289109 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289109 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289113 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289113 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1677601289175 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1677601289198 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1677601289201 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13081 " "Peak virtual memory: 13081 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601289276 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:29 2023 " "Processing ended: Tue Feb 28 11:21:29 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601289276 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601289276 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601289276 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1677601289276 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681561248233 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681561248242 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Apr 15 08:20:47 2023 " "Processing started: Sat Apr 15 08:20:47 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681561248242 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1681561248242 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1681561248242 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1681561248360 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1681561248512 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1681561248512 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681561248555 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681561248555 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1681561248601 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1681561249057 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1681561249132 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1681561249150 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1681561249166 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 11.915 " "Worst-case setup slack is 11.915" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249182 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249182 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 11.915 0.000 C25M " " 11.915 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249182 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 483.193 0.000 PHI0 " " 483.193 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249182 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681561249182 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.411 " "Worst-case hold slack is 1.411" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249182 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249182 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.411 0.000 C25M " " 1.411 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249182 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 2.670 0.000 PHI0 " " 2.670 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249182 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681561249182 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.174 " "Worst-case recovery slack is 33.174" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.174 0.000 C25M " " 33.174 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 973.979 0.000 PHI0 " " 973.979 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681561249198 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 3.467 " "Worst-case removal slack is 3.467" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 3.467 0.000 PHI0 " " 3.467 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.272 0.000 C25M " " 6.272 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681561249198 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681561249198 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681561249198 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1681561249261 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681561249281 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681561249281 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13055 " "Peak virtual memory: 13055 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681561249343 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Apr 15 08:20:49 2023 " "Processing ended: Sat Apr 15 08:20:49 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681561249343 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681561249343 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681561249343 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681561249343 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 4e3f7be785f74965c0617255f6e94eea7e00302d..6628acb0a19ba03af00092f03775e5cd1ad3a613 100644 GIT binary patch literal 10385 zcmXw91yEZ}*DlcF?(XhToZ{~8E-CKr6xS4o;ts(n#i2M9cemp11PT=RL*MV8%sjh$ z_V}K&_hu68ckkX+BSAs%-8(zThYK=l16rVd%&Ktn~N#*CypKD4c=Wn;{JU#axZZA?c!G1&&Te+*tzEeaoGFUHT6F(Eqe+COL zP9OL_cGyOuSzHaNk-fYKJbnrl4jbQfaq2tcqkAgYyo<{A9-kl$+#ee=531w7<>iq$ zC>?-NZZx#vpQtxJ^vE7~ah@V;E7-h9&F;$qQYC$um_q8gH#sEV6bRp*&@RHrXaIxn;n}ALQX@ zFwspCAtX;_vWeFjq)NSy{jA1^A3o8xc?E41uyhe~U#^(a+^|9BOB7HO6~Oz@>1p+2 zaC5QaBQ=2)`>7N1dQEwmFizhEP$0zY+wvEDi#($D&rKH*8<`CYPG8%M*-{vegt}zt zjpR7-Hd>(&7!K|Edk$|`J3S9ik)8^<3V2Up3xA&lI*q4?sM4gWnJH=1BsGLXBCA`F*Ko%w0U39YV zoNGP#JI(8}B$EZNhEV~I$V9`J`ECnnymEIb6(J}Z!^_(7;1F2sHv;>_Lc-Y{7z z*^qr91|Cj8*A6xP;~P^GMZqJha6WbB9>#x&>c1=?If4-MSr4B8caHtUeOMFxDgC^b zSkE1pl#>sO9yaXWAj$U_(fy5P2VXAF&g^s$xhtgwzpP3aF}Xc#K)EX*C_$DkID(X5 z(YcX@RKN|U`M^WlkCoe0kxf+!uYlW(?Uk)7?z8NA(xR56HO29(e8%ETQIXNTVVfFB z$w3*<@B%!A`fGm@N_G()YL^z5!$lnLnrm3`uqIL;gI{TAif125janCmGufbLQd`58 zA^YXZOPdO<)KBiqh`^wDhnQa}`Hw>1&n@4V?-Xd-i^4j#5b#-n1aBmzp$No|&6gZ8 z^bhYXUqz#eeK!)m=X*(twT|i%Jz8~;67jN1cKed&FULj4fxPWr1oSvLU#>Bh%YWeu z5|M%v3%xLF>z@k^LH8x+PVu88sh24K;S{*J>%fLq=ObyYTv7V^mD3Nqhg)8Le z;3t(po19bVp!)@$@=ZWX{+XvNp<|g!bE_9RKS{B1U8kJC+GO`wb-Xy{x^|X61^5^6 z6f;_Ak%B`b2&;`~11i#T&0~0BCX#?}t-zgi9xpZev8z#ZUq%R}C&!~1ngcblQObx3 z4DhXu)iKztKcvN{ zYVobhTE#n+RwEJ-IKo$8z%%WK7m2muI1@OQsH`=r?)3xEdb!Ul51_9cXEw4;p+bw{x}{=kXy;@xBfzq$)WgrFA9O}`V5;X0YIAFkrdwo6*u z8d_(_YrjH}FIK-vX-?KH#vZoE*!28 z{dP8CTW4?^0e)R};gBibaL0&dqF7=)=fZKp4E_a zD94#qw`kT*qH30s>Swy^XL*WQRB;o{jM+j*-<}+BT(D?8(r5HAayBaCXp(52Z-W9B zP+lc#k_nWxfX`iUc~w|X%M?@R6{mtd7JESTqStiU*)ulw!Ge*}DO~IO{Zb>>${#D) zn$Ez+=vBL!CHURlyW_8=>?cCC)27P?w$i^B$5KKJE_|i-DDs6V=5wUzXs(E%>Ujw= zAxP)g9@RI8KoNCcl`Ccjp>G0WJ6wds!M5t(4>JMWfqkxS50}yE=xrr%Z9RhxhuW#a zyZF&<6InS#c$M*3ywYql>0UE3E)or#q>KGVB_hb$1How6w(@>|d&sb#L4z&7$HD{n z$Gpy$FAFhVeOP7!iTSig09MM%!Zsc6lLz%Yx1tuZNwtcP=rNe^gJuviSTS)Z z>3`%~!-un=@7J?OhVwIznVF#?x#?y|@SC#wh84=t`@Wwg{W8-tpkTbRne|fpiE23d z&kV`Xh@MLShrzjMJ`wKhsERCw(-;<3%EK1L@|40;;9KI~_Y;~A zM*VG)(;m31=rIoPBQJ!@OQRgq1MWHIYI+gK33T;?K+{k1%j+9OMdR)hH9NU?e-hSF z-2VcVLoWBPh<0rxo7d039y&vlIbgwUS?@e#f5N~kOQqZPeOB$@_sUdGj!O1tWD?l+ z&Pd{OpD>#%kl6P}&H{emK+N$hUVG5oNOQ{sM%+(cgN~J&WuhO5sd8onaqg9%z2@&Y zFgC_SMz7^P`-d+s>*NrU$-K)qXAlNSuVIw?U$+VZC0a&7Ungu&$adGH|%rK_M9G&B#axmP-2F1voU zfDyKc#*qSp+vVY2`yHs>KiP|^>LB|hD=Vu9{G%{8yJTSE`7<&x)5;RTvA-kw^%9L> zSy@dH-1qnzRZF}WmXBNTXB$2TeS7Oj?9|CB5L@)J4$(+kDpM7Wg&9-gs2~=i|6)y{ z1eN_2@<7hbp(@|;8d)+Spno9l!;@zPsB#^2a$7Cz?UsP`$Dff_jc!ScNp(D#6pnd= z76m4FQU>Y5&wkD@{jm|K%PL*`G}z@PnmDJesoGOdR4Z4OuggKKM}0dHj?FV(#+UlZ z34XnyGGN3y^`h1lU*jO+Io6BRgyo`3?EJ&GBIiWxOzEvlM2byal!cXv6aHrw-kE9u zn=&nDqN$oZFk9MXbqND!J=VFVti4$y+VWN`=D9+mJXM}17uSuTwVyL-Si!^g$ap^l zwDwiCa_9&4R#?$;j!3O;oAVYJ8xvsnn}1sx@X8`N`p)lKS!<2|B=_o9^18>aANcv& z%;NQfa@T&|)nbPhVmckC9(t|n<-!!1dsE@6l1tXkY_%u-VeUwUdZJI%Q%`9ZsZjX{ zoKZT=EhvNKdxA$|GU8F0E=W~R(rou^{wF+`&^pCOOFhQ3nXza+x@uoaIaCRChuZN+ zKMJA*o@zg3wDv|2(#Zg&mc}8!>vIk!q(q>Gk8|}6sgHYmHJbng}ueL*aV>1o1C`LE`68bE}#^pRQP<{~CZ|_|i5Z*nVm|rnCR&Oh@)SL#x$tn7dN-?OnC{qeinYj=};-EqXM2un_ z2hUGhPrqQ81!rlV7mAuNHpwHY`8kG0HWUx&qnH@UVEs`B_XPvGr$ehl)Jpm9`!B>z zGpfluub_#qkCqRb@hpJMOhuUcV}CY^VxkmeP09W&_3wQ7`v`o$GPyQdzQ(W|XSViT z*A5rVZ%FN64TfRFobon$Q$El`$z{s0&=<-|Q|90%bttH}b$f_R<`fRtj0ulW9d$z+s#b(2DM_)~k53|6W;<<$&|79a^*Z zo7Amz$S$49xN+tx(h!VSy1IR&g2<#qs4TCpd(k&-E>%Z2{T2m37NSsuNBS-b8X%&1xQZA1swvG?%jXFuqN9sPtX}>rcac+Wr8GgoR zH56DjD^FS-Z1cNyfZ9h^FC`hCbKUw+S`0LWaSQh|?ptfGo-b4pjv}ZWC09cW6dUC( z&J8om@y}a_2b?EMV#E-XVhc9cTk-wl;!Eo3#Rb%Up*Z0up@uQzplaurQ0f|&kPJRP zcva$+Fk_<8DjfMWjoKZUE3XLpT*39EX;G+;(tY`qF(?QEGoz*wU(9F+>2pO*FsIfQ z8K&Td%I1k@A z9@Rt<6>7m(HAQ9Hk+o^M<1l+RxDji#1nl+1Zjy*2_KbUSK_oJ_7o3Z}T)*2~M&aLx zp;1QVmyE(jWbU#H9gAMEJBlgKjXhf&Ex3u8L2!zfK?_HIK7k^g#L}^u1S47 ze%{&LZAgsMu8|&zqxOXJNLf|JjNX1)9tZ4zqfu|hr#O<6{aM{h5q)j1Q7SHZe?d)I z0o%5eb-#}>Ad#Oxr67?<>9>A{0@F3A7JOJSE3@v*Sgl^7>FG%HaLWXDg9IpB6VR+C ztw>{HvD%BDaLEfXa3KO3??;qS5=XTRqxniqxP6Ww2_Nc2Y3?i$m*xNS*XP!MS#ZaV zKRbEO|Dog?0kHZxVsHO?3o9gh%Tk73FAI3pZq(I4W2CQ1 zzec7#cMD1e<^gYCLB#yA?Y`#(i)Cc*j_6MPSzX(cAKIr`0Bfc$gCY+AMtw;B1ia&Ov;Y9s*pWDmMn_g0uTpZ7=}iGnPyh=q4h z)=|EOtR1EQfg_gvu=HzYz{Y*Ug>a%}_Nme ztj<@>E=;N8H&d9>{-u;MX^Ag-GUV9Ph*#+<&{hMP@!u@uoH?5^N8 zVcBhMSle>O09-S@0zHWYXhtp?)LG5^LW7QdL2kmIbne)ASj zWlq5*jCK@RX2$@BroRWn5ELz>=!qG3*%N3nRn;sGmv;rqsRo@T<-gdbmCviU)-ZD| zoIITsPUxiSVT0w%+f2Oae(O5c#;0La?A_T$*|v593wW~Bf6$wao;4OcX&BWetFbie zNNA3p&7;q|`Y9D@>)WzNfgy|w;3>&@y8vUbzYbuzOM5h?`RxB$J&+qgr|j}eu71^A z)07KzMf#4l5#?#Cl@t&wnbHo{(H5N$62Rzd;ohA5-eAX%7qXC-dB$UZ8{^6MA8b1{ zL7?S%i{%0y`S^D$qE9)r~zOL8#tm9!l+iZl&WZ?ph3#{lk-hk)Pn-+8nS zlBqI$K?P@*GVkWUr4n{92GEh%$LfpW!MmbDo>*^tf2{D2dh_BsOG(c zSOXdBmzSx6>Hii5+j_j{(_PqLrlwK;Kz(J+*KfoOLljUf_dS2{3%1qDg4cSYko0p% zaMO&}6oD;I^Qmr}D>o=uXhaR-QJ91HA8-95^$&Z#{@FZ8sD4t#;#ZgoEeA@GAe@s%kio>kyZMUL^3+UF+U(3r*(Q``C0IXpQ8x^A_Pe+d zxVh^?x%L@5i@WChl2}m__OTU&U8+j07Fgc+VIEEhwOreyMZ1DWE2zS|+OG1-N3?Ow zPRc+uT%a~RP547O**ioX?u2dsUEfCkJ=@20U1iIp&p_V?g927AEwWp=honcl#yw4i z@z3UKlCkI94q1VygHb^+0MYY=?HM|}8;uUc{o@k-89ptWg64ua9A)>@-Ua#inC~5h z()$YW-)FXyyU(z+TT4o2q{ZW-R~hQ~M5XH~0hE>&y2M80=<3>LF7)A|vU=fCqhH>J zfWI^4*mps{$ker42W(iNDH@5EKIjjqH({)@OthiHGhi**f(qz}vO5HDa3ibW!&0<# zPcJaw87k}etfk0{t9s2hWB=)kWQ3*w;NZ%P{^KETp+r}251apKXy1IuBtu?|Y}ROz zne5h{gB+VI{9b&7kr{XZQD0eiNG$%QX4@X?OTu@=*a@V6o4zIgZSG2v7kk1P%(j22 zTd(OZC-{=U8Cp%lOQF{8aL6$A+Ix7E&fJ$~hWbM^kdM2qJ2kL98Dk|T+0dQN5%0F} z9iq=LWAhIx-d0A{5|$BP*N~H9&(`XceXwS%))!Nz8{6Y>kJwpdP0C_L&6vBfrX-D8 zP@RaA-mi$dE^iytAPPI(v!>RW3)OdG45<~iWzfBW!#q`QGQPfFuQ+vUm4(Potzgeo{vcZC{@Qf zGo2I9MYdbi&?qQ^VW3cnXgmRNyn$Tzcc)9&_01Q1MuX08eDhcIW?03={ceHj`Q|39R7ddy+wZ9&u4l1m{lF+G* z;+ssOr)#U|+meL37$E)VuEOIvhvKIS=Z;Akqj#CJ6Ms(1Gpe02oDi4qq?~)N zZf%&DNgh0B3x@&+mbXW|DTBgdkQB4!&Cn`wxqwkDM*qM-rPvuHcBQAK5o-ebkML2k zGeewQGp}tZkjMIuTd+8GaU465EkNp;-$ZBE@5`jj8%dG9NXsM~gm)8!t?JwzOfO4d zcATqcc08_p==A3!Jyan%AdKK_wa^}Pbm$gw0gL@+3u`RxJdipM$yf60cd2-Y7SF+A z1Q#hV0%ik1Dr} z(b|9FB~gN=RYt*h^Qa;>*~PqfZq;Zi$F#Xxvyn~Ng0Xh`;}@0Ugpb8>f96KvFdGVG z`VT?kh-wL(Jyl1YU_yP?+aMn!93=vqyD?ff+A(ZdKSaaS}h3ckK|i8y@sN~-1~S?SXM<*A#;xG zV00p@>^HxpGkn27ERBE(CbgZ@i>_chNNmVhr>a^`Rzn{0|DC1pjEE+S7L%>wvq(3= zKX*$>C6Si3!E={X|MO&7RheE{(SHH^!L0kA!9LAiMYBNce~x*EgJLfA{zq4wFYE0G zBSSQUjLa%ZLk(z7fl9}ZpE4>`gxD1Nr7Rfw;t=>HMxs=*)1_p{gkiyNr`^b;=y3g| zf#}P>I1AV+7v{YW34%l3$|wS1r=WtAbR3%Y%{PovAW~oSFsjX%ibMeAe`mqVLiIL* z)yUeMe3$nY_UDX|OZw&G{m0G!6;rf8@enPfQ?gD_wFG2<0m~hfB4`=16jrhXSD@x7 zhGpBaq5pacayq_hnHOI$$=}p0rH?aV3SD{*WrAtPbSiv@aAB+D)%v;NhEv8aB2?xK zI)7fHB>eL%(LVWv$?}P7mCr!*Uy0ctjt6CDaA=uCP^~$Q|x=+S*?{KPqB}-G%&YXOr7V^dHMu@$nsVlwEh!zRQc?U4F0^P z+w!=Oyo9u>!U}3G`o?CoP(u3Sn_0-lg2BiJC9QAyzw4m7T)ruJ(Qy3UDHF2A<8E?C zTK-=%us6=g3KRKKt(3icyGBOdH-JdsG2MPvEh&SIwO6e)1fy|ENzt~-M{7`}1gmjM zH@c%UJRx+ZihjeXKz2ilhEXe|04F5h?BEQ~2m2!wgcy}|s~yIPbkSHfjl^wx^{fb$81EM<8`gSJ~?Q(~y+P$R{&x>SE2a2t$o!OJhs{g5#gGu!2DD5zb+lIWCZdnShE z;|BDo2RiMCI9yMfylG+N{#)i1iWTJw6ct1)on(g^s5pz`0>m2H)!PzI+OZD?D|x|8 zUw9VN5U>L9F=z{yZaI{P`f==k$S(;baN06y{E@`Y3LHQ34}{j=9r_|Z0cqj9DD&AN zzKOC8jVK>0)y`T>=e0EMUi4@L?;qBw>4zQw)@jh9$*>19$HbJL&ZzS(4gm8iP~EE zP~ZKG?R;Um_B_md6|l~FSdKWD@^d?0RJX*%8cAF^HF26hjKoc0`faBNU8cf|?ySLP zb$-Vci7|xDi3)W4LBC)0^U6Anm~Nu>?ST;4meghF3Pa!du%AORkyH=Ae^eZOonrs> z@%+agYw3*y(}q(_i+Rp6f4o0Z3TbL?zMe@=tA2;MvKfGW^-entg(eH zru%9;yT^>hYOsBe8z8*FQ6U6|3x&ppEG!f@r+O&?XtVx>))2^igQPcz zd4rHQ@O=ZoW{FAK z8~ojwQP#w$;^!)(J07L7pVIUEk3n=@Bb(kJ!;Pn2jYrb1@MMvVyFjo4zmQwQxhM1_ zfvVcW#FEgbXGV2dX6AV5Zy8S6Lt3j8{SW3o-;xZkRylF3s4oIOZgb_{7z;PvRura% z0gX2r-2;l1Oeh@8Srkm_9k&ZG=EnOCaJhsM4Q{p4c3kIfnRFuTv(h7;zwjbA7w5Ms z=w9_sizeBxogaEFP=oy+y{cxfc-DYF9!B~_LeJ9yN&SoIQ#tLvXMt?+ku{q)3&Jv3*9?1A3tV$)42B~CLxLp+(pkh zIOcD3q-hMMienr7ee_@@}SsyRau&l?GtIzl5+##y__@mM3jpOpLMch2E^BF?+waCU!w;_s7F z8qD6RqP1YpUa&-txXFy`aW+rpz@M<^gzW<<4Vv;b596N}`S2VEg{T1rv!5+;O@s32 zmNxeg?wWl$kGO~%%)o++ef$y|J3tBu2L6y^Iif@f6xfocZw5M z0#AR?^iX0HA34XGAhZCF#e&_v2!Py_d!Tw=`l)B(`n_Nd-ICw+`_(b5swHS#55HyB z;X*WegDP{RrHy7vT!ZdA*1oqnK-V5>wH-N@#ndm|tp0=j1!w@AHekTkkw#r4EMmkDJT+1tfVX>%-yxv7N zvL>MlXb73;vv8I-@`B?^Vk~c3Zz9&SjU% z0|tqW!Qnf;nzL%<qINwcN$dAG1nfIIC+b?A6GOiJE24r#_@M#{5WBc7>W`r z;q@^dXYh;FK%1Y^#!F@i3&%>rR116fidC&U{JrWybBgRe?sG+PxRTJgYDVbe6Diy+ zuEDAAC-Gc=mdb2FA2r@SK0w_OYES-XR!mFe0IakIbo!#czn&obuaH0t5i zhwp5{D1?zln8!xx4$&EA%V#;+xwY zrOU0?BUordbLPIu+vjssckbiWIp@qh-6J|jQdy9E_i4`Qpb;yH(>4VB$JyK*+xz8I z_-8AYcMAj2C1}uT+}G)bY>eWZ*Q_}>y(<{K62jNq2Gap*a2)oGq`{Y7*11j z`g`c!(%B^#-kvNW;78;67brDO>(&2LFuF6Qj!+Cdl)r>Fg{GL?(lUb&oyA`hF4_{j zZtlIjy-~f%Cp~nG;+6HGoBXI;(k3{^NEcJf+|4xDaU`x#pY>)Zf3L}F!if4{h=r0L z$L&)IxipiwL%pI%V!Pl<4n(kS%=rn4n`EyVWeHz##xI*@|j~0!>Ko*N6I+>RYnn#{(p2zxkr) zM^P2p@-L+aW(y#mh>q9|Lc2c`9$X~ad20d`+}~XD`Tj)GAs`4)86ihR3IBPgDTg~4 zbny#thEy21&)D)IFP#_~zgVE+eRLmXh%u4$7dR0tUE!0ksv18Z3;Ninnd;$K+Z#^b z>cWtCe93~9V*&&zZTK*tZF%Uu%p>>WLFa-|*$ztc1nh`>2lq#*ltq(@x~DK<%v#BG zySs;Ei_~lWiY{98J}Xqj@41DFDkL0IV}E<>TSB-GZbVmj#6X|En;Qt>sG7QXE$)m1 z@jOZO4EXC07!xc>a^j3x*BQ`qyGR;xDZSG_X2W#v^dSnG`rwuUyWo?&0|wn{Jq=8Y zF@%ErPb@pYn4F!hT^mTN$9j3I45c{0Le1%v@A0p-9nt1e{o_*$Viu%FuPK1qB3)U+ z*KH48ox&$;T~qyF)N)zlQ3>#D#?F2CB0)9^>{@O-oAx&&db4jX$+LEkLD;TXXzsc; zc;#^T0q+o44$77$>QVfe{$_w)uJaQ->wr1Y=6t*AuM?^@eiw>W4q?Gj}F`ev4GnOhu4zxdK zOPec<>zFo*VjEApxgl(w)TR>}mFbsNyw&3ElEDj|FsFR1A@ zH8cY`Dd(n8#=z=G^s9c?U1HonhdlULmexp2iBiOk)PRYh8A#5)LG$uiCKknMa^f9T zSXy|<8e5kVJiLe7KcS4g`*><^^l+<4i0+25a<{+|8_L7Nw*X_-NZnr;Q{vm&bB$kh z*ni-gc-wmq{~QWi$(|NF-;DJX(R9bB+z8rX^uxsEV3iHnIF2a8nx!=&rMl>75fv zV6aFwxE(d;FN|7-UIP(UXo|07Sl2y_7rcN8V=A2QdEuowUyD?bykg92FjUwuqOpcn zsN)w!?RPcX;zXn#)*rg@6K=yC2k_Qmoy9d2OJ(r7ZIsCg328zC=!k=Gr7bzZHIx@v zGYekUQBjo=3Fe5bc$`^i%tZ{dFZ}?Lep5s@rq9~AKflqm|KLC3(%toOKxy}K+8Y>E zr-gL_09h|GxAM6WO^#MqdbjNoyfF605?sq-vw1kW`rLBqWJc#Vz0Gb35tDroyWnPg z3GutQ5rWRKKv93r?%JHGQ{&Ee^TtJl2w(g%@_awcgZ*H3(hO`FDI`H0Wk$=SIDR^ff#TzsQb}K4@7p@!%W0;+D zkN2h5Vyz#Y7)S6$>=1%I$0v;Jke!{=v-*D#{Qj+koPJG2dX9EQ)Y~kX?{cw~K|@$Y z_-Y_7QBy=gV80;H@bD}dfqUKWT6bsJwnZg!7IHn$Ni{1yW9 z+EMuIZ0}6}>@0zD9KVlM6I#vpqW+?9f>Jt(?=r6dahUIN<|?-9m95jUQ$d=%^1v3X-=XACyIK1az@6genreo zyxk#I6+Le88F{VoHh~jv7T!am0Es)9*PdYIZgM7c5DWu8ZrO9EWwviBh@r3^C~LTX z@4kMUXjxyEy~pl4Z4Itc6}jfM2D3SDitXr@O|tKLmei|B zH_cVCeM)$4s%lJ|{jkb8MsIfn3sbN?w~E+8Y@uW>i-AN}j`h&rVCNCl1@=h77x|UT zvML^LyHJ;qm6NkqEsk-=A-AgIa^Z_!#pG@OS%wmZ^!$&M^Xoj4i)eG2`f8XWHI&@4 zhU-NGLe%J!*tmiW8`p8vPCIeN_jTXVhH-~#5L6f!S5ULXY{;(jg-ZBKrdL^1-|i_* zVf*+yl~aFDcHzRs+@mW}?6D4hE?TA+E{}+PnzS6=eNR&+x;V3Vj8?>x8~ht8VAI14gFnyp~olz3|USwT>1()?_-`1>cW$K54*3(H|#M$6)Uz5mlw~7{Y zVgjAkUJ$EPXP^Xj*qFs@g!?0=WhPCYd7oT9PFC=sSPh48MwjJZLwnqN5`2w59LS1S zz%uD3z57yiPgCUD)ioJ5>B&i`-*J88b$KDbH20EX7>j&gxPyR+JSA}%atmCz0fP?h z+dUaFM#KUsX&Ae8jCpfOHKBx-`TK`^gALTKPv>by## zRL*@AgWosR7e34Ii-*PJ38R4?!Lh4R{eIrOtzU7{9xMuOIdam98|YF@iMG8OvFs=~ zL01yP`#)0VyZlBWm0ql1q9RU<_9gJMr)MWM^6w}%8F@z;IIQZiphz(d_5{`MQE_*u zIC$Idb4V~}%CXU_J^pYkMu}IHa$OXkx6vsOwFO0zBI9;MoK-qIkahh~kklOI&5aI; zGU>Sk4Yq*9Q-B`nzscJBCm&nmCv~c(6JUR%5tuxCwuBdAq7b(-sHcnWA2DF4(CV)< zbC_gi7n4kxdk~hOR$Ge`qL883aiPujDrJFBJEPoH-!C>=uHeF_5!}aT4+DmHi7i|z zF$GdsGEUWpfoR=JpZqM1IpVD@oeIToVk^t!_nBu;0>jfBc+rQ8fWf6;MRe#jM4H~* zz2BvF%67ARW8UiB_>@QJqTZF;YLsM6W&Pz!X}eIWz)Nq7`8k~3ITaF7=Tc@q6%u5U ziXr+yArsqvg%fYnS%LKVmQsSml!o!8ljJl!(ltC^uSibLjP-Dp7pZmbVRfm~|C^%VB5mK-xnC&<3 z^FP&u9LmKV`9Gm9*eY-hN4K#G7;D_}xLmDdMXi6sIN~({&DgjV>Uw&pYB(Abpl>D$mUI6oo96HdmlC`9R z+tIL*pm|m)X)0VLhxm5%ss>LN#Dz9e#!35YKMeaVcP| zJI|hm{9r#?u+S@xfj$(B7J0(iVGRrzd_IU8`4dk0vm!_JWWhK z6T6Z>urQzUe9|;au$KWy29p*XP6&ZehbM}e9xQY^x`jSp`>=H$?iC&8O!i}{zSt1T ze|Jf&PK7G}rMAI2p;qMYB%2dgzGrRil1%m~cGu*{SJJZ-_sC^jyja3VS+hHOyvggiurPdS{lrHHU(=FzcNcfC^M(f+Z^AL%jDcvdKxsQ{ zgR0P(0KuJ586}3vs7%vISG1LsvL*j5)Y)zfnk(+yJnXOPxhIPhA4rC}fIzJSLseM@BGfeo0JvC8(Cb z5vS+YFFC|?`kLsuwT+Z~9Ql>_eN6lyXDFLh6hRP2S-XTH-jA>Ds?6MR{gK2shCAzXr$fCfleUs}yiRMR8gb{X&0a|O+aqp3b$3m0 z{0`CXGJ#XL*?|jiq6>)#gAvr5`%o2wFyeAMURo{LL?ZN~b!og>P(*oh%at?B zu$qTK*TZjrHR$TyD1!+Zd;_RB#JSoQXP4dsbKm6H)RyKNFqL(@J0G;-%Om<4C3| zFy+x|Mp-v1c_d6#qn=B_>O2Eu9Ydmp zDFFCb<%2RtQGYtg$?ck&?_iX}=lW(k;&1hQ2keJPO^tyWA0HhurjU8}8xQQ{EUftI zf1!$r9Q~PK^t$I0B;D}SO%ZH`pZtR}xK_aA_JQ*XWKXzUX5i;v{iCbBHZJ6 zXddpA500Ps9w{vOQ+Q=VD{7SZ81jC%>8kVM3`T|SnJG|Br6#cDUH$B9CwS4)H!MHC ze`(IV!Xw6Y-sj!5kG-r$k{%3n)^9yak!eXiH0USqtR2D34nnMny@?WUlE^|agEu$k z#tr7Dq|nFdX8U+X47*-BtK;YIDlX82Ngq>;y9s@IevR!ZA*sK^pb)+uK_TrKvF9#v zDlf-$HTh}=hM}1QP`gL+`zQXkQJ5VPx9UnDMRl}Hxn}^Ge#w7TaFr&t_>kGr_c;d* zA6N3m%AU)DjwJOuQ!u{;OHwlm^DBj)!R0;1k=tg4jC#3x)E4O4)MoP6rN0yt$}>Kt z;Z1|bCSLPh=nnY=xN>cO2qZ!ajHACii{Y7 z%GI2IBB~GuLoS#w1blzyrtF&c@xLw<>+Ua6jO!7XlX>iR?DTGBFuBAx|I=T1bSiQGb) z3^E}g5k|&$Od=&Jz~tl^*|LvKBISi%Lig_qvGGDeB9H8bP=A87UW%d3@PUf*6Dasr zJ&%n8_>_|>_WU5D>Th2+?T#9MWg8w~tbyi?x7r*Nl4_>eNKlL{`rQnEbIsl?JOv^Y zSyMkRr{%PDaMajYlbe-7cQav>cLRY^jsmmIqXQ=IO&XMe^Y~Xa64jOPV)F(`5%UHE z!XWe}zR-%FLi643(G~c0bk@~x%2ca3V`_>R44wAbDEi+(*?BLcE<2fYn=!t)zQZ81 z7j94Gm%&UiP@(>@se#&)s#!I5N~iEV+w%QL-x_d zdsh+jP6csSE6OV69Gy?rYGsVysI8hRT=0$;EXPRa8?5p~!p1^qfeN~@>=kpVCNW3z z$cjQaOU>|)noFlmY#Oym%6cuDylP9Q3&;zu-h`41Zht(LYGc=|Eys~Hsf&2*YEyx+ zYn7B0J9j*>I$w?g1m`Q~?sVwqt?6)!PA)Lj%1#&X7A(D+BwSbZsq;1PoqAl5-XJnS z%Ds+bubk+k#!j6g9^2YQpu*ZQ7u_Hpoz=-JeS_D6!SJn4dAiA~jFFLk)5d-v2X_i^}V(TBHCo|5jrs&tBTyim?Dk#wusEVXoOs#f;jRGkDQd$&Rk?Bo;= z%&K4$+X{9$dS%AsNRSNQCt&Otj{$47SV|#zIzP?x>>6KgGLLs~;Zwj@YlD#r$pMI6 zK{>}UfkS7eem9(7rQq$_Ag<2pB@JxLC5D}c3lOOm2g!tu>3j>Z&HOUWRs#KpZj)bq9-twW6E7p_Gi*@w}G=*%>Rv&~dU0t8Wj z3e{pI{^aR++DHrf-OxYLCmJW~A{4qt{7h8|5!ON_7Z4Jh7~GcCxai zoEeMpCARY^3C|?SQ|va?c8$3#4?JdOd3B9;A#%r~bfE!c%WcRQhL-Dnv&(DI3KtC= z>#tjX=Bwi&6tm*ciT4}xm&X<0F4d_!Yvjr3E^@%*PYVVH8p(=Bu547U&-N^{sX*(_ zRI=7L)*Lshu=~ga>H#Z1!ld5SW~Vlb#A5d?-lDSwBlXma*OQr%o8pPGkGskKHfex6 z)fh1ilE-P26KzR6@~>`Oih|M1f*470cAs%ET0p!Le3X$o zv~Kf-$6=SX^tl=2N&PRiK7@HqZsnR zmFUwBTyoiBQ@T`A=E~LFuLv|tP$4u@D~5}775p(qegtgzv|_?m2I-*N7feGk$dtCx zQBz$-Hc#@Eq<;ivA&FU7Im3@3HEc}lix9hGRXBUL(!^6zA>o+5L{c+#1%A8K^ri~B z{cl3ecE>+z$Uow#rd&HKDHPY4O06XS=*lnjhgap5%nNpEv!%aEV zYbq=}lsg?k4P^;8OOe8>Xrz0A)lBHH9j^B83+BTElLg-g06c#A z%vcD5FqES3%JB{TYDg-^Oa~=!TtJ~Wz1JqcQ(k20r&_~_T5}BD@)``kfFy4)W-4NN z=a@Fp1TozTM>5#>N$j69bZ7N(!UMHXSiA^kaTVZ}2}OI-OL=8YQ5Y%J|DTE8(Ehm1 z;DI3-140rA9^)w`6l`%1F#L0B%h zQQC`L*?seaU3fB~m@*i&_$#Td=~_ldcCh43=TOL~FtqsNk-1x`=_Q4Di$y`PZ%l`1 zYseG{wL7>pP)sf5*|432e@@Rqs|vd_?MaV+%(KcH3yw&H$0%~D++0bNl z?)~~~ufT`zLjOBR#%#K>*zmt!{?*iq5uV@YCM^Erh&k-H1vJuEN7eV{3-E8ax)Dkw zFpEzBNZrw(^0XuY7@GffV0EUErW&GbE@c0<#}h!xbs69`(D}ymO|=13u0G7Aw;c*` zJBl*@tI=%12<^q=exi`ae}~&QUl}kA=!W_q5$Tw3X?FoqfW(1L|CRY7qG0>id<-bc zW%BDqne+Vfvgi4o4G8+?%a|J0%U+ksm;u*C}ul|~t(Jb*$xPrb5=LUnZ7F}qfoUrqAX zbSbLm^;-k;8aEvuYG6eEBYVY4?(4^3$oV#Xk)u3P3`8b<>s+J0<>D$%kL`|p-Hn1w zM1M73I&1-$y=Q18EtcXFkmbOTc384OC~JG3W3+bK19MPt`NaA65AZ6G=_-)rBsp_w zgXmPi-G99uVJl8daqZbNK)L?bZ&a(KF%SIljBjZP1M0Jhp&^wDskDmbD?K6Mf7=>p zF4R=274dx|amAo6N zY7z+MptIr&{=vm$g4=P2_S|5qk9s_3#;~AeUv65t5925?XvXLF9&%gSndTw-0MCw9 z-g%n}aHXyuD+i$M&By_iGnw`YOVcovzYYQ1jE_Ei&3k8Qd}@qDW~P~ExP#9V99_MW z)dTgfkdh@1Xyh-(tp$zS7 z;p!J1#|SH8c*lsyew0QDNFd)to}C%Ew^)%(#M>l$=vagR$X8^_iWi|-%8E|!L-Yl3 zf?LGnh*ZEK&LV{hlQQw&fP8_Q55i3bBxfpp=kH%XSffK$7tBPbYv%+U|1(I3*NtPrp0M<(2dPHbq$4S-Foq2cB^b zvpoO(2D^HLdAz~+-e5Tp*#0C0X8i`Me}gT)!H(WwE^jcNH(2&tv^N<2KJvAZbs7jF z;=g%Bym|D!d2Hrl|86R#+E(u$dI~fUS~}3{H__D47&zW1FW1aAw;WDMDIv7ngnw+2 zdcjG!g4tU7eqLU-`vf{C#q>NKQ#`bOo>R_Iz%^*y(HjN@$J$Myn+5M3hkBigC^ZX zFXk8bigjd;mu8#F3QK+Qr6`t&%j-Rty&AhD@H--u=Q{jGhFs5y*l!B1PyOloih|)- z%g!_rV36fszka5;Opa|U8O_DDsDPi2lF07JJJJW&$?*d3^U6V~6)o6?0 zrUyuyhIeebC9o)e_;mFgx&+qHP4%Oqc0}3boq84k!PuSjeow%qPwm5ypOK33Gs=p% zi`*Pl`VRGVi|Z83DsKq z7Ji$ESg)T+x;Vx%&_*g+l?JgyLM$1iiEbcO;v1@EKZ1H3 zSXBkMVkyJ!l3z^e-y13+Yl&%dpE zkeT{@kd}MR(rcl3e-)hw2->RGht7r6i0=qQXU#_$w{|qaVaN4Oi2)vQHe`E zpdy(G8#ZNjurFPuO$%2=1_yy$=Fr$kh*pmwnz|(FmMk2*I4T7a^A9e`|CSo>)dO7~ z`5>hybyh#%0jQ0|TBytxB)h5104_Evu%c6Mf-OYYpfam_gGuDnLOGKSK|~B@$X2t% znGHVt7K{GpJK&%P>VF^o#tBqAgcD;3C!uegq(eA)L&Wev))iv@D+qaI>GjnEN-d#V z;k_{G5ZvArRAiZQP(;X(2p+wx<=HY;fbO)Jbp9m+COif+g$`Z`perC`2B(fyS(ctC z0B*W(sBan9gdux<4M|DvH_OI(*ZRX^DC+XN=@(EmVviM4@zVWrR=|FI(97S-h0y! zYIo17DkEf8EaEzQ^y9=HE6Yn3#4i81b^m6u!!0+siMv;+K+Rmszu#Ck;z{n8+GdhX zd;-EPE{m3^A+yjs5Z#FvF7bR(Vhx+L3TH_}7m0wyh?gk1y;>iJ{T3k%=sg8WerAlK zU?}LIS?wHNJ^(>!V09=>Lf+W&cP?IH#V->-p=3z9Gt3|$PXaZ%Uwb`ZcI351t%~hw z((p#(O(h2w-4in&Qnn-tBlY*?s~Q%lt=h}T6wsdNrpL34FToFl0%E;dRuF>3E=m%B59(~QHmV5l?XXZCv>t{5;-K5B36;9 zXjXEJ#bh!J+suy7|6cum@B4oKAN$~WJkNc&4&Up0UDs{doH=vKROf>4bLN}^Ut7S> z1IN!_I1zT%$kJ}3<;G1$n8*{Sj~Q*X*<`fI&SLXsi_M!X?Tox!joeQ}95>o=>_h~3 zR_pbGzeA`g{~Ic9(cjCz-{Sr=eDvHg{KET&-W~YlIY*UM7DSn()z&V^*>*0f^H)u1 z+s8h~?KgfQY}5R7qjG*tY>tNL#lk(zlJ*C&ReT%h7&VNO^YsBLQr2f$=ywZP!%sJ+=*_0?-`4LUB+En)fc+*aFM`Ln#w>~*(>Zswm!K%e5(_dE0 zQF?<;ebd)byGeBCrQ}Wfrbky(dAIDRnLMJGl1!cr9p&tMs%GS`PTXV)8KBd{+|xMB zsXxqtmEQ+jBs{8dX1u#pLfl*q?eeFHyIX{BaUv>I#0qPoK`OpYkIy7ko_hJka^rc{ z&+8f4FQ2L^vvUv&7hql`opSu^g^sskw@C7^sI>@gc2<;Yv!C%$hSu~Um6*cB zw?3FW3j{qotH`bSrtz^}%+_4;xTKu3zoxQbgHuj34IpRpoupkN=oH@v7p0l}6G6 zSj}`yDmG;*-gj_mAQG1oov2T*HijXZczDI_`+kE7FfueSrkTsZJ|n7^Zk+)|AG zM=`pUTT9hP<293;lIO1`9Y2!tdvD6`$*ZA`FVrPTnxjj~)*q{0g4pR^vvz2dElkRVA&wUxSoA(9<8Ji&YsBM{lh!x2 zw6<8yLA;NJso1rwek`iI5sX0Wb5*hQ!?bj~w!FyOoAPIAV7F#qY?IlamBL)NLde)Qy!JxPVyPUB+z5bzON>x14*vE=mh(MRHaqr zYXKo7U#B6L1;Q4qblia>4ya({x{p$|EIwF;k1<1JTPi-o`B}dZ_qL~W#}*%R z1CuJ`14iANm|Ldj`YJJZ10wkEZSZRu``?Z2*Un72?{am?3RF+ZO~$l1As&m0fvkt= z77=s(+MIy6v$LMJC*E0K_^6w&Tq!+`-FaF!M>7qRvTIzkuq9jb`)VK-ur|w9hud3J zEn6|}Rhr+|H*VqX`?kLE{MyEU_jqTG6@STkct>r0V=0i+5H z0PN0Bx$;%+%SXN`9|^$KPSv`&7)GVhGRqSA^ykid5L-E|xX<~TX>JNRo?qLV?X=_Q zKD}dvp^#OG_wb#FN2N5W$ZoXqqMkxp*TLvp9n-R(BN}`BT~Z$C>J)b$dh-bDl9I4b zvHa)5=Vd-y_oH=_G;fZ2mB0}l_WyQ;Qmr&BUv91Bh(zfG#%8ZQ?&NZZCS6MUk-Nq3 z5$3bJYxctawbyK2HT42Jl5!&v!Lr;07-4B(Y$7oJ$Ly{I*yEjw4T0-C1H`A+Ls*wh z@2)P-4o@*9b*)9@$(LIkFQi2$-inFMd34As@zt8+Wvd$xv1fBLG#$bby0uv<26sKy z4rT4btWU9!O5%C!NcaA+9M+Wr%=fZ3xAB{<8w`-9! ztBf0gc{C|>zDip|?0-A7OMyF78)iD(?%>k@V?IAkp8MYiTsrzxM6c{&v34!cYh zOL9^!z1z%k@(_HPRy!jE(Qz-)XBp=7qNRZ-vVr3gd7Be3shI0PBnm%z^wlEtF&&eR zWxxDqEsr*?7p-o5l<1R}l$)34`cEogu_uD!0#x_yH(%5Eu`4O@RrBf&-5gyhf~3jA zrhpfuG5R-ty1SeyFBN#?--_(`}21AQ@!+z zFkoN^#Nv~>S_MYWUCkCFfFgk@??AMcwJon9|NWhjv@t;S_Pe!-iA}>3%uknEr2uqi zshVm2DK8&x>CH82oL&tik+Q?mHaCOTCtcO}+;Yuy;_KNRV4TM1=8gO%8~$lhq1l=Q z*w#1y)q=+M8~1jpRJN#AmM*R=(f)S#M9@0m(W;dvV>YqA9!%4$+SpjCYRSR0)cpNb z!(d&m#+lEDbQHE}w=B@kFl`{Psz+06$+>Ev0L1V>u%}0xyD#EB83~YS8*;lXX+i6) z8e`iV29-$)I4O4k#st8nZ1p2z(=HAsHYck?E3ne-^P!NNntNaL>paJlMkeOcF{jne z+3u+H1;_0WeY^G1`O*x?p z^vgec%-!XbidpY-LzFI0yR_<1qED@AWx7gbddAxSW8HG^w(kr7$DH0cen~i#<(jl$ ze!BvN{(T-*jROvqKOjkvP5`f4kUBfu8`%6)uE1WTndYb?L4VNe|_3uwA86`ASpaFg=5U z{|grx2{7P||D2Rwmi5m=n~~P4AXxHV1cp5cnetIj@=<4IqLhd}bW965$~9cYG7r7^ zX$(1O!BO%0#*^+TG0|b$HG20eAi>%M+;C~uC{sPrx_;>T^T;oBrNXWxpL>fdx9S9n z-WXTirIY@7N@IbhLNRNBf5T~OL1fKMCNGQ5g#(fj0vrke;y-acU4YR2=(y0YbO9n? zudP7y3n{C^{jR4nfNyF1@*s`7-KvQ`!1@p928x#F`L{Q5D*}qzt^QZ`}kN9 zrq;_fn-cS6-QNF=j%c^#+5o8k z26CI@ONXr#@=+-BKdP#IzAoUNk%a84^Iy&N6~I`4v-PRI(g$vA{8u@Ss<9&=82&?G zZJqeX0B4I1zSoWUPbmKLCjD%ns_a2*q7TRYFxZ5G8(>-vFhkcFy)a(m^85NjJ5r+S z!*w?{YnYmW`1O~iSZa7&y?FM$ZkehAqfb7+5s5G{R=|eiO+Ef5-@mX8rgZoG_HSa0 zJN=gNKQsq7S`I(4BrN@QjoMIiFy&>jrh)cjGX;_^RfKTj{mp;3|%iy`f#VD&F zOiRe$K;v5uo}5~b1HASx5qTVvlmI)B_Fv)TG}Q-z0PE`F`}silV5K~A*RFpo;xD1N zz|^k=vgeoTmE~Lyc(7cX^k=D7Q%H&C-!*q^1Wf1pVuj?gHSethge~L$k{w|8eoysI zmM#Pk3gSW}B3op=I5sy1Ve+G*aWxRRncJN*)gS^0o9oN1-TsFr)o5Kmr>e}-3Isat zAhsNvJ~ams?5|)|;VLIu`Ie^@Q=AE zmT_V$5Ii>Jqj6)PULXqRHSMdI{Z--uzZPkBp-WQkEd|k9bvyW}8e*8&c7v{crzf1&-yP!l#}KmSV^{5}6`yWnMo6Rp_)-y44= z(|B5K{oj=X23lwJXX7mH??yJ#U-JOC|1|rt><^O!6D*RIjqN!@vdtKi8e`|85KVIm__x&#|EBjws z(*5dx?S9nCMB$4!dkT#{b}S9trwHobtNeBWZuZYHYyX#KRfYWT z?YJF|my?0fA>;H;UstF}q0Kz}|C!xpRN-jGjgQpVI)8T63`8pFBG>#6dsEUu9s^oqL{tpvWU)Q(?Y#%tRziQK$Pw5+49HpGmd6{G6YsFTO2LJdDGfHAlqu-u=Vy3r;}u z&p8L1g>e}3KAeuW_573y!=M}Ex0l{woY(D|(%&4suQLbOvUP`+z-Jxt@C~qlxMzmX7AC@u zcupqQCgrwg{LNmUyt7gVu`ti$^7$&4Bz-#jvhlQn>ZP;408Yyi)c`k-uHP^P9^twn z!R?=l+jfQT8l>QsS(j1QyboQb7Dm#|$LAi6+u*rv_P1gD>m;NX5NYJart55g>mj!l z+43F5d>3~9O`?w|QuL~2C({*ybz&iG5R)F_^Fl{i@KgW#o6Vm~7vHJ9JYqKZFd5mP z`l{$eLh-`hdal_OSb0cX%(d~p~r2T@8`Ik1)qjVpoa8eWA zHT2ccQ9z(q7Mc+l_+FDjhgmfS{@dda24*;J8~yXb#JgnkuE3^U9BB&l3u^|qmL_bZhhm;%pI7$aCG}M&%^t-b=i}CHEi%9D-%^LHQ--u8=YjB zmWJBJNXNAW}m& zTEAG6#kf#~pr1}___OTQrM*=XQe|bUilTkIrS=^gg012~i6XWGB!bsmQvSqT8qCOePSWW~3*Na* z^Ht}#=c>?PG{~d477DMCEJk__+*3?$t(%jVrJ^i*^YUSGRgva*9`4P=$){IFk~we3Eb8u1|G8yv-*PJr1rD}Il?(hlKeamvoFYP5w zs4m%;erjNzMj7YGi+5Jbv(!|Pr7gQvm3#4{3CXu~0%3c+{wemj!d2b96|P!y)66LS zDeip;f$_izta^4S{OsHTs3t*6GjoIAE&j;Hn+pGNT4mJow)~+!sXS>x;_4gaC&Bl7 zS$jD*Nlz!LnM!xVdiN>amLPU3sx$)F!&f7Qa%5} z-A)1ZQk#?iC#&KNRl7Ci>q43+Pp#)3ZRPYhZ8KR|@Ko8PkTZAg(XA0Cjz)*+ob@rl zQ0(WQZGM*v@3{EdbPU&x8_>)8aA%0-+MH}WRHwE1dE#4tO#gaBMNZa1w`Movp(L&8 z!zwY46OrDSSL=>l!ChR7aJZf2@7jFU*g33nNzCxX8CPWNsYg>I8HB5EanrsbUK!XB zhT5C*HIZjj-aJlRvH{`b+WbrFe58t9{jxt_jPstlHtQH4+pDtN2eZ)v!OqFzxu%p0 z{hEf?i%O%6R?yXq9f|99l^wur(YTuz?uKOD%1U!h$(-j-KVeLSZA56?)~tK~oqu@m zpy*BFh;8Sii2bLUR~wu4u}&fF8v;`LOHMSm6n4GTwT7+4=@&#fuQ(s{3I1R=xRfm~ zplo%D7;em}b-f$8rztw3CA9g9vDt${;PdNfSq^Ud?C);M!?_~u7jMc_az!3WHlWLl z^LkxV4)mE63aSvC+lTgYLRfFAANhhGjFa7(Wf^J=N6yvIGpAEBTh$od^PM7&e9?5Y z3wB}dJ6zM-8(qHsW8sgSsn8#1nlV|2mXHf4eRat?Q@%Q6>q%b&_!$EZ$*?SWTsdp? zY}{o(`t9C7QW2XY5I-by1RE-U3fEd2wGJfw~Z!j<0>wo1u{r|DB637Nol;x zAg-5^7oiBskfUqqa#?4cR|PbQuS3mkTK;#WrBCSn&F>wWNq?u=#D@}Aj9nG;*H#Ae zs}YcsCE-ls)EC7#khQpT(~E&ap}4>Ag-t@{v#*y+g^DN1i)3}bSe=u9Cxpy;gcn~7 zoczy&L%HNV&g$yh2w>OCWh4y5LAP_N0`dfk7nzcyb@KikftYXmdswk{sleP zMTKHLR3$z+Y3t9G;~d4`xM_lht3Im_UlClvrh5P3l6}w_RtIiJ%d9?X1PhuE&r{>At-MxNB_j?BPfFf?t?3TazoJ=$l}LRzK)S<)D4#2+OE<|d{3cK0 zI^&+G-S^97V^3r8=MTS~9GOU!6ZLyut&&+kxO0}oKG9BZZyvz@-H=E2?3>cGYvU?I z(B`24gLW#G{qFLfr06rm7yduw0xbb`X;VhYnG5a0ij?rfjRT&6-qR2KnZ>z@ zf?MWur7Tl$2b-GlNyJna@ElJ*QW~XE8k>$mQArT)9c|YD(0Jryh!_}eJ0CTcD(a-T znKb3B^F-TFc6K<+qHlHOjzNcWiNo&IoGL|@jjr)X%nWtq) z4JgbhQ?d$z8E-X_FsD%)8w1o)T0AgF#wWQj8T$T#rtwSRHY{kpmG`dBlh}Iy3S#Pt z2MYwij=Wdw>-1=fsX9Vcwtg{x6xenNpPm@=@+3>p=4%PnFG7(}hm6zlyrc3v@M-$C ze(=ElEphP=?&3&IZ}+||{A$s1KbIV}T`DnZ@>)v8LP-MRkF8rOQMQPq(7Q&_l?Lr; ztnrZ0$^YP)FRSF%o1p##WL$*AIo{(}4JRVEfl2;Z)IfbwPHq8MIom`!Zn{X@XeIU~5gs*PmY3KDn)BKFlBCNu(b=?6cCc|C+sU zWKF8H?9$XYcBsN(n$7Umo+ho4`ppUNyK48?VtNQjM!-0YFA{s4jE|qs`ugSdqRmR> zq}W?Nx1nNz3O5a{&*}|-#$ps}$wG9}9lR)V6h;=a>`xh=8};Igd#+Qz^U9c8KHl+^ zTKvp=@k5QCUvpmo?DH0p4-wI6;scJFN94CO zld~VPkCQJ?mE&HPT@e!(u^OcMaAb|s;OwLBnO#4)qRFrwvy&d_bl7jv^^)0{rmy}8 z;>IDzYkvyQOEe?H*G^wpGR*?IS-el=QHGmvP3N`_qhAsX)59aKyU~&-t|ldyqB85eI)jz9TjV^Xs=d8N%>L1a-|AE_2uUQ|T<&?mi;ThK45@3NFE6 zcm#u5jy*GxMmKxnL3-Ro{|X~#I#08mGSF$Zjx_{tRseJ6N!pbxG7ALaBMDtk_b=HY zTR%tgR-=tV&zG0H`h%d(8xlP>CVf?gN?xTtBd+3;!rIWt*vJB6#6^j89`u%N&VT#y zUaFX?HeF?OwUdE@)vZPlwMJ*~%^pfIqzQ5;bE!`!E`!IuHz<9B^>Dur)i0wdC9|aM zp0?=Uj0P>X5Jh`!Y1bpP0%0=Br4;?O;kC8(Af!AM2TU7ZILr;%TkW_BMbL1786PM_ zN5)5KiRnFU;o5xgz-@WEm^hzLRcV6=+YDhcTL!V>{cMc#$w{B~Vr-moQ)jS*nNsqr zGVD-0{l$~(8a??ho#kf}?nfPQVmn-PD-9dob_BPb5R4@tV|@#tGZ~RTp4wi`Q)3g6 zVr+ptYPP;1k71>?)tKm<|cS;Ba|Fnn8&FWPby;&fd z8ktHJ*DVw0?35uFppoL>z<3etd_SIC1CMBJoZbAmlNr}cx0-DsA*1;cXTqzU62ogV zc8kVYjCMiasZfLQhL{!#-DFI7Rr)-OEx|hWECvd*#LHf3W;&=lL8uk7UdnuJ9?da@ zxabAFHWW@O&mBQ)tfIcX%D0^6FdVeTt=0|Iadd0)EF%l>O?-KS4QdjW^<@(sL@IAt zVMo-4P)KhRjh->zku-556#5Ed#=WDi^CaJ+pd9U24KOpFe0ft@PngR&MJm6SORm7+ zHzbJT4F!J~Ia&gd$_%t}tNgMp@@@_Eu!<)!?C4v4oq1rW6R@%3iVgX~bNA5>i#bTr zp2SLuE2I=k)kYBK3^~?dKTr+Y!e+L~>CSS;nz%sObK4&Lf@s|a+d;;6ZCTjrS=q*p z>UfV7tkRl6ruKQ}!0dBV-RCezdF`mw+7Dek#WF7SsL3Zz%D%(u>>22d+a&4R3^rc1 zp9&q7`c+L8cF@02&dwwfL*AiDdAIe6dz_$ACDA~Za4pHVWR%Rdp4cI?AlXlk=%!Ac za;I}+o4MJ|bfX~}1#3JVw`#g}<51Y8w^%P>_16q(|0QX8nl$zWZWR?!iZ7_Rp>N%n*XA1-hD zEPGbDo*y02ml%(0ZcSv7ZNjB2#*~&Ex=gT~Km0Dr(jzWH+$hbish`>!|8x}o^aD4n zjcSU4W=6tQATL4hn5-IU!QU|aP{q>}0D=zgq?9`ELmDLm?&J_1@x}aiSG9qdek6+> zqfXL#y6<{T{pNN2jhDAZFN*}E+*|A*kw0k{6O7ZJdUvAtc%(BA2KqnOAHA?(^g9J| zIgGZO8);>5o^K8U_IzzY1A7=fpFY&aV*D<-LBa=#c|l=ozA_zC!cRC1L0TXyL7Vi1 zEglM3&A*)gB#5*zRqk~gBRA>%)C>_oNc3>!S71HSZh=eU7qISQYfVOzg}<5U`kjd| zQu$qd^1YRCFP0v$uwdOZPiY$Xv-G9ou#nI^a1I1=L2btPO9tu*d7$|ynty>*o;`wV z9X5@WNt7F_?c!f+0ptktp}x>Fn8H0Hh77Uefl&&LJFvxa@+gtwKrI%f(rGcY-S&Ak zPt4zR*(i(Rw8pD60VM!5uw7e3RFPfW$cOY8ihRlyN-25JMH6-!TlDbs~d4(8p9rM7gZ3bvml zKw5MfA%mk`cP{Te<>p`y)>|d-+5_3IhI22fu*_X8#DkcL@KU1gd)rZlf!27f+R#Lk zINZ1t?s&HbO|%^i+}%Z89*eY(8JuOCrJt(ZE;nqBi;z0w(V5cwN-+87EG)a+6*W^h z`m~$pV1=kw(KC;t-xz^g4a&d#@FZ3j$dUG8gQ8#Gr{bqRy@oEJe?os4Hy_r1e&0QL zMBX_mPxYOWtB};CrHACZ(%od1G;)TBNgT0@0&die=bmVtAzA`QO_#C6L&~rZ*gyxon+dDUz**} zKY$!)N6Cg+Cu5E%#p>DR&IO51ek!Y0?_B>yrCH)qMTTn*d2v|PmIT9$DHhK$+RT$iTlH>F6|{!DhJxlEro^nEn?*;+8wEEXAfo0r zy?(F>9UF1aSyFacxDJ0=bY@>m7_86MlJNNa%@x#xA7*VFhT9JQO2)?h>N#*b`BwG)uQd9dxsa%aZoxn zr6Q7PPuJ$-0N*&ID*HmQG0sF&ljA+o-!qTK&y0LYor=LX6XzIw4~(B#TRC$wCwg>N zpxqJ%z++ZPoQxG6nJF0`b%tPlw5VcESTToL%sl8OAhpXZ>86=Pd&w+^-zZAW(~qjB z>HnM`Il`#bW_MoP-iN*-w?d0a*y>%)%u6x93C$L&F{J4`*(Kt)xz1Ii% z#3ZEBh0~vL6h{|8k<56j!`k z5va=7Rs_&v)Z?vo*{obA?3!WbiSVCx8_x5$JKU0#Oy?Ye9$cQ`q>0A2&axREPj3Y= zA7u$A?lELrg2XbbVjhiVGAjAeC>QOu`SMT$osv1spc;1+*#!DmIeguomo(p+G<|oE zx3+AK#Bndgq{tn`S~K3CJg$n#ORdER93p-+&hp5F`0F)j`3q;sc%rD-AQMh}Z#%-E zYtu*+nUZdKzJCl*(GlSx`B~NOFdslxC%9|RoP8Hcj;zyn}iWu6P&Ynq{A{sRj zN%Gt*k23hZIs&qWRADPWAfUESsc><&i&??}eX3)RBLhw2vxHbpKX1Z}GgK0!K9*q5 z8z9h#{R+p71`P%yppJVLGJY)(i=yE|HSQ9TmlFT==a2o1=dY`QrSw$7_{4$Q}V1 zZ#H$1VUFv<*Io5;+%4+PT6^E!F%08>Pam8akP<;Yng3+xd@-<`| z?+l2?En(GSJwWnV^{!laI6u$k?elMOKdX|$DSGFn)?dA1__W3;?(x_1i~7TDl%>g7 zjopWdEd01feul1dfn)5R0$Has9)DHpkqJ@(a;n%V`nEvg5(sUcB%&e`LM-WV5#Jic zP)s@(zZ5!?!lV?lo#f7vi#w!5BLWF^8Sia;&O8%d@m_q)iNBhJy4egtGpy=%UhDTj z{LC6L7c)YI^=-kw z31P_}c3Pra6GWdVLX)o$GhNhLd-fqmrFP9U8a4JRUv{XC0IRdGq@ok1VsPp7q5uT| zfE1doRNo|yg&VFO5xVRwY?aGdM6sZuT^#VfamssCRM0Ll6ZQWp8CSh86y(J*c$3be~zjobg7-nx}ceit>VCS=Ay36mN#10w}!(2(E%EU zubH;dm&Fm&HB9r#u@O{fs9#UXr3gcSgE-%iNMs-FbJXNe%bv+WnYCngpG@Mw=Q?^) zLIUpdN9f+4E5qE;P)-eztqp8sKzdM3s1e8i**M$V&8f1`mhrk9XK&cchq-4DoSAQl zMkR^;H3b~T(Nxh?AfG%p3qB_XaJ?tc%X3nmS?2Y}@4|2Wt0jAdK#t)L zI}=Q?eW#_Kb*N+J&7^4R0;l~~e;o`TPE zrz|EMX?j#P!0^%%pmm%2NNx3A6ue_>=%Bo+eYVbU@#~XvmpW>3+y}y_JlUUt3Zmc% zSV=Vhrn6*H#4g-Kbxa5Db|aOib2X&%@nhT( zBJB5le{UQYQC}j2TjZq>S9C$js681#?KYqULAvOma_X0?5(RV%Cq8Z;d0&jm_1{n+Ba=nQTqG)PjI9oH(sM=HAjiWX7Yj<(#3|Z zz21;skemo(Ns#(X$5_8WIXpo`L^qR{fU?3pA2cZ~k{gy1ZrC`$NZy3rFQQ9?q`CC` zW(tt)ry?F;2F-G%KK?keX(rcRA|eHI z$-lTE6PLtqlm#qCMTx!MOvVIHyETtVG^9m-XGt65UZZK0>NS~k`?z>mAKNr@yMO%E zpogC<)#Lbo8fP8!ISI0G;=5h1(eEe{4juT$|CWa4!WiZzLKGqURE#`InrfZ;8`OyL_~!;`q0mrJQ#Yv=pK_ zAQM#6LEQX{b;4I1`~=Hn5Lzy}r*fg|o-Jx-Bwa<66Mh(JIt^(}b3Nt5C%h#V*Q8O~ z(*+mT3W4M&=r}_j$H*|~tP0xkTH87S=?|^wK^>}oE{m(BPlSJ*#*1;ko>7>vpOY{#QDuQKrOUR1>>KqUhVk$mU=9L40jP!?A9b&vAOb8!KcGM8a9MHS3#r)ZE z7)@4{HB)L$zLGL0M8#E1-1Qpb^wt)QsE69qQRk4c;emv%`Ml3+Svb9ESRKleI(|(w zj_m4M7>lg&4kW}G$io(ko&pAAp+(b^1o%S^L$s@Ju~?I#0m7NZha2R}*q$KC35<_Z zW(#xPi)2dS1SW-LGAf*RKkCgA8n*Y>Y%_&t`W0F~4=U-musIWW$*Je$O#~sYXl5-_ zV{hT0|C(nyBDl&9P-rAuD$|nL1@c*#8c4IBlkK7BR#K)Ojac+>57It7P%fE6BPDN_ zhc+v!bi`r-?fGPw8vnXqn+CE5KPXQO@r?2c%$QQ?5W(t>F2ON9Za=9ImfT3gdzlUu zxsS2AP4KIzi(5w9DC>-ys4@io)Gs%dG#_i@l8$!VE9`v;;jYTLjBagCbuxkq{|YCk z^In63!0iwFp>9o)gRV6}d6Y@v2K;=|vyV=HJfUIO$E|Snr#hW9=Y3(8R($p_hmtYS zflZq=MZ%FYsZ;ABU09%>&8Vyh)2d^!Y?0X@p%Ehr8Y*`FH zE!G$3T(apUsYaGCRM;pzZ`kzGX_FE>Exd`cZcT?N{OA!sy%|xS6|k1aL}?M4*)=AG z)^(6^M`d=F9dluhi!Qi%6C7&mX?)J=Vo7rOo)*ZBQcj#tw;eHs$*jYrRrV7WHEJ|v zd^kK8tKm_~)ZI=sRF(1Q3O{>EJSn$Zz@vBM5;xLphZwbY??YIjlt-?Y>-PyY3P+Qv z7PP2iVG8&fm30?O(0-mdUyiE3(U7%Ks!cjV?gapoC7L=@e>=!S6h7$)eDYpR{kLu! zuJeEzs;g6jqg}t6w=$g!TWMN}DjtyEJYm^HxCFw*mQ;bKO_xkZV7CzzO*Bk8Y-(Ar zr&O9P05oeob=_V9NpU!4%QfB#jev}PC3Y%Ew4oVDEDk=-x2SK3HcltO9PKMn1ESv) zBckn)W9+d4;tWkZY*-q0vBCBaV}lmw-n{@}bh?HT2-vrLtYn;kbf`A~e_{FXQc}N+ zDcF6S;3ZoZNpu;Ne$K707kR+R_xzyDW9i<;%uxJU5Ri>bi$Hfu@o>^|78~rddn-`h4*FKV$sT-e;n=I2E{!u z_2C}xXJ1rs6=0wH2FY(+a6s{x8}5u`AElsRX0 zB=o1k7F`J+?!0M9jg4S6PJK_(@61e)g0knx^;A}MwI%Uu%GCIV^e~tVX^DoD#8egQ z`mJIzHq8A#e1JQFUo0{+;sTPxTmAGQGd^wB)L9C=?+4L~Ynp4$sONf{(dvt}r;B;G zv{m&xu3sS{=&%PSmGW5dUjk4Ye;o3f zrRomCug*Hw1Q!s`k~mR00ZV?W(>!2(h;XPY*6?6BK5oTf=FG_DR1toCi-(MnOy7wX zjP$5LotLClS!9iA7~X_I(B9t9=^W)onG8ve64+tq+^pkkkB$A>_}c&FhAR(v?~_A7$9R`E%M0Gl^&25t6~LqUpnh+^MC5BQ6PAD{ z?YZN_L|!ITIgGN`w<{WTAIY)AAb1N|^6=`O9rt05HsSG?+S}1P zDqrFRKcX5r%J>67Cjqe03MKGQi*kP@2zl2YwW|(VCy+Po$8XGhYmvSNbs4mb_NU70 z7LKw|L!ox1aAa&)0cuuWM&8@Naw35qT^Ib4pm$|%!+{+uV_sNvu)TLD{ti3i^@9Eb zWTP8zj*u(15saIeYB8i47rsS*r)=r8jWwu-e$cz_%tx{Cq`4r;WMmlq;VD00=&uU%=@vvsJMhs~) z)qC*~tbfZjal9I65mab%Eb4Q{5$&{ZPc%r6^DJtWMZ~$*TWoq5lxov48;PWtdm^PP_MK0gc>xVo@YdD zP;mb2ETylOuS5_Lps8Tklvg6|H4uD?(-gs!NvJznVKcIW#iCPojRypB*RgUTHuLLF z7*ZS(h;LKvw5i@^T0d`PALWa2KmDRs$HI_b()K(>`|5jstvOU@`98f-Ox58KN$T(C zJ#>{HEv=ntkj8IrnoD|RJjk~GZZ3l`@f<`wXDJ!mxw|oHO;h#U5vTc^>SH2)BodVd zq+;1K>iV&`h(5q_*n2y39=a%KXy~X|NYU@KNrXDz5f$Z9=C?`cC=y=1tf!k|y82a# zv}m|`AXUKmtdBd=q`yUJw2@+A+>~=YrPe08e>+H(i&R7_rVk3@zq7pG2Qm4x(KKWG zBfe`bsi>qGoGMEF3Iy}FvaBbjN%tgfl`o1MV;s~Thg1eDrper>GS|5^*xx)4a4Z&{ zaGqON63ZNIN)>QVr4Y9^&YBMjM{H7OnL*H8k2os%mGcJ(9{{abbJXbt1)FTrLAP)s z?CBz^$m95uL`eHR)E&V3adEA>#=7b_M78nB)0*Pz*lL%qiUw|_5o9`~D9aEe@8lNG z7;3S|*nNeO`GIs!-Tl)}b;d9L>` zXA$R}=NQQiz|jZ0t=_-6agBZ2)6DJt6pJ9-Z7E+rs;FsJ;_1MzX_OM}#N6-CD(8&F zfn-fKRX6Z6~;`HYoRMVR-$TeNUm8j)q(`#93T5%-9A) z+V2{ae+b$uoNw85HfgGpl4kN%V{4quBoaTU_989na3QO#ofl-2FCNAiyiEb6jY#vS zRR;_*#rM@{ITeGm-zX&G(Nm{dNd8$3_8=tPOBF@>y`VdVk_$m=xbN7OY7e!}gUR>= zj?L_Ya8iBZPw&FnllOCc7j!;^)41QGBdQuj%a3&4(c?iEav?`?L;j&UdyCLbB*vT88+W1bIZ+G6&AKC4m<;qR#0#cXL7(g9 zYmIjlRg)oF)9kF$SX2_8gt}o%6|5bbm@o1IY`IdKUgR-H(mQC_UuX;J_Gh$apsV5R zmG`M*8H7Pboi>kE*vzZ~^%cMf{Io>1D`bOnn#szF=C&>Ii|`;m6@^r3QX%!)>S z(i;t5D~c{LubBIUJ{L6c&t9FMXf6wimGJ6&OqVh- zDRfX}9lfwt%&pHtFPw(?Z?=>p6J~h*s)fQG;W%%?y>VjoJE6I(H!vQhj#OF=g33M6 zbQ-5}5NL0|QTZhu%ZZlDmXpWRd9d+2ujN;UpYmXT^gtDw=)$jP7ib)jR9vg5>aFgU zP#kLUp7PtG<(Gz9Nn4{8&1W?QT`vldA6Df-n_58O*1{69xWfA8)~57I(Vs1RcCq~Y zz?QKgyR4XJUj-wA)Y;mA{<|utMr^i+LC5qI;Tr0CYm-Q5W&kufK7s zN9jBhDJPAGu{tV~nRGB=D=jPu=lagA_*yZZ5#CIZwvLpD<@0&Gu3w^+y!%+Pdvk>< z6%ASy)=i)pMV^F~c0HKK;}qrM9BcLm;=|3G>IhHX57>JA0;!lRXc3?On}Lpb@Qw1_ zx*LrZ&UPyaD#1~m{h$R)-(6~feF%A6lS2!|`B*)V7LTaa)Usx-%MgPi9GERW$%^#j z*44x^&yLD!OoQ+;*vaTv@FIM-A)I_!`XEvM5-rXF=PLN5jae1fl|Uwwk|4ZDyeN@n|FE9`#W%-h8G*8_}@`9ZopAcKG%}&nq6=Nm!dbEfzTxRE{%#+V(RL z@+dE$8NWp0^}ZepPn;+b=Pi(Xq}vUy<6Du`0gya!q>iV&K7f_{yasA0d8spZuCZxL zadF%n*_v>|lZEWxWSXcqW)nR&g6mAk#z?-VZ;hTmKIGX?_I{c1XS_jj(gdJq6be}r zu!_1mJbuza+}x!f;@IQh37Iy?4?Q6r1r&gAI}~1C0pEt_ZK0pku|>FQ%9K&xm5W(Lxv772McA}5^B$WdPZ96UDH@%kV4~L+zBaC)g z#e+U-hm+)V>df*)pbtjsj7V1`TOxX32)bM4PJrBc8Vn$9k1`A2x9kubva&R((MhRIXro%_j zy%f~Oop|8Q8}^@ReMMgtnscwR-cOt30C-;5=-AX5hZpp_q2zmt0Aa&G(N+^V`SNn? zeNbe82$nsbehc_M^LOPu>FwF8Q-93UJzz4OHx<{yJYI04o-k)7H2N$pLR-MM?1g#uq9M@ud*x|X0BQ!%wRja+k{h3D z(FP4)0a=Z35Elvik#!yw zVVJzmPhXv(pYvtkDOSeQ$^Va}D-VR~d;3LF36*`F}?rbMKsU&wbAGJm>Q~=iD<@vsjDy z5Ic5u|GT(-_kBvn+znRUuVJ&v-4(?wd$>^^OyCOp&ULmy)64HLg)69xBc6NwfWH+| zScG3;w-RK@28-r#+c8AKIv0Z+xMCZ(4?ndnc#UZX=zV?3O~Rj}_u0+HY$@D zir_YK%1fW{&OBaVR&S1k0x>}XfK|bNamIbv>$)>JnyOoVJ1ezu_<`qG&@To7aBXN2 zAa&5fsdd8$7{i0cR+4|?@6CX%rr73r?76E_WE0Nz3MP)u;Mu{;ag5|Svf-kP5+>*H zCh~j*Y9=C(w6Y$zn~af1Zl5&5-ru_S@nHcg>J@zEQx!^gBkbeIZEG4wet`l*SfN~L zPtU-RV#5pvE|z0EA&%~F6gHAX59a}9GGt@R`#JbOoMDqhN)Pb*dG^~w5Bm^uaC{U& z5g_|Hmc58?v&%OahG>B-#Xm6DUt8HE&T*jsZU}9UL^N&2Y0)cyob4HoYX^J1mviBN zOGPK)2PxJS(F9fRp4~KA2N43YWXDKrS=D>yckqtcQ}%*S8e;2D=nwcAw%-X35OX{P z^3q|aws%=ms!v$ziW91`;c9B|+pp=2lbRDUKsr17I)^1hv*XfT2&*#ar)XGv&Z=?t zeu>jG>D8u+w-%Gp<#w+)5UDNB?uy?eu*MjejtwemVf)WInRW}l62jg9cGphk09SW`yWUiTRDAcrQfnhsnd zT2iqdEOOMW-3g8)FwYnBU8|&t^!Wt}{RdY2O4j!U=Q_hPmPwMCgeGU0rcB>rh-a_O z`B{g~xgp;eUP*Z{%+ zztd~Id9`OEtoM_z&mi#h4mWXA!}C*YDjo(ExYmk#lbM|~GUG$A6vwk)gJxR7p{jmi z4B7sCs4mj3+)%e%*&yuRAi1C)Sp7z=oNQKYSe>>jzUKN#we-PanPW;?H9mA;VBo+{ zhp=rK8G@3ffU#Y(FU4k~^qu$8J8H>>^(wUC%>I({bZ)=nI1=8S>+veyb-AEM;h??<9%sKJ4zCJQY5^fB#=|qYio^Rk585^mQ3fm zLB@k0j&n-fkhff|88Y|pru)n!K z&mK0ex`SKZEL|Ig2gm}{yyUTD(NpQNwb23VJyc0xW&gl25l=hoT^-FdPsfTrSNq4Jqp`ip{!9*Re4C!!cWHhV^FYV^`}$RcrhdON0jf zc_zA~?&*Vcd2ae5@;~-o$RBG-yK7gyw54K&RBi|+>Y!XxF9rEF{T)7@aC-_LApLhH zYcc;n>m6@(p8@2F-vUett;np_sBMz5<>Kp&=lbWPSj0Q|Tb!U%lLj8@nqnDAc8A$H z-sby8urEiB-h=cJsdFh3fU6v?#82V5Xy;)z=Y0;;f8a~v5HIA8rs%7k1 zkJ|@#-!MM8>jX`}j=lP|X{5~~s|%ru5IC#ZemAurgZQ@`<=qEKb<5T&+$tM;r=D#bL7k&F6^3>_G9iL8oHBvlu&Lc$5o~Zmt z@8C7Q&})N~pDF3n$=vu~y4@y_?|~ccFFxrD>q+a24jomy13Tt<&7|uWO7QjX1zouv zSE-A|a;72+@z>J!-iXJ%dEsJnX=lr?p#CIp^QrV=-OBK=BYS;Ed&kQpm9AeYZa3$n!tz^=)_F?=x*}Tw(nG+5mE@i?YNw0)8#G#4kwi4Zg;`|wheq0^QFE#$= z9meIDYjT2%D{btVjF1td{6nb^UUi0RO!W4%s z>-|J0o#)NWbFVM)YW`J)6~4BVe`3xx(_>kfXq|?hAjN&9_7OYLRrl-*pG9*w-EMm- z&a$;Yo$K~&o4q}e6z3~8+;%jaOXhgu}fLHlRRVmD^LOf<+>M!+F_F`biUf~LW0 z8rGNPAO$%4Bd0LO6X1(qQ*KRqsvoRi>{PrOdd(NxZmFxXE8MSD(wpHYCi3jGvtxMP zazm{HIpIPjIOjHd){Hy7H&gqM+4F+9{w$e#OB21kkEz+8+*P6cQawjL*A6bPvVON^ z1blMY95}Ns@F`@IHp~^C``Grauk)i}XjD7j3RBv-<3G*T1ewb;;jw|D$ifhl?YOrJ z-O&p62fZHrxbhcziOIP0#s1X2n+FZQHQQb>@JP9W?Eb>@tSoyd{9TKoGE=Q~+>q~b zLBT2Rk9_=_W49GXc%CGxeQrCYdy%Svskw2~((5m(qy6m7!~W`HyQa=AUngE@nzyUz zK0o$HRn1B>d{{hP^`*?Gl>QovUBB#V)yrjv!kP(xji2v4`})c5sLW?~x1HaKk{OQ^ z+}_-C_#WwS!ol3@^wTQa`@5+2-xriUKBnc`wyWQl#%OE>ZO4eeOpK{}3Uuh70(|>f z6RVN4;JabBRjASCnb-Wkd_U^g|Jcb#=n&N8&WZQr8%Jv*7A2)BPjU~LNht-ryC*To zlo=-&$S?jf;V8U0?DqZgDk4Qi@IK#?uYeA|?ZoKd_YO*>*bM*Gk!czf{CXH9x3I7LvML%}-DFJn4H_Z($9Pt16| zPLgiZ_2^enzvRTX*Gp7hvKY)O1o-)QQ; ziowNMNis3(+x}iASF~~C1r--Uq%?PvnT+7^H^y%C>xr(*;^#6wkN<4l=uI5@Hvb{> z68Dgv;oFyvqW`@wT7OEg)_qbHlX$Q<=;$Km`=9kk^)h{b`>QTraP1rVE2n!{+nje% z{Cm-pXYQ)zjJA6UPKU&|hK_32T<4T_7bbp>)Xsg4sC;qYu2l`r)Vj(q=_EY*#)XT2 z%KkGgVCFhD(Jx-`Op`K^c>K_kNhIDiy{i6U=UTSC+oSKD#kkWQ6}mQ)FPP7aT_`V- zz9M-Q)5#JE0#%wr5x4YF&jY%QU{W`n7?~nXDFLTNox%of)pg zu1w$2RZftv{pIB1g6+L>@fl8$Z~w){x5HaF(+HR(3UvIU;?m5wUnW&81CP1lodvNC zrDc~MLHU)9*}{q9H+RR+8}Mz6W$MqghpiNxgmiQpfG)l3&U^Y=*v(_`K>AVjr!U=} zz1Hvh4`i0EuXbM9R<_{fg>NXrAd4~WzjuM`JI_1m${1*w>5p{!b3}aHvLVxRDio)3 z{Yo_QuJXb9ZW*e&dQj+V#>&;g@N#L5pvBrNFaXlWRE$tqnmIqEvSa}V@US)b%;SLo@y@m_efVbc0<_s>`N z%n#Fl2u9f+doJ3$WW`Y5w2xe_BZPbAzkN)7nS8SOSNH3ktDdVoH=>8CjA5iF&v}H& zhc4PT^e=AdTJS5lJ&KnlTz;);|E#E^_Nu+4nPtCb6Ljc|zOL)LgqSPteTrID-v?A* z@`Zf23`q?!*}^MoOy$0*ad1(u46u09r|bb){QHZQ9(XLVBun3hOQSM^e^`VYS9QE=dwE3TaO^2Aeeudc?=(;ckmJ5eCkJ$tfY5hr^!Ps{(J4wy2+`eL{Dm zk~`pxrJ6R6?bO7yyo!52-dyWhK$ZGkTO84PENLCf#q@~a3~yVRmIJ%oC4QezF%R53 zgWA<^m#Rl0N8Papbs345FEu`We$9G3anV;XU0C5IO!$4W?ZbkXmanpwHLYXnQtnN^ z@Kt0M@g2I{2lW{+2{bWQfHmBQ@5V+(rY+jvQyn_A>zOV#lTae}!}c{pQVK=lkDK|Z zQtuMT{B=wsHu>k$YEGMWpG8MzW{rr*+lH32wM;y=r7)PkrYc@p!*> z;q1TJ@jT<6uR6a=`S|{6%2{w+=%Y{X4_#N%;mVQ62tBp`n_VQxZ8RbDv~c1Eeyzbz z`#{g4xZ3a=iMX%cas0Q2XpTXG$edK61u(3p{^dx4eibJ&^8~Fnk?TTB3x^)2Lo#PO zJ*-I6@oVU?YaT)h*UBwRQ0eb?NfMuh9(+*Gy_dG+8c8dZ z_RD)Rb$3m5D6x7h@|e=)nam$KR>XDt)Y>qGRZRP2u5?O$*omGD_D%v3QMCR3o;boI zIfX)9(p=b{_kFyApUQhNQ*79MPpH+>RD!ZAUTksWijl31n-(+OQ-V7~1=JfcdDdiJ z0{??8^TGK|QdNkE-wRVLoB8>e*dQ21F zV`)B8jD$>Y{=~emEU7{n?Y~{~C#;nhpcMBntp*xNpI+q~pOKMV)l6}eS`A2X1g%=6 z>^Nm%Vz0O*o6xW36HVxM^1W~LTXP}MT*a-YlvFRi3Io5Nu1x{UC{^Bj6=v{$y8aJ) zKV?gNbvR{9@+HR%k@V3tX2vUVk4~!F_sP#O=?@S>sB#yF0|m1D7(n61lj|^XMzcl}{QiT}b(`O3 zWzH-e%f_grY>BRJrFaRgZlnxLzRWS>y+KEqgCrVL+}<+1Z63`dh}$@WmwJyt2y4@&CUi+zM1Mf9m`v< z^v_yl&n*W&&Mz_z4SMbF+C_YFw|)Kr6XuvtFzrXU`ZQmHq0U*&Ew^v}rLyKpby}BT z1f|PfK|EILr^v^XbVksxq$F2I=G`}&sH|KntKBT-2DI#lYpq$xop+e5h%v1=9qjp$ z&0#b6QHVPy0ZWYgIPlbq(nHj~PUBsm@XE6^tHxzx_o`!)V?Uz2x;OW)xLI}+EpO26 zI*G|2QMbA`>#w+>OhY00m0he#(|!mMALxEs)A1c)|4u&qO=Vq}JnQrVqLT9|k>5Pe~tn*%=c+2z0q}NRw;bBON_n4uGF<2ZH9`FCClPtV#v8|77>P|$t z^>3zJaTB{fZMeX+KIjFA_S|2`6h~3a%LQtyHxqo53G+QsX(5WTlwB20xg)VUcyDXL ztSF93-DdpUX85Pv0j)Aq0u!NpT|_?9CbRr_lcq=cHYV(|3zUL04k}i2c3qxt%~TVa zY1f;4J8k0p$IU`M=U_rpyf@9X-}$crb@}(36}|H(pF^4Cv(B_$+Jb1nQ0ia$?wlu$ z&R`kaVdhMj0~6+%kG|fIH}o>*D&|kxTQh?s?NNUaN~o-NfO_a%`a2+RXk;Z@ z=98h(?=7)PS4-kQGkfW8qWtWCe=X!^&sfbhw|kbFA>#ZoW{70}9o{aaXA?Geo^e?^(lVx8%4FiwstfU+r6^fU`Lvh$K2;)w zWx?jjs15iw>$v&N0o-ol2v?rY%Hs}%Yyt3y>HlCU05!Xa4tN%)w^LzpF>iR-)1!RFogCyq*oydyyvi zR@Dp2DVyBAx@XB;sX@&GW%20M*RxXk;;K34 z6e>}DsHzG(Kp2((p6|P^rE9%^Zm_821cc2$- zu{g~mJLU0$mV{kmRa|8`_>dZDP!}O}%;0(_`h!E0VkIh0b+2#eLx{lUK|kG`SA&yx zRl+@|T0agBh5BF}zY#7k{`+BS=TQ!w?1NqXmZ49dlxpXW10uP1LF+0*b9}M*qvUcF;!+8t*fgyHW z+H~x(W3A85=X{i?%a6KZAn-RT%kQ-hzij@!olHC_ zN9;%pUr_jj^j2@iL{Ma?D2ME`3_Iv-jk8G>SHmH_fb6rev6WDR)|>6@*I!60>e^rQ!=B{3&&Y$@F_?qYN7&8b+j`#Da5+gJbfGIVb#^5OV5gZw=pub2hDYaN!`bz_CR z!@;)N3_Q_U(t!H+1)`q5+8Atkp0r4NT!V=R+E634FiTPG4tr$WxK}rhjkZik+w9*w z2Tk&#Dj`2lC$veZVm=|1rRQ+%Y4$COgayO;wp4<9-&O*k#C=||ls1$&@eltJ(0VvO zSk19s8G+x{Et@Z*K6a};=-T3emfxma<(Gx_b%jAy=H!nVgdjg}6sqjdGd7}w1!?iy z*}wm31X*le2=CJDt1kkY^GFGQ+4;vRL+Su6!+E=@jb5!2j%YxCx9<$`;kSGPP-pg_D=35f$a%ayp3nfe|J z?xmn(4)&Jdgd2ah&SovR9}VJ*3{qnLjs7Vv0;ysoqrQmE@;UkZ92ZOo`4eC?2e0z# zu$#Jz56(=+!{{WGwai=eUh_ob;Kcc^GFs{1QnJJPO>m$@nS28pPJt9^RUS2s9V zy2u^!Tzf{RR&U=g6$03fcu)DsG>DC>6?23s4?)EaR9cwRVSN+umelOBWgB6SmGy^V zk_PSv=Z=Et1?xT$ephlV)MfCl?w>A_C_Ga^EicYnZNIJe8u0xVX00Ni@7`3e?ItbM z&B7R?(JN4?%l_x*PVmC)b>gG6Lg%07pF4a8=~buL)GxA)OwQ+%T@Q{>TKPl%1FV=DX|`Hz!ZX_!4>-0t1a$Ydk1>8 zw(FiDPNgD(cozyhU!JpC-Nm<-wqHQj>p^O}gNgAB9k22k(tYT~x&h}FW|#0BKG#P% z7VuZd@B|89XQ}q0>G)}&|F_onc*&1j?W2C-Fgf;zS`I5GEwf zo#uosT>ZnZp1uai>*OxN}xV7k;uI5ac@ z+GN`O>|bS4O$}R%TBaS2)a(&H(2LWRsGAU-5%STAYavL46r?l8=EzmC^aDWnw%RwKldJ;RQmFFm*x_Pdiz9pM$LR4wP|eYwThR+HtpOR zZSaq&gU5ZZ`%!6>E(-z46Qic!dLSv^?8El)x&qzm5bf? zcE1UlGdxluLWsO%xzu~GaG_2T{EyM?Pg5qS40whD|O4^o@%1+(b{sEHweoWJip>IB8 z!^;r6RzYU^ftIF`^w7!S{BHDz){~!GP**J{(>M_J+v%_dT=Phe?pMuJEX)&{f~=?8 zkj{>1OTF{4??K%>&8M@w>B?lC?|zGw34dL?dwNDwmc7g>b~bHGU(YI37O}_dbp6!Y6P_(=H%auh{cGtvW zyC+!a-J=W6@Z}6x@K6LkZaLRmN~=%zn`JKzJq0bC{u#(WeIdzfisqigD{V7b5xVu? ztA5ny=|2Z1W!nXrf6oV7YZt28SIX2GKG5zKt3cO)Xuts0_#(MQ>Bvg@(b1SFaSzv} zkK4N0BT|hi$j=^L7{fJutz>DGDMgDwu09-Gkq|YJhMV8j%+aGi;x@49ZjXhLxs$gV zhu1SCZj9`nzaPj4+eYpr%Y=J>-wKMVu)H+&yV6L~z~98@&H6n(K{r@ROa}EA`NzU! z6y#|1+&{jBpdw7F;65s&`E~8P2SSXY5&tB4(1_>Qb{bI^(caaDaiQ? zSBnkK7*)Y->1_(aB&-XnschskCM$SnzQ=7*3BaO;jleoP* z<|1_&d&w+I{6Wj(FQkJ@=B4TcR)n(MJIH>$mQSK%+;BLN`s_Ey1VE#}7#uPa@ z?xv8+AC|C-xRngaY8YR5sZ$O!v?(8`FTfy#=&=!h=h=0iH+odHp4MwMa z1C(gv?Be2*`)kvC88op@W0{{_!BMI@#L?du!*d11RS}Bcc8`+`rhi{V-sSzuy~@eP z*_OzJ+dIEXAOUPne_SI5OGOxo85CHA91U5n)`mDDZ;v(p6Gav5b%o<^KjD4DBVijv%16Mg zSO**+@<|q$eycMV?YZ@dqpug(Y>dvw zO=;1MKr3(gXaAxL_V0Tno8ytLSJA$E76-yT1P#;1cnvzF!;P+iD$`_yDTW7{qVtm9 zC)sSfdMe(&i}<+rHsH*I=i58B2)-G7vR55{dk5Znm=|$%B$_{C4E)Vv5ZBvYiEy`Pxez&i-Sm8tyq~czLZrlzV8xbdQUgCd-pP_t6xtN!dH@ zq2;xYz$}HI@_luBTM0?VrSH?giH2*0iahFm#s0d# zOrGWFi3glb8^35sg=$JNu{vC0B1ND=mm6I%cTH~p;f_$lbD0KVRIWji#pB22+{a+E z!hQzQpII`Kch_+v^FiKW*AoJ*wD`s>3{nz{we;kCU5}3uwRpX<%K{ z7+5;W6or^Nhr|P2Ha-cbM}MOe-+a~BQ>#A%a_k8)z!-q2oejO%rirYhPf&U>tr z$dKx+L(j32&J*&W8T4`Nj7Ny%pn%iUOx2S10;Zk`QzG8SFB5)v{bQsmnR1`7c@k0H zmeg4g$WCi9TnL;HhmI}-=dcOwl;?A@O~Xp0);q8wSBA)iwHhOAON{pqefe!ak5;Yt zri~GKgoQo56#Lb+&5KiBQTphg!HxGku=MVcWl_H7QHLu5H83Q6DVF=eZmwFEmLROI zZN7|2bQ^917WeCVTY|q#*3PNEDxMjPc_~CfZ*vYilUlP8rS2kZl>c#L`p*9Ex;b%Z z5@%1UNd*)&QvF5Azg*)mb|55drKzTkB29MEsz#2gNw=8Y~%mXh&wfS)W4)Q(IKF z8YZjz-Z^ERfK72>6`Tx+fgay0q*ii3$|P1q=u!w}&K9lOHi+#&qv<^4wdYiU0W?16 zfS;=%s7H|c@U`l4@d9?@lp$2w2q)A`j$LPbx44IV*|Kl*bbSI-g9etVvyA9uzX!Vndz7i$Z#gF-^dr3)Fy7 zw@9<)(U2I^l8la7OXrg*f)3QWL&N&2oEjz9b zw?qA2k>-{YDlTotGFyWTcggmo*}CT(O)PH1 z@j-)rY4hmA1;GrF9#11x(#4}WtN|46ej2`hadgBahgJut2|(9;p)>hyWNTXZ0S0Qm z77_?$+9&ecujTOW?60H|%EEb7#!DHyz<18&!`L$+VS58c5>dpt7DNBmN4Q;?mH28_ zfM|e2duY8ZGItBu+Q+MY1W>r18?8R8m0M?RrJH+<0$Aw*sfT&3!f%uJo^ALrGrWYc z%7DAy64<#6C|Bip=1G*W>&R4ek%ap{)ejq1P@&-@-C7|yo#!XScDD}>4B})IZ(}>O z#~k7sX1exo+Kw&h)S))<5mJe|mLfLjxTU2WY>=TyU`p2(K$D4+aK5yn! z{}>3|F`kh3F`lg}=0#M6bBP8_-H9w;h0sgGY(IB+HQz-O$}%qNyzkc^=dMh>-b`IS zhlD?51T-IUHmV3aE1?Y?2Z(@tz5!y?QHpO-tf=l{c@o*Mc@ zjQ9;;YiGA}o4Q?CN7p|}g0(N^08NiO2EFDr3}ju3oJr+hfD=c1aLsDKvt)5$dB&nX zO@UQ0BLAz?f1td~Gzoi~ib zG(h#W-ml>wwpltnNXSC}t}G_KY1!xYLqg;HXjcjBy_*9MSPs3snd_dZMtwK}&0SyD zi=NkBqO6fpL%NkoS$7N{2_awpj6gt0<&V}p7YxQ`qeEYb>?5j*2VinfqVa%KBPJp{ zU{@T3#=$}=pMpwwn}3~TwJFw(yjlO}T9eQRYI83(UfpnsF?_JHo;)QZ+HYa!~C)2cv27 z3`e)~jiCH_@xvN70oX7LJy-ekLKWkXJK@dh$BfSo*-@H^4J>;vI0D zyF^sldidX8!PPjlJ99bcng{Z(O zycdKE28&|GoD5VfAUNa4;aC!6F&6~SYf~J?R@r9nJhnu$P31zPrv;I_KU6IvtC@yI8Fc`QSf8ZMBn%$E z`jn;**)%T`as`;@NLBmpDvb1by;vS*dE6ep{_;{rhbzaqkzKa0gvVVeK@@|%j{t9d z7Xc2Hk_vPPElI{k3ktHUGX)i>y6s+ zK3nPke3)G4RD(gJg@Y_X^5}dT;ftTW2X>8qc3pvAAfH*(P{yYdqYDBeraxZpp!*tWg-=?JXdlk;u|0&j@5FB7Bqz7K z+HZ9gR)o;t5nR~pm0IM5XbXpZMzSb1={i>T)lA|)#FyBP;DMSu-A`lv>M(>FJJ5!s ziFZ8KY9KFuN&NGzYD|9Ugmb{fuK%%1s8wV6LC6>$W4g_1nywrrf8G7df0c~=rRhpt z0>?75822T3DaAI=c{^+&DQ&it+VAO7^=G(3rVDYq4pKzV3TXuRop6idhIkHWNmiK^4kLi{#9=@gO&&dHGKoJRTxsDYiT2$wU@9p?{DwW<&QW`PROK0thO;jL=a`Vr_FRw6-F zJqT48{vWtfzscI6Ct}L!I8sII4HWqL_QDO^$TGEWp!qpm`6(zC*%XH;oGd9=K)02m4JozUSCo%y7o^{P|X`*wh zak8G*?*hZq5m!6M__6B-%{)G_jnU-+ueYMkI(7`HXZ+IWh1n&w6Nphjr^*`K*O&v> z#w62BaHEs}690%F-DoFe4SUaNR+f%Xq1L^?!z9U^x7*lNL)iWBIL6B{BTYQYlMh<& zLo8A&=4k!Qp6<|oQ0GI85-X}GsC|giCjUe&)p7TX>~pW_{n*!)Mpq19l`%3ksxo?n z&$8+)7c0G+hX@_n4?trNWD3kz+8*<=A{a;Qt7L!NIgm zPGyZC%LCr6(#8c;+Zrhr8YFE1K~BhtFx&d@+aVhh9q84H)ZH-O`Vgj3Q(F)ZjU3Xs zS-r{CjYmDc@3w$A3A?T<`VnRbWu*4hwLKzA6I|6Fwxc0gc$wSJH`2<36srI>^Lq1qw(4$B`AQmG z{~hr?;LylnDJZmnWehrVJ}qpZuZMlu=h1X2;L(~xRqG~wkJ+zr7FZ%T`DjX12ic0N zOgbzrd>f(t#W0k)Uk9^fwun)#^;@%IB6JJe5L!^)UqR>Vf1}+01-QJelb-Gvl62x= z&tQo3NqVv>)qziCU`UuARMHrgO!MoF`FDd*0nfq>M4#)1NCSIqZp(Pv9pwRr+qetq z>%3pPp_k3DyIW#p?^j-TcIM_kiYN7|Yd39v^W#?yW?-{9f$8|wGbtHS3Iw_6rYt7C zxjl4pZ=OnB;=-1YXqa|Y9ro6N<#U&6g{c)$$*3(M8t~WGU)+yD=WI3#>NILM0~>6V zNwbHiz$DLfPxD-I8CMR?@Hi4tyXilHQX-{eTjw*5VI-XDpNHRx5Y#R2@OzEmsug2q z03Ef-lXEvfMt~FIOp-~bT|*Z-lQvU;BM*SHfMYj+KLbZ=9^}zBX+G?sXJ!uCk+!ul zl@5j-iu)SJK^SuSSUCb$L>ZM?4wY|4&R~kKL%o_^wkcNB) zb)`+q63f5agbD_t}FN^DH@3yW?1iuYl>%mF8?QR_2FgbB@a7ker;i@Xygdg zpnJQ+|KgWV$Dqk=1Srq0AG1MVu*AvhJSmZT`B|_^XZ^7+&9;@lJJM9T85<0f$+5ae ziT7?S=AoK+XKBYa(#8W+|2HfK7wRxFp6w09CoGs(Z}_vybr+;rbF6fIcoPo}^2RWZ^tX7r2)zxIn%o zA!3VnhJ~6LSq~D zY(~xic=MWrYR$ip;C#*lFLt5i=F|Fn&|(k0Spity%y$PSkOx8;#J|-dVxR|FHWyxa zO{M=M-Cv1UH!8QwD`s_G!t!HO$*Id7gzNGbAK_|q%IIST%SSvO$6znp{LXZmWjpOt zg4-*}#0!^(rD&xa-pEMEdd}o7M-WEng=Ih$0xTK}LhcOrWegECJ1cLdB>RI|CKWcH z|G0G$-)2KecE`R*$OXslRIw8Hup4ionS3T2s(v9*9_*+g^k&ZF{HiMtcxIe?xJRtNOFvHSmNW=S+s%kOU2f zlw12jv4KT;>Ngm`Gm8O{K*o(i;7iv5JEh^*dB6h{JtH%<;jG*k)h?G$_C^1=XyF{i zW&}EOAP2}K%vFZJlaV%~S^Cg~!}ji{0^EFS=pPxgE6Wkk4q>GM$-Rv1KDr3Z@Xpua zMID2Hf0>=N^fKPQn4X*A9(_JkAYKs$k_4nIOZ^PdGCW0z4@&$aW^e$j@KXbDt-vk; zuCaI|`nTqd2uu4JiewY}A&wzRZy}Q1@2HHC6&S1^KqiKv1e^(wjy|^bRSWHj%a1jO zyE|Ws|K)1<5G@A82<8Aa*^~#8pFNe~3Nta|utDpt&<`i2r{&*bFT+QFE*4~Rr48e; z{j9CDbP7Zhj$6_1i&1NdOWyunFH6RS)pY~f42#UV>u+;_BN(hwAPaTG>Ca8J2Z;<& z=4n#o`3yto=9(>}+I^YIj-``wWWmcea+PzXq0UI`uXR_f3Mt&gF*yoYW4MWRYJu%z zxgb&i0dh|rA-?v>Z{rROF5o(!D^Dl%1bZw_4G?FWaye3W^erxHF zZKLfX?z82u_}W{Wst{@E;F#m~Z?u!4>g)v?MU428>0=x>51bW;kUK^(HEo3D?aMUe zR^T)*twh_B@QWZQkU_X{33U(WjP~+)i2eno zK_W(;{I_*Je66Xn`&@n>5uqf=e!TwN!B{?0q9Je{H717lm1ugl5(AAqp`PF!>$#9U zqLti{Gmex(de;nH=3V!WBSdVQeRS}KIMD<&OfmAf zwaXe?+c97n@gQOK5~y9<(t%z7#{2J}{!NOJYB2qd(G|%=cN^kCbx=G67?r32+hDew=4$2mONs`Be|xXTQ!yJV z%m^0lwbPAG6{Y>%-cerrDQxt1fHL0Gydi}eGi*2>Pdp13tthf(KL+9tF}I@er)I2 zEO;>L<4x8;;%^E1U)t%yTRBT)zt8Dmdj-gTd_vz2U4B3r*s?b#z&dkDQ*fZnnWSqJ z)wdJ+VW~ik+5)<7zw))UjbI2F=H5FQ-vp)X^YMU*v>kRIvF3-nR{!%Pt{l`r7qM^c z@lhn8 z#*BMO6pfLl1k1niqN z#l*kOs#fv8CWD*0jzN?7ze9<;FLE5Ptm@)Hk*8(<*8?ubTu-Sd<(_Q}#8*+S z=Fmh>DwJ5rvd;HTtuA%PMwstPV~FqUM6x-r?%LM^b)NPC&DEt7N`ML@JHvx>-8Z>% z{QmPuBNq?Q_AAbjLdsbm%PburBFT1HYuP^=Dl7lERNS_JZ4zVN7SvQgeiJl4EwIy- zhw7YElvZ{dZ`J(GdUMTD4B$Fi7f4x$avlsz`AB>zwS_#u?aCT_b08$%MX!};GR_|knu$_W_fAUG6d0M!~zLZ_n!!-2SufjUn*q%Tku94^E zv+Jia(35Rv!8xS{=P}IL{mhmT($4#lFxep2R;5R9#3mV2oTRMW9whx`x6R9nAuI#K zl%K5*0WpWm>M}<{OC_Zm?vOVMC}FBSr&beMMqVMUtHMweqAe^nq+W@nJ4b?fkJ^%; zou~JHa^*OWHdNX=U|n$44iO`|ksJEY<-FFWj}9vFAZy!$QIvsB3x^)G_@~P>GR20N zI+s}2ROmFD4_FMJ(|zopbb`YKs=PX4-5)-pMV3kRl&S{H5l5+>h|jJW^gx$ZZgHaE zv@UTJr#Eh(y|zpHn%XvF$$?6*=c_U6?FbRuV6U9Yd*}nK-3mbE$4THVA=@$XsV~m| zGX9e}TfN^aY~2&GJG_e+C$t!&d`edWLL4Xm-5>R9|44n}Ih)OFvHgX=AwR+q^-=Ah z{u-Mh321`qWHe@iRYu`P2@cHvOQ@VjJ!s!LP;b~K+g&4Qek;N}{44AIs##T7t@LBu zsG?l)Uh(>o&#Z1-{C0HH{xjX+<6tctAhGybjVkS)F-AW7g}Vobzk7GP*xld~uP7Dy z&7o5_s;LD;di^i)qkZI^=OfhG4p@O>skDHG80x!8WoxYKHSa6^A5rff&-DBMkB6ij z(n-#|N~MEia%MW~grY*slu%A1hOv=UDyK?0 zGXFK~69ijW+PPA%<&8j^U6Hd;YkY2FXwUqhl^A0s?mST^Iy{u7o)EK5v8J~x)6UGO z%N>&h8t;He>EXCocGDMm{_ItTEFjawee`_4uRM-3|4dM~|H2{z_9d(l3Rd3YQ`^+h#u?nh$v9o^$IL=+x8!1QmxBQTc9e;%|B@LFdydQ6%t{Bh z(QD0Mn0I1HV&+|LYiS@Zf=CGIxW?~U7VPP`f!}sE3 z&*>XwRkY@i_4N{|-`WS&KR+dMU(`W{l`whk2e6|<&wX>=Iu5HFLu!wM9WZy@_X@ge zUzLhn8^*g#Yhf@UgLVa~yQcK~G74ALPzi>`v6ixCk)t-uT#>c<0i|rRSO>?Q>|MdWv}gN(VS;x zO^Zg4tMai=?rlnNw45-xfevITP1~igOaGRnudc;fZ!MT-P1}*~qqbEYinu>U+nR=( zKhxqX+W6}_+t?`Lr}bso#oMaezAR2IOgRSEXA@`@maX5uXqZ_<69Yed`TQe>locO4 z+{ZqF3X$Oki&CdxiyyEd4&m6T2&;%;O@_RImh^2q(i?pbBdneIyG@nfn)qIlitJqsCw(^cEq*N<;@^?n7r(x7g2ru zKU>mHR7hNwE#SalBD>T3*vF2qw+oZ6%-&lafkCBhRi3vKpE5jjTH!J}GYZ;UDrJoP z=e)LGU_F2?vkyl95}pbxQ}NYywZ3d60zGc3OBe?4}9#R7CcwnV{Bt&D#L zWD+v3G+Rd=W@dgjp#PO9l6~l@m5h`?B{;^hW{b{{>_qXOg=%?MAies^r{2|pd4kRo zZAD)8nOO`KPAlzD3*AU3(Ck} zUPX2Dn83$E} zQGc0&Z=kfpF~eyOx9F>sJfB_b1

w zI=PMzf>zX=uMV{|LYvX^#woW>EH$x7>zVISH6yvbY?r8L8r_Zr$z9@veo1IQNgrLdjYppvt6P?)s15;qUh{NEXY@ewifCLq7{Cm)qLUl#hl> zG{DMws44V7A3F?D7Rkush*WuxQRv>vtp-Wp#hgDOV=$xZlsd&YU0tj1kg>!*7{y7+ z^xMt9_9>hYwC)xd7?UC?ijk6#0@rV{xYigwz^YLH)A1WqwMArR!;CB^^6Y(fgkN2* zG)@hBc%5W7M(pw^OE9!D1dMrh$tX@}SkqM*9w8cx6n9M6S)p;!#QW+j;{dY|PF- zG6SnZfKSdE1x@Bk0j;sYPxlBanO^S7_x7O}8AcJkg%7nO0(H)q^OOs+E;9)Bi5xyNG!=SRVoWlm5U|gCay7zKF~H--B4m zBm@VY>Yrvj1b185u$)|K74ZlLZnn~iK3=lmsjqFF?}5)xwTIpbTF(ypDayy|NjILW zL%3kl4wnFBhhlSye%EFiCu}u`e7=J*JGgulyet73T~e}Q-c(=zaL&}#(<7~%5TYo@ zdT?A}U21vfck*9wE+-4A8FYzar`9h5UaXIyEMow>I`o<~E-jAA-H|xAPebUmdbqS&5H74s^x>5mQzrlAFS{U(#|sZ&Khn72L?nZbY*zO-%j zjPq?g#D+(8g-D<#d>SWu5!TKZ0?&*>eha*h-5j3YO&LU;#W%xo!EX$F<+tB_%U9zVHc+bw|e>Aw`RUjulgJ>V1RfKptuav1I#QIj%a>)+%roB8X5(1qt zIN(?{6bXJcJHeDTF@k484{2J>-+QO!Rn-`UUP1A#3lL+2bG(>7 z&p29Y~ok*pTbVHu^>)2&n#DMZ|i;u!yWRbw5p>(QN6?9(>S{*X;n zy*{;ox&B6fuhp9|mQeMmg*3*injj0Q>o>%q(lXqRl7-y^(*?oQ>>rFY*C5t^pEe-5 zU~gIf)=9gwUdnluJWcMZ#WzN&(k$QG_m)CN;0~@vk4W=u>;|I~M6)Dy`ggwN^Ju&X zn+6-eRhuWZH*+Kft6!NNr<7#1nZ_wGoTm+&iRd&MRv5!VhQbGmn2)gs!SU7S_X8;f z4cWsr3pQe;U%MB?>jadYx##qQc}(+t*_lP-B{2Avu>Zql1iEPtJqZ-cY=^WjPhTcV zjYRqC9eo_A*m>b|&1Xv(43Rkfnwi<&)NX8wT7JM2CaeBnWEwYCHw7MS?-Yg5;$iz~ z_E36hkHf4lt5pTY}$SoP!w^3T2PIk!Z-8_x0WS2Vg5#+3Y3D-P~54ipLM*BCe&DBYI)<8p1hU@Q$VZg+fPb5o7pn~o8 zIDNK(4wv~H-=ey!@DtX~p-VMddu8EQrDGHk88$l0K83J~eHkc$YW(l;)HizcOjy#| zgIIv#_12?A(s5eZW0=v6`{3O+HNVciO2WDI@<%DGT=BM7GeafI_qTpD8`7ltoVGP= zeI*uM>1+!ctFYx(lfY|L$gzJjNFhzQNUWN6oVRb?sr85``Fj{V(?mwAJ@mx(cQZ!! z8mg`DSI2?X{&Bc!44(e)k8hS_J9@12;@vj%g7OQyt4~&CeqU)(!3RYqDX4y{GEY@@ zWL&3&t3vc$E*~_L?^tk6&}Is@zGNeB9F4~X3s+o@f*;lCr#<0aB@ONADig(r5Gc5C zRn!dbPF%pKFmt+<<6ht`7*bb^=}T#&EDW*s43Z93631(L;b!9f#W^CB;fkra2X3>X zDFG2W!`mM-o&mKdx-T@^Cn)lXFDGuaj=)i9((CeM1zevpE+QE2wvK1*-K-uo=S1Oe zGTr6W1NzVQqD+x=t53fSuQymW8Li8w<2>?pn*#*J#hd=NQeWbV#3>38NR<{`2zz-yY;WRD9 z?p-JGm%vLixe;3u(`}~Ig60h3;3YHb+ir0x)*~rH_$n#s4$8?Fr{2W`3Lonzy&GZs zVl{_;5cy2G^{9oKzeFxl-{0}u=jWEQuX*$Q^K7$vK?N~GA<@(ea|gK&?`o6xN1ugE zr1c!M{Nw3QsqwPF!d}NP;(xYn)AvkDOxapK$shKqd(8$CSWs0fuBp__XFar1^#<=q zAaJ&$`g@d1=Om{d^qcPC<_O>An{P|U_2=szY0U3hPzhOdHMn`uXvU;|gUj`6ID18D z7@E#+yFP#C%B#fd4A^FRzr;8$b#e(ju)mJy^jEhJpXZJ~bf@2P1MstUv->TP;e1!^ z+r|}u3zehZ8QMF$qT#fcd-JQGGw3I6lPlUNu@g5)VH!?Ae_;ArJ17%08e8Mi8y{bX zu1~gmh~i^go=u*Cl7#~!qTqoU=lNGjOQ^w5pc@a@I(0h`>^Qhi>NW36J3XfY9ugN` zQvX9V+~V(%H~M#ZbtZh3F#+B$$`YdMOQ2XuLiBv9BEstD%Dgc7ddpx~n39MgB6EvJnK0S31?lxLpya!O zeyj7jRa-tx!y&^P* zhf}z?^HApK7_c6^W?n3lVteS9YWJvW=-*OpyG)ae{zykZPxkJZOLz8cRMO6*cLqke z5gf2dDNC9oe&(B1_tA5x>Scc}f3Dasi6<5q2P!!Uw7ofI7cH1}2ODyR)l)0s&hG08bnHY(E3N2?xY^33+X zxpC_RYZXVJF{{HN!o0$o)bDlg$NP*d8hUmg@~qyHHn%tGl%YdU*SwFeDE@R37FiFhw-h4PV1 zh2b7~J}Qc#wdiG@DLG6eSYL>kI?U9JvU}f$vY81>Sce^ELfecL5bNGX9CuWa-j; z?^g=hzrTyIga|bLNs^k*%wm{#l5k3bo+2USh-}N3@CLR(LD47+_Kk6niOiC@Uk++> zXoO57y_tsW50~fP23=DqSq_!*m4>AJaUBl2Vxhq-mLP{Qkt%uE?H04E*#yp^ns!QE z%FhC=#6Yq((<+9H7l^ zZJ>B_fRst~;O_4Ad^%Hi?;WvbuW0GciA)*Na4Ux!xFiY~c@K$k236g{4jVSDy=IV)GL3EepEAuY2P3uz>*=h6HD!~``rLVOu%MlP z0Z^%dWA*WbcfIU=?U4YDmT=jMF+y0iE@lD}y$dxHhM6S0F`+L3kih{H+-@=24VFnA zu4)Ta&vWM9&v?!`I}chj4H1mUba4ZUQzwhZa67INInTRwV?wfMVJ~0K57)&befN;1 z3k1J2bm(@q8hSmSiXt5X&!gpyy8_746utiYC0i`_7OZ^>=mNT3hs4%%tbmMco1`-9 zb$!&k4C#S^fBmQb-x_`6vhL%%O*_9XQagrQj61jaENI2e-Qc=-@Vq+}Q61@$DSsh8 zNzs{a-3x@tNY|J4pE(44%VKa8TyIC~`S&;vw=@q){IhtR%Uz_mB;?>vSzOLBrted` zf=k|ZklsqMR0~;dMr1R!AK7bxP~PV8R2UNwz(Rt?J9xWE30P}40=3WdjlPjaXr4P& zsQ>v{U}PUTd}NWEi@o86*)P(D`n*{_(6A4l6-|xZI9W~l1X|k>_U#5GFb6F#7bUZj zoTODcb(dD2xSQ=tX*Kezh%2@`#JPNA)nK^g$!NJ^yj^;5`&ZmYbb?Bw60riWczn>z zHH!h1U5v;>5~FVq(*~Q&woQTUQMV(f3I<}t;;7#|B~X_sq_CCEKwRar>KMhi`MoHY z70niEAV$gQlC#AZcUnbC*08h>IEh2t$PT#46AOP-naN_J~qRcZbus5=%<5J zr-J&`9q02lgg}d7Wq)mbVm-4yOQ2Gs zbrsh?93K%~meZ-0;i5Q?*h|A-R1wBxYPl$0c0i>~4IxOFOco~FQ0(>>Cgb|=I7Ud~ z?+wl1UQbTxawp7=1{DK&Oj+$9nbwQg1@qHj#-Dll!;1tiD@9V@N}yb1*`Z6jj~8RT zo1B4$|2ovuJ&^iAHzjf=`T6Q($o8RsS897*AZ%i{7tgD$chr_M+b05V&@B`?N z#1Utk56kUlSq#Una)93t?^EqBG{OtstdsK4F~E*xLZ80j@7P+dkIKh>_vC*`@B;KM zIF_)X5=GJ4{a(_Smdzidm z97FE>dq@$C)uIyRmbJg;kSB6;$3f=*i4GN;D%J6_9r!7cFZY4wFpSp!iVHCs?ssow zg)OmfFeVpyeS%V7$zUOWpi;}Q>7>~=K+vbAjB^PgyW}u1!Jc$nuq)3hib#h*8og{u z7d1R(xb^c?Rv}PCIc-oeEZ&VIoVBa5nOTDdqrH$4n?kx-e=ZQi-e4$= zh;Cea_W-QtaN=4rQA||~Lv=>X{j;Z4@r@#fMT)4-b1?}M!}y`Z@Tj~Hq8$trvxK5} z#HI0&yQU%J-Q#-w*#UPym_&rG1wW`#q<7ck%`ZFt@9?MEk65GROwotJQCqZ>wPn@& zZ!JH8M-Z;xG@&YRYOsO8@#-pxNE8W|Pt6ub z>A4vi0Kb`5syS$>MUE54EDFKORlPx~CPTFpFjdnEIqO#&73 z=#-215ufn8Sx}&Fe^drtF+DkL0w5T3m8#2j(?j_ipB~p1*nOb4=yLBUDdK{aqKU!z z*2r81RRDrCwC5cwr81%DCpq-e%y89CB6{EpE_RpMA9yj=*2za-_!?Z0x z{VCLF5e>JS$j*%(H!WONW)~Ra9a}v;>e#xUx5y3`IW0sMDK<)x*9}LK2Ak{lTw>PP zTpCZI-@0DlIZ&fNWS}msS~m8WeL;zF%+oWn&O~{*))nvMc6JM}7^)nMtSet1lk8w` z_-$NA+?2*w!MK%uriiowGnQExDWv1(|EwRAK;hjW zDLxkZL*pk@Htz`FjUGCw8qY)qI?}RZlbHA}@X#~%`a^v%| znB^TidsRApqzp{4S^91H-|BW5Agnqz!QXDM(kE&yYJ}2pkg2m;NoC@Auy4UT(FUaR z=zX9qCFn9vpq9n*ArCsY_`C^>rU!+5_Mek>A(|zqYj_SSIM*Q#Ke^rTf^~~ePxmH| zSKn_;evSw-uF-JAzgEaVoP)F}_FpSb4!9(xHS>a2*+>iqXi=BhcaWuO$DcDt-5)vN zCmnrZMd)uKK9j|K}I-N4#rT)c(ogp% zk_Mg7#FA5nREM^MAQn9(>D7>n_ zBNx9P^1*u^v`h;w*VJOAZ)1xX49I|2*0$8XT59 z2sB!dC8#wpMY-i>B>q~rZDPD}EsBIheq2+v)%BQL7$&V8gP7xaSDvp}e7fCWOEDFz zONU2a7sNIj27>LWZxeFE9sqODZ)=|$!z^PFeE~0V5G-0D>N~vdo#*aA!`4%~S>Hl) zfu11*y?C2shW~V+Ex*+iEuq9ZwNtj8#J^kF*>neb;fQ~}^n-MCj5{6D|D@Zfy3Q!% zg}bR#yVJTfqzvv+=Kz*dqf6UDmK545eU7nVzRkK^aw&_^UiJ4o=go!;vO$LQ2r4%W zBdparsASGJ`4_jAA6A~~b;T5;x06VIbJ8g*^FK(Ak>bm6eS^g&o^TW($L!CqTswsc zv?(Ai{HW8nneAr!zGQcvhU7k^z1ledAgvUFyNgQ~&$)CNq^H}O9@ifKyPLZPOdAOy z@&xxZt5ka5Sth!1V<_|9q1BVi73i>5fdN82AgWw{+uU>vvqOjhn*Ylay*Q4|&?{V4 z42KMKXjI)68o(7tnCM)9HicDJ?J@+Oo!l4f_l;pO@q(w+Gl=+fR_CD&nZ9OX6nDxE@h$tLPYb`E(G zIELQj4~Z;sl%F^a%1phvg@v?tZ<~3{O!! zb=)MyeeXmL)}S7Yc7nYir64%U1{xW|XcWco9yf`Zbl~=~MYsi$H&m@6Z2oI>B81rV zSKgVkbETw)VBn@vPA6C+4jTfqxz)|9ID~jj&RrhxqTfqaKUN0{IEifq2_K(*tVBPEt&_`;5KLc>BXT6?G#H+F}|mqXpH{t2Ic z_l3X_o%#(hrQ z0*F2YEv?EijN(as%Cbza+QK?74SQ$F)hNxfw2_!vQlPqwSKC$o093~l5oWq8-VWR{ z?`j`vZmCjwiJm}lwnM*ad^jO`c$r!J@)+AF=v_%KUWDYAdFghBB-yIBM1GuSl6Z`5 z;!Hm6&%>@LH`Q-Rr1e>OaaE$)*JfH~k98I9Ht^3Um##}B@F;?dHV|8~586?GSS5yI zW6ql{>^fE?LAsN!=|%%`!b~A#apcGKbES2UkXQl@v87Ec>8jsM*t2!oQD(!pcIrOdDj+8mf?bD=*F^#Us#u_KeBL1?e-Iji%B1 z2}jN$b=I{OSOcYwRmN*45&+*Bd5)<;CXM$_F@E(w0nrD2cGdFU?H%YMiR^%yVjmZB zX@{SO#ba-jggF-8^-opCrTWdJNwIDTyugR_rg7;of}Q?kysA_3+F)(#8p{$-B9QS}!`inSl#`b^`(GSes z+H57Qb{{7nS`%V>yUhR8f{#nXk5=h7`8AdMMKQEp-xvM9;Y#$V1q_nZ)H za?6V9chjkE?Lck@ZSW+9F)t*1U>$P+d>yRd*gvSmv&kKdtf%Y}V~g#EG^uYC@Oj4) zVk49O5q8LdMB%EArF=Bd+F6sx`?g2*(m%Yi-p~x1sgB4NkADY-@_N zJ>7>-F;#{Eragmd$1xRsOxgQL-nal8GAs|b-F0vSflb|7hTDGE zxSU|94Ef>r7KTv%UQUuj>1y3132DRtqio~t)zc&hNR5F3l`Mn|KHUgoH2

~5-vfD>3bo{0VBK7PUJ;`tQoCChx=*aU;^};Ap-*SU?4h6 zG99;eva#y&##Fl&ni$Oh(_+1wl31oJ)KF!;7x4r(vuCo~4|?XR+4h{3P6y$CB$o+P z+Ck+E_NPHxcXIm$=Q_5)p0%jTWAh$yL6J%AeERg;IGt@n!0|$9_zcLY>uk;d?=_sNF=$L>bnt( zINN}3W5hqRwOn`-r#Wzj;NwW)t2qysj-0J6@P_GlZqS~jzZ!h7y@%vG_KM;?DT`Ta zXYxa4Ot7t~tn=jZKO9N!&CNa2yWGT`Vf34LUomn#(|Ox+hz70V(;g%2^Je$eH)DV~5VuJ6j}r1R`K%=lU9BD=zkUH#`WM}|^`i}Z~Lx7w20k~%Am z{jqUy$D=;A74?g_ZH!%@hGt>|H#uTpKMhKI4XUyK?47L9B=G>?`N^RWoTTs_;nuPoOKh45+u9qAWh>R zfTNZQ zmYA}e5xfR>rLXSoFteeliEa>jNGW?v`zf9{&H}(NFx)>qwAk%8dWM4EhH10Vv~WY) zZIqajCjFL0pDLu8^9M^+aOG~ge!r^t#|j=yP*s^Wb4_pmnAu6la`94_xmE2YDu+Nd zvjfslt&X`7&zF0jc#4EZ0zbH1-P~#@a|S0j79)z%V1nhQk&kp&yH4@WZG48&G^L<6d%0T8eh~mM!6L;`^rmWK zl#29e5=dA%MxbTXhId1bLjUk-8@DI?l|eMaf5`H)cieK>CKRoSwr~M?><7v}TUa)B<-$fX|E&>!q#AShF0XZNXf`N*w=16t}|5 zGoGpU^7g;PlM;+&G|y`9T|z&D@J9{HeNRmoIW^cYE1i-)b_TR84XkZm-Z{ZtltU$` zc^qoA5TYX{3WOT{M~IAgXESAq4b$7;x1KPgg|l%*Dn(z%V$6w7`OE zA8uS#qrW2y`DeacwcbYdW1=niVR5T#weK0`+=M7bgHL!AJ4h652P_$%lib3UJ7)FI z)5Z;YO~n1_`n7587S zTz^WOvsFIXXr{lcTCvIoZG{WwP}$$cr|=!+5vUpOpFZnOps%0@ZjXr;*jG8iA~!Yx zZ=}VgWh#7NOccCX_C0~dK2~B0LUwaDvEVvMd$|!?Tj~DCdJ+rhrmkzi1+NmSJZ~}3 z>%Pv61|k~*-Jjf=gXJAb-?Q674Il%GOr-LBqiZkw*1y4K5q&+TiBml5eZF6{Z{R7z zin|;ICNXJ8SYtZpJ~;k*nnDhvw7a#|x3#XUfCv`PLCzBf+9&BDFOJ;;Y!B`neC-cV z!-e}Xd$_1-3}+mzh@M&5(Q#H3pAQ)Ly7g!XLQvW&nJB@j_zJFbAKO5yIET(T4;4F( ziwuQ{LFTGB)?nALr7;sl>Nz3fz9hVerJz~8uh!d~w`X=wn&F%bFdpb~AKqj%W$u_w zt_8(rNRx4er^W9A27}{o0>LMDE=ZicC8h->@gF?ap0_A!Kj8o7m|Q#cV)Pl@ z&W;p>yqI5}N#s7oQ6wQz#N!(nJEG_%V@R#HRAx!jh%^6+QJYLaCR<^VV&vSun9W@& z6ogL2g%%oTSR;pA=r1SPeCC>wM`5LIFlNV4RKn8cw zDuQOKI$&O|&Hw8HvKvq|;}#faruPx02|P&-p1J+mU?nq&jE zLBT@PsE`x>7sWDk1M{kI^;!KV?VXLpLP+$>8v)w{7Du@aG4K74Ivr%&v~n8RT;&<9 z^KpaD3;FAUox0MEf@1j9#OcLbAy>c8z-pKCW1EC%wbja*LMeeGnrZxKdg0vLIB^cu z%cAu%)5oAd83YzRC0nzxC)+us!KsCnIUu%~OJ=B?Fb6swm6F^eOnF&q{eM-Bj~Zn0 z)#9kub3ZM?I^4+xydTf+BE3C-V-xUcfJ-X)g7=99;UbN*$##F#G8vW*3efC;4tr@# zfP9w6(doKPzx*=-f>(O)0zgN9?rkp3;bAA`;t2Gqn;7kOZp2A9&RFwQ`%p-hS={M7xQ(a~(8Sde( z`M@+reg`WwiU_53tehqZi{cfJNR!Xi>44uIzj_ZS^OVuDb7&CJz9Y!D~^2G1kBu^MPNP~)hSh*W(i>YG*qM$q8`H3()QN+ybN~@4Dr2{R z-Sl$1o9xELa_i@w24P6Mn}p6xIp)6YpX!pz?I^|WeHl_7GCIq8$9e|{0m^$b0zdE~ z8tCQ3^hMl}YJ7hecXS7YTvF{k

01p0l#e)kbVChdI(rp+40@x!SaJhMgYeh4Tgx(a=wh_qZp@WQ@(O!LJ^sk5df*rhoiZ{=P@|^mOx~b4Z6!NLTRN} z-#T9R_|6n-PFRhSDN36@V`-Tr+{SA3KQ*{wuVqj6?2o-F~&CU#wzBYP}36p>4%Ggq}X1+x?sdDB5QA_wOG_^`PC)~Co-ErVaotE`$rQCzDiM$mVSL~2>4 zSnC?6NSJKEyjZ&%tdMoT8x+>i+jHy2^0p*X*DhdsoD;vtNq-ZA%PG>GH7jx{tHpIu zZX!CV7td$z*ShaqF8%m+*lVGYU{Q2#((`5}+RZOz!X_VWh#KCJ(lGAyDy-3JYVZSr zW)|xr+YlQTsbH36m;vV9vGXOdTGkErDKx1Mh|q{_mI!FjC+tas70GC}Yv@qzdm?qo zKZ9}E1iL)SL}nQL;5dcm93t24O~waOL(#!RDwj}Voe>A%@=d9{R{Wg0TX^q-X)(CVI zPBJrY{0cdSN*GQAbK^OEICrx4`kjdyw4smZ-C1Kc1ZdnfVQq7=Zo}fU<3)zrOhifF+3)B)OPY-$}y*hftyA@7S3 zkw(~1os1$<&D8Tt6^p+p$bo>nz7Q= z)}3#-iL{~pxb+6dCC`6sSVA-ei0HGm-J{j zQqlKQ&54yh28pzhESLH7?DM;wH`nNe{ydK^9^zJ+#>YmgXD# zwK@poZv?k5Jaw<$Yk(ffOGdp89A>wbq_KF3X zqDX)=n9xt@-iAgO*AcF43l2rwx?PG=bd;X|xqO)R6n;Q!cEb;XC)7VxK9arqU>(Aq zERpkT$<^JfbCq^*NeV%VVz&KpJLkjcU$JDdtNlJ~ua_Wqy6zR?uWp?MX>PLlcnu+g zKGiJk0`yN~Bj(jgRZ`;g;|!;e(6CQal4vvdIY|9-&8aHoi^kdxfbXFdQxA(+*?_c$q77347);C4jEKRq&?+|^Zy!O!&wj%f~ zp>$fM-3$zS+hf{80!9+Byzv11G?@6plSn0w$v<%!+AE3Rpgp7{i_e~Zc!orjKy_Jh zWl(i`V_TubJ>0BMK5fw@(hx+0xCd64T>l36LJ{M3q${pj$C$`YA5^l1n_UX$N7o>T zOk*pI$Z7!Hy8VcH`e~KPe)6KqLpZ@RG6!1?L{=a=8DwSla%?Rl4Xl7`)+G<58LA#q z#l#onYWIQcrw0eSY%09j{sntJIRat#HEGHNya(-r6{M!inPM7eW~92v$QP?E-I$9y|tcUExgpHOd(my76AS z>34X7x}P<-|4!OkzxyAKXw`sC zJ{|M_1zbiWo6cM4|2T}VE))O-RG;8|ntbv`P6cd8js4zA9-ijgIBxc*?O#$}$geSz zC11}wJ#CH|F(7lEl@Tt?t&j9MTFNH?Qy?*Lo=9P>=^~WH$$U|K%J)K!26{6j$O?pU z#;=AEe}^on;vfJFI=?h(2K%TTBq$}&dG94scG6fr)x>awq z4P|$(7GHG3={-WmJzQO}4&w_UG%v2c`@einvIt1NROZ#|a3KX>e#h)gp*j8pdV#=A z=hci z2?mRge?Y&^@rWJH3cmkN{7N-QdI?6rt5&QHDBK9ejvBCp7jKZSFxlhbwmL9yK8c;} znZ=7^aF9)U5mChOHs2{})(5OH0R@E5V*&3+pX9mDgn<|N0#jSt?ehZDv)Py9fW~uBU|&0NA~K4~=`VdVEH3-x{*^f&q?|FLQmuc$wj#~oerW?g*u1~QfIx^~lT>1DvG zpUJaPqmnC*sO=svfPj+6zc-RQ$?q;9vMHn2P`hvN128(c)9I2q&%L|8gBn%2k5%^W z$?KktRg%h(37BeTb^nPD%oipHzXY+}>S?rWVRC-Uz{-BDAS+vnlLG!_4i@p8XaUp* zXFSlaY@jKVTy8^xo=v?#_DT>n9{bqKzaE}$HLQ?&=S9t@l?Ow4E5{veAiwp_{Wi8p zzpv2G;QYxh341F397^-#?@O(AuyLXAK|r^!kLsheSM2a?US6c&Zog3oSpAg>*)MX| z=7cZ-%bYMo=g)shj9H)go6&GoLE=ce?hE)&P%6|n;0>Z*}?LNVhEpX{T6XFs1x)IJ`Ms2sP-OiSF}%H zsZ<*?!xCbPHkS2iK1Cj)rf_$_olA|Gl6-{`(Y$EG~%Gl2%zYW+rS zqNmX&yHUNek%78eD$)wjx0@mFR?6M5+RJt`?;4kn1cPMi*z2$ruxHs0pyJrphgv^E zokf>9=c<0Q@7oz%cF8v$!l`m5yQWpNp3gAtgI}S=602aP5!j6t*1qZ|Oimey5T4rB zA1K2e7-=|}`^myR%X1NzAW`9W-2?omP)P5AnfeQ8Ni0kG$>w}9fEaamI3qjW7rDSxx_5N;w@4|wbQZz zXGS`f2%hQHmpr5GesAe-1vc4*O`lhiX5Q{LkDrZHaDE}%w)tpB*iD7klPfF}X}{+v zl#BB2R@%VS&31O8Mq@!))MekruN~_BOO)x=%CrOHXnhg|RGCnU%Ht%gffRsmo zLF@uw?~=vqtrSl+wPam`wG=0T#7Ag+(~ikxdiz@-T`$41y+HbN7wtFFMa(K7EAsDQ z{AW-wN4I^)1fG<(Y46XlGB;B3&849>FDHY^e>vbcUtW`Gt7hGj|w2tCAc_HaLI?*|xit`W31N7|l2wfdgM` zpPE1RC*($GRSc?An0$aa2srGyTfs(q@rB~3^+&|OjtzO67PA;i;TxdVsupxsdM5=1 zApZ^mu!B~O&H1=`Sl{ZWJ?w%W!FE(u1q2kJdYht)@|NI*R1p3LQA&k#gH4f`rD?f# z&9g^h?+6k)G;WE*ZxJT8)%KT zfr|CZ1t+W}vg8>(OHiLNVlGzkfk3toIH1UP{Tufo7a}0-_YTf9_nWWeS-MVy1_dl5 z!{$tXsb3 z{c741r%4H-cz3K?_uJv#LfhEdWKE|qvqbBYs?Zj;dQjPcrdR^_H zhv=UkX^bfueK3YBYa~|nbl1WGkUMNsw}+9X)nSS3taEDM%OLAtCqH;c;#)?V@hf1Y#CQ*(f40B3C4(lZ635A@6InUV;Mh?Sh zW0)MrusLnNy+7Z_@Av2Luh;Hv_jcXa{k*RGc^|Ip{^+oEOnQx7x1MR(Z3I%!WyKcb$F!uMKStmd9GG%~!6<>~9~kcPp3;ut!l;t7y~tik`s8exA+Ex5 zAVEPK27A#K^bXqku@TtT=uX~BXN}N^qDuVqh~B5n|fkSvIyT<3?8 zxnVdBcpeWSK;j@RY^#1(0&gGQorcq+!Y`n6^UvZr`oj5sXbr=Mlm#y^b}_oYcheF> zW>}j*J}(-%S3j#;w2uqvfAh3-UkKLl+UW_2#L1U%l@H*)U4yeZ1ktEx9UF~J9ilfi zcJjRm60D2|*!-f=i=5Jj=xl<^89THDtV_+iE57_LpAo`z7?XKTI^h0l6{j>tmZscy zeK}wGRm%(^RFK{zAt`D4b5*VsJ^^#*D!C?tzLT6k7I5!f?!2GDG8=E}jdsYFM(_5< ztoL?*poQy%i!`&I5q2SbN?$k)1w4wlmVR!3b0yJgK7nLd#C1GC5h$2@BK;A|iMvPQtJNp`so4QkX^@5N!Xa=^im zensAbeS&1N7_DURF!1i=suopmv%FQuXt-`qlI}=Abvu>&h^j+|NPa6fsNDFmKg>VD zig9Q|Ln0gZ22^YAd2>my`K!=$`R`$~pQKt<-4)-gkpl7M^yyZ{&NpdI0a{(y7!I~_ zx9AcXkUOxgfwBEy9$NZOu05Oz@vN=g9C!!==)}~h@ru6_gQ0Q&z92(hBRnS0<83=N zk)naVymFxMI#7qEf6d@{0P?=EJbLIOC;mv)47|w!ToH#KY`BuOzM)`TE*GFh9v)*s zU)s^ZI@BmQkvXO6Zp>UVF(5Q&X8%uOAF4VsHzz*7yo|7U$Y+EUIY^IhlzZc2%2eV(y6(y}FN}G3yiXSlWq~yt<(3N& zaLjxZk(6kuHuS3C$_hUU!ijfr=Q@%*g=QV8En`Z&%x#wy)C>7mn(!*Uf8FtsW6zua z+GCh9Wd`|ATvh({t36Rk>Y10ljekimx#dgQb}FhEX8osOnBZa}uDZMwFeFmftIJ_+ z{e)xJbw>NDm=|xy{`~dm3N6({+z?@^f6Ma)IgF2&7^nihj&@l7N^_qWQ8q|yYC$<(-?2Yv2t?$l6a2*A{ z2>#cQXtmbC-yb~7TvunN!Qa*R&mM37e){xZhIlD(dz}YSroUe{b!1Tw0IW^GmHh zUs9)TQI(LE{{br`-Kd@{E%iSqGZF1=Z><=uK24x)A=`C;Fp#y|GrlzWsHal|94G9I z&dT(Ov>;xTtK(;g0n57O>oRw8*a>F;C`{)pXS^aC0_+lTZWbbFxDYRD*KX#kZ=-H_ zvj=(Wa4Hd3cPYP|tFi?qi~83`tq*2~_w*Ne#9lRcA}Na4^lScBUZI|O?xw*lVm2}w zH_ZRM**%+yCFu)?PC$<7_LhO|Kc-@ZT_WhqUo^b&O-z~Zx@Em7QSe-w-{bl+!ik2% z`HbRmzFl5V(beDE{*XE3BF$0h8PmtKnCL?u^zc!*RCTVnTS)lWFsn?tidA+%Z!E-7i=%(D<^9()M#JX-a$f7 zuitq+=tBxpo@Ls^1#c+J*E@`U|OHyB%uOIIJU$exRwmUkB_{%ic_yg$ip-g{KiQxa#{-;<~M-|jcVv3&N z_W!9}fr%7J8i=X(CCmTRo)p-I{8z~FAG-YmSw!oQ<%!2MyjUS&g+~I$n#FHQf>ui(9j*jh~N4C0m>9o|S&=x{68ugte?xl8N%D6SY z`@+*wd0Gt@OM74SF@ch|nRjpgrX)HB{yzs3 zNS(haAt~}zn|8q)=0LJSQ$8|rO+3`k^LQHVqpGRqZ8o-R;Ao^u(=B{|oTR&Q0CuD^ zNz6<-#76Lc8a9mf0f^LL@BN34X)#!IyFB$;(W;iGr3X{g>tTM( z#O8K2(X1=ay}ntA^pMHwCDhbj>YQ#359GXMH)<5;(Rm?n64 zQ|C6r+>3BDOK@R)sBd9M%&GyKUCC7uw`Ph~l@qy2#9+SO6qzzp1?ryz={yw}R=}bcc@m2oh{Yf8A)p%m(cp z++li;AM9;)QZ!*>v4E-;XY14p-eueld1Z<0(rBTT>68DXS2)Y*atp47}9nefa z{wLVo8k2JB6Jz4HZnyH#;Wr<)HDL1A)jyQ~A>-^IRj;BQ`eC}Qwx}IVRKfpy9wGob zTL0|EX9SF>drf2w*s8PC7lT6?z^ZT;9?vH3^ zQK2VbYjv)6ZxjP>AEzJeKX-K7sB@i>i+>E`IT5}A@3zd;F6R0xeInAUwN3%YPI7_k z6q|-cVGYf!a}%{Uy7r%>+pK)t-a_z?er^lobkI9hjv5xTtx@=QitOdROx(hv`MRLw zxLi2eL5_LE&?|1#+o_`U&{Ah{t~HC{pw@P*kgZ>7UO7{E^5FmB&(S1BQe->Q?`4-(8MmiJH*p>HtC7p61S0a~C#Y~N#U3FTe$3MO|AFg8u|D)iq zk}FCTruZVdRQP`IRPM@s9d%4#rYxjwKJ?w~@IzE<3DXV6hS6@Lb&elv3{cZ!4Iwo^2J&WFa;Yg!*7`e+c6Yi**io(`X>)1lMnGXMn zV>y#`U;r$8Y~=gcA7Y#w2*yn(kCW9OiV|8eb{6xbgAN2?CFw>#?Qq7_^+~(dmm#)D zmp9*!BOA_FE7czu3)q_KqGY4QxChiY@vLp+r$RXu4yOigKXG_LuHxU}%c-!kjwpp| z(D+&3q2`*kR`fYmqd4(NZIU-}%(0zEotGQL;Om?S7%4dt#cx?9nO0C;BzJ>=DFh3$4!9;d$yo6WfaT7iLrbh2oC0jK1!$ z?)CH9EJcUjbz|CCn2H}ffyq^x=C5&kO1{}0_m+0RL)x;VWBy%oMBEI6tJ0J? zuwoX{0RhI{NnxZcOlL z2O#nL+f@m^FqldP+6>Ik<%aC`KCpicb`*2S4ZnO5+azweX_!mt8>2HbwIp z*^|_OYa)3ih0Gqj*%N)7SDLV9_5d&g?-nMuU$+aJO0T}43zKKHCFzAJ0wXD-INq=$ ze%ilFU>=gmr#-EmEd#=lg()}-zy|?!@}U-))4N0F^|glvh%jEGaGf;t2mdztMsFS3 zUW^fQ-=38mU*5upavDY(HM7(D8^7P27n$+tIM=5(#~4=WZ`3SD`EqhXl8LR9o+typ zFrIIyt}O!xGZmMX*xXI7F_G;%M?+Ytw$jf|_0~`Eh{<^><4?q_L;JYM#tD)2 zI~fuT~Xm`6feEj0t{%8A<6o67j{t zZuJ`8gcDEoJ!d9De+P{#SK>o)sM&9Y9H_*ZJ{6ft?^2=7zHsb{aJ9Q!oOpLLRdRLb z_P>1QrL?hjoNZy{#{#(VVKk-DC35x@8xR75OTa*KzJFH}2)LOo&sXGZj)9T3z!MHn zMbtk(ELVY(tm|ifDW`tizi5bnvpv33AKpJ)C%Ziri+}AJdX04R9g3?hfa~j{`+FBE zWph7gy}YuD6B7${3KmE+k6ho_AAzI82zjXFp^1}ZX5zC|4&{~5!Ia$_hc@`(AfEan zAM-DU>}xDFts=~D>1>qseWXk!;LRq66K_Zmk9YNA&Inqg4?eZmvD5Z?wlDV=t-dV9 zYSDTkR|6Hjm_#aDoi}i3{@r23vh?i7P6|_2=d>=2J7!s05&%VHo}I(st1L54VDC+z z5~J5|fmA(Q4^J{d0~s@tRya}@NDh+eZ;W#~V;dILda)yfS^RYVDERkqo!EAK=6t`L)2vLj-BRe6lpC+$ zzjPv}L|5zhjC#KAh5=klSUUsjf3>5L9S3v)CT|Lio3%aD#MehPdy0SAb`N_t>VgLo zeBmr2t0O~OHR!#EYoFzq1A@M6wC?RSw8C>F(+NS(9BK$q`Bm4@o8mA^zHQH;P}IJ> z>Te0ya`_b0(oTVuf@-fbpgnPrTXAlBIz*7*Gir#qW9O;!&;CeZ*Zq=L5>WyjiV*n{ zaO#2YZpN|60wB6*+?89Vvs^oc#$?=$)g^EfxuQa8>&;FwObO? z!HH-2;%{-F)s`A?|Dsg`O2W~jc+}L+<3HAGe2RJ$6EWX5E$*V?pKd?s=~prt6G5@! z8QL3R6gVucWQ4GrgB!R8wMG{>{xFX`w3?ZrgXx&i;ZKkEjl2^2Chfbn*f=CpD}*9# zg1R!&8ho!3)!w)i!E?(}KagJK(Qs{n)@yO zYtL=X14?fD?^fE7{>`Z+*vc4w>-_|Gl3VTQwjAW>bkVlD1+P(?H-?btcfY+U&0m^2 z9XyazqJWZ4{49ycq=_Q=k~h2Jl(e_Lm7O0FVVUsaZTgPqKxeE6b9-(IKZ>RY{v8Z99Zgc;`mKNt*=H+1PL1oP3q);$i%@%*)Z^&f78}e^2xGmE&T_ zzsZ%gcaqCeWjzDlmg&fPmVI^c@OxYK$Z2)=q>abYWQMqt$BoGlt&WKtKhI-@jtTY3 zZkJ$t#yq6)0pmcXVSgX?7_XQ~RmxuAk>%5Y$6-5R za@!iU?Y~Y5=fv(iI13Dril|mkG%dp(M4e6*g-;{XoXGd+<6<9j_ z+x11x8Fg*~Cf!{(PLbGbJhQk!*i3M@Ir2JNrKRiWA%&TUYQw+De16U51}sQgbf{@d zt@lcEVhobTB4y%}=dkJod4C&E`4ix_-{|eI?Fl3V_iYWwVp;QpCtCx35C6o*vSNb# z!v-4%DIpn~10I8Z=3U5xN8ifsWV+dS3A&dg=oxB6Uehs0C0O4EtbW0A#n0tw$trAV zXi;H%l+2!P!IRhh+4muZvRh~ zvMb$`x1r}(YD*xAE<%EaPhxw7#a83^oU~IyST#T$(?;7^N-emEyJ5a#cbQAtpHLvhn1{l)f-oM>b&gsZPALw^?rR z?#V$P(^pHIP6zA5e+KYQUd2lRvYM^JKhyCQeWRY)9*cBtV1G?JMSHCW$ z7!HD+vg$my5SoEo+bafc@p_GCzA@rZj8p%`MWk0OKR(-gOp#g=D`YUIL7 zLtFLuWnZ7zuxl&3o`k?AZB`jE>H*82RTOuTplow`duFSSRmQZDd0s zsj&@8iz|#J)$mAwY=S{B`#yhz*~juHEd@5kO#orJyPh(j_)#lf1DSIkc#07nK-%JfFGssLKVT3U;|3JHa8hW*m}ECdvQ+$ar&Khal0q~(X9J* zec=zElTN|s8M8@5j`fA9kX~Z^h~y~31!|lIHg)H`P-m|K-F*hg`^UB3=?%mrkfqfc z%aXUDfhWmpi(83&)4}A(t3}&b4 zsL9wIlC+tzisR41jfRPO7rv2%;f0}*v71O{FS7y>2B!)hhocm}6{Z#z+FZp93WOX% zV>BbqwzKP{M;L?D(ki+h3*aAg@h|)dBS9A~hWAV=V3uCv>br<@VP_KLOAMgGzR?3K~?*+6}4T~&@d(vp7n+$9MaW64mK9 z&!{!phnso)kbmc9;p`04mEY}}d_MwnJ-1O9x=t>-I)mArh9x4NIr*(V;srYlt;9fx zcMBcr+*<9U+30gY9p7_+Qe;4@fSjnkY+`%fp~$8P_dBeqf%8a+>N=SC5&+sf^gx6I zF`5LhI&^3o>KAs>s3)N{s%V#n=5fA?yQT4KG(>32@Ud-9HoNr8L5~-rFcE&N+r;E{ zMq$HAmI#HLl+r7pCpk$TE!TQ#|7HR$%ch;;(BQ`iL3eO?^8v>+T)N_e5=eY#+VUj( zE#)QLNe0c!sAoby4!c*>nv8YFOq9f7b(xB(nmwu0y1O=Wu5{|5Y>{y+$2@5ey&aOQcG6ylvII% zt1WC_&+eP^zm#xj<7Z$4s9s6}q8bf3WRMV{y*z!%oDHNq_8jEH1s#5_j^JknPCVKH zi4fY?`x4zcS>Sjs6R)-FaQOwd-oBl;LpjHKytx#&2!4}WaOnh5L$$OyR%!diaSwbX zAk=y;r?H%-WU|9EG3XNu%MmzpM*6GVe9%>y4E)5NnnnxYff-54^KH}wI`aJ7t!d7K zZK_4)hR7SDeh*k?KxoL`D!9J2hV-FKbm0D@uJboFh*~=HH_TBvVk$3V6R|2RiKVAi zwg)&6EsvTt0eoA>%J>O0NGv}>#VhGr2l|}CXeVRj;axAXTVS`{8bnG^y_gG zL9aOV`hUJ3^y)0*Tm6oVaM{$aN2fZG`lk~nh&X2(!(2|}_l=aDx2h<_>7EJ$c!L{h zECFrHTVcNEln87tPfgrSIWjZ!q*TvKw{EC~IIHe3@3Fs&9N=3UQYU{4MsAlX}Q$ zDdcYvTYCI>^l;VH2{R;%haWySLKl7oBMcGu|MJJhi6#9uWv$CLDrEVI`(SlmET-{w zvm)YLLE7KK#VkNlu6?}0<|wi~5b{YYP;F?v20no>ziDla*8SlPk{ZpgM>B@HgU#RJyPJ+qD+G<{rwxk zJ!$uRE)I4Ln~F!5raOnc9qFm}(SlSwQta(S7UR+;I`@jzCd*z8g2&=w8$EJkDbVft zM`{J#JK`LpJQ7I(=>Q0fq;*GW!?e4nrD1<6!;}xJ^9r2e+zufo-wD5|hi=4Gj^%l2 zHY5pognB(naIvyKoSS+0_xD0=N^(q%+mQJWVQ=egjZKHGS&2an?){n6c)a+0lTJoq zCQ)9_QbP@tx|A3EL=LIV8p-f5jD@BZ`o3`FG7RV&G}ze4U?^1kyw-1hwFVc|HbY=q7uCYP4ldu>I?yHAMzRH=dm_WJ+^ zy$Anry|h`)wcmZ5h6Lb-kiEy{JPmu zDyThgHM!LDNTz2S4tLsbG4})q*j;sZJ4C)AI(UdCyJfn|*2G@1#Pn}o^EYh+GpAeh%+(~K+$lexr{@zvHNm?vNUMsI(Q@PSN08A?q8S6m@qHz-0xt4)|w zD~df?vr0%h@8`n6%G2Pdt#LoC)z%%Fy-UYhpCw;TI_6Dv4g28b8QK{u{uyhjH?(Es zr7C=h6g*oxlv=s7yl18KE<;a5feHEy5fO30;uV7;|G2^{(!y4Zr(Uw7(JqpkfT!pn zFP_Sqqzhu4Na*LU$4}7;nW;QB6oO| z`(lJAhFvci54)|7)(zqLDo;0+pIqsF6J8{m;2gqF{yxI5A>HU9=IsHNExA8qqq=h^ zLtWar`to|Z=Yn(p;^iKEsL!^pa6Z~816NBb7=H9~GcJdsYAHs9mCf4=SqecIK4DA0 zf~{P*rMD$l;XCb>Cqo@TF6sdD0)};RjpQ&kr7f#GJj_2Z;(?5i~I0GZE@y=@}S{H zsp)G2MPcp=c1+k#K!1NnG-V)J7xQcS=xhKYon-PAW5L^yzq(N~W(?SDg0p_y%f&Cv z75_=@0o`1CmhuAV6U=w7Pk2+whSW1Yo#&IWmg79_(qyf%vbw8_f6HUkqo5-d zGpQ7mQLMY2%Fy9Tub<+NcqmDfPrPa1wKOh+dIKn>@|XQ}AGPaxL$6A92sij1L7R=$gDA#rIo&mr z$f={L@$G-uKSMn&_fDRZSeG;9rEt@-?7*T7>a2MviVO}9y67XxjLTOisudonmPT><5?GsKP2I@?k}2Tfr^O>PGb=!14#>>T zItxq4`Wiq9E5ZvLinA*mHo>yOO6Cxz}yyFyunB zDJtjv@xL;#!njBfg zq(kkao28D(Mn4#9jkLqd}iS6M99rlXU@ z79vH30yJ5;@hR=9~->!Eqco>iH+TwxD-Okz?F7g2jR z>@&=pa~IrxAh$N-NlH?~^$S9|HX;?k+E4sgNInYbIZ=r3tV zHThLwzS}zqlh@Ka#~Kx@hX?gmWf89)QFCN9Dbx*Jq~;_4M1YH`T7ZM*@MCM=-R@#? zz_&7sGCvrd)ixrsz@AYKTE}1EV3z{v@ zlWxHZ_Rn}v!zxrK!ai{bgh~Z5W(tHeWMuVne!y##4hp`~bIYE+OrAT{-SWdeu`4U- z#@*&;2iDUo{Sld~lV>!%&5X5*@Y1BG8jNsbw;-c$=ts;KX07#?9e=l4``&8%8d2fs z9vRy@$Yi_c+9)ci0QbQjvM3l$D|{^aS7IUTyp$CK%BnN=+@3p!gWQtOq(c==dSN8+ zf*T$z!&{tDTlLIoGQ2eSItuZuM`2S>fcPkZbiH=lG7e3(JgH$YFZ8Qh_kp0*$uyx0~+5c235)BFobQE?WpoaeWA z>duPKayh0u8bhdRt=$y9SKjHSgO`S~w&(3j_G}e^#hB4GmkgY)rC~575IU1?MG2f# zDTQ>xMKCu!n1|96mCV~|8JQhSYcC)V@Eew?eS{~VCO(sj$A+NK)D~&-VW#D&TJVYn z4y*l)#%?epjQ-Kbc{zM55*tK5S)j`8lGo!~Nm z-`3$j9|2i^QcXk+U<$3tsXPFi6kfE|>>=$d9mwDGFN5{^pHZHUJ<3*o8LL<~y`l-| z4E-<>I;?Qs0ov>21Vf}mJ!LW_4N)efw=p*mKm^Wr1Oz>S7+2`(42^Ow0W$nYK$2W1 z1h?m!5M{Mdq`ctA+fZW{HoVCho1+(LyR(%ohGxr?JMo3`Z-cu!J-IBDpHbc+ln(kE zgYM+SEhUk`B7>dUilV4dpB8LuLG082{Ul7~8q~Oou-U>R_b{l{%ag^xwnix&rv;CN zoHYwQ!fcwm1L=P{mM2zSDZXUQydui3lW~t%V#2TgM#=bzk zYVlhqki)e$&39gwD<}}1^x#yret&~IFIsu-DIc@lqOB2X_O@4?pkDnqT#gzkV$!L1 zME9O5ixXF!3}h;LJd;2_MetyE4@cnM0l!QC4ax@-sPihq8Qvr#Szm&Fp_PM(MV zn4)T^SwTjxQE0o)(yo6*kw52j&sDBn^z|(htVj*8{~egX^$avTA0-T^fqv^|R|2|k zUH$)mix4r+9=#}s@^35i4z2H(UP1=Vn*hJ#9_^p3YpGU{{)gQ3UA^xeR%nA?oS@e4 z;%D?-Rn8_anMZtg`}1gz`jm>ddTMci8TCFiNnw1%gg-qas4=+&CH?GS)d`FWH&yT@~daii`y%ZB=ZZq(}~ zUAhf`;9`r9S{v6pAJXT%4^O7xabD6tw-4*r5my*j>t?SlQmE2tz89N(Zk6HuhxB`HB$e`Po(=ER)`Z^`)(1;gxTAHG0y`m0_RGLI zt2!f#si3Kt`x`l!*#jEO2EX-?8`Mnxgs<%Ad1ra2Eesv0j_G zYaXF>dbT<-HuA%$=L}X0%&|AaaGd_8Brpu(7>oaT!1s_|Z{~$5AsdHA_qRS=54!p7 z?-B4zigK-bOY_~ct=12>@aAm#S2iBzl3)CAiJK1p)qzqBF;2n%+_UbUS}OZk?-|<$ z23$eJjv@c9t39IEPlrGD0v98-@H~2p;wm`*l0g6Lv-P|1SE1}e)Ut8p8!jD(harq| zo=^tt`!|R7GU$X$Sl8k}K=x58k#~ALit*G(}#qZgR^E{TW{4wGhs8 zb6(wKBgZ4f!cG~dbJ^}wM1H@+Z7&{WA4A)J^_E^05AuoL2>#2hx2IBJEp%|o*5_$^ z@afdDhx8Y(LhshLG)zB!!To#j3r~0_OESLEW%~DiFzIQcOaC95N~>#sO&!sp*DELp z=Vp3vICE=;Ejc2mH_$)miVBVDQ@mjM!^O+B&|*JW>QVXfufdq?4In?p2dKWjid1^n)zFxh9!2*mDMBP{Y>u_!q3;#~y)|C0OBPA6V^)NAo`Zd)k3} zD{Skx+#|k5j2*ZN!ChsK)r{BWYwEbRf7njQ%0lub%gw(l&s78(PESqsPX#wWV~c4; zZ+Q`E^d+QF>By3;9^{Ku$fcR|Vmls-30%qcq5lr(lEKh?NFq@>)1|PfI99u^=1p4c$P=YG12FK~BFmAgAy+j{A`OB}j zXsL%PoYm*DhsvEvMQdmSPCunLkB58fCQ_ouFWlKem+@Zczy~S+iA}w~VEw^QtuVWqQaL|$Q9b+@P9)i<<<=~l&I7ytzHm5k<+p!M49>ILh!owd^j{z}S1mup0|EC|cL%kGS_OTe+D0tin^}YqSlh z&=#6;7!ppZh|X^P+V>OtOK&lF_t<}dzItPRdu?!ITPY)b$k3zIEk}GyX>oc=raW6| z9SI1)dCl%Q%j!Z!c%W9Z4YOOBwd?*#jqf@5LBsFSCI=bU*#IXe z@hTr89M3rntV>_o6zWYqet|t8Tv-P`yJ+;7I%mGHF4Yi`b1Q4v$S4x5|3T$&{FPzP zZbhf;p?}#Ly>2^8I1U$og>j+Qt_-|)at*Ka!9j`fmld%Qb@XaK!t2Osuo;Lxu_GnX zi=~5<&lFNK$JammuVsI-3V&s>U%K+?_<4BCfJMS?o;1< zvLy7o*(qH_krM72UNNvLwM2_;+&x`~8ZYB&svWRN3I3_hc(|0^53)+Pyh1T_r56lD zeA!P{hu>dP>IYp<54uFzL-_8wqS6O6KJUA#V{R|Wn)^Z1tz{`sp8tJ6VEr*zQa!?C z3EbPv5=_rAln+GT4OYI4p)NFJf*e9;rcz0|+gUdeg}oI1VAMJ4zO?^g-!aK_7C94< za`?lq_5OxR|MAs^R(UFZ0hKm`{dD-$4}W`utM6E<0W3r1TF`eG>Rg098%kINQ5mc( zEDR>2{qp7REus6Ok?^1USIh?$D<;P6jj!F1Xqqg8Ea__KYmoH)z0WCIe1w1haA#Kd zTEe#*GCR*iWkx;=3vh4?oxPUee*br1?MPD0u$=7e$n(7PIn;jZ{Z%ZRmcAxPS9J`V`8MwEW6O(h z4kqE@Uoqih1`*q`!Y}NL&?@Utjd_MQB;N*ERaqn}f?qx2ftQAv~fCnCr(9dM7 zlY5u%UFkcR?8IU;3vDU~cT#%$%^qJ#2)$W1QIyx5=n#Hj@3Bmf`63JY(y#ITANf{r zNBIjS0&=U(GwEG`Xu(N#-IrM(cc0hDLsTsN10eNs&$~Z#SSJhUiC4%R&7)AMSMNIo zsNBW|vD@scD*ol%V|g{#45dGo==T0$Aw#NFJhjQ;(#LxG`46@W8!osdi304-07t5RRKtE%CUK)h*3X z%uti-jV^5SSIfgL)Cmw-Q>LYS1x15FjLNG zc{bxo-R#MkbJivXXQu`xgua){d>Ecj81{NyL+|@cnJz*+f1Q43F2Z|AQnnI=3cGzJ zgy22)^U1lOdsk3Y%Z-W{?=B7J+p2u$*`1Vq4McPWGn~~(%5xe2wrS0);{j6V8?T4* L6oj+?XKnuvy0*nb literal 69378 zcma%hdpwix|9^+KRLY^`5H^QOMNTDQvvQh_q5~2u5+zBdL5px=j(Od+6xves8m}B zelJ*X9{lG3{(m&=V(gjd^Tu{|R<^Nmr>ty@UE|N3J7w%>Yj138V{K<|ZD(U=XMD)b zc;A`0Fk_EXXX3!yk4*kEKg;d^nk{9?{LlHXMH+usNL)A>q;l8r2z|b%lP9K6aNbdS1qTlt_iURzB{AKpPuh6ev;69 zMPA=rHGTl!pqRe(t+c}TMORTzscx_;-Ti zTdE=>{*%MS9_b0c-w_*&m%nZ!a{E=@J2PwbT_Q)eZgS}!;pwK*KZRdRjcDA|b!OL} zmVH-lCLBn0|I!8s?kxhkp9OI5LGWKbC4@*0GJHi{b1OvqGN`vj`S`g^AM03;ujsPa zky;Ixz1KN8>CIief|+}|(9;D@+dqffr@qAjRaqKL=jG68#!7rT_YuAauHljs1eJKA zM}yWvKUeHorh1n6q++o z1E{U8EK-|}erLU*`zC;8WIMKxwYJ4v!nOfGkfLyQN-n2PV*?aD4qgtVb+P&+pYso% z-K4#-TbFSG1}${ku{F2TayX%opT$FvzMGIY_&e7d$X={ z-A)~gnMsIdXTzEGOMe;$y*RjMX}ChW01_!IzlFZD=WNOMi`pL5G4h*dbw2gGd^cP7 z#si;TrqC=no&9V7jnJ)uuD+1#{G*==ertumINFJ6dejsZhzW_e>k2ZHn|gQz9LCQN z>x09aG;U#1uZ)XaE!3)y>V#BU!cWWjS5KReVvi|rUtu}3)VB1H8q76k{PrT8Ce!iV z2ea11!lmNs8>?>4+LRZKU%cz_&G4ddk7KE2NRkdtu*igdD9t~Rl6>PJ@5jfZn?J9q z)nvE1HiSeEFw^diRmQq(wKCD^?N6(|m*Hx;+(hR^eh%`PS<9yV{~FSUic?xPks!VTqVcOfQE z!5iM`-u!XHYqQ7Jl8aNF*5*F{6z^VU8)&5F>zWfj3yNP3H91r4yEEC+*Mww-%|T{< z$K@a1Z15uKdEb7VChwrvzZsC>w$T$?H<_HN{8p%WtHHeB%jqMTZ_+ipEn9!B3aLxG zTiL4yes3{(8jyKLC*;1l&Njw_@*`tNueB-(YSry()oX8^<{eZD3t4WffwD^6*jk}x z+u^#eRQU2krOkWbX0uPx~w=wPR zZBTO9>+~?UOtIy34g&zcg3e z1{IK*w6V3uq!p$eQder(H^1L4Ca3pi_Je;yEc#a2Mb%^oEL+bl12<|L=-N&ud>R`R>X?JC#Prj>Ln$ zsZ*r1#x^xue2Omk{a3Z%04K0(et3SMjigWkm!-P;cC^3GKOC!L+o7tnjoS8tDyVZu^4M zx3bBmO~$&Lp3GZDvVnG2fXoz|waT%J+6n z-xKrP+sVxqEG^%j(7G~mVuSA4eVM-l!1cU~2dL z;1<_*Hxr$7&GN!XSM@`iHm|w4_QV3$Wb^j{r7kL`rWbvCbJ?F%)^GRpO`3;E>wx^m z@kyC!YnjrUEaxm@ll5L`cAL$I36BF?c?fk%L50jpSybfo7b4`U%9+` zV_IL#OHE!<;*3qlX1I2UB8S4A$=1wv&D@>4!WWc1a@RZt=pX6&yB4@ODVR488@>qR zW9K(%1UWj3OW~bsLg?xKrBBS?bn0k_APrsjosBcO1X^9Q)~faK+pVH=zOEIzORFVX zAx#g>GftfY=LY>vrgc-&l3(`D;?9w~D@}A7HQD&RTX9t~A-OzO`)hq@Sqpv|A<^kYS;#u3y~}rFPoQ>)5s*atr-e_Yfdr zE7pWKYKPo0?$|!Cv>K*Xovah$^P}K?2Y-V}VXpIH@3p$brJxG@kEY)(J-sBaV;=o( z_y(;G7&{0%qiuN`dJFw+AH?JZI{*w|NE_+x@v7dL2UFDww57v&lKR1c(k2KrvYPR9>UvGcz zIu8OHR@N!uqfrgqW5|8zim6gbi#2F2>zj$UkIX7XEUWH*mvL1IflBNJ5!zdY?drSu z_4>c&)@-}3*+wEb;`^_AOrCaG^v;u_Ug{Da8MZ_dW?@7huztv+-krYsC}HHsLe1Lsts%PKz-XUzy>WlboP%1m z+P$Y>QhM`e*}heEuS?JD1r@SsPr%V9{rNsw!Ii&Q4>Yrbz{vE%yWdwVt(GnQb8Mtq zmPwxF#eb@FEOMF8Z@8m(=wHF)TlmniL(g8V+AH0^%oR*uIxm#ziq_yE*>!e%ElDRN zYIEzr;mkK98IJICYG5FMscaFbe6NQ;K2QQUIHb(2O{;^?>Vyc))^(gLd=|Ou z--w|Qz^?Ut<$pus>{iq2`yDuCFoN&^CTyR1XVqqC9|P^`zXi;J^S~M6GS4y~!?$Zc zgKQ&7DHAj;Zhu+-(|M&qFK(estO?n&u@!vocX(om{oqwsO9SPnLM1(JKi?MQ3t$@f z)p!CfFxOY#c%#Gf=IFK+nvvFE7F6c1H$|GfaZ;-8*eKTLFQ_Q@p;wrFHEPTMwI5ff^*Vh{V5WGgnGV`MRVTznWB<0( zen(v^Kwr#If+(1b^X%~%qvvQr%ETGAPx<+a^wiGQZPkBE#|2kc;$Go$$88`c#nhj#l^eTDO8X zfwB4R9OxJ{e=pnRwJ$fGYt;$yWsky6VU$}{0z}dfIG>W=fuhsZlK1UVLd%Pl7wP?n z=Ox}67Sa83#5?6d{x-y6rz7B|e_xnTvekP}m2CCpQrPu#M!)u;$8=3}QiQHx&bp)o z@b23E2Q(~p{Wq`N>#BV;-gVy|FQ2cQkHZRccY*vtN!tE$3i!3tw^o7a+JAc*7=a+E zc%&KlD9Z1@H4a)1bb8xBXWe-Nv=?eBZ3dd7>1xEg0QGc`FuvF_hExuL2owM<_WwGd zGz^GK?eq`FJGKUZD=X2eU{9_1eKbfD=hxkIw(e+iqb4Y;nUZw%&F4n?5s-BG2AW7-(J?QBzW%^ zHM|X2zqIeioM?*71tVc{t)~y@qp%-a9KL;nniSfa zcx=i>3m-t7{-$Od)Sf8xi`_dmmr{xi(C04Mz$z~h2 z*pUAaF7q}26QZ#f|1vIV)NaUWRpI?orq!ShA$L1obkU8Agp z&6UHkp2}fEJoBW5nr-Qp*nqH94 zhnlzUn~*`KloL);&h-aGzz~@HBE%GT4ny4az$X_!#l1RfgdlU5E`3uk-~n1 z$MJP04O@43uyE5a?A;Rz?%$@9H+{;F!$02rr}MzAZ)f|;;v7!icxCtIoBfMDR-rj@ zHHM!gXTF-qzS(9R+~Z9H3w`^bm#Fr;yL=(jrJJ5*i0?IBW)0syd^UNey_k9OIB!%_ zvK6&3z&K-{N@=NMnu~R*TO#aX<6B=g|6qcT-o;G^&u?;NColE_S0S1#uG4;0S#^`+ zO5sJR-&@8QYyX|kOV2;7kpS3}-Pj`Y4@%!-!HHCJfFE#?KQ5%}(lOUCQ z0nAY_#CYP4yk)i!ctBCjw^+DVk>^Ll(){w3V>1o6;ryp(>@v^LV*}2VZM_U?Ce?^v zR_BjMzHWZ!7bsF0e_LMCbKd?>~O?OdFVF%^_wa zrQH$U8vey(@>zrP_B+3(tyCaG-_OF-ekbl=Z@KB#cQt!VbKsbDM!Wi{62nht0~|6A zPE7ph`-IuNPLR>~tb?nm8v+{~-PT?BGUy5H!3*J6?knt6iJM;U2SZnl_^1uvTj;c= zO`BX71|{s)+Vf}mo*S=*;+h5ZT(9Y~Ed$ttb^A6*7spEHk5`E3r+Zl~Q3Rkmt%`PVG zO@?*=1ZMuLWs8Si?{Aakc}o&4oLz()fG5O`+ohbQXP4gx@7$o1U!+FKRv8s9nmxBL zso^p#U?;@F7^j_|v7B;EWmK`~asA1s;*&LeoxN_!;}0I-`OXNaG+eJe#;B;wiqYq=9e$0j4Zksw(#BK%g2Lv=2?qmiC2)qum0La z+KEfn_usjVS$ume!7c9Tr+gC?ctdf2;`HVjmX$A)HuU{E zEBLHi-1uvghUme3>hiictIvte8)uFm+u3Ys?DTXyoS~Z-M`}ro+ZRP~0O$3~9WP&m z%R7|wEalNLD@)^EZGOZ7Tewq*y*v5Nb=ko#f|(t&zve_x`1c$nvibUtgI({vcHuhT z-@Bc6eg!S&hKbW=TX*F8>&N|Gy(D-s-@W;;_20{^h)-p4$4_KVFLiJyt6cwaybJdD zGHc_9ve#n{?g?oIc3@jETrX#ww21m@(c?D_yZ>ZqyS@J8aLSPtunhlwCSWx_p4+d9 z-#^p82pToW#;>S+I#_K?p7k?G2(qm077M@XmVf_RCA!e@+b3lGV9uY5?KVzMSco`M z9{#iSvT}8RGlPv6B_jSl>EMKICDpT06-SAlD-UE#_~61aXoe(7+ITkJs@)8-o}Q_w zn?^;I;8lPll@P`LH_5BUVsNV>*C8~FfhrR+&jgsi$&e++e3Q~%!NzJkxhbSz~3(J}l>%U))`I`h}E2!a_60}go?id1IG&!wzTpsv`a zI^=L5LI3P+u@g5Via5A8oLyBX(V1(pIs#B*VRKSKSH4h+^NVc)UsZT-)-vjU3;r-dxX0!8fMbwJhrz!&Yf(7RdPQvQIK zyh8ULBjaInXS#;e6bA`15(L)SKf<27VaWK$1-HuLRy2FAxBphMODe7}Asy%U2~uCzu$LJfMAN!CTCdnJNt+C{Fe3p`#rh~`E-|a@?WFm zeNNE*<-F3?@Tv&rWLx7RGk*}cDylUl5Z(}fkJs0$#$@hdxrIN(CdJ+Gl2r?gdQ-!! zS_i_r>o24(1R!ZjYds~>=I-f_pD)^F0PEMHBAnw|QEe4|o5LSAzvu07VrV-PdxjPD z2|%U*&>xp@I)Nw8@!mAmBu+IbTG+!TcDSYtFm&l$3c&YF;wFLJGsMND-pwSx`R%BT zS=@Sg_|kp<%FfG%=qs{$ck1JEJ2RuB+7V0}AQ9Y(qNAXwCRbQ)s18j^C_`Fj8v@Z; zF<4_d2VIR3vdXo9(yQ+if)9;5hmJdhj(g`fe`9CxGT7aMi*ZXwfh)4(IkLpV!s|V^ zp#Qwf$iH?6bh@Es>~W=WN{9wiE+aeLAP7X zAubw!q&#wjbP{w59*T7MH0P zXkTUqhtcli9zqo7$rz zjmbh29HhkD4%3_~j#~48aQ8ASG43}-cFCN?S$P6b?-R1(3dHg1l#XTMgo&<07ovU5 zl4OzYZx59=JJkz4(8+Q8%9@|;JiZm37YZBgCp_SNJ^o|iT*hM!eycziH?3O$ ztYnuTvAMqggJ_Iat%q%!B-8zBcO@F+5Y22mljDASVZ1-eE?CJ1brnhc2NdxW{Q`z{ zK0`krg&WqOa<{`tcR^U|uDHxZ- zkTXDMzK{+-rV*djN?c6eKNymue?S;{!T`c*L2HzdZM8AS~@b2V!x9vQ?yN9~ zj-z|29$P!5P#OE6ze8gOKaC=JL=%OO>otsDQoBecG2oG0cxF4%$i{kKtDM1ODxz^m zO_>%9j~G?btCcpyq&O*sE6tlpx!A*@K1YFZVDSlNWtV8JMM z*(eYIDwSy_MyZK~^sr-9C;NRHa*^oi%(d^Aunobuacp*4a&hsiX6Lo?K7s*~&IzSW z52IBY7$Y|*k}=0tMw-iTP7H;x*S*skohOm5u&3)|z-S3r1WXDNOedm!dKhZY5xDb^ z7sUDkpoZO&?0lffaCWz%y1nH|_&RqoBp=EoOwa#!Q|a zU2`u}FeIZ~Ll$ikK10+&SrwwfYrO%ae7PL?d_sx0ZX<4xjc%^w5L z3u5%fVQZZMvHfV0#%MMu^``=XU~>eP^L8IOGTd__LuiCwP-^Cr0Vy%N$?%khPl{@- zC7%&CnBzIz77!>VEH24N!nc#@+aYOSD9=*3pXtx5&J+-<3J^-{vp$MneFCeSDtfsG zH=!?2-o7>p-zR8vqggGkcA3l;JVMszRcgd207_L1u&L85Ij)Ez`!J5FcU^g00|9FY zJB4mb`g2Z11W8K-E)&prIHd8>cJ$1SW_|>jB6_Peh7)%+S3N?*mkXW@5}p$)4-$I` z7(R6&xGI{&Wn>r)g_l@G70_fP*Pe zYyv=r>_V`Hlc<%YCHY94`p(ANQCPm9W77qUNl$NEW(%RnbBtV>&p6VRvEd;;ng53( zzU4{A_laL5`6PsY$hg*}fHc|q65pch_~Ii?w(o*h&PZgMJ%IX53xRDa6r9RpesdF? z=wbYcP{om^QDQ;|8oai&R2+LAtm}L*5dZ@VzCbU~YWyI|HeH8d(aHM4Xbba%DNYFb+rz+{x)C zet5_Ew+kZq!EZ5Rv=TFNM)4yoI!AI}Mev(OFdZ)nCvlM)eR|jD1gyCNCT{mg!kX;M z>N^TJw4|7GhmWEhPL6+yAQW|}gWO{S)Bu{ONHpN%3nI)x_v>cRg}NkqM%BY+lqcFM zO~Pkc7f4`BxSX6&QTYYqF_0c|$+Ib&_z7<691l>Qlvd(aTOjE2_UZIFFvRVE5Mcre z*Kk~=*TH;5@)Sw-oG2Ey7WPNSrDj*+db9Wp&nfam^wO*NwT>bkW;U{D^Ir8i`;*6$ z)R`^6KpQJG^`V|8jt8wBcPRZNUWs!tIzxQtgNpnFa6zt{Ty?(5X26NKm4FJq;TWP} z2u+MjJ%tI@66;?ThpOhZK+xMR0-sCr@dje_YO#PN^1?j3$`}k$=v}!q$9kqEjVfW> z%V)IZGl(tTxM71YoXr&K5elc1QiX)xXm!w;jWr=ggN!#f7jftlXDXlZ6TE`R?u%y2 zrqg1G&Z&Co@o7k@$5_#z4YpmQGz(PRdF^|M!gSf2yV$x6C}hak6ro1S$hCF(ah3a# z5dGaiZ_zMaT(XMy%cg>xVtfEpbgbwYGZVK$Y3m#vGcT zDX-VKBKqq~U{X7)s*(q2&N3^@-wfwfRxvyQ_)57@laIndH2)BL-7tvug7J|Z9|=;= z(IT>lw`0;;RSJPy+X3gVVB{uam#w;(v=?Ub6mvr&BwLYWD0v1ZqSw|~-H`(`6*!5I zWmF(A!Q<|&WO7vXu8=>Zzr?Q2MR7h-Ud=48FTg*W`Dwi_ zkzY&^&F&aW|6|*X&cck+ew#4|J-if7td>w(9t3Uj4O_HJUU6OVSy}-fcvBHm&Dqh{ z^bfPUR4*JR5mE$Is>TUR#~yZf;ot*~zNm7OX7NwJUBvN`A9HQ831NCqic?(bee2L4 z#jDBI(+W})VT)0x=q@QrxhL!rnrG`coV-Q|7Fed4X9 z4#lce#QQ4-3*pHr>lB_+q$PI9lxcRoaN-e#_JV>3*ZsYbj`iHtSL|SqCyi14fdCCA z)4|_u-!(xxcvTD?)QtoR{EI5{c+Z3cb(qAEejsf7Km*V^0NB3<93w&%d+yKQ7>RX3 z)!2<`yj%<)F!@Hrkwh5X8*%XVP*BD(RE4~Br?lhBT#5!r;re*pQ$v`+M?J2~xH?~mFdFMW{xp)pL!RY4by&uEQh1{5H1d09Ew-VYpJ*L5_gD&5Q;XzNf? z08bE9AW62V!R7|cL>47tTj~-NnN9TMVk2EwA`+K98tvCZ{_-4$ zd9H!${N5=DDm0kR{*G1QqBBJe#x6KWH;MaMhBIPljBy<$tfJ?!I4j*39FEPx)XUQ?iBpY&jZ?f^Jdw>w5^ntFcZ^sqNFj{(CU#a@lB;DeFY_9aA+j9{nHfk#=BRLv zB1^Q+1I8}nIFID8WZzMl)TlrfoXKOeU4fx44vnTIZ!27{AuUZ)#1`Pu1yI~kGjYJW zUswV&`W(h_VSF3o!re7&a~!D@k-EfjX1MX8(Dt168K5X8_0LdIne#|_H4k<-t|4j&?>|4~Bq4Q?#i24u(mlatck!!K%nln!t zlYBq|`vdE+gbfV8igA(PkSQJmLXW;6?OQ+8?wZ3S`%lR)*#AO6xk67FB*^BX%oa{U z4Ywq%nM{eBqKJlB@+b8l6`pUe$6L@%ASJfGDCxt*_v}>-!Q4{;F((<$6h!aCXfq71 z8TthXqX6qEKq*)i>f%NL)gH#9OzvUPPg-e#q)|)It0qWTI6);y-6uHeqgc05;1bA} zyOFbqm3bOEpKU*=#XrX+y${|hTZ~C?Rbbo|Fv;#wnW}tvF*{>#HD-pzFq=x)PWrw0 z1k>{MHKcel2+qjDt0i&Xt%Fy!qQho$JMoeuv&q{BBw|jV%t4zcl|KL3PxA)(B=L>u zK>Ui%=%_rVCGNcDJH-&4#|SA<#2g(Jz15KH@4s}>9TVJM9yU0n=Xc&6=v$G*XWjJz z{B;Fz9eJn`UjtXF`GOPLRmEvFtZ^afE14wXl3Za{fs>wG`Eh(5Omc1=(ans>!^`E% z5y$~^IwGVu1E1T&n4w6{muJe3Xo;H(=7MykD3ak@&6nOu{I3+TINIiXWrp~VRZlfi zH*ZvkY4?VA0oNY^V6ox_G_H_GYw(GCL3X}YDt~!rBtb>t*9DTB1Fcw>`WMk2JQT)tg5Z;?Nz6Q(`LnoORTRAijQw2(0ylbRiEhaIp+6&!rjak3nW(gz5 zX_n<1))~sU>5oS*k)~=iAwxL{?+s#7;-4iy*ihq=x|YjXDb@YhjKtpP0oG<>H?;!W zehD|8M{OKxFjjoAAt@FiV3NdBvLJm-O7D@lrm%8~Wc!?SakZc~CX;A>_S8nwCY(C% z0lt4Z8y{qh(3^!AEs%#TlbMq$OP>Reo-f8>^s|UGE_^$%{Ub>4gUQl1&rZ%vgD@(S z6P-yV6njq8(nN(wbYYaa`@r~bio)%}FyH(*VKP&C2qsxVBH2mzUi|SvG^o!62}EuV z5lO)0ApyklWLU%QG9c=z>_X1{Wyvrnw{;5iE5Nu1&=Y-buwzZ&L+6 z`>nYtz%v6NP3FFgy=ENPlCfBSh7)UZ~DT0$0jKgK6(*P{y0?`S&oGkO79B?))H z;0twAJ{xrWQ~X%mOZ_wWpnRfV*HX0*3^#&9kt9cn>h)w;2qsbcS>>MkmXU;h0Um9} zTaE1%M9*-yv$(7$GnMUE`s8QAPbk<~;^>WI2;70pc5D8*>NBo+4I4katj%K zgJ0DrH>(!5)Ct8|ed74r2a?e4bDV5h{KHi}cqAjchhfjc%55+u%Gx`_gPtJ^dhX|g zS9|CJPhK-!X;}_1U%9+r8Tx|(rchLN4zx*Aa%IGEHCPSczvhIZQlN;>o!+f| zDBRxe0oR%GGgrp7;A_jN9X2k>oT=~9)aV-z7Oz{g#4o`GuhNGClfC%0aLmMY;iVPiXi9X(>M+Rft<_;uy>xalXcA2}qW*;J{bE7A zG9RUeqXQH#Nev^Z6+cs$vS(mGej$?p)CF0c`50^OEA<)H(8@d@3JvgKJFYk`WDAej z97v2KUusrB%DuN?&q(El0f_xLW>LB(vn`%gP$0=HLCM!rb5n6CiBH{2;mOBFMbByD ztJ}^NOGAY9Mp?4y8-~GIk~vn?O1coBJNy<%m(f>-1qLDzn$B2F11Ry6$bSy=2-TDgm1G^$c&gcWKq;O z*SxMdlEV}_S2w@YCqs)zL@flQM;-!#Bu-odn>c#UV0$VG6kPkUUU{{PvjKZ6?tq9P zsn|xWX0j0yUQ|?*OUm<)lC0e5+)|X|3JpCYk?l(=6PAs1{@GVof&Dx{tmH6qjEF9J zj?E>PW4=g-mdZ<8&lE_r^GM>R8nJX1%%cV;7}B9YIb^!AfLp*ZZ%}6wE2|YeR)2wj z;h$69ctY-=$420$4Fdq9>+#($^sZ^7LvZKQ-}nT`e`o;GPvk59K+?83MSa*w?8S;| z%uBa-RqdEb5qvJS!))ao2bdFdPb3mMz22k34c?>3gvd8MY5e_z(lCLo(Zt1*J>z@h zSv~~<Fisv7i4d?bBMyx!uIXP;MDFTKe4_rF zL%1DPenJ+YK8tf3CfqIDQ(tdP+&l_RdyjlU-1qTcmT+}Ga3o(9SE?0&nqK@njKlcV zY$vVeqIE?a`OADv^u{@(TOfJ2kU93%KNOzEgyCES zs)**|E#HX4Ru)#1#c)%``Wmbyi5Tw_n!uFhBa@~Z+tZmo4i2HYZ`2vQ{7Pr7q@L%Vfx2#Dgj1>&%zc5B?VaL0CQ$nWR_ zw*|n*e4-|duWU4q8cad4e4z#8I+t)xu9ZTx86SG*!-#&|3{MVpW)SJaWb1H`PU{@9 z;*Cuv;~LmZdP)%)rC^Gv{O!IBz#YxMjBOdgBcsn=P(*Qf*RXS9ViSjxm5WOuVBRzK z(>O2M_5MJ+3Db40dg#TZXi($joIb7}f%;6)8lSb*CIgx#HG?~$MkVfncw$x%BAU&_ z6@=wpL$iOqJ*oA8fYBPyf;&Z>lHJ!Chd%XKnVKt^VQt&t5HR+sB%iThHeu&5p&N|W zst=N1Arv0G2P{ucBk0%=w$kB0Q(1oapR$N>!|{~3oz4vWw_$cRBrtcz__Ep)orSiUoFCs#+wEoJWznh6&iL3b2i) zxJcosC*fW~92L@91frKE&iEcPd~#hWesBquniN-g$pz31#MTSt+6acE~kqBznN{FYdVbeJp}m0LZ=SabWA3|2K!sde%42U+n68b1&=D|&5V z5)Wq-K9VDFo0NPuxC@MbmH|#rc?*XtwRn9wZXf~Oh)JotX=|DD9)^=>XDXuZ6J z$saUu>h25g{KgTj-&;*r-0?5$(Zj5q_Tj7i5>eJCU65X3)ijDo`!fBadrDy@(o-)EotQvTzmsB8& zS_VL`$!7C~8_5+lvdRJj74W4Q^d(L8AWW=>D(`hng;`r3qr5HvF8W;+Sm$c*B`y^uHQ$}dx_M6125r9Sk z7J%@4UQ3KMjSk}w8+Tm9g47aU<5>1YVeMnu-EBY>3}cSf6mc3;BZ!bA~lt zAiY)PDK%0T-vXPKm;!F}_I4;VL^gGm+e;vr@yHc=4r88q!xe6go-(}d6tF}>g|QG| z5Ep)$KXe_8z(@ULEESE@(oJV;JxGjtv)NkYDAIFO`WPk4v_EZLaSbj>9+l~nf0Jp~ z+jilQ(Cks+me+gzDBueo5V?&nG_?BVj#Uc$0s!u`-@Tb8svmv7$e^tSi*u2v;($#e ztja*51K%5`o+-lGlF}FIx#5&$=~g=z%#BOM`ec8+GKEzk^N7=KL}zVg_Y3gkA<~`H zi=<(Ni#2*_kR}Ja=GIbZ>MCwW9SZo^=;IebJt)y5g9_IWxzCg^{W`XWDVaAIQaE zhsq(Z9fvmtY9Epip7NA!bJEO1X6F^xEf}>-T1BiQ`N%XvTySfb$RmplPi zvd$49)CQs;uNemMED7jl8O+6Xw1T@x_}WNfpWGJpsml8yahPL$5fy+5?S1wXN#tU5 z_Y;fecAZj0#HHpmVKI9vPzfIB<5?hDa|=WcNa+Lh(rYvq2ztv!+1#QDo_$=6_*L^v z#ClVrxy)q+n{ddcGjZD`#cAwoEVP|7{!s&`(9y?hVZxw2!gR1d-DJjNTj-&5ugcoM z)2E_7zoXdto#z*%j;8E{tu(|%a%E#BZ}l*oVLC0lKy;9RAi$Z>ddygeODBp4zRcH=S-eNW6BC2pQV?4Iw@*bJK$!C5c8r za>ip+`s;4F#>_U4N&QI+G$kWS%%-|oqCSReIM*Po_HSGmDYEIX@7u&17@*&&RAe zx?HTwx&$*mKG4S;5@hO&*Vu(Ipx{7IZbXieOY;q`8wz$hCvKZdot3gsfgcqD(Ao)R z3fxDTosJl#Di*Sa3b^;k4i1my4sOyEex{cKx>F8aB<=ftup@`at-zMHH2k|vbz#R_To-tbJq_H~y%bFr5lz5OFObgwIt6HS1>q%Fhg5A;gh?uCH!Qt4VWJv52 zFDd6A@s}A4Kb0 z1#`tJQitpEK+r|2*&3_6fP{}C2R$YpNz87?R?jt>+5w-(GTiR@z5aL&Ear#S`=V+e zqoJ*iSC7O%`Up_ufbiIBYE1+*_!%!^QZcFP16y2htriwslePohcVk4^@oh0o2yQ%%L;pIoSm6JPt4xr7M%hneF$Tk97{ z`pUt!w8Lf#mq`PacTe*1&%jRj`PFCe-X=noiO?lI8A$5oX*=DgA4T0@B7R6F>{Waj z40mje-zK3f;G5yp^V*{|Mnuol@~|$gPCp3c$UJPYyL5k^M5I48NsBaP<2v&hD`p*B zhY4zntp^i!rqZbX}k#S58=#vQ6$-3Q~mh(o7RQ&-NF z-z7SoP|ULW!@hiOcG1NDGsQCiPnJ1IiN<-(^L>lO(V(}#Fq=i5RPd(Ty5wtl9&`=d z$17?VR`jb;6O#BJDDnU-Uy`iGh3B%}_CA89@CUt8y-;ps>}ns>n%B|F(_afyL6h0& z2INX0hucPgZP;Q#LnKRcuJerY@tY?Y1YVI!2HN68D)ff^G4Gtk5VWOTb5$Piei6at z5)z#lC!mN+%txH?T7Ry^(7|d$1`z70Zf~P!LSbewwbI1`R@@GU<2t{Nu&@?x3KL2S z|F;*wxKT2-EdqJJ-LSOhRe&%p+>>6FRwKW zX)uM3O7J^Bs`wDqL2=-jyKkS-Y`S=#l#-vjG1GOm!ovC{D0V=`SQ!>8pIV0#G z!#SSOT!$VR-tZ5OB2u23BPT#DOD1@V2)ivRK9V?cl*)%QZ0)QRDV8buaR*R;S%v2eZ)?p@QF9wh;3LWtM zHUm?IgGA;}5P*9>f&j*OKpK{}j!No#Kv<%Eq->*x^|3WXM^9 z7f}9zLo`+-Xc3X)AWt^`#aIAK0AIp-mfeS6AwTWN$CKGz%L>?F>#sQhRX5!`ydeZg zeE@Y{CPo;7IXtU?XN_x7%w__%;JFUUOf1!xN5_u>81M|u+e0=k_+xgG`49LG82-za zaz;^CG`&T@ZtT*4H&~Ib+a(P<)NGTDryPYz%;xTV!KX1>Y$?DPcv1(xF9Hk}0D&h% z&H993iW+I`!iw@LEdCD-Umeg?`#r8Gt%NA3l!$^zN%z245s^|+kemvFv~(>*N<=`q z8NQTC3_)tZMhK&1^ytwrY9lvd?0bDbzu#YQcJDpsJg1-Y1Q0E4faSKUbCh z{z<0DGEJrmhCHl$cv5zs24X$x{<~exC{GH$vY$-krl$f6U4y!F;4||$+6%jqSlCK` zNc>ij=;4%nM}MO4${ApyN@8{kvgaS)OmUlS>JQUWZQA2+>3;V6&)V4cE{A&;oX84^P11w4E<>5TJ`A-EBJGkj-}NC1BAsQgj|C z-a#nrAQ%Cg4UnG~WcN=N6`o-Da?!zGfesTiABuTki^i%gN&M_3uQUWoOlv_NuevaQ0e>aPMy_^x83b z#Sd7cW!gW8{RmoT=CC=1>fVO4uCA()r8tg+8l~mo5QZazyoj8C=#-VG@l@pf`i+QV z@M$d}8&$89*DMRY;W1Fav;&PRrB1rJDT80YY}-POY6B}iG!0KY7q&y4~Lgmvt#)-hFP%17mt`Qi7 zzw;f~USl2^xB6n9(hAvS7)f%Rtqd4F&{`Tjd6l!hZ^XOw!h>M>3c9*sb!NXd@W}Yw z+EWkV)Ekox(7OfoNJDqc_JbgGyiC+A;wEewoWNIxT^qBK%}U&xv#Pw|_-238=ucgs zM6?Ga%G$yxX|bS0>>o>OZIVobZ`34mlZTPbhCi^NjhPeANib2|IF=4 ztw47M|5F=SC*v|9Uso~OJ^rl+R{`1&_T{*B1=;=jmJ6n0tDwZK`_L!7_fe;6ha+{h z$_-$FHXD`@B(au>O-A`PwLRypBqCwT0i}x5B1&(5AxDWzAsoXcIUZ@Xan zlx(!Sw=K*Zfe}}lE2pIHO;jk|v*1r2ewi*ipO~^YQElirmhsJ1Q!>-MYn@R=As=4T zliGm+$#T4Lwz_}ULB%RW+T@9lgx5SJ|7vZbXtm#u`=@5JL_pRNbsC-e`ac%v_H$-~ zrGlfW?hA88Hhy<_VJrU%e7V;dJ0NxJA^wztx$(>BLYg`3Mb3-UyxoZ!b-m3_4Q$5C z!x$YyWaNlD(_3o`OGfX$!9piD7JmWP*FFEZY%aCL#<;e>dM2X z?|JZ-VDCQ2j9H6i2_Dn4#8X(=;|e~opS?7FbNaMnQgZR+3d$?`enszr1j26A*ktzJ zs~?M$@pf6D%ldR-JX)bF%I<7i0Ea5JxpPh4SpF1K!mc!{+*LOH0O@z+6Gz=bC@W8y z){^xpa55sp0Oy}s#wzcf{1`>9?5 zGx|)R?jk;0-swXqyVcWq`tvK&Rc7`^)1Nu7Y+ZILB?_Ox_M~SdA@oIdB2Ax*<{#2w zEPqy6`#=2vNj8CFv!z9wbn2epY9fAAl)Q75p`rBISktDDsHjZd&|#AG)zcwrS|KUp zm1a!$R*+Y|T9XA*_<9&3N~L_E4IFf4t2Y`RAS~l zeU2g8@$4_{bT*0mHD}AtFWpq=ae9@jsOsThmGMC`B#?McA=hW#xXkifvdpcSH`mHt zALtwVV z=gYp;ClU*^y$0rZhG#l8RQ;>^hGQA&48a~-J(AFi|6E9D&tU60-m|GQmAsRYt7ehL z+w^DeGAZP8`d%Fmq2LKy0?Jm9@cZ|JS!0=MzEEwRcqX=fdC%>ezP&#m^cW69Ucwaa z@GM`*K>YsjA^%s{+gCy?jeKD*#<#C?|I5fAZ_pKG=f?uQ5cY9c`Eax%l&t%W?P|q$ z1N)$}V0+^vxyg8a@hWlN75a}^_7J6OJy#Z!Kl7hUu}TnR`mR%ddI6e-bV&QDG4(=p z$k+iTA~H#Ml6~=?u2}0EMjsaXMCkY7?|1Xl!Y|zk{3nF0r*NBX>sto$y?ru+f6YusT)2#Ax{}s;Ig*^8 z^LAtD+6@=VeDFxs)p_L06#jhce?M}A2V>mGr|(ny3KTz0pH>mW)rx4c1{r_ran=@o zl%Fkg!~NE4dD~^VJJ){valV@Hswdrkv*PpEVSAa6om)Um!leZ|r0Y$x;m+XmuA8Na zZZI+6SXTIosMBD#;K8Uo#|fGVj=0yN#C{x!-S7!@x^T}(Ln%=oc{qAJOE_G#!Z zR)fpQ+#wbZEGQ*KO>2hsE6!jG&ZJwr5Owan+6P1nqAR9&iMA8B60AAnY0yrPxG? z*GX0Ojb5y1)@zF_UueB_N(7BZAp}1;I~iwgb%Q~gr<`;0n5o$|joTjeLP%et9R5_} zbY1&pE`Oz{6o-nkcSVv1Pj3Ow~QJPWhRfFZ$A@;$`y6rL~m| z$8b3ot#s~xbq0iN|GCUx+4cYe5=~+`Wpee&ckyrc$?)pu1ImLl!WkzV!ga0FSVYgT zbC_zV<3Pf>p)8pMo|8YGsN4Fx`jRHYqvwr^>99ZBpvZ#=kZ=szIj zT0$VZaXfZ{GiqE?{Aq9JtkII6rg^Bezaw;sb>1bzl1=iZ$(PIUj~?Tn@BFmSi(u#f`!JCN_nsHk3{=|2IlIyXt3jEXmag$DuTUK(3C*tc?~8)2 zq-l30X-cYpSCHS{mJThX=le&}wL`Tb0$z^`GtzBf3DrT@?%XB^`P};D9`Bj_;KEHq zo$f`S>M$z2VopRfG%-3K!V`DrmX$v7_CsgVt(uK++yGawZr^2eD;-y41QNl z`KqA~5`?^v`}whvi_4=z?b@R^VMLBgH%=T4B2vo!+!;`UB`5|pSNrU}{H6uUdq4YF zCd%NA!i`q4$9dS1js{%h*+z?MLbI$@|GAO^t7EjJpLH5HQzEbW`OHf}rDTRx@YEFS9(2H!2d?3~=Z zKg-7?MSsU0922jq9fiZrXv@V50ewr&&UP<};w9ZL*_~goSoszo1$}9{y+Wl&gakey zsRK2z4L?cQ_-^|^^-0xwvUIp3`*|u$Nml%mrv^-=2Awun_*{4{`FkDLhSP7jVn)9@|9ltIisc?o?cH$fRybhn22BH^4vw6?Zm&N;A%GaEJ96Ttbe-?9gy7I>Fb+?+y zgTr#uhiB+WH5>yeTF}Qf_VyPn!w7RMIMqr0wjq-#%9APoh7QU_Z0uJ{me>=LV9Cey z<(edejsh!X-LYbt65MIKg;$KynfB~ll>SXCv8nwxH^!_v&YJ5zv?ct@!NdGruG{dB zMd@*ltG#P-pvnB{^!FdoL#`t})j#69>K5;IWEA z&}Js{t`u52SAaIo6h_J$su}h0iB9svg<|DsXAI*442N1;{oOzPNO`!q9=}<RZxkGgp$Dg|AJ+$_(xFnVC2I0Q*{>ErunUQ$KP>#RUH^1p zT62BXzh&H<7pL4Dml%4g;V*RRYwyg9o1$k`r*4&NU;cI%k*;v>qTiTdN3g$Iephz< zsKrA*r8ydNRoVU0=z!0=HIn;}G8sgsgyH*LwIcY0HbcLuHo>P&o9*U=OVRe7L{|osh_r;JYbKcU4z?b<5+6fr_)bFhXkHeed#OX4=uOlz3TDZ=;%_fF$h0 zLc8*N{j-0Rl-#H)V+l!Fqu055yW}MiJnbnCm7n|K-3^Tl+FKQ_wk`xVm zZ;l)DN@(j#Y+bk|xR`azNjE#q>3L(ker#Ot+aJl?8eRrQU7RR~J(hLwDdSGY+jhzq zL}E_elh3$vO2ex~H?kmR_e)O?g55!rX-CR#AH`C7lYe71EGiAz`}Umc_s~z4pLrUS zKjF*p)n<14s_3EJm7uHj*G;zLe!16Z@@Z6lc67X8s{p;CR(_t<=S41_NnNv#J0$kp zGb9)z1Hp*&^@qfo{4%?pb*Zi~C4mipY0~zQ{$jWef_*n#2f?-*rK2|M9jtmYnKcB& zwE_*1ODh^7XucKZ5ZJ{P@sJ$u zm93B*p%tePj&r+1Iwq{U3p%MRFl(W2Fk|nxRM_)eJhQrgt`)O7G*{uQx?OJenGI}C zm|Gzkx}|;Jd z;3IB!-J3l!nLWyzJ=&PnfX8M}>`8owTv<^#O;>|pq#xVbF6}?f#TS4jkr5b`y*l zjD<=jXH(wYu+iu=(f%Aq zf#%FL>1VQU_F0_SxUk|H(krxL9WtH#$$W{GuzWtL=H#8#wZ3qMM)^_j4G38A@w9QP z+m=o$8>F5YWuNO3K}!rLt%Z@+m{7L4Ys{#-xu#4f-x;#iAD;*))u=IKOZH)y4pVgk z?}^qB85*zmIh~ohJ~}4fR|;rhMLA?!NY1^XqSfu_J%#00(c4jsO|AxWkY_G3e=hlF z$h6?f^AHY>-7Xy@%kH+0Fy}5cHx3&xDWk9NrHX~iau8(Mc1v_{=X}zyVSIAUnNcnu z?P_%VIep@EDCc~TI)Pw;n(2^D{uNk=5Z5i)R8Nf?edrLOt8XznaV)#vbUZj~y&af}q<;JHRT!c8m^S#n0VR4Th)RBmmi^KgMpy*Om}NjK{t(a5?(;zA%)&9^Eb zGXY!KvF0(5T0J3E`nD#{$|=<(ARbTH_N)KZvKd+WtDCtEcpg~42M@Xn`7=xbUchAn zFXYSq*#TQ}lj?z2up|Gy;$79UIRSv;g#SPrt5*~-07fqV2krp)_yN#y>;K^!&_Bi+hg%0iF^Iq!~e+w04%=yACoE5p7N}HXv*#qHn9FVQSIx6=ln; zy&HS?zfUCrOnHAXJ^CLA&}jw0NYnqoV&G|*+4!PD*}@+1?J)3d2mt0){0AxlAQ}L9 zYW@dWjZRgF-9Rs`QeXOKj)SsXzL#pX;gcp)@7qore|dGD{z+DleMQvY)$HX(u=k$O z%D~s|7{=^5mq+ed*O8bgSwWQ%CvH-ilE{{lIgtOH@k`X@t_ zck{GTtPSX$(R7{5k#iS0ZXK3B`aIh*Ywi-bXhF3=qUp2ga^2I1khXah^!Il> zUshSOWoVDc$!u?AK-zHc-)~;@^W_}+xUOvOaj#_C<5{m*-QU3}>)FD2z?QhoU*9AX zF9&DLTMtnI$ZeL~iCo!p!L-hxKwIu0-V#y@*Ckw~d8_qet)eYbt?t?{^#@4r)g zYKN-kKaA51+#5|lU@9_A(`cl%o3Q(a+fQOHrWptI(}Mew+6WCU~@j(KJgAzM{{ zuZc(xs=bIU(lLE__{opd@}?pK#p{RU*yb%#Es*^~=sjJnYPCJ9$#Si#O=#REuh2u) z**W8VWwnb`r}kfY%l{|uQp}-tvK_>hCTM9YgI>A~y-vz`MTpNW8aciQHmyM5gU3`j zPvcmXgxG-5sGpU4E1)fnO68=&<9^7^w@GVuNz#=-5WaKkN=o#DLv<4?hP0#Ygp>hc&pPQ2AK3gdH`qV)|cl1 z_l@rX?zalIHYpnOTF64{!;IoHGr-X6z2gZ_SE z(=;zl3v}Uv@`LTe%-w=5pwO@aPkI`?0uj zId0ixx_{^VZcr^WvkW~madDTHR$}7Wk+_lvZV*)X7;-DtIN5V%R_RjN77>qaPa+p! zIZe%Kg}!Q)Za6g4+s83h83xDe!cCH`u_EnUCy7%_&hUkFPe`1$#)41$Uzu+-Eo4_* z^1U5gh|KZAcAjK0|23DIQLJ}Y7#phZ z`Q92Ova z?r5~vW#sso0ASw}u7u}XSD1yWy^U-h5JW_#)wpF5%PK-Aj)wts)j)!iZiC}VZ-DsF z=WciJD*um^pXG5I4UhgaJ(>4L?e&@<&i9cU)~4psqJMT?S%_a8qRZ=1DKvX24g9a~?l z05RGeEkN@xZP`*a=AkKKiADhH_2B_kksA&7|I)t6$y)*Kq$q-19ZwMMb1r3@f^#-} zTQbS>B1P1U1ZECkRwg<3rY!U;@BPC8Ild(?2y&>l4R1YFsoP+0Q7$G9Sa>|+e|EZ+ z#A)({)W%Q=a1pG-hnJnCE|?u6P5=iC%=z%w0e2hhx)EWpJRoH_`>L%xB`mtfuI&u1 zM3)q?z}FNbyrWmVK#Z zcwWO1z z5KH1D9qRMReAz}0IkpDqES|#nV9WOhx#5)Et0|)>x-TK<_5j9eeA-E{C!F@9KF(^$ zJ0tQJd`o~^r5f+w2|pCAC#Go#dA_p?HVQX6jDfeMF2y0;YM@kP%B`(}Wq#IT-h?B0 z7xgy$*B0-(_lY~{m|6N)%#2%lEIPQkRb4OycY}(cw83nmEe1UFnUF) z$=!*}a3{JvvK=s(&U8MiNNGz2ik9z_#j3t>?jthGdA`l9N9mWA{gtK$@JS6jsSPSa z`@=Q$@NyT=Pv$^8&(y&mzNa(Q^Ew&;7Ja^bp|Nzx-4ZSuYBQXj!}>E|aEHOP)9_ok ztP5%ptnLBj+b`bSHPkmFpvZ1lSLXR+p8F#-4%=I5JaS2}^+a$G)6L?zota;K*rUTm zyf`D&sM8>{vtGVkFWl~#Xa0Qm$1i+4;H0sIYxkm>j(L45*)jI)!-kg6dH`MSg{Ej($JA~Uk^Im7n)rteS^TPn?`;Pc2iryH&80I~TwgWcD5n2TBi42IKJ*m=+s!NA>WI+l?KD zGhcy2Wd#0Mkbv>KKAdRHMO9D$PiFk^a@+%;{ZeML!FO%^0y@l2`J6g5K za6OWTSN*#Fpo{`8Nz^VEQ?p`Wh|4n}j@6Zb@0W8W~j4a(5wXfKYnrwoOGCBX4i7%?zW6Cf8`<9dYN80m(i zq48z^V63M**(W=zCJMPAJ)H*CU>SBTmAmhBfhG37q5#BuArN@`V_w{{@oDk80&rfg z#~VFinw`|-3Ll~q1-=KO*QkMiKK{0`Mm6ySA^5586_kAP`XP*>g6=~I~QS5XP5 zJ|%0hh#!vyEx8-^rBcH+f_NY0^6u1yeJDFh|4Zj;=9NQ0$$wfwWh8gMT__tg z?jOSC^$8YDYr@9*N+$QASr|HJ)L$}y+LBQ$00rw1LiO-;YXs>%m6&

zyfS^ez|P1!87B+VVVQ`yo0?;!QcvXPQenUa&eRE_MiDY)#3Z=jZS6d$hpqs$JWhEO zvCkS*c_??~GAbkPmzro~&Rw;Mw1cWUd;vaoKt^Gqt$GP84>z91zp$8eTqQ~&)8 z*QDS-g&mS6Q8MBuKf*O;CU6A7i8=aqi7x2)(P3z-z9Laso zZHt7qMaFeA64Nj8UhjVK8}G_++H|$L+B-{_jWsX(1aTrwFYA)(`Q^Fobb^d!(vH*M1z0_?0%x|oH%-i3p{13b zaHHXUH5Vrk4stf3$1pWvY}E=+^##{LBbUMDUW{Skp-V9l`QZ436+hrA+PlyEgRM%*s zFnf-8{y;}NpbjrmRb$0_TUI3%dV}XZ2A8`i-e7bgJ?kSucy=HSl%ab#ESvR=Y-O_C zPN;V!HD9N#@_FHwYD6uMCg zfz8hv@2r7>e@ab622AXg1Tz`8CRYYK`K*uPC-&b!pM>D1{7dch3`{<#iM15j_!|FH z%T9H)yOVmKioV&a5E95f;rUV#qj9@MMcZ>k?$4*ue1o0(?&}cKnZdw*e7SyVd!6WY z2>W+*Q_XE<;()4nsf>lSHOz6#s$i{X#+3j3@_1x6?(vmBtRvHlU*@fkUbK1dZi6__ zqcaZ_W#joI@lTp-W@{ZD!p!ZCd`n8LId7AbE8_?(^>lh42)(<}x(nvn(zsHlGz#O7 z-Ypi^ET5^#@oPb})23eJgK4?j3ki$BwV_o=rJu!w#FL*TJI_jM{bt4^muMp-UyoV- zL_iw7M}EDm6&QDxtff?!393!@#wsPr$1M=iz%DOXQU{B~j&KhjAY8mRQNN=pDLf!lGAkmTT zMZlCy&lDXPnP$sQf86j7%$(lUw3|`P(f~`X8&B`EV^aydRA=JyOGS5^%dVS$`i&p; z7OAC`W0}B{3OxIA*3!?j!mB7gdyJKjN3rh;?t8+R(Ka+s64xDJzy}Y4P37Kc z;JRbm?i7!vhvxnxfff5tOp*zWvzfx5yL?@fkfH}68!C5mJs&O}>aru&Jgl{S#QgXp zd7Wmx-H<7Q8pVibLG4Iwj;z8s@A{;PpqIPh<1w1%%Yfl}?RWCMqTkfM;xs7RTDR4( zAUDDZ+%-~cq%K^X4|^%F5zzSotirJhDS0=55!ndv3L{-1GAn$jB7z&5%zHtkTdqjD zi0~m({Bgz!P83%4JyYifkz@$sm8SYGvm&++zMRB$Mot)Dq+x=ceCzeBR*D?@@F-6a zli^R29_E}(FKav>s(etIvqt0;hR%!`U)MJ|*u%rJk4g(D7kF&I)YDcf0bskvQ){l+ zTx8a|j-e0qmAmWq*ZE74{T|(V(v89(8*pE+rjmOD4@7yctJ9ARJx6%Ft9{xbu$;i` z+A9t0=Ql_?jd`yrCP_hxV}6 zI`zb~0#Z9~X+wIFP*%{ND&AUm@-m;2r1#}Fw^PO5x-$;fxT%o~;G5!+w$IkC<(7k+fKCW4qD+EVm$LzU{IDQOe^{y?pz zMh+(P-UM4Yu*aBIcO8=8*8l??HjW!~x0iBgh}YysM}KD)Kef5w`#l>1o+&QEKIZHV z^+>=M_$5Sjz$~&fDuR`a!%Q~*L8Y7nF_xHF2i6DW?#PVDfZS#?wSn}!s=2aSm$!~& z1Ok`uUT&)V)R)4u#;tWhcUrJ*2Q%3}-Ka^N1sTi!1F$p)Jz4s{r+r?2zEk>1r{X${=Bz!!F_ z7gYbqQU5mkk248u&eOs$i4rQehi;>fK3 z)^@m~Qe0a7GnN%>NY%3%tvl&umw<2tjALy>8FCL0xuryl%EzESVYJ&%{nW#76?UM& zIkoqa3qr9=l-XnNsyE;l~)PYWH-l zE80QR1t=rBm4vqYIoG`vE`Qpo4H41d>=x>|en(R!(PkG$odRiq+B;26-sPc*PVWej&_jm5I6HsHY z1_Zl|2Kr*?z~SE{c=BvM?L{$3g%QC~WKw)XUwXLi)29>HhxLD}s9wZv z8JD05bP0(iE20~RSZziB+mN$o#t8C1{<>2XL;T7jEW=|%;c;ijRT_nvkm&us>VnK5 zhB&kfStrZ{H-F4wh7B1gKw{kaW;JrW26wo`Una`LfGN6EncVEPz>hnB>nT~kozA(I zK<7I_LjN*fNhl-vhz385m=AnW&8jh;N^h`-6@5g{_ld9Tcno?T+BEz3`imR}wWyq$ z;CShiB{Ugv@_spSw*Eq^{>#nNc{>*Z<QSRv59LY#jw2eIL|dx?#_fZa_<>ulO1 z?SnK*pcUB-NG5>}uN;qs4?F3a?W@Z<+!*MLIv+->+=4bl8OhvBPMqyx9vx91{p^j) zK8_|B%yjG!EBWW}@l!{N4475DWE1E^Eg&(tz{_k_kALjt9ohzox+7dMmVThOiIZPw zRp6OlRL|be7i-G&utL5D6^h3y0R$S#fsfoMOgjsIPxIIPh^Y)2M9Q7vqEQmIV zpuK^?d+&_U7Y|(9wjk1PijRa8g>E6RXWd%NB+GK@4r|W6;OCTK8yDF8=BiD}+EPo3 zEYKRwfmdF`7lA0JsbQv26eBtjGN#z8(tA43`+_sy50iaCQ7pJrRnQpGYhqQeTB%F$ zOh;QDidbjXXSb4U(~amEAQeJwzKC!|EMQmOJ280>P!{L7L70cf>LlZu0qdF`EqBek^%|718^Oqe;w0qf$s{ww@8)I?Mmj2;~FYU0^{}1cl>}MD^mP z<)tVsD9qdDQ*1(8foOe=5hdgsNFP5lGdOE~Q0ujJ7BO4|Yc6WdP63MKvYW02M&~=x za>+-Fz?CEaLb7IQL=^5zlhfg&&eGqy2dd{YAuA{odT#$aYuRwpBh}vDeoQZ7)RewrJ7G^3GLD-aG;Z=1a_{L;sSOi6Of&BIdl9m5ER5HRM|; zcOY6b6O5TY-=}PZWcP=7cK2r6R6bo9U2rDDTb z;a6<}BxrLWK@Wgux(G)b$1!YKOZYvPF05#C`|*u;SIe@#flY;Lb<5R^DHHG9(aO=7 z$Q~yV;}8I_ehy3K2;XtI!_4H~TIcBt-fvGDq`|d5#LRywkDGNSG7X)6CHp3)G=IyX2Kb?? zGhmf{aDVsVl2^_9{s0B#mKttI1_>7B#(BpiuPQjqLjWRhJD(j;S9WHtLQ4$?B%=Zj znB$NMNnkdZ8#Gl^brBG*cIk1g{lT|_AmwM+0ISgWGMvS7=ey5(u9JdBoFATCk?uNd zey@}j-RZXAd9J##0ar>>N6H@1hK?Bdy_oIOfE_1}e_7 zY!UI+QcG4BTGtdqD0RE}J!b+y|>_!abdHpt#HC>5V$f*RSiY(wCe zWA8&3KDhSRirJ9PB4TR2{tf0tzF*o&D@}@`L zvLqyK8=HjkSX*QOXOuA$TG;-*nrPy}OYQ31A+r`o@|;UW8X@?ZH?*W=1K>ahri>l` zFEv{Tvlaj^);&hQ2fv~ho-CfMBONgNIXvCV{M?vT6<%|gehMyG6gKR^!f)~i=CL)8 zUfkLENT`~%WWc}`KE42%f22ZLF)hY)cub`R#;=l+xjZOsCH@+BMOgJiRL(codFm0PY z?*zu@D6K#qQ`cV8>WKlv1yrRmWrV6D?73+*psLfBw&IYk&J|nuGgO0mm0;QHTEtfH~ zOr-Hf1GU{+af{KmCip(p42vk^SyR^$4=8hh*xYEL+}3QJ8T36xR1bqW=o@{hu=gx0 z_=G4!#(vO4xicR*eVYK{%_m^hLhx){*DLdKaHac__o53$*h)?6ao_S%kssK{mZKCR z*y%tWqG6r+Zbv#D0~J5&aAoy-8OExfilY=q9LWqmva$pA!EcmkN6@7)RX$hd{+0i( zM9nPz66dvj+LPN)8q6t>M^gr2_k>1k`WAoP=#=Hg*vuw$-(CxB#Egl;w8IQW^&r`D zaqd&=6q|C~EPngI{I>^RZS5|iB*eRW`5ZR}Wnw&fbTR86=Y7eCFj;%i(Rc2e7aTXE z)A)AfloL$0z9WAXsLIyTHVKzi?3KEkw1Ku$ zSLglL;_J@08~%~cn!iBEv_M;iM0hWX^iJ{}@$S}99(?q$lYL648|khUsN5PJJos6x znUk;SGeD=k=N@<`IM%qzmfrsL!d1?5Ai>@$M|>~1)qTECNg5)PvU!qz33BE5&!ING zX4DH)rn#OHNu14&c+);Ju{%7_1_^W(a5by~=CsL`%Rb@I0X63XH&t_?#3*kD>~4gMf_UORP9}6)27v<&pScz{g|T$=um~7LE=s} zh4TD2GFF;ZpOGO7p{h@XY`A;kq^j=M`I-33ln6Bx1qq0KhBR_zb zP-t|?B-igwM$F!?4>o&?=gHAbLOX7-DPPY^$o3fAtVI>8RcUR|>}vP?+4lAr{09?H zGf5fvrcma22=s}X)If`4Mb&}rFDH-WRz4{M<`QCY0U{xC%2m8v_Cj~uUkxM{lus=k zqO}Qv6fnPkqtyKog&b45*!`o^kkeA#@Z9WVWHRPb=Wz

&R)k^9Y3;GWcEF}QkJw*7-`ahwKzF$c76Mzz6l$k3(}~p%;x99MFX9N{j$=y z+01jWKTY!uON&dJl8>{7-N6x#d19_rbK9gjFWHQeDG(3jQ>CS6prf(jiImKzVVv7) zv;4Ymo!?EP_bwc@D(BGBGFb)>Fy-pZc23s7+#+a1j3ACdgo3rnqe2nDAmVLP{@ey` z$akIoYiww1nD54L7rlSRG;8=#jjOi57QCoX(@RL?T?WB2<1y#eiZ4B880DO$G2*GJZmo7))ovuMkny@dp896^7NC=9`_BtffdC2Rde`%c9 zShru`lcd*&{%0H{>*(uZynKAcH^#*N68W)w<3L||G3{6ax2FSClWGTX`ywU1eBGwr z@>$WIg6rR<%sA@rAD^@;XNvw1=sJe$HG4>;=eQ+a?aFJXYh0uT5KeE|Fg$TlOu}mY z6(p!EirmNmt8cQa`w8)}C$U&2{P}^PG*<@y)tjgPqNjq~&%;0$vP7@*n`Kw+X@WuE z>T5F28es22$bu$FJeiP3f?K<_MV^xJ?HKN|!9mmDI zv%^pR&jZa2`3)jZ~_CQ|p&l=zyve#Z?r7S?|30L0z z*w;7@8SqW%xF-(?mLHmCssU0@hf5Ixq2CLH%Q1!Pty;Jpd)ouQBsGG(YjG%X!);A@ zj~$4_%66lKpS$O-o?m`l;^ks!9!5K7e%$LO3c_KBN_sA8k8y6D#JbL#Z<(UTkLq{n z{j!nr>EP~VIk)3+r^nsPy7V}hZ==~kIYfxij?AX~gyhia6Uch_Q%Z2RM~(@t$bNCD zjI;*x*vso$R^#=F^QMKasmSEdln84@`6b=e-25$NqM51Js99govMaMtlLG6kmL2mC zi2ykS+`vSayS>`pQi7K5G-t`RP?RRn+aG%0AZQ2l5*ES-SE~-JE~;#vZaP6W3i0s1 z9ZI}965(-|DzumtP}a@P>gk8I0w4VusH%Ei;hLEosWJW3R!Zw~Z(ZV@Zgs*U%@Usp*35zthN7%~EwZI?TAkXiF=T{l_WxJ49XL*ciW$y-* z&Mc~YJCAs-HaLtqFPy0W8dS;$K#9TOn)8S~=EAkl%8(PToAG7DYucsfg>{pOiDmE( zQaU$C3-7UEjmr6Is$Br|1ot-2*3&Ie>sFLcj<%fY6^_Eb^qr8G`D?_PN^Wyw-hcac zr)yjqkZdlJ%UQ@2P|FFbCNOJR?PFD!g{xXwmTRXnaJS*T5xr)uPkSF{CRV}Hw2y9> z`s_r5;}=7cE%Il$i*t@1GdTiQPIc5L9TZ)IbJy6$S3+RmN2CVCzZ`U`XR&0*zSiqHC>v~A*8x*q z%VZdNSG9ZT|A@Nwc&7jFUrCfC-H=!*$z90ZbXOD!xh^6kmxZ}+BBET2T*_sY%W}WP z7&ez8*M*o%%+jp6ZOmon_Ivq!|M>mac<^ZRI_GuH^Y*T$Z;WW;E6wUgI~hsq@=|UU zbI$A(m-`4Vu#nnFAXlG0XNTkx#(28EZxHk&;5^l1d&%xg*uGqv<2&_KZ-ba&|A=w9XXf)|y;t`R_MzdZ_hc0P+AZmHlp9x!Mcpa`f!ekCRZZzw}fpRH^jNKIo_9q>719oS#k>0$9zge zN9}K{E^(?4>(g@moCuRxp>s?lE@9nbc%Refgkbl*AzUfNdoUD(*VVH0`hG6^Ds=13 z)PuCvLo+9_yX&BxC*-;{{0RrFda4am7ndwWp@jy0RSGe!p~8^#N&wiuRzs>m5*5a< zxZ9%8bb;F>m0$fB3FJ{a!yS4KhHWMlH(C(KO&_t&&_~3e5S<5rVEe1dR{cA}w!}dX zLSL%cY6kmRwV6l-+rcagZFi27sER7VdVt4ELpa$`r6gm(XCV9&G%~2|O~;Vrq>t~7 zQM1+p(*j4C$p@!Yhjp&{NDYV$o3|=Zk=3_->S!B!;2Rl>r%^I0g(St{o-+zn?nbA7 zr;g_>JSyH}r#a)2Yr@(PYJ7schn`EM1J->H)%QTPZ{rt&EkNZ%9xMl58SKm5>Kj`0 zF3dg2!@dorr6OGG+mqJhU3@;Cy4QPIw$%D%rAjg0*rV0K2N;mBXY^QV`}m;9 zcyjp7mgI`H&_5L#)g+yjVqSDjQD*4@zvt7WXhA5YDASO%tA^~_LMwbC0W&OrGC!1I zM}n7Wjw-;8T8+E4xPYdUVsBN=kFN6R&-F3v4yjGKdHz?A)SEN(>C5gUaH|B1o6I47 znSHrFU9Bmk@c3EH%T+#N=vx-U%IL7d<5ezo2_~yKboTW;Yd&;`jSKJc@9$%B51i2z zn@L^g>yk?ggGVI%NBnOG9C!0@`$kcABlLa2NfbKF-S5HpJTthXet*sEe$kknrcu;t z0bg_`vSZ6CtTd(jVnq5Zk*EHUbNL=@-l$9}%u3S!n|p{G-QK+bLoS=&+d6gh zDz(O2c~|;I49BjSJ0ufYm8}Vo>ZzlLvdNm{UG5v_$P0$JU&O!d&!~@;)ToXRiP<4j>!Fuy z<+Y8D%^$PVJKh$!0j(u_``szp$hy6`aU%8)+cAQHsFo)1-1C~^$_@!C;U$Z>n}(F; zdKsj0=;*V^&7r*R%n5-0_xoIDN0#074LXAC%MJN3hU{PkpD{`{7t_0Kv8qPPU5c0c zexuD;Lc~ya19r9I9gCiMxcO3^)qm>>{PCXdnvi9k=QjaTcT6vLc!C}?^j-ovU3g57 zlH1_zn+(2a5|n|9Hc_mVDp)kgdPzqpg){g^l81En8)j4qR^Ta0z|%Wl7c6af-GO zAQ=PR`&|?hZXo!z@{+sk{URBtfbHEXqG|a%lb*tzOd-pC59yps_BlV7e9u|D(aRM2 zS#O1#;sr}#9C{xA<5DBeD`j24nr$oRM&COUuDkjvSar(b{Urbv`gZp8MDQ zMqXefqPR;7VDCFDU4gwv*1}Cb^kQjBUQKEMMHwaJ1l*z5zdFCK0den_Z<6BvzmaVF67JlhV@!cm@(t7 zRptaz!0&{TojyCL1+$uW=OfgR#IQdR&7{|*_}RtKIWo-{hIQ61^wM8k2Cg1Ts_+Mv zI%RCODXs)`zN(sc;0w3NCI4)>yQu#xC$-@-1}Ye12x*=XIyC6JTJSYe0tN8WN?Zp|j2zGc$@zWZ$xKC&q&v&jJkI zOI~uvH;M#1>m~s)cJL-K@e;V^;1ySf4lKO!Fjm6B$&#Hs47XNH*`-nwhUCvm?rx3o zs92OFyJJE-yjC?}R^UGyhoI-7h~%~BwY=!Z z4Rd}y2w$%3;Cbt{2%Ftdk5mO+7rGKBlV-sgcj~OR&vE14A|_D`-a{Kw|Ar{Zkg&bj zBW|e&+XBu16s`td$hXCQN(xp1qqc4^{JyarUWUXYTr>8N<53eon<;7m8uLSH!K%wn z1DMs7k7{z+tj`L!Xz*))hExR4AVi7R1&(TLSu}Wp>(q_e5!p~#EA+hd;Y24*!;J9w z(rnQ91CN~d#BSI(%=!zvWxp!H)H`+|8+k4cB&J{0+XopXkK9U%wG`1=KT2bX-Dg!v zDOVWWLzy>-Qn<$&{&y`ok@o#QMM31~tWWN($%!hI`_nOZiJNmx6(JD}L8a)|iCK5+ zUpgTp;D)ouY^L;aD-OeDV9>lv$GyHm{NQrvDoR@NPxb+4pieOpgrZpY(jif1IB_bv z?f^Jr!Ai}Z(~dQo!VRou$rwBNfd-ytg&B{dmU@RNrnURmv#`ZIL6yAdDc@$#{3~Oa zHVNbh(*AWpK)#Xkhy(pw_RDhVS=|ptB>wncXzKsH`6NYZ0eg50@|rzoNO>w(pLT;o zc;R2jOTNZ*mHRCe*QXa7xO5Fnx!7$LTT-?O&d5o;+Y@R94JcJfb~38oy6Kc$(r~Gq z7kuJ>m1g}L)n(ZSkm|}k9zwlXJshrbJ9f!5XfQZXY4yfZ+!c}~JFm|;f5Z2A<@o-| z#I@qw;1;Z`sLKmuYU!jxLuH;w8>^o=c8H8--cF6L(-A4mU_4!7w4P|d!f?*LITz2h zBp+!=g0+1P^1Cxf^AGTUG?xgJPZifZKgT}2#$)Y#ILvB?6CcNCUhU(HEyKE=>H6Ea=e zvv{&@5zCPP->-fCfb6egI~`-~_46?@#^D}Wst$a^8#>dE-%G$?3l*P!mln{!FZ2uh z10%I4JL$8@a{BvyHb{Rggqu(=ut$N4O~A&5`rMhg1c*g$TLTxzQJ&fTa#8y1`90b7 z_4~o2UfZsQ1OgzsA^ql1a{bLs)ID&Ou0-fF%GbY1y5K$p%1Squ%2_1cyAUaJP0~jFk9+z^#%8gli+02Yj@~%~+IYZ$Nb((*Q6Dn9q#ir;6pY=G}bdV>T)fuRKs?Fq^Wk@Ph zM~i?Ax{e-+e1=oEp!+CY3uj~)JEkxBHu}h<-Dp~hVdjq;k|9ifE*0*s310NHF6^>a zC8_Fk+HOJUhffNxUD^@+u}tZDGkVb}Z&*lMB-{LSQo8B;gj*!zBck`S$X?Kpmb8Q) zI#Peb&vH~t?{93aNDz4X6+bhCl`i+wDPp|N;!4-fBDI^(-qwBpoPSs4p|t+9$koPd zHtY)R3`{Xp{Vx8ZpmX(ds_f6=zN1!i?QH;xLg*e7dWNZ1&&D-zy`IDLcPasVa zDXG?+b(S)u3IPpR%0e2ZmTzuMkT-BH+yfBXIS(7bu1UT%c_-rA8TQ6u_r>zP&+UXM z!D%)B*c3`s}RB3GqbVt^2rf7Zd`V0#5^K|3Yn# zodHRCcQ&tOi1zPI1k+Vq8iuXhAp|+T{^C9dF8XciIr{OT|Kz89(op>N=TDC?sX40f z%@VdGN=9tF*+t_nfpi|CuR2tEAR|Q}D}g6_6(Kk4p<-fph<{x;o;KN3#JytZZV|ef z#8Fmm#-0ld5Si^n+|q4|-{tcx0xogU>L~t_>&LzUzOH|~g|JoV)G@O zpPd&3BXh@o-eI})OiB@Rw*MiLUb&AI)NZ5Y92WwmI@4Z2d&UA#v|hAd8%^NfkRn@+ zP#^VuMmucFjQsRN)TZYvCS5bQ-+z~mcKPg4O5sOC5-_FV<{#DO^OFmqguW`S&W9fC z2jI$`N#P}1*gp)1OS|`75~5b<1K|VS`uMEWP|l2g2eq0PJ#MwCH6-;q3Xy5}eQakr znwPcY#>c(RMr8i*%3hpL{9b#OzK<-*blsAK8Sg`1JsH26+uLIiNvtv*kJ|l!`X><( zg1`R-9mQiBJk$qeQk`uzO+OJeo=^*<%OA^a*3Ms?OTVIL;-__&DaWRrSY) zN5P8p9;*J}Y5LSp|KPfgn&X(YCeGg*PUq1*o`g7}7)@9I;p4yqco$3Y3vB9^dQA?) z#(ivJ^p#V3qZ=~!FL%wmsTM!Tke8gm4fex**=ZL%c>J!V6iXlhBQd0m>RXoUJnO-J zlO{C*-cWH}&G5q7d#rQas;{92ciKo+dtdwd(` zM@8Q~fGioW)a;jnVOwbaj!s_lw+3GrqwuX~=t1)AV)zLH?DdtH#>A*1(O|wjw7Wgolxp_;{TwE*L zOP5&r0Q@aQ%urjx5Brx5fEenPIlAqN46ITx^pXS;Ctkp*KSRiwvEZ}=%3nRacgk}k zu|#CT2KFSF$O;>rR^qoDa5f zVW$Y}Q0oj%P?<(GR%fMw7v1_~ARIKCUY49FrC+!>=%att`w{_g&m`InaAlUhDIr+- z%LDmq7GC@x@+IOfL@7EHD2J`~L2FH(pMh;pqu)kI&eXX9~ov^*pH? zGN_xVwPRiHcc1lZ8L068c#G_hcwzV=P@C=kExFRa;0L3=%hAbd_pH$FbXI1BF~x{a zZN8Fkhe7G#K8td(U+;1x99S1FNpUzdNp;9_`NWGJc{6>y@EZapMw#Kdxm=*A)?alw zf?@gW0OhcI-*WnkV~Og-=9z;y7z!BOMV6qyS{(I}mo)Cq?}B-ua}7%A%% z(teX8G&gBMWVuAZd4<2P?QyScs@z?#a)ZqYGs#E*Vp&eg>MvgM-f9~^71)GJEVFnw z`nwe_uZdyj!FI1iZ0d(NKWV`f-8UJPY4P_{_ zDAI$P6Ab?lJB!Z->*tK*wce(KJ^KuhSwHvPoQLruHkDX&C-6nAytbi~iMcKZrw2!X z9BHvTLFnC$x%BALHv$&UPg9?!6v!4;Sh{7>&JqMJ&z)nvHZSk7KNDhPz;pacc_(sD z8XnY+%dDcN=dI^L?BA$#l}4$duUVM0&FVrId+Sa?KS8#IvdBMtbemU?Ud$55=l*NU zb$ftFIg3pCvcx&W3IVsvF=Q1l`N*$S`h+M@e;hh+F~vbP!FmPO^PEywaXVGX037U? zKNg%r;VvQU6OZ2~f0u0Ol?p~>)8F8musw{;2S3||1$&~~vD78$ z&D}%`IRc=KTcE{?0(9#Lrye!rb|93_c|pafA^QUW66_ygyz21OzTrLlHc7!g1T^}G zT}Os=U!xIYyPd~*?JWL$KKfI;MG98hcZ(p)TtIRQ`?~K)Y74903(deVl4Tz(Zj%<9 zFcMFx4|>M!zJv`-nKMGoYil0YSg#!V>pEf6JBmQ&dAowO#D9-$7y5|!aP=drFO8`X zM``T>Q@iF|8RdD5IEVBPUprNl<^Lmznw`-d}}7at-;nyLs!+^wtXzb zYo=?x*?Vc!0pfx^G#0!#C-)vo*Mf8@3*vnnFC0raVn?6mn%d+g$Jl?#qfdRa!1e5_ zw2dg`JN>2N94x!3-Uf(^cms*DLSOzY9Ki>aUPtb|UEuUK!>bkQ z^@sedpShjb@Q%xxYgQ=iVlR0ES3)p0V!V4zymNYoDI$ms`|HR&%e>~4wu>0CLl%{2 z*Up#-nFty!&iO6x&~Y7F!y&Fga@63O0}3CyO5IZ97*EzZK;hUBOo%M`n%Yf`rnz5x|jkyDzKK#~B=oADm#9*%CnUNi4H)Q#jOf+zAW zA|l}XXOF=itn^h~>TLC37r_ij;c~{+luAEWoLU@HEY{RxFp!sivPmyNh&`Q;ugdYu zXC3YvkhOoO662%q2Q~R#=yf0GClq*VAbO;7O#f5xflEdmNVgpfFgs;lbPyHwz}co8 z)d>VgEHA_IUc5jP#xh2dMC-V-e1qjMaNO#+8`ynhRk~xSrnk%eo>Mj6BMuf1wPPwr z;VreCB7gUe3Lfpb?-dQS?&XVF&5|g6`~FoGPlsCdotv|FT{c;vrCNTd!OAa|N#D9S z;F7!EH2%ZeKLRc|TR?2SO@hj4V=0wGw@CbQ{@|@~+;KR4c27%w#~sqA9Jg~bVDLP; zKYF^d8G3~a|MW3%V zv%U2mqqF_RUT&Z1X?1eMUr2I{;R8=3=@j9E_VTh?H zT1h(FrkVHqLKMDxIa>@@{mHrOm;7=%dUjoCW3Xa%kXL4YG4<@-S7`a5r!9a-XRaZ zbW`f&1}f&<+0je4?vzSE+5)m33gSf@Z;kg2DZHQw4xR-wPDoJ@M-YhO11pc7MM5ga zIHvTI-@H8|;I8Z+o+)z?J=ovw2Y2BdJSf8`M{sbvD%(^mNsY-lP z!uNuB6EFp&npW?0ms3209h$U4C-QXvspqk@-i4Pt6kGhN>sx^VS?!X49pue$ct1%; z(L_v)H?_mkUDFP#*t-xl{lqzrX$9+DSlb19DCT%0?X7YPvhgMCag&{gm*?9El zc*KmwgsjV|(*_@UmWwL^xEjDwfO{G7kQ?mxH9EHGfm7tY%a*fmaAiC-{`slAx5O&e zMzH-m?s}Jf+rUv3@GM`)bFtcSLR%7O`QH80!t^~H>R}F5o<+Kan^>wf`hB3$k5Yp7 z$BwL3g2lig#YX}O2`jHiD#^Aoie~zT86UBd?DLiG38{M1SUeO_xX%B#**Jh zHV0z050p7b?d%Brv}xAUZ`LU@6LneCju&?E0Gj3?^{O{1VDBi~*%7X<9>3rbGv`h8 z@ddk?Fx7(otPB#ac@8XYe&26u(5DYNn}HiQR7Oq9P8NnPhhAvL1Yedkp}FO{T^uA` zXCt=J)2s^cndr$7!?>Y%w+Pb#+G^QtlB&nl9C25oXdF-9a?#fQ_CIYpqR;^s3y3Sj z9?Z?Csm+6XjhELniT$Zn|J@%gKZ-i$?m}9e!i_f{X<$t>w8>wMC!V&t%Jup0GT-u4 z>`9KQ7q*jMcgEnkH6DuTxeBN0{&$7oO8<(cEWh6#$%5}|Tj zw;8j6;wMXj!R5ptP^yEPyTU#Mbh3Jt;|k3r5$L1R#cEAUvLHqDP-+>Z;NGqEuwlt& z5|0O@h4eDWTRZKd{rnC>{N1pH1x41q(A?E!B#0kp<}2FmgVJ#)x^xBctQ{W@gL&IR zN=f@V+bDu@jqMy}j62UA>+M8+(1~9t(QM@dkm@bw@GDstvefpe9zb8&u2)ln_n>0R zm-4vGY9IDS!cA+k_Nr&>kJ4F=U91i9I9k>myJ{=IW7MhtVLH94U{%zloV03dv*UuS zU??0;SrR#PN_Gl{^Onaw(2U{Fz7*tV>wl<^7kceU~Fpwa#>|q`c1ahBdI#8 zkh`QLFqvkk!9=kXQ^I*d-VB|Wtp50F`a07P7mo=s2WH5_kC)wC9Fs~|`F7y;OY%B! zLpk=2A!&M}atBR9rN(sA^CxoM_8|MF6O+O7qFPE6ZcR2I8bpx?Ts5YM>~IO)#&zrX zs$`$|!rbr+w##95!Q$PW#Th=fn)&yI3oQ5o@SBzdVPrblTC0&nc=^sXaVp#L#>@#CGZC|Cgg5y9d>h-QfM^G6o%ZlMP+u!}KT0?K>w zSj&ey>Y}x|TPLDQA+~N|R(x4Tc(VU3yy!cxI@V%(HeHh8c4QM@E=?$Pg4RIx6Sm7v z*(V?WInzW~>!w*eJ?m*l%IYq4A`aejo`d;~rnG6&*0-B=r%s)-xStOmGg9-I6A+(K zqw~F0@QK}P9mOk}iVRlPdNIays8*CZ$~S6bFIAmSR6kmWq4aM&x>08LGVO;?)#o5L z*3jLir(35xuZ9#8UIy!pCIqU4X%CWgUEDT2)}C$VkCUWkj;(A)QnP`iHX~RP65Wpyk&~Kt!4BWN5=L7C>dAA$-di`kOsAKXoT7f*dg4$E027F&Vx0Xmf}aB4$$}JM?M{y z*3Wf|&%-%VZH$5%F%3S^6xiWR>(=nq-kt(k9d-%NPFu zmxa*@iDAMHykFFLdo`tmYyaOOL45X4sn>@0jB{pA%ABoRd%Q5&aMj-I1UB?-i9tH2 zf-5cc%IhW*c79r zzW}6wX**cODK@-y<5p6(C5GG5)CFy!t{|p-cjAoqms1u-M$OPf>RF;IP%}bF38TLs z8NElPVS%7l8tUg%mpaOolcS$jpYww&YG4PgeQ9CfqglLVWvHTggOcFMA|^dhQ_{8gQf zgN(mFi)@$}h2Z)A+2yzev$tL`GX==LOfmg!3D|128)4#5C|tkP&EFSs#wze#q8Kyg z>yl@H*%tOb#98s*#saK-yn3A zbvk+Gev`}Dl8g+4wL$@G;7=)gb32$a&|?a${nX|kT+#VJE5xf!1{k04IwRK}^Q@y< z!f-HU$@nL2-lzY>)yx8qC+H%1S4S?R(WqMw7eSD!jUS%3FM98QvDUf%nlrjRCz2H8k_g{2s@yW){; zjyA2VA|MBSC0vN~9bZEcS$+V1QIfIC^jCw)f}3$~_M*8m41SZ1b4a~TxkHliV1Eg+ zQihdcDcJ!)hE-)90Y9VsDenuIW~%r%*@c35>0_tOH3tH{=s}@I0dc(KIE{fRmx-hj zPiDjYi9#2$LxjxOE4#ksV@L%Hjt6z{EvoKz+wo~au3H@tVYRXD>=oG={zGW6GDk&6B|}n&Y3sq&{kdlnxag| zd8}_Uq4_U{PdAew3d_mbXBN4YI$s2;HLDAk*jUX=cmiX1S{!JLieut(5W(wo>tkIi zg3t!q{E3uYk)qrgR%pAoxz`*vCF^d;YJ@RuIM?mo&P6RH#e(ZS(;_MuZeKvV(XKIN zyH=jf{itxA_^P3@{lf5MkYH*s1(!};*sg0#VsZjlO49X(_a((=kz8H{ekF|V+oWfM0^lOwdt=U)oSsxN zUWAQfE_3I|;3RVTd?9J;JHsREQ56fzmV}LR#~!l*7E;FL_PACdx|Zd31W-(Qb0eS9 z)bO!;3%$MCpH(4H2d>T4CtzK(a(Cd2B&q#b(_vYYsdw89WgJsui37(yOhpQf#`dQI zY?4Na$dDy2hJEffUH6Y@vf@fSE@XW83i2ZU!xk& z$AZTG0U?-E%UHuaVEA5t8V_R6%b7!glg_7z)E3`8HD~J;Gu73q>yfa!Uv+}rei!P4 zg%+CvQ8i}bn+)`k@}NTQj6uo_uq#ZO9!a*N_cf4tz-GE&fm3j)&ErRp-3iu1FT%Nm6 zd!9R^HJH6)4`H0XSC;`yW-9{1fn~tmO6E!ujZ5nDP2Ad_Z*8((xn{?IH7-dR-M=$< zZ@{&bwU5RmTNzhFPJqpewRUMPm>U^gW$BG7=`yoZH8z92H81y*rd29)C3avE9p<(= zyrRe6nhAe5f@Y3|i1rN7I+3V(ezLTjzR-p@{9`E*Rn8y9xRVmYRl2b7@U|T~%3k}( zY`a`r6~z=ao^a6az=~W7-vQ)O60?0(SWDOxUD{pR8I_g!rh92MnypJpfEWv&rW1I& zUV&jg_`<<~eosVSAAu_ktaqHPSO;BEH9Gp62GVn9P9xr2Ub1lS8_4gjFVRMNXM0`4 z-Ta4sBS)alu1B2Ivu$wN;3*8K1Gv}7%b|QmL*u9_NDN2^RVU_v;j9W~RLB!Q<0cXp zsBQhzj%L*(`o5ozW9F<8w#5~?;nc6&eCRSvP+i%EVaH|x?BA(aBtL5r5K@ACwbBe5558i~@uarCB<}xnPboM|M3_=1t{}!z?9eg6 zdG1kBYR1r3eGl2uGIM7{2Dv1VLT~LdJAVbb&_^!VpPX!7H>32|b{!C=2c-bXTnP2A zf!e%)5$&}T`E&F8cMgLhEqw>vah$LJD^jrSt*?hT$^w}B zz)X?^(vdfs6Bh-RoRdYwn1!{F$p@{6>6}!Jtb3rZJ8rP6wKJm-cSrNcKQB4d?l_Q0 zJm`-1$@-e>)t@>1qNEk>{}t%$_?cxN#8FN-E3b&ruHB~Q{j zEPbb+)R=)Xe9~NR>T9rrHfo{=j(2M-uJ|Q;$r=UzthG-bp8WRX=vwl^KwseLU$sg!F6?sO@jC>4Rh_jH82{yc0xpydSzXJ1(z?qV#>!GSEN%d zaGsB9f%2{UXs(+;3fBnJ5&r)+T{&UuUm6!!ehOx;&lh9Oe=S!lwd1 zuZ$gqe#afhD4VW54KRhhh5c81c!66oXoKjg^+J(VW&8iHykNi;9mYplwE_fDyn{rO zD)Zncr^tNsuLA}hTZ1xnzO$TxYmQenCERyJO7M5N< zI}}NruB7ACoBd-ULh)_qVd?j_s}q>8*|wJ~@MezwBCLb4lf$X!SEF-w<2^u+o(*35 zUig1*=zcmNk`RgTAg?dm4-!yi3m|fU+Wxl zeAv~!k9tqD-$jA=spg!sIkH?0=|1T8vU$qS)sGjgMCa-l5QRE)UAdDU(0j58Uc5l zuK%NcDjjk6QA1D!Lz)wgXD$QhFkeN8d^47N&i?|Eb?;HG+xclq0XSNm3v;UoHXb8r zNJ9U*=IYzt5x&~pDp&Z3w3W|Q`RTS~q$7(RB^ z6c7OOba<7=+-`8-P>!~AY6&oHe`bgj;B}1GQ36?+(y0l+U<{3$?%dCm=S#?HgK0IZ ziy{jYX-!hFG0Hj+w@-J*F>jN&HX6(TMv~ext%$>f}ak-yT_ zm-rvm_HLZ%r_Si5-+CZkA0-0)a=#m*eAEr#yOD*tdT#_w?o0xi>AhOjN!teN_#q_F zQ5_LZ_EIUSCEv@ue}B`fePe3$%fqIU3b*glel`=PPM>fR>u_#D)sjGl?XKa;Sh#_D z(zeykMtEZJ*{S1?Ok1&b6TN{gdJ;%-i~kv<_L02`%Y@=^doZUZ3WuFt0J_8rD5>!|z*=J1l+*aJWW=xRtZLvD*wOkuqNIbIex z=WzH4FFBZ*c>vuCqjSu~u1COO8=3pbPdcvPwEE)>c24ddj<4NK0q_N=XMg;Xxa=71 z6Zp}ot9Ds(J=E*u8mI^~uC8MH74&wxN-$*SAX< zCLA8ZxU?)w`;xh@Mc_sZh><6D_6aUJXiN!dmwCmjP4R_^(A_Akg6ort6o zZcAs67R=(k#FA305RhcyG73aTmHKS0w|2U+n1x2f<`GKzosebs^j5C^OMp1#S1xj) z;!j`etCCq>!vHOG=H<=)2D_Mh=-inqwPh8@P2+zC_7%0+pp=S>(F>JdA2 zG8kilTCi&A+Tte{M)iH}v#!W8Z_mFr88vc07Bym?;RNpO^hhd8FRr*Cn>=9wK!x!0 zr|d##b30P=;U9@pNv!_~Cpg|>!aJ}r{u$Vcr$-ml-;%o}->AM@WLGp=7%gS#h&e(H z)~ya_^=DI?)?HtrXRk*WCPZe)_cu7kJc{*rxx{Z^rK7rMLOz`3So8h7{_;r%_ zIMexAdfK9Rs|hPr=8zFcHq#WrCsEQbheD%hzjrvPEVwsOH||{Nyyk^zqyOwu?I3<3 zlQYwhuJ~g$4;t^8w3hiH>jkmxXWm@Q;kGu)!;5USQ_EuMC37MB9=h&H!~SRSQ(TZN z!jmO_Put^8uz&lHMR?qSTxb9Fe8i5h8DYtdM#7_M>pxiaB;e2?f^-*n%WB+CxXM=w zAjzpXIg1lQsbq$ZT}k0_3u5bMy$f7cDF<-YUSh-Q6E3+rszxC%N(Ao?J-xB{Dl?&h z7aEu3KtI0tal0Vdykavgyvf(yRE)CuJWPeyW_jScQ$+(-zL_%sHXrW_S!yXlQEiR= zV)>*X9&*Oi656<;mA2N)G>CwEvab&xPe-7xWeoxpRrJzyj8XG>Sbxjv{Rm_7-%mq* zAWVTohEB~I01slH%|vC{Et2@5iRYpv8MFJzf_U*C0ert_ZY=5Yk*SXZ1mMBwg_+t{ zcz%lZDZEWtF_)i6YZSi(vhXL|b~pKYicsT2{wd%=7u}mHg$&v*MTqTj&gLa+EW!3$ z7=s;R21>lnR=bMMDz5<;{ID-XNI1sut|^6iDiXoJANo=?BUtZ9$+c-dzoZ?lI_luP zv*3Z}fqRNZdx4PMXGz*MSUsS1VcS^{Z#;~ib*4)PRQCmd=`niqhV(~-?)Bf;S4_Y! zEgRp)8Bx&s5=g_VH`&U7iaiR&riR!+pVCvA`CD`QK&VrBM_L#VOsH;iefT|v(!GKj zKmVB_pK-wd!|T5c9tq9^i3`zWk$)pH%*RG+P9baf-NG^CYhjq<7Cj}MKj4ldXP8q+ z3$7!citzpbl<~wRz_;j^E`gJwt#q;!sE>6IzF*P}or5Y7Owo*JrU$jtUlhok_(Vn} zo7=uB{)U`~t%ubD4+X3knd^3^@xq=IfpLrh(maQ7PrR?n_`fCC&B4Ofd3E{kzOp(H zXtnw_0lo5I^2-li^A8PGk>`$I#_Y{{tw+Ix^ zB#&x;HYNqXOVHfVc`*4St_iy)FNF)qSyE}!4Svz9Q2~!&1pfK7I2S+XtQ2(NABPu> z-#*h(K9p1VO~-2+yc#abD_2qL_l1Eo!+x+f{#u^&y%pH=Y}d=qr_Vh7zOX5vHh18Y z)mP|+&k4?%@m2eHrtTANujmET?2wpss+)yvI8N; zKUD^_H-@@(DAk?(KYyUhoTTuThQNTpZbfBZ|&J=G7ObHCoxN_JhlCta+K%=kgV-Q zZ-Ul7*fI2?Zx|MBIWdWHJxtf^>#v`?CiAzJ8#_2T;@ahu}G8|N(*-~wqYHM!F zLq75)ra5@G)6f4~uP(FW!%C-7`CDjl@OE>vC+dXpD)n=F2d`~H0|VbBg;TUo;`_@o z4@~I{0;44ul=mZz9Yy!uWKDwA0X3n00Dk~{t{VYB>J!3Nc*#I$DF7jYvwZ|yn;jnk zzpz<@$P`oRUg)o5D2Bri3)Amwwzr!K%q z7-g{TD9U@2s;t_)x=;A8!O(LSQw zoGKmz@-&Qwx}`vH+vdsG*7xG}-mqegaav~{OISr;oq5m&lIo4;>-GPL-(_B+C1@1fne4DP(tQS>}s4w@_}ve7(1NSyEYM zf2i;LT|0f{_>d)6_Jch>M~0$4lnq2{i~Er)hat1+^o7~wUeplZmfz>&Wf)jn#w zwBG=UQGO{O=1oU_5wkl&S$`9dzaEz24)~qKFyn}%{&A<9+tFL(Z&y0gh^!kp=&oe%I zJ;uJm_xEC0GF-xB&)wNxkKKW03#nDAdOmKZ{<_7Qx3gk z$gst^&%&Pi2P)f2)A`8qzc#yd6Gp~#ciuv26x>*5VzQ~VQf#N!y~cA%&*n9y1a~jj zu8W*stHAa9j6-UGH}CSqh|O{I*Gk%NwP)bkDvGjuG}->FhjE<&LL=DrX^M3))>I(`88d4ybWtY+L690dv3f@3qY~Q9(S0GI|`Z z>w3W^w3e@{1H`W|^AqsHcJSf-U8Xz4r7LUCLvp|t1L!@}5%AON zG1F4+>5bWwVE`~SUvcr#k|N|7ws9%mU}xE%GD9<8la~3?s&@Pjrdq*|e8um*yr`W< z1IXZ#UqHm%Usmu@lFwiab8cV4d>#6F2>PWy!z1KOv%d;5y$Q>U6m9H3iuaX31~;t= zBkdZcU>1#1nF?0A&q!y~NR2lVOB5brMjdMItNCwbvR&&sDAxk)r2qLaw$k~#;6rAI zEKL0eFZ`30yw;2H;jeTs)jV|-h6HXcoqx91T-;@%sJ&Qgu#m_I5E@r6s?mdZP=KSP zhOfp_Tn?!{9hZVJfIt_-VQtp>)j}=PSLKBO+U_P>HJje3TlM^iHZ&qmmG8lT{!pVW6@xkfMy*htn7 zMEB)j8|7xwQRPT_tTAruY5%xF7_nIbMrcmOOl|DpcU5CUWBzFifGI}VK?wZI0LvHz8iPhGpJDOcU?Wc11F?H$zT+9z zr>w#I$)(?oakGJ^qq-+Ci<`qVi)zn*>uR49rr-6v*Y4Q*p!nIC;mK)dq2>bd0IJo1 zD-f}}bU4se9q?vooB!5w+!oZ<281=Rv`*hO{xb^m$c5*3PyJ33>GiMa;JV9N3wcO; zKK1Hf-Bs#tGImK+??ry-+NEZHuH{>+yJK6_gwg^hG64plm^=Ytt9?5UBkQlbWc6Q1 zm-O~OW)Wq{G+_3_hr^48;_D48hY z4BP0~3TC=IY4JVh@|GmTEL?Cpv9US26SzR2bNYMiJ&^C!o*Z?lTC@NWSp%!>E^h5@ zdZ1N%E4*bl42A|L5iooik%btcqXcsl^zSutOquOppo5P5H0P_KD&Dn=sEQxgkRxw* z*TA4ln_i*n4+%Fw@aCz;X z=DVN21(60VvL1sv7yD z?WD)z#Ua`?DpNp5g&S>z?w?sK7q*m*(hE0^l66_%d>3LASRdCKpo|i0KV9RD;kWty zzm>4LUlHN;UMgz)&a6l*PfsKH1FyCE~MrlFU+F3}Dkn4Yerx7!GwExoc2)M-({|oqSFt$(T z!vXBtOM>8y!EF?e8S2L95NsON(~4ul-j+yFI+G{?;s>E0+g-*9kC ztS<|c&R6(Z?aqHT@4`@5luklU#4$4?P2KROjXDW<;#p+N&o<)}eY&*}Dn_5J7M5_& z^|Qq<9EV!u3gG1sC|!SrV;n(Mr_s|8$YFI2=%rDwLfXPN3$SpQ*c z+Bkl4gllfmzW&Z>2qO$8M21)T#dpJX2e456&mO+h{oeLj0>WqbNpDXX6Y`YJ^|lq# zmQfF5iOZ!WHv)}H+Vi`X<);l>O zfoZ$Y5gw05KyV(CF)av9UauX}at!9mO0_(HoxPMX^4eYx0CR5p6b=I0wi&|>5S&_e zz%m1TtG3csG0)L^M=jBVz$=n46=V+u5)eYSM&&3@&;=E?_;ke*)$5yj_vaVZNkxv1 zj?kh5Sf@+sbgn=V4;?c<+69^`+v3CztK z8_q8om;PXZqz4K_S8sevk?QUO&6R@7Q=nw#uM9RU1`#j_-D?fQ7n?Dk+2J?Z5o2PM zqn^%efXHa;v*Y(!ay6yg;a~hpWrKZf87fD=bJf8~qiQ zEpckuXb>}xLE26(-zM!+O8vU%m1t7K)c~cR6b4oeMLQ#FPl1~Z&wF~OVJt9gU%aEy_o(Jfa$yE!$Irw!ny}~eZQq@sGFbne;_H9B51-^Y zDzwJVAQ%H4jXGJcv^;$^K<(4EhGMgSWdbYpmn=Q##nxmFubpGYU6Q6CzEvX~JWl}H zt$mz!4eWU@i+F&lWnpMAvr|a};nqQWalV^Cir42`Lc{{E{JVd3xxv}=o4`?gl%iYtF@d(h3_KdBb0ocE z*CReo};M5=bA;B6}BJMhD(Z zQg2?ZR7@xV0J;G)vo{i8o0mW+z`BhQKqnUi4nygEoAP)iORJ2)Lq||D38jsm-K&G@&vCoKFCjjO~^_KS68&e z%!+-Z$(=N%(r8W0rOsDgur3iFhl}=G+=7(Xp`p>5Qc54cTsYt?c+kE_^P^i&wAc0T ztLT0h_F;Es0qIhgqn{sY^R97}7HU2}7;b@?4za3>U=M2@hW$f4z`;;nl3%aAJaR?L zKc4z7XyVkNxKR)( z3KA8hlZbo;kuK5$C^ZYDpJ^w1W*UKQ%qZpaFzWouDHGuEXi)=(jZN)YAI7_A0);nlqA6IR|BM1{R&k4mjjJv|@v@DFhT)-Pazy29N6+GaLBc6(UBEWk z4(059UH+hC)ZUGLIC!42(OlDZqp*@mI{u9Tw3<<_J}$LKWW5PEJoI=qtxLT(F5?}m zztEK3j!n8ZJoqwHuKM2F0UHO^>ur;D@|f2%1v$&y)?=r4PI4#joJIjaQRD9;oz=0z zU|vxWCy7YCz_R9oB#i`d@8SdiSTLI$wJ)YgOw<^#L!>6Oe9nJeSeg8;1g!xJh)s>u z99?y1)e>I9m9t_i=LKB@sht4~08l$?7%O=q<;nO~Ch*hITh^1gC7F4M`a_#$OO7iU zyY|xd-O;IA3_-~qKW=lYIqeMW&hxvz54Lyd3V}2Uw@IcPUy#1^B|!PP(QDbct~fhB zWqz_oPJ~p+y!-ndBKxAAkQXsrsSpf{h+YOUz@;xvcy*s2rY3QC;kgY1(FX z1^_^QNrHYO`v7}AR`RL~=Vy<422i`OcJ68u8hyyQ8%+qT7XDPJFE^`85&@VoU{dHr z-m%G(isyLF5cLZUUnJFOl*~F+Z4cB-)yXw$>AKL;(Z;s9cmP=~VUrWMZ}l zyZq0~{5$J(QX(4VrvexohlyKk2)lRY)8XU^w@`7kzD>Z@D&PSTSDkx&#FJ(+KAais z-I>vfy}yYl-Xvsd4kpNMM7=6TaUMb(1OXt@`SRi8IaY+%Ul}m>Jj9NfbJ`(JWPbW4 zswY5xp|o6_tdf6WVdZ!MWYIwy1|(I2=G%v^He|n0^4-a~ZV(6e%VeeHOxy<@^DqVE zzlL`fRK9D=e!(XGaV*t!1^-6FJQ&uU6}qS7OyvzE?}6QpjIt?WOF$iEV_q~ zIEe8)EcFO7%qJ0dY=!>;&$$P>*#y*_qfB?N7FJ@bO5@rpn(GMkqU*#2 z+W}cdcv@rKa?Y{zOByRXBk3Y}V%ub#+t7fi?ZaE|jO7kKy5z!pCE7BE>5^-{3XqYd zORmb2j<4h9&%hb2iOOHq*>uHtbUep-2tZ0^U6|oTPP1`RHBHx_DYT4*9X0$_Jg_h;^nKLoas>`fQMn&u9O@~3>tbf7W+AMAbJpugiMO2mc| zYlJV_2rGhiKXHPsVpF&7Jm8&FP205x+5=fY(~RrKLsNae&s%E2e6PT7gY6wW6ws~t z-sW~Qf>2*+b5%5JdM$VTkpsP{Zikys2J)ZjDL#X4xS$iBGl^;y0D$sEAI_x9gAKyS z1FZR-j{+kWa1`Y|1#hiSNlg}lsbd6(|efib56Yq*g8%g^~PTERz@-l&6{sfKoUla}qcq#}JBwKoyLb+2y) zYobR5LDWJ-n-zcmsqTd#E;x;}pDor3C)+ZCNR2Ej*GDiEkpL*!?B8Vq>GJvl^=%jc zyEqTgtY-oR&BiQG>A{BIf9m_d~B-2S@ffZ2Fz%)|qIyzaK5g@uOThaHSV& z%?Gx7H~rDn#JshtIV_N%+Awlys-IPY6KW>;Hz=80e5EXPYtWo-_oE#7 zA1frxBHgrnkveqB%UkOnxE7f55TpPydcgbPI~8?VxT)glQQlNNV3MNQizojCFc4?* zt3lfRPXl(;>YrY2Xe%!s@c*HcK5GrsL;&QyF`|HCenh?o%#I1&P!R{dXcG`l21aYD zZkvwF4jvZ5eXO{Y$38aUU$#LtV8#WxepW(GBVEC`Z~!)5%sc-d%w+mf-INmS9-Du& zpGl|tERBR^g22ur$tUzGbA*DVCS><_5%fLslvqm?dXbfmddsP)|C$CR5<}8iSI$Uo zp;*V9w`@6Y*Ouy(Eq?lH;?|%EIn{F;3n9n;!iF#|=7hC*e zy87MvT$kbQr}pWGMCs_JTi#LE0CUP<0v#^Q@mnth{}KKdt$c}2xMDJ$a33fc8LheP zBg=2&S#Neg{41U`eSD)(2FdOdN>^9V;sFO;o40;`1-8l0LuQozaXe;u{2#}6VfO4q zjg(vKQ*8e)={SYi*Z=cwg3oha^86p4%VA$69Qu=Pcs#z)@j|%<0MhaDJ$;$GIf4H? zf4!P%H+5V0pThnShRle4PEu;XRxIK?033@-AS0-)5*QOsZexn~%XveJ`df#96U+}w#;_A>!Zng=g~Rq$%{B3)=(icKt2AT8k>nhw0=aAAnSS< z=didSo$T#%ZED0z`ZnMJe@($ojMoRirD=WCKPQZ=QF<-)f9#AK>Y+L@nvQa%2lUz^ zoOgh7%Cim%y%NDY2pNW?9FB4ZQszHP{v8mg;NAJRdjl1?OWXke{3(Rd19nUR*Okhp$B=&E4BZS0_ClV;D&j!mU;j@KK#-y zk(zrB+&gPF6=PM=&p|KOBHVTu4Yv1gLLdAC%5#1OZy?9LlkJ*+hW#Mg`!^Fkp4{13 zz%51yOhyqDz>8p5r#zt4IB<(^{sZ+<;Ov$E9kzt#3-eVID&-k9H3h|u0=L$2&F7X= z<9w9=fjVni17?G3f7GEY@K05pL+J5@`(<)*VNz3ORlVXu@9rCdI41Vix?|fD52gDv zQ(`bPb$*zqd1<+1aZ$mbL~p)SRTv%Lf9`kHn>Pv1jqBR(%)L?Hg#5GV8w|eK)8&x= zyuath=9pP?N8)N-cK_<33HRA5y>_qt*vWI;<}E~#KGgk|-cfgy2r)}7jQ&XdoJSYk zfk8c*5bz0@VIK%*k}8>~kZl7n1U!oes$d%j{Lfb^J?GhaxhFV22h`rXhmm2X#S@hIv5HXmUF?cZmp}a99I&FJLH7Q zkgf}Ksq@|eDJjH}i`sq#2;G0^%^csv;@iO)TmA^s4q(IryUjqW>O+MDVb5^sZplZJ z=!Bl@zzE6c4f`Z`;Wzc_6Afgc2F#Tw5uJ?a<-q6yHG{)d*(|hm$K~lJw<;MG%0*XS zkXsW9-Lo;kB)=VZYyE2nHQe7Nj(nX$+YWN}qBrkQq|sz9iHGjlr0zfWb`b)ILgS%( zJ8d^vKc_GmyPQ>@jy*LvwlK$o;3U+ra8DsU_?voJ+q#Sv*3~P zNTJV%r@{khlitn+$| z4q;N{HEg2zDUk;oIa#2D(vL+ufLZ`?mbTYLz66u10MtRb2En%ab$5FpVYSgi-$}O6jN)^ zg=+A3-3N9Wo}YNO{0m&xk$;0hmy_W zwPem>`R5BNg`-t5=pL8qp1~U|>uSs`Jy3E}+{T&0)TV*k`vXYs_7Ps$t~U_*Igh}8 zeia1hoD9BK%icq-y8Wu3?Cxp~n+%>EZi@o4_5B4#v;h3C>Mih%UqV^a6H9FDC=lKvmLUa|F8h3QPyKZ84!cA*VFPBRTbn3ls?e$&dq(|x5LzPy} zmdVEpf@e6UUAOCn^dMo;bnLdn^DD!PxfgE!;^badQP{#c)g( z+-ee4{uMc3^Pt}Z6$K3TTVOHD@`(N1!xgxV-K8?aQrK{oU?7Tt7@!H z>VgOvVB4dPabB4CXT!kRMVuf=##nC9jP9s5b#e(7F(6+m${qeWf zo5mb}Su8*(`lo)MDo<Fh%}PF6f&3_0)4g*N~t z4g1EP{3mCAhV%`dDlf>U*&U=~KZgp`0DvIfGcML;9!`e&hxA~lFJhZ_WdD5eMksle z3(s@NzHT||_}HErONfTNZtRL_3bXvjR3ByE{hnWSLh%fg?_Kotn?P}TyLUP;kvd+D zWQG&I$87|n53hxG+iOLRqI;BD7{u^9mhmo%0MN8A64M9yyWa#)T`BR*U(tn?c?7Il zb)o5H)*|`Z^@vUC z`wG{8(+8#M3h~^XY(`ZkY&1lLlMk591(Sr-&QLhzqDaK#v%Cb*MSYuICQ<>M;G0IG zF|w`;q#nyG;6dh1_n>>YfOnnyknb1jbA}NY@5wl}fY zx3r4I{TN)!cq)l7pAU29(+eTfQ?m8M@H2y>j1g!0gwn0{M#bu{A!p#{ijupe<2}yO z3qLz0srF4WpcP|#=fu}u%`8~*(}=6;7hdT!Fy%O0maDa#yNqRU-EbpEGR0$P&XkFY{0fEi#e;?eF9zSP~zb=T$KMEjoUJeKcRL4Cac-8!aSUv~P;7at+0^-~k@Z zogvb4qA?YW#)9^dXPtuqSglWvcJ?d!lldq^R)P<(jq-`0M~r~qTF+)~2?!;J#rbFv z=~`EUIxMGeU?I*jXfBj`FcJMU>73u!U7rTQ0vL5{U~{$tXU%gSV<{8$QA$X1OKV=& zc}{Hd&+gvO6&9#8c^NI}-YlT)5OsLr5B_YtUseygUTeKth@2_j&QQx%tQAW3z9U>5 zoeI^qv9njphZDG0H`p{eNA?9yltQ zqZ6?c^KPEQ56seLzWZGj)jPn_-dn{O0M06^F-Jb}Zv{Zl1CDt=C4^zen$ zP6!J5IxYhvk_ZFwsS}GGhc$K5!5&=QAxZRICE%4<9|-`~ zd47T!)?2t~N|YM_g^_`*SmF6_R(hbUa@vpX2{8|029YwG%sT14ST)<4!_=$$AnReh zjuw*t+|@C;_4H#*fy^W!;U>95*qkyUCioDxhvl6Afb%31Z0M#o>$E#I zwZW+);POd*EZj#ljo?b2V*-$fUi8p(FeC$4D1*m6q|d?L%tg)&`MmLn{)k6((@A*P z^u_E+>hWHL+;86X_f#1jdfrCMR>(>sm3Y^G=tgZ~f8XIp85c0m-eT^(&{R(~D zALkAv2Yg!3_-fb5G#dN_{MXlV-#{no*OO7%h1c=JU+n~rb<)2^UNXL&YepR!1bu(H zpBVZX_hUUHp7yqgeizq;5_-q;J-E~}>NSL`O2u(ef!2$%b>5wOXtz<>3sezGeInwu%S&4(zD?eUXOQm34~EQ)LpJnMCZ z7^#A4%dJPgC7Bmu4*-{t`2Lz8>z5Vm_8ZM?R$Bp{d6ykL*gSV~@K8`_m_uq=qLI+He>6mNPI%|zMy9- zeYgrCE0XTSF(VR@T3zRzB7JZdLlU9%XmL3tw)gL6rgI62 z9H1`J-dWViLR(oMGn5;3853O{Kuiv z#JeRn$Ti(B;$38Yr}Z=~&6cZ*-YGLDg&eU0N7M2k@7Tudi}pp#8OMV-H$GH8&P<&9grP-e--2 zXVUp>>0Qf`@HnU}7A;<^!q{G(w06D0!Y|<2cm$7qN;d^O#d9eB7xv^T&*kCg7ZW8f zu`k$Ijnu~DvN$yw`V6DWC%RlUz6~>2gWWVN@{TT=I;yz$edWXpD-foBem(1k7-c6( zW<&dR@)Po9ne`}86(eG!Y(jqO*~puBDl6iO)y79|i1(bxReJfgPBh)HXD_d)0HQ)3 z%kNJf|Ku7T;Y6!v7H0`0ts)25byzAs3XA}!T;xe>6|=EcRSpN_{lYoCwAC;rkLG(GxHBA#UQ zlw=WB;CqAKNqEjn+3l}KU}i7zA0$6P*Vy`D=9=9Y-g~ZipD3kqjO0DnNUbNNsnVMn9bR%MC%X!<7f2#p>18+Jo^G|8=0 zBMoxCrqxgLKtXSuXI$&*{?8Np+|>SLlj$iIC&vx@zV?#akY~q&O0oQmMl|niJdWVN z7QezFr06X3*A%5(dL}YG^e<-70iNsK8ai_cvBvcsay_c|R~I=&{Y3gk1?z}ez%LAs zDeCaywcA*(vaEK>hmx#zg;DGN6PjfsH8!$M^a;sarTzDCi`;-ub~*HvtzTK+ohGVH z^1Lz#vv`TW^e($Z-xWcB=F~TXFx@YBRn*4}!C}sL zJS;2fhzK}#hTCwJydT>!GJ;bV%M>4|;H`S)qKFK|;MDu<3R8Nw_a&fsR!o74j0eyi zcOOxfS!oi_+T}K5wfP`Ih!p?4@+TVj`vSsc`_lZo zaETeL4}kc%gzN%m04UXkZJ#5))d@Y|AQEj~jwBxPs#Z}?zS}=W@^xM+qz?0MJOLVN zv6iK-IKaiZ**(1#wa#2#_)#G(&C=d{27c_Btc+{`M4n5U%YY(&Z>ji$Hlwo+<(iN) zB*R@8Ct_KyiLbx*h6+!-D{moGlc~p?_%87;I57r}HT9RzUNI%MZGBq%wC9i;&}zPY!|gj|&3YckKY{#bS&)7(rr-v`ed>T;!5T0js? zROth!vjXyK=`)cyL-CI_N5GQNi`_yRK5>wCAq~mcmBd?N^Xa#X%Wr5{r}hhU?oFF< z4`+N*mM!)uI0K8fl;M$$g=5bK$gA;q#JRi{LhzzU4>&Pu^I7d2fQSKVqViuG4eKIE z%=Bu7^J(_xYi3N;y#T*6k)~|ySo^?@WyEQ9O43T~{E$~Hku6>&*@53r$U;*={$S#Q zx{X6Z@NAfa{G?FfY9l|i16q-V$z|pmYAHo?{d_B$%KFyY&|}e0k(AkILb)i@x9?yI zHA5e*$FB?J2rbr6!ia~X8fjW1|Lwo& zc)16|yUuanTNy`tB!2rVKdY{#nrW#4^$b4dg7YqZ!St!WMOy9?-|;FWEh$WP}qd-p8cP;EMX1JrTS;O z7+uEG6Esd$Y(78yRY|l@d2G*0{?;p2+N2grbo_3#p0KR8D&ZJzsj_ZS4SF+@H*V%( zKO(PN_btjB4W@LtA^5C5A(7f))*KY=+>-5xniD~N%!SMq9}hQ;O?I*U>Y0_RZ|v|k|~Jxt%%L(_~Vayc7qqM;vf68%QW`8={ENdr~&8~vKb5U_EbSLEU6X1_>@F}h( zWtezS<$FQ4^=8C+LksNiZ#| zw?>g|ULTAx9o(}*XwFamB!ORHE_IGw$;7n`=nPsQw&z9kRz$}Ama4Bi1NT-P?ASXD z88AqoMwMzNE7O*q^29}i7t>bv6;8}N1Ezq}n81J;<-}OWK$Z_VjU(nQRIAWje=ZD8 zguU`d?58)4v#u`#W%1`ndXZZ5cZJxwq?f}65}O#0@XP0? z=qBMIOf=QsJD69Dr@33?l7!%a43c+_oWZ?WS@zYnwN=$ypIj0O#f!Hay$l%Jna*k~ z4N<<2#s$3hz7!TZQ2ibbZ#TV4p_mVzD(TIN5tSdG{ZP&i1F*nCzd}5wqn&A*X%hmM z=KT~wLiCTG&TA}OriT|(lX+o8u{&+n5#wc)n=z!OloWstlA%W4i<8CQQz{#+@HF)N z1AnWl`Ci^v^AFE=j^J5BrwUU>z8ofw*2wgOh%lecby%eC9>a8Qregp^@bF#InSax0Be$etbyIT@sx?A#A_%sU?hOI#k zI=4Yo_S-h67WOsU+Y6v9ki5;flQCwadVxHHJ^$P7z|!Vs!Z)6OpG1p0k1R14^#KHe z$%jgFV}0X*-O16J_Z0hI=^ObMyOYh?n0Ti$B-bOO)I^rL;i_Xp^89wb^(MT+g)OBTQ2 zW(v;PagFyp3$`~{2Dl!lxpmWMj-R$~{7LC$r~=Ka&f=h&s9_9l@+xW=i-RhmhR1Qw z|Mv}47KO&9q=&vbI5fT{w{#Ja!K8}8YVr12#^h#%R5E&*&CG5jCNqV-MIg70S@z@( z?*#IWDKlpwH|6I9W zA+_rTHvg(E>8)KTS%V1#Fe*rv1|KSf_KS{-JrH?-Q8alM>OlYfMm@fK{QXa+{tsuewQgAGReWNBT71=5%NyeH?J`E8>W>fQu zr94tQ(tG`ABDNHw{&o!(Ohs$j?5o7DWp7ZB%VIkyt4bKj$lWy}ktX+|~<7bU07; z@+>T~ZjBr$Gk;|B-um@QlG^rWd6XLYdC1)G1`BKK*AB&Z6dJSr-P1jEa9P#H#kgo` zRX|CFXtsmF!07X;L?-0$%vwo(^G}j(^z;y_LJOL;vznq!fa)U0l8`hH-Az_Cfm>52nkw zF;}0?nOD)OWy6l=Te{4v+KgHqVG}|ebzj2HKNz||wfj{R5!&80FNjomzIWtnrLTZd zh2|AQe@O?qQ2I7N!t8gXidFZ&a;KIzDz4V-Xfx*&mOKG}+$BZbGZs0yAXLuE${eUF zaVwwXf2I2Sk#(HXn{jYhyZx!d)Z*hfrI;1QsjKl0Jz%lV?hQ070wfmwuCz{kJ59HWNkZx%Fb@;R{ilHVGziF3Vaxov$ z;yf@+5)I5iTc1sFxoS;udG;-%3B;5lJF>Sp9y{LygC2 zox6kHs)$Sr`}+F>=u$<<$BJfR8v3nEb;x9%F1(s=hr z%$^Tsd)II^%?UNRKwz_rn}|kDHuO~4SON3AcT}U>tVnK;bn8;Kmg~9xSDTX(B+XTw z+hlO?4$Tb#h*$}-a#WuTxw)*fzO3L{;Q|h9LS|M8#Pw%?fg{7>o>KHlxy!1>j}yz` z`#zNj3(&4@J+f#YrQt-a<+^#QDA%;TtvF?2ZM6eTM=skIcI`g4Q>FX)*%dnxj?x_N z#G;sStegmIYVu{%ISgU-wK~D{oYq>$Sn%+=Is7xfp3nA-1QII9~Bmr6Eh-@CXICFfCw zEtM33uiHz1`M$Z&=ks@cY7Ws2b=+%+m?{g=Q}nQcJH9%o?H)9v&+`7&W6d))fBCxg z{Xb%z96+lr7G%da{Q_iYRP=4Y^qW4OwuoeY8cXUJ1X@kt&^f9B0uwtpHXa#y^>%$b zhtdUFfw%pvIIBLjzD}`Bn+dkRwC)U7)KMt9vE#5*)FH{P5QS1$qH}b(MHW6Vr_UG;sIEu=@)6 zc0vh3Np61HH7eYP(tPH;T$Gd8t(QwIb;PN=8-66X<;{2HHS!wV2Q%~_Tlk(r$&?n0 zV*p|qZGveDyHp~-blIvV8xjf|=bXYMxn1A;QS6~{jJHo(cDxzM>3DuJ;>!jOlw70P zDgSDv$g^-4_RH&L3@-c&6fECpa*pG087t?0YX2-9^J+8f9X4{5ZyY`ZDy|zb@GpEG z_BaG6`TJSrHaPNVd(EMi#Y@|u4j%g7M`$%+%d5VHb=P$E18)xEbH1F|aykyYSeCK6w=zqlu zi9MrzE*DwtUsX~XE%Na!#UX{Z%AxCBZ5Lw867bqEkWr-QEsPe-P9DJZf%MG^XzZcR z|tOlqK>ypDrtvN`)i>}zW)af4~A1RsSmtt#OhlhaOD?|wc6q%zfHr6335 z3;i2%VPUNN+Dhxy7dag!J-wr7i;v0XA|6q&6-+wo79iDTbWtL=jO93iDIzyy_P?6J zBlOlQ_|8&uu>b@TMF8mlTMK&Q>F%*)~9s17IUXU&ay4cF+2RQmFXZm7M7_NRQb@Ptg2 zZ40dz%`oJcNx60#lYj`Sd3R8dDh?pM7q?Z~zMAwq|FoNO@{Qh-I)enD-m$)D`fK1i zxiyH6*4sVoGAmo^Kc01=&N)|W(n}Kq&CZ)9w9~$JsteTYyL*lI3Zk)UD>YwDnzP~1 zrZZar!rkKo(;Te{R%i;bJq!~tjPL#&jrCyZF;(5-%YUw@zT&U}IU9fUEdUbkYTp-{ z8s;{mwbJ$#?I=z!QTnvP%!&^83y1DCAXg=6 z=>-P>rP?q@N3~k{N_>`AZ-qKW=X83GfLCS0Dh@+ek1vYIo>Fx@L5nWO@bUF!Px+ou zLUY{`AN2#T>2b;B9@Ar&Jhs!8i8m))Z<=$oL^!@qIc~8iJ~n-F|9y`f?)w1xN{tMf zJ28kTzY+v&a~dnW(OS7{WA)q$^&`INRKJq_aR{EY%U72_J2OwyTyB@jUo%coQ?CB{ z;HVGcJ*O_ZXY3JX)StO)`_R@f0y~Csezc3}n+ur%=T0IW*XQ1ceXGzu*yh}*f=rn# zyrQh{=0WpFgooj52!)u#9Vr}fPd>CCO}PG;3!Z85^5CxbG&kaHg_C3_`gm#0&3#rU z+s3Nb0q2^eR_t55PoiYDpDj#zMO9Kkrq*1EJJ+?Lp>ND#%n`qJvpw$HhS7z~?8x#H z&hM4#S9!P~;5H1^ZAE&*^=5>?|Cg~~I*wx#|VJ_T8)VSl0{L@KOo-k-#N0IiQj zrZ){^wyM!lZ?4F6udH1fLgkuro420#?NKfHAiEpdBxUK+#wSPgc+`3YShAr{v zBnJ`Pr(yd8&>4&U`cBEg{VLB%t4c@bh-9}G`@PkpO6@T1?AhQTwUcU<+iqHg>&Wd| z2bzNGP!IdD-U&$npRq?IQm=M=<3t#P!LSm2Igx((EwJHpD-j?s7grS@Vp0TgTnCsH zqCmuYMk>sc@bvYnZZnQ}Xy%FzUPN9E;L;r_P}o@Kpe)uts@v<#;)o^0GTB_w zw$C_(Y`o-Nv`e&p`to>ok$D48Gg^He1F5H8cs%dZwYrESXeUG+oF_J5_u6iwhC6Vo zH&LX<=K$BPsiTKSS%;obn=jNpNHUpqS8~@X2v+=fYmrgB^zMmJ0L*3Ps3J;O)}IZq z*tG$QFfyo(eO=QP`Yc~~*y94cm@K#CqHHU|QGuU)gTsZ~+70~|*`QtGrHkNgUJ_RF zwAZiAIgE?so@wm8AU9a|=kLUD$RT<5-$SZB%hjqMXx!Y2T5|x+JBoiKq-4tB`Sw8@xT*)v&9q4j_0bDTAy?fHAJw@ z+MD?@nHK@=`q%gl6qZW&e^IX9csQ+X7yirV3OmLd>sM_Mb74o$JUb~7E{{K$%dsf#}^`(@fP&H#O!eKr?OL*tol0)Lp=T3ced22 z!iE8!HK{qS_&`X~l$N)*9G1M@-aX>b+N&UWvp_yoHT$=M`b!T({eQPcHrsfujm2S~N0hC0j=qj&X!oSZz2)ngY7LxcHh!!F*#nw@DKN zNaN|Lz7Arzh~_DH+Z8Y071HJq6)v#c>^Q?je=1G*vVw7nz+!i7wrVbL-eNInfwj&j_S0<;SCx;e|dGlv9ssOfsy}UAVywblr>`qm1K6+3`lc)thuZa37rByj*e5*J0>waq1viG0U z(_6i>qsO9syYJkoCeBB$b@Y;nntBylV^W}!F_2F>z;pwk+mH;^yyWE^%ShO?E1$#KNWUkr2;Nu+;A=;e`+7)5RTZZ9 z(jEax6c_XgkcD*tm-aXBROk#znV zk0b5!vaAFxXUbc%7M5MsbK=}s^&B4(sHkA1#7X`&zx(Ufp3->fE_`&C z8WxA53%;3hM&&v6{ZGK-olujH?FH$tO3H>Q+?Yjo=!zJ=3K`XAS(E&A{Pwf9`kUrm zjfojIsph52<)gb!?ne=ICrC?RS|K6e)p%_ClV#J<@AIrH6GzXy4ht=L5F)DJSc;98 z)>EI73@06h*5}d~0o3@{{mq=QG@NRYLh$sv^D6pA;Q@s|dl%~5o1y)?Z*!?HI&#Bg z(oJO!T*d;+qN%JOJC?b#}$^e0V%tpvEGGOZ+yN=H2n9tDv_JUD{^Z%e{|Q_`AEOc zmPghMrgc9iJF+U>%6-SbNcKg&=F^K7?cu0)#!&yVpQM~bq4=-C&Th3dsk+E>wKUJV zNQqjSP@N_OaM~{N_%{5{ICOM3^3Q1*dY5pZT=cP8ntN?%L>$|BVkx;=b+IWN_6u`#uDB$Y%rR zxAF2PmrP3w4z!e?v_1NAx@|svZi6Xi|B1{ntEk^eungScUDK_=_eshpL62sPkg0h_ zw}VsUgSQiA<)Xt!h&$mlg8)v^npNg}NC8mq}|6xAN>AUTBoQ5NSKP(=6s|m11 z4QxFcktXtT_|!90%|~Wri5c0+pIGtJ!gCs0AsM!RAEr-dQoVg_Fwt!}XEv1A23Jx5A z=#V*%-7WbK8-lw!bH_T_rR7#!J5!N|zqT+>8VX0g6A#$FW%y?Sxn6U@ii&%5r!+(^ zXOwK5a$|nGKli~(mYn?eED~us1}j*eQ)tM;ZUh_4bSVAcjswf$NEA!G$J%kfXZR4|^b+L$ z@n3^>=$g1Jb)g8Lmd+5S5M6<+f&GhTpmibK2A2!+F5+m zEKNlf(W|c9?H0$E3{D-^4kf8!McB%{ z-j9*>Lse$S{SQwq)_5hUc13ny_w+wTmJVT`9%nr~eX?eLld4x_m%oSkKnoZG86CqP zXvwYV|3?k_l0T6ABx=!RE#n$h^9u;RhkY9MmAc)QCy15bBvojN7^Zg#ngULJw?f~Qq=Vr4MTIqj zWDnbyX+LIs(kZR}r_Z*1{!k4Yu{RC@%QVCc`~$_+AKN##a%<-u#n3-rud-yh$)u9^ z6vV)AdK$`@_Vm&HD<<4+#ZCu>Wo4tiVF!}j6PRw6aK!;@mu_W+L&bpntJ2=@v>u3L zH7UmO+)cc;>SOZq-hobH!kJg+-+a>jQR>t@HBqeX$|a+=($cv5?M9d{HZO~k7tlt^ z3-DHubXa3f>PKiTXUKKWzmtx;z7>4Me=WSm8WoI!vyUzgS2O0;=vlB;&%iR5k?RZT z+$MsPY&k9u`LlDWCxy*IZxbE7PT;Q`mJHz;HMdY}AibZ5F&*z-o$AC)b7G_%zct=* zkZ3?9(X?Zv&U62f7*h9G?*=CLpuVz9UsFu$iiq0rxtGD@9_SnTDXO|&{6doC#7*+8 z7F>F4^1X6+-aRH9Ot$Z)M4C91TT0~Bca4Zlxx11MAvFxnsE;2GH;cFlqx;BVf$tZA zoSm~Bx89IicYCcOc1u*wEK0d3GY0tLNq!tJUm101KUBqN_;Ecjc$9o?= znR7vnyIzKi^4B{sgM4u65(JlCq}?ylr+Zl#_SeYdoIyXRhzw%Ex!xmtKftUQg`4{s#jhVcC9j@c$n)D$FqTBTI ztf^q7xb$|3Sjs{*?+UNM2bMg0x6d69wKVP-vz6ZmJ1}Rjb~hXpD3UhZ*p_GSe3}wV6wFcM=M5CaCtSbFe~;630EZmFeIMlRQt<&+X33-;P9to_xaI#l M&I#D(`loIG55PE_K>z>% diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb index b9ea6cb11601314d3dd41d73fc7c86f491c9dbb9..7ca013349dc9b314a8646356d165eee803aa38e2 100644 GIT binary patch delta 108 zcmey!_>M6}gn@x!EjJ^OWMIe!LK7ezmReMtnV+X%XsKtYXQ1FznwgWLU}kQhU|^|l qWTbCoU}&ij?4{t7S(2*Ynvz)pRLcQ2fPtYLO4mVY5cO_idKUoqa24_Z delta 135 zcmaFI_>r+*gn@x!EjJ^OWMIexLUSM;mReMtnV+X%WTaV>IF*z?^EMPzAoX>V>^5dZ)H0002d0{{R30000@00000 z000000000N1ONa40001Z0lZgRj#DuVO&=No;$uF5?=Ap;_K<$C17=_ku!zehVD>P> zV+omI4XgshA1?_gKDOf)Jj(wv*g+nNqqxOX-Pxr*tP}nQzIb$Oj|K z2l8x#@oSUj$7v;UmQPBH(7#am+a{%@J~3X;zo^&#@eZ}$#SfvG--Yt|xpzKEYS`A} zrg&N7FVc{I=EFYy=*6#iXbdHku!Q{qR!rw=SVx!O!iVDIT)20!MzH zfArVoX8#Uw<@{{@e;wbyFp~Qu2I9y5@c0qWiG zegR1gSsxf{t-riai3rPS5TzewSDQa>aX9wrJP&+8UG!B z;g9vR^w}=au+-y^6=d7y3Ecn{4}Qy8jDJV7y=QeVgyQ z8G)oq_I*9SUH0I|=aJ!z|Mz-&u1_yiUe8_{DFg2pt5@A$+2tOeL-oCQ|C?{{k@b!K zaQ;0$s8d}J+xlDa^8|jce!ciDKA5!pAv1n!&-w1O7v(m4=2y?Z?DjL-U--S&3r~mo L!{;vmlgLq0 delta 990 zcmV<410npE2$%?e6aWAKtqTDF00000ZUAloHvj+tR%LQ?X>V>IGBPePb97`bFd#y8 zX>4R5IWaXLF)%MOH7_zSGBO}jLm*6PbY&n#WNCD1Z*E)_00000007bh00000002z@ z0000000000000;S00000004LayjX2+6G03$N%;KLBdmabQy?MogDdb8X$W7}=s7B+ z7FzBRRY;rydJ4WIXs|sV@9?s-&Z?m+WwW!-et!0NW;a<7(X$&wNBm86muR6E^nN2p zzP`g>E4}19hTE6hGetfOIMGqQtNzM&>Hg%Ie(HGQkM=eGPk)-8+J4CYmG(D3uWWvf#mJ%v-wJT&+p^CEMEjmE zuOGyJ$N2Ue-}XDm=e6ehgWmwMet$o({AOy;>un(4A$`dANcr#idc^$e`iSWmJ``_i z{ob_l&FK^7i~P;}tbdd8_xqFkBR{_n$x-Jo`6ano?Z>ERYW%l4f8V#~*Yq^?;e50G zF8Vl7J}_V7J6ApYKFH&aEl<_@S5qJ6kN%H;H38lQjQ`W61ZeZW)A*c;Z5(TjpVxoK z*B=%C>3W{)_?Geu{)9j3Z1L7Q?)_`M9b=LGzT>g*d{})^kJqa)KWp{gJs<2xtk+fJ zp+ELB#`~iB^HXG(er@)X)hCLF`s#X%l!$+-eWd41^Y7PFRG)|1-@ko5`hH;j6Y)iV z_GSNHsxG1j5D)ny`>)C~rxqXTDfZ?07RASUp+3Yh9*!gbUSHl{r|QFaIF8~^wU79G zzq98X_J8ERZ#~g|I8_ho!*Rst^;zTv5!J`}?fne<5BZLjHhanbhy8^8=Ih`7pTYgp zaoZ0rls~UO;YYmqFBubjrR$xaCyjhnuiO4UYa;634=z;SO!t#*URCeD>-Aan zf7_J(t=>QX$NWV4OTR9;R=dPU`zigxAx8U8@R!hk{`=DU@l5gj|DxEhuG0001Zob6p*Z`(K)eV<<;_^uYm!)erA zqlumMM`sEw`rB8M6Gx3z9iD526bE@rB>9@W>k2s{foo1 zSGVK4(bZKWNbh}(=Znc~y5CT*R3rHBYCK=A7LQjytSVA(ZZ8bNK~w9d4%Hxt{u!K3mT_==Jy|BtHV%FXg1s;Cx6$2fGF>i4i+C92Mp5H%~JeW@H;551n|JZA0 zf3H8^+z2QXsDZ%q=u%MvTR`C9_4WKx^(dKbAoJqQ$uT3ZV>HGNHO4NGdGz7zH%4C1 zWQ+r9h)gAzmugB9bj64+rfy4I~@6V3kQ8E!|E{={ayVSH5f=zLDe=vuM1!cC zi^N(m?9N;>w?Hjq98Ou++=3BAblKu0nuOlN=_e{wZXx`n-k!@iS|;IlGR`wfs1bt5 zfg%9i5A>AEw+tYpIH0!?hO)VHxNIX7t-T=^;I+Mk%c3z}ZGP zN~g|6bZvyAR3uppfNAU@X_VRsL&xV8=o!*uM9@iAhTG;H zgq%~hJk^2G;_E|JB!bP`Hz%{zk`jqvGktRyQ34TYrWeN%l~8p|A~#NvU4)u6lION$ z*U0T~!0DQ~9nMg8P3k|SNE5e1P932qU3AX)b`g3Kh;t62i@>uEuE~vL;B`oeCXpK{ zvxg9rE;$Fvo0#Kq!&h8Ho zdQwf2TYX^G2jE(e1B9RGh+FW1S)ZLttp`T%A!lyl@5shD!q3r%GpZ&xfDOD0E+teU zbU(+xlAZR@%>9sk><}SndcnnaDm226CL7ry1W?+?VJDA3G$n&u6`Hi0PNuUzr<_;> zq9I$WQ6WsaUb9)33K5PH15YvoAZaBLS!$4S|7OIn?Ani)?!RC;A5Rx+LRpS1_P?Ky z#US%#7`;+eM93%S(Q(!mt&p$)IX2ngRZRzv3`{ckFs$p~F(Dusd|kH+@`W8($k4ErVc;wE2*`MYCKLMqdk89kP#%{)X zK~h2Lkc1(rAbp6ZNGeDml5ivyBoPTnk_z&OBrHhU(p733B1lul*FDgBp79{mGCQX!ZIRZ4{1g`EmJ|FQQCwP%pT(DwJhd? zoTH2vAdm|S6)c@pLCz7+CsmMil)m&Rm^}hk`mQ%G7Se$PTvcUA2qGPT5hI9|X~KYI zXAe&fp!uo_GJE) z#a2Or5K9_>=8+h$ok7$QY8aOEn}7 z@$^y+DMQ&yV)VY!hQuMxuxLyiN=b);(ZYP{%?Tg>Xh2X zG-*^DQYlDZ1*sJGZy4~~AJQpOMy5clS^1AVv;#9LcuuN@bP5v&X(54V!xb8*9LF__ zsDLu`<71oe{&H7%tlq=@`zMy;WgJYV*YRKbjWBmJyPZw&v8j1CpZ)c%agdDlE+?h= zAZGK;&GlCok0eikqQ{c!GKdDV*DO-jb8GOC%TWUjJ~n8ODQ`?~?ckp_3OJr!$Ed8onM8!+xwm<#@7D$MSUmgB3N_+HM}$$YsQ z-!y{h>UQ*LcC$!N`Q3baqqy96BoD1_r@4Wu$FE=Cd;IdP&+p~tUncV(B|^7E>@{=q zS_gOAyP|NTplwpRE}M9*WKEu~$dmPeTf=Aox^$104T0Mb+uPx%o8iY*+u8_4+u-M$ z;Hj`DAAYj@b{D@4kX9By_r?Od_*NCwa}JYS7opag>X+qzmNZqCK-EW6xi^-asjBaX zss?3|pdBxzq(iW)sjhoN)i2A|>Z2*~Fss{BT52opYO3RYPxYjcie>cGz@m$zX}g+o z9wy{EoVC_eX${*F`uqqzb0-HlQ&q>0e5SM>XbFDOAz04*oQIydH@j=CsobGR?xJ*0 zY`S!q720$^;MaEV{Yu*q_0iP8y-61rG%RaM>Z2>vlpkS&xRO?(of130m+ru>4&6CU za)(;f8YiVJ8B2+WAC+8jA*VV`iHpgWDZrwY~&FwdSxU+QnU zeotpruzuSUXVy<|t)F7?hsOA~#UGlu8UA)#zgEHek@%}-{pyB4G^@(&=WgrQ-d;ad zJ^s)mw(%zzPfgdaQ?P#3$N_xxxz%gcBb+9^NaC+34yr>T}7 z-Nu1)->0dH{|kSi(q6NB{(_ilWLZ#y0<7TKioOTF?yzwk01WL?LIpf zzg%m%hVMQ*r?`s_D?aWMG_n7+j6U~O#I7f)taCf1#qVodwo^4gpC9kRh!e@`G}ZQ_ zhF^oD3R-?xboYue2V({0s5X)D?O^KlW71ed13YEaA}mIXCI5<45c%K6t4@P5Dtw5|>e{ z)0DX7Y&%SFt|{$H?CIS3skR@6%DtWP3WJ|dZe8eRh+cBbh^p*Z@a*b3a zZjDu@D(%S+gNT2Us=|QEk3OcjG+*7G5_i2U_r{&ubS=)OEvGZ?OG)A%5VWi*_i4ZQ z+-u9u&;6bfQ`24wBs(*>+$XaGKMEw;eU2t>d0O`8&SOL^e#O;t58FNZ#3UBWnsSal zEvC=gPG+6clC&qqB=PUSR|h|_SFzk3a9>O6`;nq?zcuqrHr*4hoAauh5}&WKt*NJ1 U7VAgT4=qR6R|miRAB7-_MWL!=M*si- literal 3623 zcmV+?4%qRm4*>uG0001Zob8=kZyPxhh2Q5_2zp;jMcn}>fMPo{M(kXyU=zSt2=YkT z6=KU?Ne(8!BENmRTaMkaI(>LbZC(a>v@Rufk%v_*ex&z5->k>ei`rsxHG5Y7{j7gB zJl(sR+>9?S>b3N}FSF%pe!X~Bmp!T1{(C!Fu5VWlufE&7PfW=~e@ z>0Yz;;&y&Htqr78lV-zo8m4JXZFEpOnXhNHqv?E|e7yerw_0ug^X%*R=6b$Zug0s{ zy0-p$lQd{K`!rk57Jts_wg1d#%jBJ#XZ1$*h5T1)^J&t+`u&&wJzuS7%e#*yA55R_ z`Pp!J-uc&lBl}}xpmA@Z(4qkrPe$iW%3uo^d^7J<|g=58s{s!Px8Dv~fT+aR5xdm@Gas_M{069=|zzb9(fevI#YFczE8W9$PF# z)8{NA)uh5EO(NYa&W=W(NO21i&SPa1HIFm>~$;?JtMEc1j z$&F2eB$WD+G>H^+Jo+}s8%$oGtk=u=#qD~w8eb+~`TlzTox6BEe)abK`1tkv@zKfg z>3H;h_u_kRK9f_2B7p%E-yoCgG!iK??MPMaICDKniDmjyFq@Glg z$BRFyl)R19lLl*UWz&Yy?!yrk>Dov;cMpC_8MTUbHe{P>ZYmO2(;h+F1#EW!|{^bV5HF&iqout37Lv5VxB^ff2Q zu0?f(n>D&fI-?gu)}%%Lc7401Ov0k#kX^uak!%(R!x3c=sU}?mP&(||L>{tlkZjT= z8aK*&HrX4g#hz8*5w6F3NIYqPBuygqq$bHnx2r93-QI)xNk$SEc6t`s8y9kW7UctS zXj;|fNt;MPX_s@o(?<$QT*-~gzD49AEh6!}JfLRFzRl9-T)0(vA898I6Xf*Pw+TI@ zNmx7>vdMqnB4r~>Z+$EAaFa$K77q^D-G~8B+rCLN+@k5gF4S>;9@vCB(j=_PCvk~P z>jpM@Y_Rzn|cpkk~jL?MW3Yg;#G!N9ihIRNW#$EXa_g@e*j` z(d3dezS#`5@n{~)8XxMg!Mh}T5~}ARRFgG62+xyq)~D;`)of}rX<0Eq+#ZrZ2#Ds= z1wueHnJ$n5(y-|QNgxePE|3ON@ZSPqpsa@bEqSxa*+ml?O_oC>NQ0I`C@9^Zy>GMiWHiIdt`f(NEUQW!32O^vhcvXdKz@kF zwFMGHJg+S_3=wM*>53+{1wusG?g&3lAxT7|-~ve^6$>tqC(3Fw3J)Mn(U7@7s)(k{ z1yV&kW-eA#5zCp26;?zpQy^EwlI8-jA`NOTkSkKK<^stg4QwuuF5+o(vEz%#$rJ)c z+to5?6B0%|!!D39+AfS?n@CFQ#FOy?Q6mi+FBmqW*?57p5f8@;#Eq16yg=wE zL#Fwl2f-s6k{1Xb(UiPE7HE5m0^5XJuXME!qCnf55!j|hzNcB2Kpu!D<^|F~Jl`%5 z21>V{6;E$dm>}m9Oa#49rRD~5K^bbKu!~ehgZT!sK|GmnARCk(dsjR?5>|TGiNdTM zXGglgj%^@M>O)9~=l%^ugn01ZKtf1E{u|5)(dfT{h!D;G8%PLk?^I%&R*X3p{0xMI zws$45O(Z7%Oo4%%P{v{K!iS^~eOSRjRERuVVC*h`ArBWAND6JRecq)I7E&BrFc25w z&n*~;3-PB6jNRodH9+X@}9Gtqz%0Nm zfBe|}Pk+8CyhQl>`*)vMPu8>Ad@-H<^{n2^y_vn8J>SDF=FRf@udnszNn3AnRvO>U z-2ZZ8^V7vG$-|>`TXH2ERb%!WMb3I^4?g5v{ymt(F(UHdLuK8+2Xjk^h&3v=mEOfGz=i}-h84xfm~g{^=b*xIczejL~iX(H_HrUySB z?CzGuRvb!V^k9Ft9IWDWCdNgH?6{Pw)`jgDBS|-;LDplL97jxQn)_CpHAJI=|zN(&*mupQ$(7?O%xF|J8=oV=^`;Eqd7 zPeU-EF_=TSBl2K7q->_QTcOBPBne5yJ9ek9%0fVcFo)$t<-(9=!gh?Yq#IC`I(K|0 zA`j-SK@7l!JMJBN3daG-ICn5PA`k9}t5od47?&m8fOd>?hYln1VD6gc09@GGvAB@E zH(pOJE@yXkzRZ{F+sS3Uwz$0-|9O46N*?+CxA*TIm-~(6L$_CpTtnsI=Qr;?{P@=Q z_jAu*X7e87tH*(LFzEm_+3I_@rCZ+vyUxqJBksf!+qYi;%!>R?Qlng0rf?H4Z z{Ci5uR`%g|s#7NP0+~OyDFrsA6uq-BY6^^Ywf?NEOLKQiSLrKes9O3;O6gGxqpc#N zEv5$wjJ69~TDF3+Fxn|Js-C5`!t=y7BT+-Bnw4XQZg*Y}@Lm7Y!u z$5UCpSs^xz% z+l^!0(f02tHRtSjp7QP~Q+n1h98Yxvn5Wd+y}vS_ovYK{g_8RRd%wEharc+rPv*D`CJ@h zs`RFGM^kzno|4jwJ{*-t<0xi$pQm#FRrpsr?YfTae=*fj_rBvK(YsJYeYwFeq3pba z>%aHa2$ka%y8m=eT}yR5`Fi)1Y5v;6^;XDK`@X^yHI7o2db6v#DW&vA+;BXlMlvc4 zQ*n%`(%ZWng{e3W4G#P-rPZLX<1rOLk{AcPrbb*VOnu@QQ>Fjf&&`;Mq>!aPtzMm{ z)Vq_8=PCc5QeRc)dQAD>@QUQbeCfY0bhMm_W8L5O?kVZVA-|4)PpOY?xUMF6&pk~f zyQuz;H;a1l=~#aLs|o76eO#Y~^M4>iy-s&r^$uW8k0Vb|gND^j>FTKBctPWTOqKo* z;&430U3>jBgGwSvsr(ldOe6=Q0$}phCyiVWD*uzZdj09R^67n2H)=e}aY4a<@~OV9 z)bWbO`;;#IIL4He{`+Z1b9yA_NBm#eWYiJZ@thujry@zI{NL4UVnK7gCkmoInc=$h z>3vc+>b1J#oXWSOB$C~%zWqF{7&&UDXXLbxP-W*U$e&Q0lv=T+7e< tpfdV9vmCq1d!k?>*~?O=$Q91%)eWl0udFs7O~14rPcNST_J87xq*MHz98~}S diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 7533478..70ab5ce 100644 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,6 +1,6 @@ Assembler report for GR8RAM -Tue Feb 28 11:21:26 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Sat Apr 15 08:20:46 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition --------------------- @@ -10,7 +10,7 @@ Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pof + 5. Assembler Device Options: Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof 6. Assembler Messages @@ -18,7 +18,7 @@ Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition ---------------- ; Legal Notice ; ---------------- -Copyright (C) 2022 Intel Corporation. All rights reserved. +Copyright (C) 2019 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Feb 28 11:21:26 2023 ; +; Assembler Status ; Successful - Sat Apr 15 08:20:46 2023 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -58,17 +58,17 @@ https://fpgasoftware.intel.com/eula. +----------------------------------------------+ ; File Name ; +----------------------------------------------+ -; /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pof ; +; Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; +----------------------------------------------+ +------------------------------------------------------------------------+ -; Assembler Device Options: /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pof ; +; Assembler Device Options: Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; +----------------+-------------------------------------------------------+ ; Option ; Setting ; +----------------+-------------------------------------------------------+ -; JTAG usercode ; 0x00163AA4 ; -; Checksum ; 0x00163E9C ; +; JTAG usercode ; 0x0016624C ; +; Checksum ; 0x0016664C ; +----------------+-------------------------------------------------------+ @@ -77,15 +77,15 @@ https://fpgasoftware.intel.com/eula. +--------------------+ Info: ******************************************************************* Info: Running Quartus Prime Assembler - Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - Info: Processing started: Tue Feb 28 11:21:25 2023 + Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition + Info: Processing started: Sat Apr 15 08:20:45 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13100 megabytes - Info: Processing ended: Tue Feb 28 11:21:26 2023 + Info: Peak virtual memory: 13059 megabytes + Info: Processing ended: Sat Apr 15 08:20:46 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 381b13b..bd40c3c 100644 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Tue Feb 28 11:21:32 2023 +Sat Apr 15 08:20:50 2023 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index 95c0b85..d50bdcb 100644 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,6 +1,6 @@ Fitter report for GR8RAM -Tue Feb 28 11:21:23 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Sat Apr 15 08:20:44 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition --------------------- @@ -18,27 +18,26 @@ Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition 10. I/O Bank Usage 11. All Package Pins 12. Output Pin Default Load For Reported TCO - 13. I/O Assignment Warnings - 14. Fitter Resource Utilization by Entity - 15. Delay Chain Summary - 16. Control Signals - 17. Global & Other Fast Signals - 18. Routing Usage Summary - 19. LAB Logic Elements - 20. LAB-wide Signals - 21. LAB Signals Sourced - 22. LAB Signals Sourced Out - 23. LAB Distinct Inputs - 24. Fitter Device Options - 25. Fitter Messages - 26. Fitter Suppressed Messages + 13. Fitter Resource Utilization by Entity + 14. Delay Chain Summary + 15. Control Signals + 16. Global & Other Fast Signals + 17. Routing Usage Summary + 18. LAB Logic Elements + 19. LAB-wide Signals + 20. LAB Signals Sourced + 21. LAB Signals Sourced Out + 22. LAB Distinct Inputs + 23. Fitter Device Options + 24. Fitter Messages + 25. Fitter Suppressed Messages ---------------- ; Legal Notice ; ---------------- -Copyright (C) 2022 Intel Corporation. All rights reserved. +Copyright (C) 2019 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing @@ -55,21 +54,21 @@ https://fpgasoftware.intel.com/eula. -+------------------------------------------------------------------------+ -; Fitter Summary ; -+-----------------------+------------------------------------------------+ -; Fitter Status ; Successful - Tue Feb 28 11:21:23 2023 ; -; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 233 / 240 ( 97 % ) ; -; Total pins ; 80 / 80 ( 100 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------+------------------------------------------------+ ++---------------------------------------------------------------------+ +; Fitter Summary ; ++-----------------------+---------------------------------------------+ +; Fitter Status ; Successful - Sat Apr 15 08:20:44 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; +; Revision Name ; GR8RAM ; +; Top-level Entity Name ; GR8RAM ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; +; Timing Models ; Final ; +; Total logic elements ; 232 / 240 ( 97 % ) ; +; Total pins ; 80 / 80 ( 100 % ) ; +; Total virtual pins ; 0 ; +; UFM blocks ; 0 / 1 ( 0 % ) ; ++-----------------------+---------------------------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------+ @@ -129,20 +128,20 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 4 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.02 ; +; Average used ; 1.03 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.7% ; -; Processors 3-4 ; 0.5% ; +; Processor 2 ; 1.1% ; +; Processors 3-4 ; 0.8% ; +----------------------------+-------------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. +The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------------------------------+ @@ -150,27 +149,27 @@ The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 233 / 240 ( 97 % ) ; -; -- Combinational with no register ; 109 ; -; -- Register only ; 6 ; -; -- Combinational with a register ; 118 ; +; Total logic elements ; 232 / 240 ( 97 % ) ; +; -- Combinational with no register ; 124 ; +; -- Register only ; 4 ; +; -- Combinational with a register ; 104 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 124 ; -; -- 3 input functions ; 30 ; -; -- 2 input functions ; 71 ; -; -- 1 input functions ; 0 ; +; -- 4 input functions ; 123 ; +; -- 3 input functions ; 35 ; +; -- 2 input functions ; 67 ; +; -- 1 input functions ; 1 ; ; -- 0 input functions ; 2 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 200 ; +; -- normal mode ; 199 ; ; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 18 ; +; -- qfbk mode ; 7 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 68 ; +; -- synchronous clear/load mode ; 53 ; ; -- asynchronous clear/load mode ; 29 ; ; ; ; -; Total registers ; 124 / 240 ( 52 % ) ; +; Total registers ; 108 / 240 ( 45 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 37 ; ; Virtual pins ; 0 ; @@ -185,12 +184,12 @@ The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. ; Global signals ; 3 ; ; -- Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 34.1% / 35.6% / 32.6% ; -; Peak interconnect usage (total/H/V) ; 34.1% / 35.6% / 32.6% ; -; Maximum fan-out ; 110 ; -; Highest non-global fan-out ; 53 ; -; Total fan-out ; 1071 ; -; Average fan-out ; 3.42 ; +; Average interconnect usage (total/H/V) ; 33.3% / 35.1% / 31.5% ; +; Peak interconnect usage (total/H/V) ; 33.3% / 35.1% / 31.5% ; +; Maximum fan-out ; 100 ; +; Highest non-global fan-out ; 48 ; +; Total fan-out ; 1061 ; +; Average fan-out ; 3.40 ; +---------------------------------------------+-----------------------+ @@ -199,34 +198,35 @@ The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 110 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 100 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; -; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 16 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; +; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 11 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; PU ; 27 ; 1 ; 2 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3-V LVTTL ; User ; no ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 13 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; no ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; On ; 3.3-V LVTTL ; User ; no ; ; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; -; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 3 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 10 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 14 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; ; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ @@ -238,7 +238,9 @@ The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. ; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; GNDout1 ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; GNDout2 ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -246,29 +248,26 @@ The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. ; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -279,22 +278,22 @@ The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; MOSIOE ; - ; -; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~1 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~1 ; - ; +; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~1 ; - ; +; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~1 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; comb~1 ; - ; +; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~1 ; - ; +; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -313,7 +312,7 @@ The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. +----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ ; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; +----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; +; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; ; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; @@ -338,9 +337,9 @@ The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. ; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; ; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; ; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; nNMIout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 27 ; 21 ; 1 ; nINHout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 28 ; 22 ; 1 ; nRDYout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 26 ; 20 ; 1 ; GNDout1 ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 27 ; 21 ; 1 ; PU ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; +; 28 ; 22 ; 1 ; GNDout2 ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 29 ; 23 ; 1 ; nIRQout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 30 ; 24 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; ; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; @@ -433,21 +432,12 @@ Note: Pin directions (input, output or bidir) are based on device operating in u Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. -+----------------------------------------+ -; I/O Assignment Warnings ; -+----------+-----------------------------+ -; Pin Name ; Reason ; -+----------+-----------------------------+ -; RA[4] ; Missing location assignment ; -+----------+-----------------------------+ - - +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Resource Utilization by Entity ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 233 (233) ; 124 ; 0 ; 80 ; 0 ; 109 (109) ; 6 (6) ; 118 (118) ; 37 (37) ; 18 (18) ; |GR8RAM ; GR8RAM ; work ; +; |GR8RAM ; 232 (232) ; 108 ; 0 ; 80 ; 0 ; 124 (124) ; 4 (4) ; 104 (104) ; 37 (37) ; 7 (7) ; |GR8RAM ; GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -460,10 +450,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nRESout ; Output ; -- ; ; INTout ; Output ; -- ; ; DMAout ; Output ; -- ; -; nNMIout ; Output ; -- ; +; GNDout1 ; Output ; -- ; +; GNDout2 ; Output ; -- ; ; nIRQout ; Output ; -- ; -; nRDYout ; Output ; -- ; -; nINHout ; Output ; -- ; ; RWout ; Output ; -- ; ; nDMAout ; Output ; -- ; ; RAdir ; Output ; -- ; @@ -511,57 +500,61 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; MOSI ; Bidir ; (1) ; ; INTin ; Input ; (1) ; ; DMAin ; Input ; (1) ; +; SetFW[1] ; Input ; (1) ; +; nDEVSEL ; Input ; (1) ; +; nIOSTRB ; Input ; (1) ; +; nIOSEL ; Input ; (1) ; ; PHI0 ; Input ; (0) ; ; nWE ; Input ; (1) ; -; RA[0] ; Input ; (1) ; +; C25M ; Input ; (0) ; ; RA[1] ; Input ; (1) ; ; RA[2] ; Input ; (1) ; ; RA[3] ; Input ; (1) ; +; RA[0] ; Input ; (1) ; ; RA[4] ; Input ; (1) ; +; RA[7] ; Input ; (1) ; ; RA[5] ; Input ; (1) ; ; RA[6] ; Input ; (1) ; -; RA[7] ; Input ; (1) ; ; RA[8] ; Input ; (1) ; ; RA[9] ; Input ; (1) ; ; RA[10] ; Input ; (1) ; -; nIOSTRB ; Input ; (1) ; -; nIOSEL ; Input ; (1) ; -; nDEVSEL ; Input ; (1) ; -; C25M ; Input ; (0) ; -; RA[11] ; Input ; (1) ; +; PU ; Input ; (1) ; +; SetFW[0] ; Input ; (1) ; +; nRES ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; ; RA[12] ; Input ; (1) ; ; RA[13] ; Input ; (1) ; -; SetFW[1] ; Input ; (1) ; -; SetFW[0] ; Input ; (1) ; -; nRES ; Input ; (1) ; +; RA[11] ; Input ; (1) ; ; MISO ; Input ; (1) ; +----------+----------+---------------+ -+--------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 110 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Equal20~0 ; LC_X2_Y4_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal2~1 ; LC_X2_Y1_N5 ; 16 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X3_Y1_N1 ; 2 ; Output enable ; no ; -- ; -- ; -; IS~19 ; LC_X2_Y2_N7 ; 5 ; Clock enable ; no ; -- ; -- ; -; MOSIOE ; LC_X2_Y2_N8 ; 1 ; Output enable ; no ; -- ; -- ; -; PHI0 ; PIN_41 ; 16 ; Clock ; yes ; Global Clock ; GCLK2 ; -; PS[0] ; LC_X6_Y1_N1 ; 52 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X2_Y1_N2 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X5_Y1_N4 ; 8 ; Output enable ; no ; -- ; -- ; -; SetFWLoaded ; LC_X4_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -; always9~2 ; LC_X7_Y3_N6 ; 8 ; Sync. load ; no ; -- ; -- ; -; always9~3 ; LC_X7_Y3_N7 ; 9 ; Sync. load ; no ; -- ; -- ; -; always9~4 ; LC_X6_Y3_N9 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~2 ; LC_X4_Y1_N8 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X3_Y1_N7 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK1 ; -+-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ++----------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------------+-------------+---------+-----------------------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++-------------+-------------+---------+-----------------------------------------+--------+----------------------+------------------+ +; C25M ; PIN_64 ; 100 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Equal0~0 ; LC_X6_Y1_N2 ; 17 ; Clock enable ; no ; -- ; -- ; +; Equal1~0 ; LC_X5_Y2_N4 ; 1 ; Async. load ; no ; -- ; -- ; +; Equal22~0 ; LC_X2_Y3_N8 ; 8 ; Clock enable ; no ; -- ; -- ; +; IOROMRES~3 ; LC_X3_Y3_N1 ; 1 ; Async. clear ; no ; -- ; -- ; +; IS~19 ; LC_X2_Y2_N2 ; 5 ; Clock enable ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y1_N1 ; 1 ; Output enable ; no ; -- ; -- ; +; PHI0 ; PIN_41 ; 11 ; Clock ; yes ; Global Clock ; GCLK2 ; +; PS[0] ; LC_X5_Y1_N7 ; 47 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X6_Y1_N8 ; 28 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; RestoreDone ; LC_X5_Y2_N2 ; 4 ; Async. clear ; no ; -- ; -- ; +; SDOE ; LC_X7_Y1_N8 ; 8 ; Output enable ; no ; -- ; -- ; +; always12~3 ; LC_X5_Y2_N1 ; 3 ; Clock enable ; no ; -- ; -- ; +; always9~2 ; LC_X5_Y2_N5 ; 8 ; Sync. load ; no ; -- ; -- ; +; always9~3 ; LC_X5_Y2_N0 ; 9 ; Sync. load ; no ; -- ; -- ; +; always9~4 ; LC_X5_Y2_N6 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X5_Y1_N3 ; 8 ; Output enable ; no ; -- ; -- ; +; nIOSEL ; PIN_39 ; 14 ; Clock enable ; no ; -- ; -- ; +; nRESr ; LC_X6_Y1_N3 ; 30 ; Async. clear, Clock enable, Sync. clear ; yes ; Global Clock ; GCLK1 ; ++-------------+-------------+---------+-----------------------------------------+--------+----------------------+------------------+ +-------------------------------------------------------------------------+ @@ -569,9 +562,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 110 ; Global Clock ; GCLK3 ; -; PHI0 ; PIN_41 ; 16 ; Global Clock ; GCLK2 ; -; nRESr ; LC_X3_Y1_N7 ; 30 ; Global Clock ; GCLK1 ; +; C25M ; PIN_64 ; 100 ; Global Clock ; GCLK3 ; +; PHI0 ; PIN_41 ; 11 ; Global Clock ; GCLK2 ; +; nRESr ; LC_X6_Y1_N3 ; 30 ; Global Clock ; GCLK1 ; +-------+-------------+---------+----------------------+------------------+ @@ -580,20 +573,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 211 / 784 ( 27 % ) ; -; Direct links ; 50 / 888 ( 6 % ) ; +; C4s ; 202 / 784 ( 26 % ) ; +; Direct links ; 37 / 888 ( 4 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 13 / 32 ( 41 % ) ; -; LUT chains ; 8 / 216 ( 4 % ) ; -; Local interconnects ; 379 / 888 ( 43 % ) ; -; R4s ; 199 / 704 ( 28 % ) ; +; LAB clocks ; 12 / 32 ( 38 % ) ; +; LUT chains ; 9 / 216 ( 4 % ) ; +; Local interconnects ; 393 / 888 ( 44 % ) ; +; R4s ; 200 / 704 ( 28 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.67) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; @@ -601,55 +594,53 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 20 ; +; 7 ; 0 ; +; 8 ; 2 ; +; 9 ; 4 ; +; 10 ; 18 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.71) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.96) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Async. clear ; 5 ; +; 1 Async. clear ; 6 ; ; 1 Clock ; 21 ; -; 1 Clock enable ; 5 ; -; 1 Sync. clear ; 4 ; -; 1 Sync. load ; 3 ; -; 2 Clocks ; 3 ; +; 1 Clock enable ; 7 ; +; 1 Sync. clear ; 5 ; +; 1 Sync. load ; 5 ; +; 2 Clock enables ; 1 ; +; 2 Clocks ; 2 ; +------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.50) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 1 ; -; 10 ; 15 ; -; 11 ; 0 ; -; 12 ; 3 ; -; 13 ; 1 ; -; 14 ; 1 ; -; 15 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 9.88) ; Number of LABs (Total = 24) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 2 ; +; 9 ; 4 ; +; 10 ; 15 ; +; 11 ; 1 ; +; 12 ; 2 ; ++---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.42) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.75) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -657,37 +648,35 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 3 ; 1 ; ; 4 ; 2 ; ; 5 ; 4 ; -; 6 ; 4 ; -; 7 ; 2 ; -; 8 ; 2 ; -; 9 ; 1 ; -; 10 ; 6 ; -; 11 ; 0 ; -; 12 ; 2 ; +; 6 ; 7 ; +; 7 ; 1 ; +; 8 ; 1 ; +; 9 ; 6 ; +; 10 ; 2 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 14.13) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 14.33) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; ; 4 ; 0 ; -; 5 ; 2 ; -; 6 ; 2 ; +; 5 ; 1 ; +; 6 ; 1 ; ; 7 ; 0 ; ; 8 ; 1 ; ; 9 ; 1 ; -; 10 ; 2 ; -; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 4 ; -; 15 ; 3 ; +; 10 ; 1 ; +; 11 ; 3 ; +; 12 ; 1 ; +; 13 ; 3 ; +; 14 ; 2 ; +; 15 ; 2 ; ; 16 ; 1 ; ; 17 ; 1 ; ; 18 ; 1 ; @@ -695,10 +684,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 20 ; 1 ; ; 21 ; 0 ; ; 22 ; 1 ; -; 23 ; 0 ; -; 24 ; 1 ; -; 25 ; 1 ; -; 26 ; 1 ; +; 23 ; 1 ; +; 24 ; 2 ; +----------------------------------------------+------------------------------+ @@ -732,7 +719,6 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device EPM570T100C5 is compatible Info (176445): Device EPM570T100I5 is compatible Info (176445): Device EPM570T100A5 is compatible -Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 80 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. Info (332104): Reading SDC File: 'GR8RAM.sdc' Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 2 clocks @@ -741,32 +727,29 @@ Info (332111): Found 2 clocks Info (332111): 40.000 C25M Info (332111): 978.000 PHI0 Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 9 -Info (186216): Automatically promoted some destinations of signal "PHI0" to use Global clock File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 9 +Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 +Info (186216): Automatically promoted some destinations of signal "PHI0" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 Info (186217): Destination "comb~0" may be non-global or may not use global clock - Info (186217): Destination "PHI0r1" may be non-global or may not use global clock File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 10 -Info (186228): Pin "PHI0" drives global clock, but is not placed in a dedicated clock pin position File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 9 -Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 16 - Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 94 + Info (186217): Destination "PHI0r[0]" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 13 + Info (186217): Destination "comb~1" may be non-global or may not use global clock +Info (186228): Pin "PHI0" drives global clock, but is not placed in a dedicated clock pin position File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 +Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 16 + Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 91 + Info (186217): Destination "AddrIncH" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 117 + Info (186217): Destination "AddrIncM" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 116 + Info (186217): Destination "AddrIncL" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 115 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 1 input, 0 output, 0 bidirectional) - Info (176212): I/O standards used: 3.3-V LVTTL. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used -- 1 pins available Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 Info (170193): Fitter routing operations beginning Info (170195): Router estimated average interconnect usage is 30% of the available device resources Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 @@ -774,20 +757,20 @@ Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were Info (170201): Optimizations that may affect the design's routability were skipped Info (170200): Optimizations that may affect the design's timing were skipped Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.21 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file /Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 13746 megabytes - Info: Processing ended: Tue Feb 28 11:21:23 2023 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:05 +Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 13737 megabytes + Info: Processing ended: Sat Apr 15 08:20:44 2023 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:03 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg. +The suppressed messages can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 615a84b..9d6da5b 100644 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Tue Feb 28 11:21:23 2023 -Quartus Prime Version : 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Fitter Status : Successful - Sat Apr 15 08:20:44 2023 +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 233 / 240 ( 97 % ) +Total logic elements : 232 / 240 ( 97 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index cb3a8d0..910c372 100644 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,6 +1,6 @@ Flow report for GR8RAM -Tue Feb 28 11:21:31 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Sat Apr 15 08:20:49 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition --------------------- @@ -21,7 +21,7 @@ Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition ---------------- ; Legal Notice ; ---------------- -Copyright (C) 2022 Intel Corporation. All rights reserved. +Copyright (C) 2019 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing @@ -38,21 +38,21 @@ https://fpgasoftware.intel.com/eula. -+------------------------------------------------------------------------+ -; Flow Summary ; -+-----------------------+------------------------------------------------+ -; Flow Status ; Successful - Tue Feb 28 11:21:31 2023 ; -; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 233 / 240 ( 97 % ) ; -; Total pins ; 80 / 80 ( 100 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------+------------------------------------------------+ ++---------------------------------------------------------------------+ +; Flow Summary ; ++-----------------------+---------------------------------------------+ +; Flow Status ; Successful - Sat Apr 15 08:20:46 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; +; Revision Name ; GR8RAM ; +; Top-level Entity Name ; GR8RAM ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; +; Timing Models ; Final ; +; Total logic elements ; 232 / 240 ( 97 % ) ; +; Total pins ; 80 / 80 ( 100 % ) ; +; Total virtual pins ; 0 ; +; UFM blocks ; 0 / 1 ( 0 % ) ; ++-----------------------+---------------------------------------------+ +-----------------------------------------+ @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 02/28/2023 11:20:54 ; +; Start date & time ; 04/15/2023 08:20:18 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -71,20 +71,17 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +---------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ -; COMPILER_SIGNATURE_ID ; 121381084694.167760125411500 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121381084694.168156121801616 ; -- ; -- ; -- ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_symbol ; -; EDA_NETLIST_WRITER_OUTPUT_DIR ; simulation/questa ; -- ; -- ; eda_simulation ; -; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; Questa Intel FPGA (Verilog) ; ; -- ; -- ; -; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; ; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 1 ; ; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 2 ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; +; POWER_PRESET_COOLING_SOLUTION ; No Heat Sink With Still Air ; -- ; -- ; -- ; ; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +---------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ @@ -94,12 +91,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:23 ; 1.0 ; 13114 MB ; 00:00:48 ; -; Fitter ; 00:00:06 ; 1.0 ; 13746 MB ; 00:00:05 ; -; Assembler ; 00:00:01 ; 1.0 ; 13099 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13081 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 13024 MB ; 00:00:01 ; -; Total ; 00:00:33 ; -- ; -- ; 00:00:56 ; +; Analysis & Synthesis ; 00:00:22 ; 1.0 ; 13095 MB ; 00:00:42 ; +; Fitter ; 00:00:04 ; 1.0 ; 13737 MB ; 00:00:03 ; +; Assembler ; 00:00:01 ; 1.0 ; 13055 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13055 MB ; 00:00:01 ; +; Total ; 00:00:29 ; -- ; -- ; 00:00:47 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -112,7 +108,6 @@ https://fpgasoftware.intel.com/eula. ; Fitter ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; ; Assembler ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; ; Timing Analyzer ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; -; EDA Netlist Writer ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; +----------------------+------------------+------------+------------+----------------+ @@ -123,7 +118,6 @@ quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM quartus_sta GR8RAM -c GR8RAM -quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index b6cbf71..a8d07fd 100644 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 0dbf265..1ba6ca2 100644 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Tue Feb 28 11:21:16 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Sat Apr 15 08:20:39 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition --------------------- @@ -26,7 +26,7 @@ Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition ---------------- ; Legal Notice ; ---------------- -Copyright (C) 2022 Intel Corporation. All rights reserved. +Copyright (C) 2019 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing @@ -43,19 +43,19 @@ https://fpgasoftware.intel.com/eula. -+------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Feb 28 11:21:16 2023 ; -; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Total logic elements ; 253 ; -; Total pins ; 80 ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------------+------------------------------------------------+ ++---------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+---------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Sat Apr 15 08:20:39 2023 ; +; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; +; Revision Name ; GR8RAM ; +; Top-level Entity Name ; GR8RAM ; +; Family ; MAX II ; +; Total logic elements ; 241 ; +; Total pins ; 80 ; +; Total virtual pins ; 0 ; +; UFM blocks ; 0 / 1 ( 0 % ) ; ++-----------------------------+---------------------------------------------+ +------------------------------------------------------------------------------------------------------------+ @@ -145,13 +145,13 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ -+-----------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------+---------+ -; gr8ram.v ; yes ; Auto-Found Verilog HDL File ; //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v ; ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------+---------+ ++-----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +; GR8RAM.v ; yes ; User Verilog HDL File ; Y:/Repos/GR8RAM/cpld/GR8RAM.v ; ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +-----------------------------------------------------+ @@ -159,33 +159,33 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 253 ; -; -- Combinational with no register ; 129 ; -; -- Register only ; 26 ; -; -- Combinational with a register ; 98 ; +; Total logic elements ; 241 ; +; -- Combinational with no register ; 133 ; +; -- Register only ; 13 ; +; -- Combinational with a register ; 95 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 124 ; -; -- 3 input functions ; 30 ; -; -- 2 input functions ; 71 ; -; -- 1 input functions ; 0 ; +; -- 4 input functions ; 123 ; +; -- 3 input functions ; 35 ; +; -- 2 input functions ; 67 ; +; -- 1 input functions ; 1 ; ; -- 0 input functions ; 2 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 220 ; +; -- normal mode ; 208 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 45 ; ; -- asynchronous clear/load mode ; 29 ; ; ; ; -; Total registers ; 124 ; +; Total registers ; 108 ; ; Total logic cells in carry chains ; 37 ; ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 110 ; -; Total fan-out ; 1076 ; -; Average fan-out ; 3.23 ; +; Maximum fan-out ; 100 ; +; Total fan-out ; 1057 ; +; Average fan-out ; 3.29 ; +---------------------------------------------+-------+ @@ -194,7 +194,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 253 (253) ; 124 ; 0 ; 80 ; 0 ; 129 (129) ; 26 (26) ; 98 (98) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; +; |GR8RAM ; 241 (241) ; 108 ; 0 ; 80 ; 0 ; 133 (133) ; 13 (13) ; 95 (95) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -214,16 +214,17 @@ Encoding Type: One-Hot +--------+--------+--------+--------+--------+--------+--------+ -+------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+---------------------------------------+--------------------+ -; Register name ; Reason for Removal ; -+---------------------------------------+--------------------+ -; IS~8 ; Lost fanout ; -; IS~9 ; Lost fanout ; -; IS~10 ; Lost fanout ; -; Total Number of Removed Registers = 3 ; ; -+---------------------------------------+--------------------+ ++--------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++---------------------------------------+----------------------------------------+ +; Register name ; Reason for Removal ; ++---------------------------------------+----------------------------------------+ +; SA[12]~reg0 ; Stuck at GND due to stuck port data_in ; +; IS~8 ; Lost fanout ; +; IS~9 ; Lost fanout ; +; IS~10 ; Lost fanout ; +; Total Number of Removed Registers = 4 ; ; ++---------------------------------------+----------------------------------------+ +------------------------------------------------------+ @@ -231,12 +232,12 @@ Encoding Type: One-Hot +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 124 ; +; Total registers ; 108 ; ; Number of registers using Synchronous Clear ; 12 ; ; Number of registers using Synchronous Load ; 33 ; -; Number of registers using Asynchronous Clear ; 29 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 29 ; +; Number of registers using Asynchronous Clear ; 28 ; +; Number of registers using Asynchronous Load ; 1 ; +; Number of registers using Clock Enable ; 38 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -252,8 +253,9 @@ Encoding Type: One-Hot ; nSWE~reg0 ; 1 ; ; DQML~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; -; RCKE~reg0 ; 1 ; -; Total number of inverted registers = 7 ; ; +; RCKE~reg0 ; 4 ; +; nFCS~reg0 ; 1 ; +; Total number of inverted registers = 8 ; ; +----------------------------------------+---------+ @@ -262,14 +264,13 @@ Encoding Type: One-Hot +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; -; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; -; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[3]~reg0 ; -; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[0] ; +; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[7]~reg0 ; +; 20:1 ; 2 bits ; 26 LEs ; 12 LEs ; 14 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[7] ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; -; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|RDD[4] ; -; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; +; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |GR8RAM|RDD[2] ; +; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQML~reg0 ; +; 18:1 ; 3 bits ; 36 LEs ; 6 LEs ; 30 LEs ; Yes ; |GR8RAM|RDD[4] ; ; 7:1 ; 5 bits ; 20 LEs ; 20 LEs ; 0 LEs ; No ; |GR8RAM|IS ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -279,44 +280,44 @@ Encoding Type: One-Hot +-------------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis - Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - Info: Processing started: Tue Feb 28 11:20:53 2023 + Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition + Info: Processing started: Sat Apr 15 08:20:17 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Warning (12125): Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project - Info (12023): Found entity 1: GR8RAM File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 1 +Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v + Info (12023): Found entity 1: GR8RAM File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 1 Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at gr8ram.v(42): truncated value with size 32 to match size of target (4) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 42 -Warning (10230): Verilog HDL assignment warning at gr8ram.v(47): truncated value with size 32 to match size of target (14) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 47 -Warning (10230): Verilog HDL assignment warning at gr8ram.v(134): truncated value with size 32 to match size of target (8) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 134 -Warning (10230): Verilog HDL assignment warning at gr8ram.v(142): truncated value with size 32 to match size of target (8) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 142 -Warning (10230): Verilog HDL assignment warning at gr8ram.v(149): truncated value with size 32 to match size of target (8) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 149 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(33): truncated value with size 32 to match size of target (4) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 33 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(38): truncated value with size 32 to match size of target (14) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 38 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(129): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 129 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(137): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 137 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(144): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 144 Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nNMIout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 563 - Warning (13410): Pin "nIRQout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 566 - Warning (13410): Pin "nRDYout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 565 - Warning (13410): Pin "nINHout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 564 - Warning (13410): Pin "RWout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 567 - Warning (13410): Pin "nDMAout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 562 - Warning (13410): Pin "RAdir" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 561 + Warning (13410): Pin "GNDout1" is stuck at GND File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 538 + Warning (13410): Pin "GNDout2" is stuck at GND File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 539 + Warning (13410): Pin "nIRQout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 534 + Warning (13410): Pin "RWout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 535 + Warning (13410): Pin "nDMAout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 533 + Warning (13410): Pin "RAdir" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 532 + Warning (13410): Pin "SA[12]" is stuck at GND File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 414 Info (17049): 3 registers lost all their fanouts during netlist optimizations. -Info (21057): Implemented 333 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 28 input pins - Info (21059): Implemented 35 output pins +Info (21057): Implemented 321 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 29 input pins + Info (21059): Implemented 34 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 253 logic cells -Info (144001): Generated suppressed messages file /Repos2/GR8RAM/cpld2/output_files/GR8RAM.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 15 warnings - Info: Peak virtual memory: 13114 megabytes - Info: Processing ended: Tue Feb 28 11:21:16 2023 - Info: Elapsed time: 00:00:23 - Info: Total CPU time (on all processors): 00:00:48 + Info (21061): Implemented 241 logic cells +Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings + Info: Peak virtual memory: 13095 megabytes + Info: Processing ended: Sat Apr 15 08:20:39 2023 + Info: Elapsed time: 00:00:22 + Info: Total CPU time (on all processors): 00:00:42 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 45e0f77..548f561 100644 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at gr8ram.v(110): extended using "x" or "z" File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 110 -Warning (10273): Verilog HDL warning at gr8ram.v(286): extended using "x" or "z" File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 286 +Warning (10273): Verilog HDL warning at GR8RAM.v(110): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 110 +Warning (10273): Verilog HDL warning at GR8RAM.v(250): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 250 diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 6a8e211..b405e56 100644 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Tue Feb 28 11:21:16 2023 -Quartus Prime Version : 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Analysis & Synthesis Status : Successful - Sat Apr 15 08:20:39 2023 +Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 253 +Total logic elements : 241 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 33a0c06..f26d339 100644 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -1,4 +1,4 @@ - -- Copyright (C) 2022 Intel Corporation. All rights reserved. + -- Copyright (C) 2019 Intel Corporation. All rights reserved. -- Your use of Intel Corporation's design tools, logic functions -- and other software and tools, and any partner logic -- functions, and any output files from any of the foregoing @@ -58,12 +58,12 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- -RA[4] : 1 : input : 3.3-V LVTTL : : 2 : N +RA[4] : 1 : input : 3.3-V LVTTL : : 2 : Y RA[5] : 2 : input : 3.3-V LVTTL : : 1 : Y RA[6] : 3 : input : 3.3-V LVTTL : : 1 : Y RA[3] : 4 : input : 3.3-V LVTTL : : 1 : Y @@ -88,9 +88,9 @@ TMS : 22 : input : : TDI : 23 : input : : : 1 : TCK : 24 : input : : : 1 : TDO : 25 : output : : : 1 : -nNMIout : 26 : output : 3.3-V LVTTL : : 1 : Y -nINHout : 27 : output : 3.3-V LVTTL : : 1 : Y -nRDYout : 28 : output : 3.3-V LVTTL : : 1 : Y +GNDout1 : 26 : output : 3.3-V LVTTL : : 1 : Y +PU : 27 : input : 3.3-V LVTTL : : 1 : Y +GNDout2 : 28 : output : 3.3-V LVTTL : : 1 : Y nIRQout : 29 : output : 3.3-V LVTTL : : 1 : Y nRESout : 30 : output : 3.3-V LVTTL : : 1 : Y VCCIO1 : 31 : power : : 3.3V : 1 : diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index c90017c8e733b15c08ef49baa4b7b01841f9a3eb..876be395a0f1639fc62ad9120d124dad0a00dc6f 100644 GIT binary patch literal 7861 zcmeHM|8rc`bzk9HnATt7nL;vc1uByv`62jgAY+WZZUHd`3id-d+Q=ll7bbDy;CzPeZ}Ru#L_ey_#%{mqZ<*wwtVcHNFG+c)96 zY4eW9wr}6GqjvqK9Xq!)HP$X&v1sX{CAELsyk*((y&;gTOOU9#l1n_{zKS7Ga+#$8)>ZQHalHXCQm zzlE{6e_?+xUuTR-nuruWN9`IX`#egdpE){U_U*G@S*M>bTRqG7L_;7*uWA@!r>E#; zHT9zj@M*PtBH}maMWjc|BK46^9<)L}v+@lc9~U2w7O>tf&CRJ6{4F{xW2fDe_pBC;_{*=GG3v zGx(v`SHg8ee>da9N+mKmdWoDNzw;B&>x-kLh0x99Hs^}2Qq+~yEyU}kN<{UEJfwUo zG?MUiR=QJC9wiRF32Toc?rGG9Ly~l6SQW`1(r`{ClNGw}2AjX4W*R<`D>`}S_bhXm z9Y(%dEZr^r9C7*3>zjLFpka0YN$9ULe!JA(YF79c*CL*9NVBYL85*SjQu^2)O1<`l z<=?Is|C4_9=6$p8DbBj)+Bt8`p8UhC1Mvm4R^M;qB;j|^Rd5*p0@Ex(f58D9NE}!=~Y)X z?KkwPpVF#}*O_!v{l$BFbDKAhtnEE?r0(`--bqiirM>0LN7HQ!MP$F{9eB#~`nv8Vs1cwz$Og-Cv1JX zpIZO%oQHgoqA|+BqyjO~0GAw}MRYkTG!-0|!9Gz$Ut$r?P#9J}VNJ#n5u)R;1Nb5h zJKgEND`iO&_u&`(mEb4O^7+&J0`j*FyPPP%2XxXU;1K7{xsGzSN&C91h`?XgugWS@ zUVIdEm=Oe`Ll*M+g#Jaj)S-!G3GpWZeTLs>S~!oSq+~jS<`U&YyQD4uL&X60AznCZ z&QJG2CH?4`nkevnuVDP~X^(>*fqp_M{0E=0*Ejh{Y0o!~AwJk|l1{cW$`5@!F*1DT zPwtp_zkTGW3~DGuJ#3JQcUk`Jfj6bQRHxG9w-W6sKt?N4l{)+6h6w+w7U-s)qSGf? z?!2ZVCmm2mrCWuS@2tFiaAeE1U#*Hwh1IdiU(c31b_MkB;XHo-anoNe#GmgSd8p=C z&74o)s@_MZ_WypOIG^TDJw$(F^*g0qIv5Ztb!zIqy6;Y&nx~HZY(A}#H6Q%7<#(y~ zir~IA@2)yEwV*CG`J4TdO;4Vt7}Yeytp569uY20!@A)Hjb+_(3G~v45@|~Z%Z67gX ze}TN-m+tbs3k^2_f7uo8YX=Y3WmevODD!G1eree+fj?5=ZW~X7r!XwIh_`(fI`Dx9 z0xl5V6!L;T{&k)jWheS^s0!$#s4kpKYHspBU0?FJZbCozD{~cvs*^3GcqHEiZUTcl zBi|^%C(;|?N-1BMx;uf7R=m9r<-OaS4|A1sPKdhDwqErG;LGK}m#L>H|9)1Q`QlLM z=RztKDFZVZ^l>|f6bau888iH?>a8YMQasCm6HL9x4i?PVGoWlA0v_PMsYBSyF{i?t zeZ{pvT%-%hx;#v7$RqtG4j~yn;lU1;Y*C7Y0pJ*hjw%m42v22~$5VG3?9MG$)}2xtM!g`&^oS6U8O;Tf;%vUC-$?fvOCB-b5<0Im0NfIST!$G z5&rmRq{UFsoKgiHhnY=cNQ*oT^^(~5iG`=FeGakFkvs2wboQ;M297@cj}Ppp zsu$+J*fs9nsiXOqdi=8y78|+R&~N(t2Wlp({-yuL#h29mS<7Fq+_k4SH#GG1_V$lF z-jZ~N+s=BPL1 zm6&?h^1~0iYT!?GrCSMnzU&~HGQ(bpiu~+mUnd6){>?p)6b&aewZqQo{_mA_=yTK8 zvqGZ2xDEtIBdf@Fx`d{aUaAZJh5~WLeAd8;xXJ48DDojqH!9co(MYaq{Kt57L$dXu zSg2buo0K2YCsdG%XJ~h>Nvf8`Ko#a+PmVnL6ikk<74#DETw<71Vj|+FS26*|d7RZG z)OKoW%7!dZQ)MiN+G%mxCgV;ReMH}$FpmX1nIcq_ksi?Fm_h@8k@5ClsKs)d+gnx)HL!1!* zy(9t>=yQm>=rr>ceG&dqmz@uUO@Z{(~f4TF^Zn|;kUi6QG z=_jBo#$+&BSey==y;D(J5;hO_nG160p8QJOySlV3{E9eu%k?Glj_@J z`CsUHDgIf@nbO+9!V@F4x~fXgj|DOoUKpUR9QX&>&)@ruoq29>jlcDis^8Q;yXTDs zmt^ce)kpQA$>W}$zx$QmysUcm`?R3-4H>J^i(i}{UYsm-hTQP0t#{8G8GZNsg;TWP z0OezCr4NoA4#y|eFTbs$y6f#V_^ZF?`GLnzU%RmFV%w`v;r^L!YkSbpOLXwy0q=%? z>l;}#=zW>ZxE;5=)1O&!+nX!8(H9~AtxQHszEV;9=x#>TjrYICFf{j-%KSPeOx>28 z9E~N=uTTfxTd1;@sD4Tu_f5f3b@n-{C@*_ZaNU*nn~SKKGSy3kChZBl+CXRSm^KNp z@hh_9kZn}5_x<`RA{vxh?X%Mw_18Fr{n*FR_VqViEeXG!qAC|6fXzX!9jRPnDn**;um zj8ul`oEQv#NePjK0{DSCF!y_+a_G=wn10?AWALMCOqw_e-_T3k&uh%>HjP!q z3N-YU5au$j#3>n17=GnOzrVS-*B9kbkm>+_v*e}(npl^V-IV3$aL(Xw^$_M%5K<19 z0?rzHa5YxhFlXxn_%pcwBN5vRzZ7mbCNGsfYT^)<8T=GFQI?b(l%mf|mrAQ>wLMoN zuk-EjQ!2bK7d`T?bn^8=o@r0(Qe#0IKb$x#>()GXSK@|+H{G*>MjuENi}hkXaBuX$ zsUtMD2fcMn(Sxb-)h{2%JNvtbGsfk>-8?{KbEGpp?_?=jyb3NI98YY+Thm zdgUj^f0qG&-}{gAYSD=JNwr=$dur+R*Q^_#ES;~ROV&Q%FS&7~@6+c88tYas?>^tw z_S@w0&(mo)VfYIb{Qa?a?%! z7ud7=n9$Z9$akO=-9^@$^A$Qe!Svjx6!u^l?rGEa3!LZCTr9f=wK%{S~ccJ;_rz*=;k zo48PkP4M3miO0}e@xIZIF*(gYv(Ct$u@idZ?W3;@5G(FkjdKH|edg~2paZv;#b?^D z|7GXpa`5xCAK$G1GhY8!@%T<`?w`#3MX1*NMF>gy=HE9F_$C7XPa`nL{;hcATmKEr Cd4+WV literal 7864 zcmeHMeQ;dWbzj9ub_2Gj1GLzQjD}3eA9S#>0>*LeB{4YdGW{icQe#`* zT4MK_P7QP>h9L?502Y;L6B>xL0mrEF&f9B(Z3wj_3fRJy-@AN7+9G-1lacp5@4mhF z^mp%D8S+>E>>oulZ|~lF&iS2l&pqed^Hz7~_<*4A~Ko9qM3aqHr*FZ$kfix#cCJ~kuvM_5|Zwsqsy z2iC2P)q_X>{c-G)`R0rMcuQNQh)Cjj){Jp7^8%52@Yx}~Zss0F5%Ep)PmeBmB>l~L zUyxe9eyn}4N_$JyuT6ojWb+jHhK>m^G@cs**z|hMK8+Xg<-;03z%8tO z*1nYXljc(tnvqZIC(q0ws|55z7A(de)41?sS}KM0Q7Qt%ae(jTB0L6rk|b(<~DdI z+Bty^Oz?5YX*%>uvu_)+Mjl5Ujd~sky`HBOOgw zcEB;_WH=Q#WS1=MSG~@aa;Z89|B^#o?;H3}Tc!Ath)!{GIlXcGR5`EY!rYVPf_DNu zmOf9&XQZt9x3eTd3v=y1epb;yV6!&kcgf8*xSNkWmtV(K4y8cM)aCbU2>o+^;^7#!^|59Ttrt+W{ zSH$Crw#VvU|8KVb{u_U|v;3u|1vD-*^I}=pNpi`b#}!LA)z3K07R;YBnJHhopn>L} z&CFY1>}xC?8#tQWP&jh<8E;#XEwRq-d%|sQE@bxYGxnvJduI3jZG*)J=G=1iRo~d3 z>Dikb%r&pm3^}b#B0`A7SF=mD8H2g9+fCDi8C2rzB1zQxpgD>=9_;NFc9MTJM zqvgpT9?>IL0_#lkYg}H`qlHINmzK{d&{CKK1}8bqcVT3DV|I-$xe%CgofjCDjbO_Y z2W~>oF{+e5jnBedL6apQj|Y6r z(7iq*FQrqC^l1Eh69))*r1@YE#-2*Ks1nKjki(pHU|V_}*)*Mh9`ad$JMgwaEA)2h zx^5?IMp*fNFtuFzgqsTIpBCc50QW7JamEZ6>4y8Zbk+CR6tqZ>(+Cj z7~?WdOxq^`rMz($=WSum2UKp#(e{AR&>^9c*Yv^f;sj-72{GpLER)+&7$Bbtf~un7 zu+&HGZgpf!qdYm}G8S5#?l$*R{LP`U$E(Lu1pI*IWrbcc_<|exo~5x#HRGmOs{Go_ zhP_uFl9kxJhWOmc+2`web^es%px4J@sinu}G+Z(3&}dg(V?#Xt>&Ex$l-8g5#^FO_ zkMDT&$;+ybA6+}EPF82myMekV8&xDP*`Y&YGh;iReD~1Yqig3t&&-uKP+ZfEd`riV zA3FN&hQqd<8Ct)!{hN(b^KL!byyPR~A2asT*mr(0{`FQmz{6w7fs}N2kLIiu>a-5bA*A)>ok^da5w1bYrgS`@tRZghS-^`04*Zf)@b9)a) zt_XNR?H(g8+**D@o*TNuF33yU20nv4?h%8p^+fq>=9~iH^U+XsyT}o(XEz?p=+kU`fS;$#Pt2=pa-&xTCc{D6NuL&>YA746R1BH z*XvQA0XlzCDtgB*wh81BPeQM93tfSH=U^nygjB`k0Xv{}74Pya3VU3^2^R==fMZMl zdEhY%4p-->%h;VeE+q{`@-pBqqcG*UnA>(ydI(~#RATK$zDU`E9}l^-lyHv0IYdJ$ z>Ww4?l!^mj~^KB3mXomlAG#ot?~W9fd2>}>L`DF23kGB(t+$=V}@ zLr;GR_rLXQ;hmsk%Pylo({cNk)qlSI&H5dxuqyW8L+j4JUszE8er4AcQU0`Ee@ATW zme|cZW4~RtD)#;P>)!a_gKO(!lTTg|i|k|Qivpk3AAa@9RX6YbcIo_7Vg2kGe&&jc zqWjNjn(__j=tMOH_y$v1br_TO~{KC1+tVq7GeERfYa>M#HhxaaAzjj&kuCx1& zJp8B4g~omJ62^WpIQa1Wt~=0n+Y$GHXZG2@dTO4N%dPC$-*4=<{BpmO+k$f+VLo*s zE~?0<K3PJr>ET z;$H0TE9-dC_=xus#*r4pmxI`YoXDqjeJDlemu~Y^aybLP_Q1G}jzG)Vp1~!_J@|pE zA{3)eR3vdqL)wxf20x`bii*h=t6fpPq6F%~u)>E7&`$zK;%)$LYwFZ^_bb(dm`{Z| z8B&ay_-O6m(jQ7;9r&KdrAox}s6DbLguhVVJJf^{fh@9s@?3;g$@DqMvv?F=5KB{6 z&_fi@>&SMGsITDc9IJViJ zjQ?oF@BaAosC=9IjOSXgGpA&cJXSXQv(cR@7kN2EbnnkcL`e?k)8wQ7tlfXa*SfUl zE1AvSt-YttNEuuc`jxIw<`x+I)@^Hloxf&B`>plgd%dB)cQkeW%Uk`k&6B%M&g?y* z?eDw)aC+gMe>{HKyS?ihoY9@z>(0%YY@WRQrkTq4Cw-3GzPfWoWQ%&e?YldJ8N238 zT|AZfAj)6M*?&Ym(s1mnYu}uE+m83X+jze2fuoZ%rW%_bGWMrR)s~}$Rla@tnW6Qo zP-j2N*zR?U3YppU!zTai!DG9}-LZkeImg|$6<1yU+|qwumD|6%=cQWy!A5sWlBAS{ zZREM|KKht#G=Q9n&fg1sPxqY@)W#%7T45a?W;Op(suywlV2h(8Q1fx_IEY(?paVAg zo*vf|B-I4!BjO4V$e?qe5FNJ#?yGiP_fh!M?ONW%0gK`X^FY^K-9NozDa4H!)N(Re zJAaNbK$DA#fVyDb|CAL-glH7Mn(kpe;qyG&FRAsy0N}uGJ#ro2qJ)^;HbOy>*bv?W z6>cqKUn4mPdtS{R+>QKhpAjY+P zT#>sWok)@Dc7~W7s3!j5R3fNK0n0%Dug*E=J`CwET6Oc=iVDd(*iJaqA-ymE!nFX{A|hc~Pmv+c&A zZzbc&eN*ijFS+uYsbXU?Gi%(Pu~aKHWBQ@O!qxs|`{&vC6^icq*) zf~1uT3o6&8mIk;V0!9P60%|%17*B`$k|gptBAdd{-&98akG0rFD92&@2KYW*6gf?P}m z`gegG@|z)_%BswS(C3SY`pgUcXFfxsx1nhR%WteFB`2`Ha$KgyA?|nJn}< zr$E;`(RM!3MRbZ=CeJF6EW=ujv)vt{AB254+#O8lU$j(t>rsyXpQRIcI;=IM)(29I$a$3i&1n@IgI2-J3V@ZC}~lV zt2W{%S(rQ3n}i>TJK#M))TS8OWsf}AGtog=c&`|wa?|mt^$y{EA|yAL@k)|h{l3_b zVyX8Jc~S|YjsW~FCHrYu;FiTtB~s}4k(9*FLn?FP2VpDpnsbrxquv+JC#c}uP96`$ zcuv<>7NoBFlpNlyLlo+pRj237~#^V(SJTaPSXcf=~IadF}FHYWETJy7ehPD0n z!M}XfNiKf)Wd2aR^Ix8xTYrA#`*SYIePk{DDe4*MiNBgXmVEiX5`S-QXY47^>)xLe zd$hdtCrf2?{4g_|-{1Sf$Af=%WV~&~ z(#*dd%sKtdE2rQ8PIl*Vh_9S21uC%@NR$>-994APsIaf z^rM*Pp4W8XCm=sfPbY9+PzvX)5Y;7IW))ybCTjACH$4Y`XQ1&z7U53I6Y>XiEPndl zsu8F2A9V=v`KdlaHTZ*hgb(=&3PI#QR2A^l^e|)3v_FiVNIp7$KF!A?e$=5q#Mk$i z!M(74Wlq2U*5nwA)`Lji;3oqc2GsaN+;6A{0e_Q7e5?vuZs;@iVGetl;TQV%XWgcv zUTQv)@$SM?L&QqkS71I=7|{P8(Cc_E*i)PTZ|l?a&&SAr|JQ!FB6i6|7ycvEr2iv? YgZ%vOa}Rv(f&Zr-m}UM~{M)OV diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 26f6da6..68ecd4e 100644 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,6 +1,6 @@ Timing Analyzer report for GR8RAM -Tue Feb 28 11:21:29 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Sat Apr 15 08:20:49 2023 +Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition --------------------- @@ -18,29 +18,33 @@ Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition 10. Removal Summary 11. Minimum Pulse Width Summary 12. Setup: 'C25M' - 13. Hold: 'C25M' - 14. Recovery: 'C25M' - 15. Removal: 'C25M' - 16. Setup Transfers - 17. Hold Transfers - 18. Recovery Transfers - 19. Removal Transfers - 20. Report TCCS - 21. Report RSKM - 22. Unconstrained Paths Summary - 23. Clock Status Summary - 24. Unconstrained Input Ports - 25. Unconstrained Output Ports - 26. Unconstrained Input Ports - 27. Unconstrained Output Ports - 28. Timing Analyzer Messages + 13. Setup: 'PHI0' + 14. Hold: 'C25M' + 15. Hold: 'PHI0' + 16. Recovery: 'C25M' + 17. Recovery: 'PHI0' + 18. Removal: 'PHI0' + 19. Removal: 'C25M' + 20. Setup Transfers + 21. Hold Transfers + 22. Recovery Transfers + 23. Removal Transfers + 24. Report TCCS + 25. Report RSKM + 26. Unconstrained Paths Summary + 27. Clock Status Summary + 28. Unconstrained Input Ports + 29. Unconstrained Output Ports + 30. Unconstrained Input Ports + 31. Unconstrained Output Ports + 32. Timing Analyzer Messages ---------------- ; Legal Notice ; ---------------- -Copyright (C) 2022 Intel Corporation. All rights reserved. +Copyright (C) 2019 Intel Corporation. All rights reserved. Your use of Intel Corporation's design tools, logic functions and other software and tools, and any partner logic functions, and any output files from any of the foregoing @@ -57,18 +61,18 @@ https://fpgasoftware.intel.com/eula. -+--------------------------------------------------------------------------------+ -; Timing Analyzer Summary ; -+-----------------------+--------------------------------------------------------+ -; Quartus Prime Version ; Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; -; Timing Analyzer ; Legacy Timing Analyzer ; -; Revision Name ; GR8RAM ; -; Device Family ; MAX II ; -; Device Name ; EPM240T100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+-----------------------+--------------------------------------------------------+ ++-----------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+-----------------------------------------------------+ +; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; GR8RAM ; +; Device Family ; MAX II ; +; Device Name ; EPM240T100C5 ; +; Timing Models ; Final ; +; Delay Model ; Slow Model ; +; Rise/Fall Delays ; Unavailable ; ++-----------------------+-----------------------------------------------------+ +------------------------------------------+ @@ -80,10 +84,11 @@ https://fpgasoftware.intel.com/eula. ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 1 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; +; Processor 2 ; 0.0% ; +----------------------------+-------------+ @@ -92,7 +97,7 @@ https://fpgasoftware.intel.com/eula. +---------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +---------------+--------+--------------------------+ -; GR8RAM.sdc ; OK ; Tue Feb 28 11:21:29 2023 ; +; GR8RAM.sdc ; OK ; Sat Apr 15 08:20:49 2023 ; +---------------+--------+--------------------------+ @@ -111,18 +116,20 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ -; 51.43 MHz ; 51.43 MHz ; C25M ; ; +; 61.84 MHz ; 61.84 MHz ; C25M ; ; +; 86.1 MHz ; 86.1 MHz ; PHI0 ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. -+--------------------------------+ -; Setup Summary ; -+-------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+--------+---------------+ -; C25M ; 10.278 ; 0.000 ; -+-------+--------+---------------+ ++---------------------------------+ +; Setup Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; C25M ; 11.915 ; 0.000 ; +; PHI0 ; 483.193 ; 0.000 ; ++-------+---------+---------------+ +-------------------------------+ @@ -130,17 +137,19 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 1.376 ; 0.000 ; +; C25M ; 1.411 ; 0.000 ; +; PHI0 ; 2.670 ; 0.000 ; +-------+-------+---------------+ -+--------------------------------+ -; Recovery Summary ; -+-------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+--------+---------------+ -; C25M ; 33.311 ; 0.000 ; -+-------+--------+---------------+ ++---------------------------------+ +; Recovery Summary ; ++-------+---------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+---------+---------------+ +; C25M ; 33.174 ; 0.000 ; +; PHI0 ; 973.979 ; 0.000 ; ++-------+---------+---------------+ +-------------------------------+ @@ -148,7 +157,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 6.135 ; 0.000 ; +; PHI0 ; 3.467 ; 0.000 ; +; C25M ; 6.272 ; 0.000 ; +-------+-------+---------------+ @@ -167,288 +177,324 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+------------+--------------+-------------+--------------+------------+------------+ -; 10.278 ; REGEN ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.389 ; -; 10.285 ; REGEN ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.382 ; -; 10.289 ; REGEN ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.378 ; -; 10.642 ; REGEN ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.025 ; -; 11.085 ; REGEN ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.582 ; -; 11.357 ; REGEN ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.310 ; -; 11.401 ; REGEN ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.266 ; -; 11.402 ; REGEN ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.265 ; -; 12.395 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; -; 12.395 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; -; 12.395 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; -; 12.395 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; -; 12.440 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; -; 12.440 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; -; 12.440 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; -; 12.440 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; -; 12.450 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; -; 12.450 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; -; 12.450 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; -; 12.450 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; -; 12.495 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; -; 12.495 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; -; 12.495 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; -; 12.495 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; -; 12.804 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; -; 12.804 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; -; 12.804 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; -; 12.804 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; -; 12.849 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; -; 12.849 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; -; 12.849 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; -; 12.849 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; -; 13.331 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.336 ; -; 13.753 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; -; 13.753 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; -; 13.753 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; -; 13.753 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; -; 13.798 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; -; 13.798 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; -; 13.798 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; -; 13.798 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; -; 13.971 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.696 ; -; 14.103 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.564 ; -; 14.314 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.353 ; -; 14.675 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.992 ; -; 14.748 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.919 ; -; 14.753 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.914 ; -; 14.779 ; SetFWr[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.888 ; -; 14.780 ; SetFWr[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.887 ; -; 14.785 ; SetFWr[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.882 ; -; 14.975 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.692 ; -; 15.251 ; SetFWr[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.416 ; -; 15.322 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.345 ; -; 15.387 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.280 ; -; 15.489 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.178 ; -; 15.612 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.055 ; -; 15.651 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.016 ; -; 15.653 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.014 ; -; 15.700 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.967 ; -; 15.911 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.756 ; -; 16.065 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.602 ; -; 16.103 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.564 ; -; 16.349 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.318 ; -; 16.647 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.020 ; -; 16.656 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.011 ; -; 16.711 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.956 ; -; 16.777 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.890 ; -; 17.105 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.562 ; -; 22.720 ; Addr[23] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 16.947 ; -; 23.632 ; Addr[23] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 16.035 ; -; 23.717 ; REGEN ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.950 ; -; 23.986 ; SetFWr[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.681 ; -; 24.629 ; REGEN ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.038 ; -; 24.898 ; SetFWr[0] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.769 ; -; 25.067 ; SetFWr[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.600 ; -; 25.201 ; PS[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.466 ; -; 25.277 ; Addr[23] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.390 ; -; 25.323 ; PS[1] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.344 ; -; 25.783 ; Addr[23] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.884 ; -; 25.876 ; Addr[23] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.791 ; -; 25.979 ; SetFWr[1] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.688 ; -; 26.015 ; Addr[23] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.652 ; -; 26.018 ; Addr[23] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.649 ; -; 26.117 ; Addr[23] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.550 ; -; 26.222 ; PS[1] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.274 ; REGEN ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.393 ; -; 26.312 ; Addr[23] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.355 ; -; 26.361 ; LS[7] ; IS.000 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.306 ; -; 26.498 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.169 ; -; 26.543 ; SetFWr[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.124 ; -; 26.596 ; Addr[23] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.071 ; -; 26.722 ; PS[0] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.945 ; -; 26.722 ; PS[0] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.945 ; +; 11.915 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.752 ; +; 11.915 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.752 ; +; 11.915 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.752 ; +; 11.933 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.734 ; +; 11.933 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.734 ; +; 11.933 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.734 ; +; 11.933 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.734 ; +; 12.040 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.627 ; +; 12.070 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.597 ; +; 12.070 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.597 ; +; 12.070 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.597 ; +; 12.088 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.579 ; +; 12.088 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.579 ; +; 12.088 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.579 ; +; 12.088 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.579 ; +; 12.195 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.472 ; +; 12.462 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.205 ; +; 12.462 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.205 ; +; 12.462 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.205 ; +; 12.480 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.187 ; +; 12.480 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.187 ; +; 12.480 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.187 ; +; 12.480 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.187 ; +; 12.587 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.080 ; +; 13.056 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.611 ; +; 14.139 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.528 ; +; 14.169 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.498 ; +; 14.169 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.498 ; +; 14.169 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.498 ; +; 14.187 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.480 ; +; 14.187 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.480 ; +; 14.187 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.480 ; +; 14.187 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.480 ; +; 14.286 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.381 ; +; 14.294 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.373 ; +; 14.402 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.265 ; +; 14.556 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.111 ; +; 14.609 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.058 ; +; 14.635 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.032 ; +; 14.925 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.742 ; +; 14.995 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.672 ; +; 15.002 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.665 ; +; 15.027 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.640 ; +; 15.277 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.390 ; +; 15.435 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.232 ; +; 15.556 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.111 ; +; 15.624 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.043 ; +; 15.747 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.920 ; +; 15.802 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.865 ; +; 15.997 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.670 ; +; 16.241 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.426 ; +; 16.291 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.376 ; +; 16.378 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.289 ; +; 16.490 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.177 ; +; 16.667 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.000 ; +; 16.782 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.885 ; +; 25.324 ; IS.110 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.343 ; +; 26.153 ; PS[3] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.514 ; +; 26.508 ; REGEN ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.159 ; +; 26.508 ; REGEN ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.159 ; +; 26.508 ; REGEN ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.159 ; +; 26.508 ; REGEN ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.159 ; +; 26.508 ; REGEN ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.159 ; +; 26.508 ; REGEN ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.159 ; +; 26.508 ; REGEN ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.159 ; +; 26.508 ; REGEN ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.159 ; +; 27.072 ; REGEN ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.595 ; +; 27.072 ; REGEN ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.595 ; +; 27.072 ; REGEN ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.595 ; +; 27.072 ; REGEN ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.595 ; +; 27.072 ; REGEN ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.595 ; +; 27.072 ; REGEN ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.595 ; +; 27.072 ; REGEN ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.595 ; +; 27.072 ; REGEN ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.595 ; +; 27.098 ; REGEN ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.569 ; +; 27.098 ; REGEN ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.569 ; +; 27.098 ; REGEN ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.569 ; +; 27.098 ; REGEN ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.569 ; +; 27.098 ; REGEN ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.569 ; +; 27.098 ; REGEN ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.569 ; +; 27.098 ; REGEN ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.569 ; +; 27.098 ; REGEN ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.569 ; +; 27.333 ; REGEN ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 12.334 ; +; 27.582 ; LS[7] ; IS.000 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.085 ; +; 27.662 ; PS[0] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.005 ; +; 27.786 ; REGEN ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 11.881 ; +; 28.059 ; PS[1] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.608 ; +; 28.168 ; LS[7] ; IS.110 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.499 ; +; 28.168 ; LS[7] ; IS.101 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.499 ; +; 28.168 ; LS[7] ; IS.001 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.499 ; +; 28.168 ; LS[7] ; IS.100 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.499 ; +; 28.189 ; IS.110 ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.478 ; +; 28.243 ; IS.110 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.424 ; +; 28.295 ; IS.110 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.372 ; +; 28.427 ; PS[3] ; IS.000 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.240 ; +; 28.433 ; LS[3] ; IS.000 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.234 ; +; 28.477 ; PS[0] ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.190 ; +; 28.477 ; PS[0] ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.190 ; +; 28.477 ; PS[0] ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.190 ; +; 28.477 ; PS[0] ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.190 ; +--------+-----------+------------+--------------+-------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------------+ +; Setup: 'PHI0' ; ++---------+-------------+----------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-------------+----------------+--------------+-------------+--------------+------------+------------+ +; 483.193 ; Slot[0] ; RAMROMSpecSELr ; PHI0 ; PHI0 ; 489.000 ; 0.000 ; 5.474 ; +; 483.614 ; SlotKnown ; RAMROMSpecSELr ; PHI0 ; PHI0 ; 489.000 ; 0.000 ; 5.053 ; +; 483.707 ; Slot[1] ; RAMROMSpecSELr ; PHI0 ; PHI0 ; 489.000 ; 0.000 ; 4.960 ; +; 485.171 ; Slot[2] ; RAMROMSpecSELr ; PHI0 ; PHI0 ; 489.000 ; 0.000 ; 3.496 ; +; 974.776 ; RestoreDone ; RestoreDone ; PHI0 ; PHI0 ; 978.000 ; 0.000 ; 2.891 ; ++---------+-------------+----------------+--------------+-------------+--------------+------------+------------+ + + +-----------------------------------------------------------------------------------------------------------+ ; Hold: 'C25M' ; +-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ -; 1.376 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.597 ; -; 1.412 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.412 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.419 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.640 ; -; 1.420 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; -; 1.426 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.647 ; -; 1.429 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.650 ; -; 1.646 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.867 ; -; 1.649 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.870 ; -; 1.652 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.873 ; -; 1.653 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.874 ; -; 1.661 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 1.882 ; -; 1.664 ; Addr[19] ; SA[9]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.885 ; -; 1.670 ; IS.000 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 1.891 ; -; 1.675 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.896 ; -; 1.719 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.940 ; -; 1.720 ; PS[2] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 1.941 ; -; 1.793 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.014 ; -; 1.794 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.015 ; -; 1.806 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.027 ; -; 1.809 ; IS.101 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.030 ; -; 1.846 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.067 ; -; 1.942 ; Addr[21] ; SA[11]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.163 ; -; 1.948 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.169 ; -; 2.048 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.269 ; -; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; -; 2.082 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.303 ; +; 1.411 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; +; 1.411 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; +; 1.421 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.642 ; +; 1.422 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.643 ; +; 1.433 ; PHI0r[1] ; PHI0r[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.654 ; +; 1.644 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.865 ; +; 1.656 ; PHI0r[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.877 ; +; 1.673 ; Addr[19] ; SA[9]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.894 ; +; 1.693 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.914 ; +; 1.789 ; PHI0r[0] ; PHI0r[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.010 ; +; 1.809 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.030 ; +; 1.926 ; IS.110 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.147 ; +; 1.939 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.160 ; +; 1.944 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.165 ; +; 1.946 ; RCKE~reg0 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.167 ; +; 1.950 ; RCKE~reg0 ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.171 ; +; 1.952 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.173 ; +; 2.013 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.234 ; +; 2.041 ; IS.100 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.262 ; +; 2.048 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.269 ; ; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; -; 2.115 ; Addr[0] ; DQML~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.336 ; -; 2.116 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.337 ; -; 2.116 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.337 ; -; 2.117 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.108 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.329 ; ; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; ; 2.126 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.128 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.349 ; -; 2.137 ; IS.111 ; IS.111 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; -; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.145 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; -; 2.149 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.370 ; -; 2.150 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.371 ; -; 2.151 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; -; 2.153 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; -; 2.185 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.406 ; -; 2.188 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.409 ; +; 2.128 ; IS.101 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.349 ; +; 2.133 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; +; 2.143 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; +; 2.144 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.145 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.154 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.375 ; +; 2.154 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.375 ; +; 2.160 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.381 ; +; 2.166 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.387 ; +; 2.211 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.432 ; +; 2.212 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; +; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.232 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; ; 2.232 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.232 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.239 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; -; 2.240 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.241 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.242 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.463 ; -; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.250 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.252 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; -; 2.259 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.480 ; -; 2.261 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.261 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.263 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.484 ; -; 2.264 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; -; 2.264 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; -; 2.267 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.488 ; -; 2.270 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.491 ; -; 2.271 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.492 ; -; 2.272 ; SetFWLoaded ; SetFWr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; -; 2.272 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; -; 2.272 ; SetFWLoaded ; SetFWr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; -; 2.274 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.495 ; -; 2.276 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.497 ; -; 2.287 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.508 ; -; 2.573 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.794 ; -; 2.686 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.907 ; -; 2.690 ; Addr[0] ; DQMH~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.911 ; -; 2.902 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.123 ; -; 2.906 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.127 ; +; 2.237 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.458 ; +; 2.241 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.241 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.245 ; PS[3] ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.466 ; +; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; +; 2.249 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.251 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.258 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.479 ; +; 2.260 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; +; 2.261 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.261 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.262 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; +; 2.263 ; PHI0r[1] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.484 ; +; 2.268 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.489 ; +; 2.273 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.494 ; +; 2.284 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.505 ; +; 2.285 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.506 ; +; 2.312 ; IS.111 ; IS.111 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.533 ; +; 2.313 ; IS.111 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.534 ; +; 2.319 ; IS.111 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.540 ; +; 2.442 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.663 ; +; 2.482 ; LS[0] ; IS.110 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.703 ; +; 2.485 ; LS[0] ; IS.100 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.706 ; +; 2.492 ; LS[0] ; IS.101 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.713 ; +; 2.493 ; LS[0] ; IS.001 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.714 ; +; 2.561 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.782 ; +; 2.590 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.811 ; +; 2.604 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.825 ; +; 2.660 ; LS[2] ; IS.101 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.881 ; +; 2.672 ; LS[2] ; IS.110 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.893 ; +; 2.754 ; LS[13] ; IS.101 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.975 ; +; 2.768 ; LS[13] ; IS.110 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.989 ; +; 2.784 ; Addr[2] ; SA[1]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.005 ; +; 2.824 ; PS[2] ; SA[2]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.045 ; +; 2.826 ; Addr[21] ; SA[11]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.047 ; +; 2.884 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.105 ; +; 2.886 ; PS[1] ; SA[10]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.107 ; +; 2.939 ; PS[1] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; ; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; -; 2.948 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.169 ; -; 2.948 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.169 ; +; 2.940 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.161 ; ; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.959 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; -; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; -; 2.983 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.204 ; -; 2.985 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; -; 3.001 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.222 ; -; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; -; 3.059 ; Addr[16] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.280 ; +; 2.956 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; +; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.965 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; +; 2.975 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.196 ; +; 2.976 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; +-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------------+ +; Hold: 'PHI0' ; ++---------+-------------+----------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-------------+----------------+--------------+-------------+--------------+------------+------------+ +; 2.670 ; RestoreDone ; RestoreDone ; PHI0 ; PHI0 ; 0.000 ; 0.000 ; 2.891 ; +; 492.275 ; Slot[2] ; RAMROMSpecSELr ; PHI0 ; PHI0 ; -489.000 ; 0.000 ; 3.496 ; +; 493.739 ; Slot[1] ; RAMROMSpecSELr ; PHI0 ; PHI0 ; -489.000 ; 0.000 ; 4.960 ; +; 493.832 ; SlotKnown ; RAMROMSpecSELr ; PHI0 ; PHI0 ; -489.000 ; 0.000 ; 5.053 ; +; 494.253 ; Slot[0] ; RAMROMSpecSELr ; PHI0 ; PHI0 ; -489.000 ; 0.000 ; 5.474 ; ++---------+-------------+----------------+--------------+-------------+--------------+------------+------------+ + + +-----------------------------------------------------------------------------------------------------+ ; Recovery: 'C25M' ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 33.311 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.174 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +; 33.174 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.493 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------+ +; Recovery: 'PHI0' ; ++---------+-------------+---------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++---------+-------------+---------+--------------+-------------+--------------+------------+------------+ +; 973.979 ; RestoreDone ; FCS ; PHI0 ; PHI0 ; 978.000 ; 0.000 ; 3.688 ; ++---------+-------------+---------+--------------+-------------+--------------+------------+------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Removal: 'PHI0' ; ++-------+-------------+---------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-------------+---------+--------------+-------------+--------------+------------+------------+ +; 3.467 ; RestoreDone ; FCS ; PHI0 ; PHI0 ; 0.000 ; 0.000 ; 3.688 ; ++-------+-------------+---------+--------------+-------------+--------------+------------+------------+ + + +----------------------------------------------------------------------------------------------------+ ; Removal: 'C25M' ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 6.135 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.272 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +; 6.272 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.493 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -457,8 +503,10 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+----------+------------+------------+------------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1520 ; 0 ; 88 ; 0 ; -; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; +; C25M ; C25M ; 1309 ; 0 ; 56 ; 0 ; +; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ; +; C25M ; PHI0 ; false path ; 0 ; false path ; 0 ; +; PHI0 ; PHI0 ; 0 ; 4 ; 0 ; 1 ; +------------+----------+------------+------------+------------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -468,29 +516,35 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+------------+------------+------------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1520 ; 0 ; 88 ; 0 ; -; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; +; C25M ; C25M ; 1309 ; 0 ; 56 ; 0 ; +; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ; +; C25M ; PHI0 ; false path ; 0 ; false path ; 0 ; +; PHI0 ; PHI0 ; 0 ; 4 ; 0 ; 1 ; +------------+----------+------------+------------+------------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. -+-------------------------------------------------------------------+ -; Recovery Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ ++---------------------------------------------------------------------+ +; Recovery Transfers ; ++------------+----------+----------+----------+------------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+----------+----------+------------+----------+ +; C25M ; C25M ; 25 ; 0 ; 0 ; 0 ; +; C25M ; PHI0 ; 0 ; 0 ; false path ; 0 ; +; PHI0 ; PHI0 ; 0 ; 0 ; 0 ; 1 ; ++------------+----------+----------+----------+------------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. -+-------------------------------------------------------------------+ -; Removal Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ ++---------------------------------------------------------------------+ +; Removal Transfers ; ++------------+----------+----------+----------+------------+----------+ +; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; ++------------+----------+----------+----------+------------+----------+ +; C25M ; C25M ; 25 ; 0 ; 0 ; 0 ; +; C25M ; PHI0 ; 0 ; 0 ; false path ; 0 ; +; PHI0 ; PHI0 ; 0 ; 0 ; 0 ; 1 ; ++------------+----------+----------+----------+------------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -513,10 +567,10 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 44 ; 44 ; -; Unconstrained Input Port Paths ; 246 ; 246 ; -; Unconstrained Output Ports ; 45 ; 45 ; -; Unconstrained Output Port Paths ; 217 ; 217 ; +; Unconstrained Input Ports ; 45 ; 45 ; +; Unconstrained Input Port Paths ; 429 ; 429 ; +; Unconstrained Output Ports ; 44 ; 44 ; +; Unconstrained Output Port Paths ; 133 ; 133 ; +---------------------------------+-------+------+ @@ -540,6 +594,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; MISO ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; MOSI ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; PHI0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; PU ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -615,7 +670,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -645,6 +699,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; MISO ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; MOSI ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; PHI0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; PU ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -720,7 +775,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -745,8 +799,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +--------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer - Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - Info: Processing started: Tue Feb 28 11:21:27 2023 + Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition + Info: Processing started: Sat Apr 15 08:20:47 2023 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -758,22 +812,26 @@ Info (334004): Delay annotation completed successfully Info (332104): Reading SDC File: 'GR8RAM.sdc' Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. -Info (332146): Worst-case setup slack is 10.278 +Info (332146): Worst-case setup slack is 11.915 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 10.278 0.000 C25M -Info (332146): Worst-case hold slack is 1.376 + Info (332119): 11.915 0.000 C25M + Info (332119): 483.193 0.000 PHI0 +Info (332146): Worst-case hold slack is 1.411 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 1.376 0.000 C25M -Info (332146): Worst-case recovery slack is 33.311 + Info (332119): 1.411 0.000 C25M + Info (332119): 2.670 0.000 PHI0 +Info (332146): Worst-case recovery slack is 33.174 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 33.311 0.000 C25M -Info (332146): Worst-case removal slack is 6.135 + Info (332119): 33.174 0.000 C25M + Info (332119): 973.979 0.000 PHI0 +Info (332146): Worst-case removal slack is 3.467 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 6.135 0.000 C25M + Info (332119): 3.467 0.000 PHI0 + Info (332119): 6.272 0.000 C25M Info (332146): Worst-case minimum pulse width slack is 19.734 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== @@ -783,8 +841,8 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13081 megabytes - Info: Processing ended: Tue Feb 28 11:21:29 2023 + Info: Peak virtual memory: 13055 megabytes + Info: Processing ended: Sat Apr 15 08:20:49 2023 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 2f7497e..73b0106 100644 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,19 +3,35 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : 10.278 +Slack : 11.915 +TNS : 0.000 + +Type : Setup 'PHI0' +Slack : 483.193 TNS : 0.000 Type : Hold 'C25M' -Slack : 1.376 +Slack : 1.411 +TNS : 0.000 + +Type : Hold 'PHI0' +Slack : 2.670 TNS : 0.000 Type : Recovery 'C25M' -Slack : 33.311 +Slack : 33.174 +TNS : 0.000 + +Type : Recovery 'PHI0' +Slack : 973.979 +TNS : 0.000 + +Type : Removal 'PHI0' +Slack : 3.467 TNS : 0.000 Type : Removal 'C25M' -Slack : 6.135 +Slack : 6.272 TNS : 0.000 Type : Minimum Pulse Width 'C25M'