From 106df31f522262e319ade6017123663e64f52143 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Mon, 2 Sep 2019 20:56:37 -0400 Subject: [PATCH] Trying again with RamFactor firmware --- cpld/GR8RAM.qws | Bin 1871 -> 1267 bytes cpld/GR8RAM.v | 13 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 15205 -> 15715 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3346 -> 3352 bytes cpld/db/GR8RAM.asm.qmsg | 10 +- cpld/db/GR8RAM.asm.rdb | Bin 1315 -> 1312 bytes cpld/db/GR8RAM.cmp.cdb | Bin 32375 -> 31279 bytes cpld/db/GR8RAM.cmp.hdb | Bin 20910 -> 21382 bytes cpld/db/GR8RAM.cmp.rdb | Bin 14795 -> 14731 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 9582 -> 9616 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 6 +- cpld/db/GR8RAM.hier_info | 2 +- cpld/db/GR8RAM.hif | Bin 2267 -> 2275 bytes cpld/db/GR8RAM.map.cdb | Bin 12799 -> 12387 bytes cpld/db/GR8RAM.map.hdb | Bin 20400 -> 20882 bytes cpld/db/GR8RAM.map.qmsg | 72 +++--- cpld/db/GR8RAM.map.rdb | Bin 1177 -> 1180 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 16065 -> 16497 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 15981 -> 16420 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 12628 -> 12892 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 15897 -> 16364 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 29614 -> 30066 bytes cpld/db/GR8RAM.sta.qmsg | 44 ++-- cpld/db/GR8RAM.sta.rdb | Bin 11151 -> 11160 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 38449 -> 38571 bytes cpld/output_files/GR8RAM.asm.rpt | 12 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 357 +++++++++++++-------------- cpld/output_files/GR8RAM.fit.summary | 2 +- cpld/output_files/GR8RAM.flow.rpt | 16 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 18 +- cpld/output_files/GR8RAM.map.smsg | 6 +- cpld/output_files/GR8RAM.map.summary | 2 +- cpld/output_files/GR8RAM.pof | Bin 8022 -> 8022 bytes cpld/output_files/GR8RAM.sta.rpt | 76 +++--- cpld/output_files/GR8RAM.sta.summary | 2 +- 38 files changed, 321 insertions(+), 323 deletions(-) diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 158b0efa53f062fbd1af5eb82a82b511dd57cc74..e30c0e7350edbc12f2f2a4e15002b126fbabb321 100755 GIT binary patch delta 71 zcmX@l_nC7-E+f07 z*b>OHWH4n&1FF&mt4?OH0P<3RdW?WFAht0>EzlmIML=sV00}VbFNg=k%s~5NfppX# zCXmQ)AR)$}=gE)<)R@mu#E=U#xP&1S$j$>QXG{Sp1!7UC(}7wUJ%CQn0eUx)NqF)Z n<~9X621lURTp0ox;u+i+Jb^w50rG-?JSU(`5F=QjE>jr*5e-3X diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 86b7a42..a771b91 100755 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -30,7 +30,8 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, input nWE; // 6502 R/W output [10:0] RA; // DRAM/ROM address assign RA[10:8] = ASel ? Addr[21:19] : Addr[10:8]; - assign RA[7:0] = (~nIOSTRB & ~IOBank0) ? Bank+1 : + assign RA[7:0] = (~nIOSTRB & FullIOEN) ? Bank+1 : + (~nIOSTRB & ~FullIOEN) ? {7'b0000001, Bank[0]} : (~ASel & nIOSEL & nIOSTRB) ? Addr[18:11] : (ASel & nIOSEL & nIOSTRB) ? Addr[7:0] : 8'h00; @@ -42,7 +43,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, wire DOE = CSDBEN & nWE & ((~nDEVSEL & REGEN) | ~nIOSEL | (~nIOSTRB & IOROMEN)); wire [7:0] Dout = (nDEVSEL | RAMSELA) ? RD[7:0] : - AddrHSELA ? {4'b1111, Addr[19:16]} : + AddrHSELA ? {1'b1, Addr[22:16]} : AddrMSELA ? Addr[15:8] : AddrLSELA ? Addr[7:0] : 8'h00; inout [7:0] D = DOE ? Dout : 8'bZ; @@ -97,7 +98,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, reg IOROMEN = 0; // IOSTRB ROM enable reg CSDBEN = 0; // ROM CS, data bus driver gating reg ASel = 0; // DRAM address multiplexer select - reg IOBank0 = 0; + reg FullIOEN = 0; // Apple II Bus Compatibiltiy Rules: // Synchronize to PHI0 or PHI1. (PHI1 here) @@ -121,7 +122,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, CSDBEN <= 1'b0; Addr <= 23'h000000; Bank <= 8'h00; - IOBank0 <= 1'b0; + FullIOEN <= 1'b0; RAMSELreg <= 1'b0; end else begin // Synchronize state counter to S1 when just entering PHI1 @@ -163,8 +164,8 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, // Set register during S6 if accessed. if (S==6) begin if (BankWR) Bank[7:0] <= D[7:0]; // Bank - if (SetWR) IOBank0 <= D[7:0] == 8'hE5; - if (AddrHWR) Addr[19:16] <= D[3:0]; // Addr hi + if (SetWR) FullIOEN <= D[7:0] == 8'hE5; + if (AddrHWR) Addr[22:16] <= D[6:0]; // Addr hi if (AddrMWR) Addr[15:8] <= D[7:0]; // Addr mid if (AddrLWR) Addr[7:0] <= D[7:0]; // Addr lo end diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 33e7c455cf491b584fff6fae48c758be883b15a7..d5e5bf57375ab7e54c05a0f4fcb8661157f24322 100755 GIT binary patch literal 15715 zcmZX5bx<5Z6K}8(Jb?rWauD1t!Qq03;KAM9-MIh(Lh#^v!QFzpyL)h#Lk~aTxO;rx zSMUAvYHPMEda`SNVaB=fet68{u+L&2TtC*PCQFBwPeWlj2Fr}6@w{d^g#P9o$xfd_e zh5t)lFrfX9nu-38dZV0VVYSgq2b@nvaicg63VmOH{v-%KHDn+Llo=&L%{EA+R{^7^^lq^c3C@Q(SL zbA(sckz327{^t5*W;#S~9*H==sVXRIJ!WHPXJ0Gd(UQ%Zj!inR2p_AOiCl=1vAaHx zd6PRk4I1X({$#_ZRBw~Ur8voqpNW6Vh``Rfr6!u-` zCqZT??9VgF4u!=%6G|C{gmv$pmLMA963hYZd@54&cwgg0>7yW%{{UojdS#Z1z<))Y zQFFX7{l8Yw=Hma?uI{@AC&vSWz{YFbo+dEPo*K;BNorWjOh{BmahWtNU)Zx&rJFeCS$EA-U zy8>ZdIm!X5Yb|=Br{%nu!-Xv#8%-0ly+dp0$G6^)UU~V*RZchOG;Cd8>H?vs#9svr ztt7ZC^1r?`zB>8)#c6St!k@s5vKL&m_ZHYbA?Rze&D{Fe7XyLYVZ(x!+fnjK?}yfR z)_Gq@a<8n+r%8<^^Hgxj+Q+1iH_mZ0`LN8Ck2lm)S%qg0t+R8K9n)VzQAGn2FLX-u z*2arIP8F2omk8gTee_6K&nnDL@hmE_$}_M&YPQy^f-s5egN`Fml^1LNt96533vmDoaLE2x>qg*12e3?!d8Ke?Vz@o z%`~*_WN$h&G89!^$L--%bOk)NRi9rkwB39e7V)jb?Fc{UgZZ5UCyoW5_{4hTpExpgqa z;Ea`QVVp=Ry>xCreZARohbJfie66pOQ_h|3Ew-uCqWaU0wtW$^$(bbp7tm1{I~ZH7 zM+u-*1k7^pvtq{}K@2-qoBuWgA`lxSB36qOh6`uU4js`iax5R=G|i_}jp|&?pNC4d zcIZVV0NotO1zh8s-*1wd1?Jj6RH-)&RK*p`KIp*VzW2zS8bgHIK_3>BA3Kn<C8F zr!0eT`Q)IxF)$mU$sZ89U_l-bbRNF3ePnQ$15mn`-FQjW$RLJj4tHNJ`&#URPcO})p5Y|^QYP4WOzsTYlIqs`UdHpRrw32zp! z(tA!GzWbYA_%x3|M9?$ZkWV7$@Q-3@{*lVf`LMPt;0=o7uSvls3a#tD&cBQZ4ScWw zjhI>hTOc%Ouv5LR&q~W5CQ?^tCnd^ez>bsDa*c-kpd$#BHA;%g<>;~u@&m349!0*m zLc1xfsZSS1}@QoByTOUkXR_fo_?6cRSz!nPwFGOAh4N`za91jr8wA1iv z{(;yv&TXtKw9~8|kB8n%?kn!ft1)nc``^lbzIW>eUq&y@ZV;Wz{$E7&7WC+fSa)1$ z1L!XKsa+=$qWJORLOR+~oF68v-V1{P`3dA9-1Kh^vOjPeTFjn<4wrA$z>QD$R^=P* zuf@z^e(i#)Gf5zBrGGgIBQD1Mi2T{?cFEoRvQV=z!6Nvalz=l~PmS3ig3(b-q|N4a z7u<*7+(|&xI4_U7(c<$+{≪9-bEFaynIb!8_PTW_p_WEkPh1lrp;7Vb*P8Iq(RP z*D9j|oyOaC!t|xGBr!u=ji~t~OvI*8na=tu%o05YXCE^#{c_|?erp6I9WPdN%mX*i zYp{V+Ru?x}5`N;?3yJ%>K?=KCEb*J)_wt(Rw@DR=73?lX;4Pk$#Zw>j%f?66Bvz_@ zWr1L5W#k`+B~${GT1*5WD7|t76iU8R&NCt5afGFMn40$alwBS6h@IV~3)7!L0r7BU(Jx+&AAN*QGtFhzE6B~X)H;9ad@btq zj#6KEok{OWNr|p2YVFRSFju*2dam}zbNHczsCD=$=S#c=Gvi_N&*c2?wLEdha#umVmAW~h{=b9x zch&=yBlUr^hxdvTyqH5l3kt>PO+7^Ptd%q`namt*d*yx$I~+}JYA8LeIH|twVvQBs z+ZAn(Z9`)mZRLXfjgf$J8nnucUl?j7nba8^-Lrh!cZ4%Et;f%q#(IbDa0~gPHsodO z0uPy+(?zMz#SM!`hUi}mvHxUFAdIXRTm7lW#*n-`MDAK)f8D_BP}CAFjr&1y!#=7dixilO>Nh+VNu{8YB{*6vu%G!LU7+&{NGaVAZH z*i&c7*V62cYxlUFd0`2j zAgw}c83$785}r~--HhAfFnkU#-xJ z462m#*t5L?p$x~CW~8ysk~(PZxEWO6UwDr9)?+TYLXYpD!t|+!v8)6{&ct#Zcyl+H zUB4ba?D^J+ocb43*nj0s_+Io3xp=g>jDhgyZyFjmm^0aTi+WKluHTvFR=qEWi@?=l z8_XB0^NhU5Gbn$#ScgXVH=P<%Z-!BFZEG(@|Ey2i(eWPBqM2)0?nyuCMe6vy{8>6a z(#n;UnxS(}MP0_j3LxFE;XbBBlhUxPDC?ZNn^$XRejQ}FknwV;bZjJ6Ywt;$eO0R6 z@_X@ex$kUnx|_FR7g&>aq4~c?W!VfXpnu28ft1vxe5@Oy7j)x{2_6$Kbdq%L#aY{F zxm7#)KdU=v1~P3~&N3$42>;KOdkuT3^x^~j*BTi~UNogJ^S?^u|8oXtkJYn5@gHLV zC}Qj7ewxk-=6@XFH`BA?=1ec%!Tiqxyp;E%JLO8pm-#+xT4;96KDo#{P>TL{BCZ+? zO5QR3CL0Ewd+u+R7Og#lV|1**TeC&aDC}cO_4O*bUvG=?IfCnZvF*CHPd|y{2%l`* zKuf5eTrNuqXXUo!HiV^)3lvz$&vnrRC{nDcb=clm5bSVOQojA$Z4>SRem7@leqI04 z_~LNvgpt48g}Ft3y)teQh`RdANE?S-;FnxaR2T8F2f(-MygLS6Un8wHs1%XLPGk&kBi-_WqfR7DMm7&CaJm6y9l7yN=_~bA z3zqV@U92|uJ^^HhKMQjO+`}tfABW#UL%*Ab#HlNwtzF>;{lxl0&*o;HetjYtagAL5 z;{C3F<+3m2URL>@{O0WVuHZ6Kb2sv_YUW{nG$kjnN+;;X4pG$JcoW{q*-q9=tXYBt zaXuhhG>$-L_Yi<)8S=))Y6?{Ufb`O8MjF+PJaslbH@~Duq?hJ1@H{{UYn&mG?av+f zb7#YF4*^))mZehUE_EmsoCztZl`4sMWc# z_3wbYL#hWB~a3RPr;73(|WN3Y{eSt?{E$yGzMXYQnEDP?ZQl6fNd5u>rJUYyUt5Ax&p zV;~pTo*Qn@V%jpZ_HKTje1Jq6aCY}ed78c30OYM%20quw}zN3~nLLPu~sr+!(A4y*lN31221 z=pWv_EC)}Voybjv`Og^1ysQR49g71Zx^csbA5~hHdT5D#2&qoz(#2xkq^NP1xzc=! z{S#JEJK{ikT_#~{x@a9(b3h0xt)Xsjg^aeus0vq8_mIgDP63sra~Tx~4-OpNEW;+} zRIh6vGVerCXZP2{$9C}O!v7`xb8pp!Lk zz;^3~VlmSxdqI};D`it0Q=;`+fM(5G-D1-!qz3ajAidzCnR$Q_ZPq0o`Kjd$)GyP7 zf$7Sg-7WjFx-1m*2+L(g!{ki1GfH>H6QtXm8|^&$Uic%~*%>K{HdskP(dz1a!sBh5 zazC`oQiOvq^kBy?^K_a%D2qgXx&A@$aIgs-@16!?%7Q{NfCZDe4dZyeuHzzBfilWP zW_t$|6ryg<*JIt&yqL1eA;^?Sz_k=f6gNe0}D`YU0T#R!b#>y@96d8v?MG~YTQOI7H^9Vj?xo@2I=lcmh5V6Jw*Elk$*2t64u=Py?FvE;ycj3tkSbo6nb!oKjG#RI z6uviY2$UMA;hkdN+JbYq`wVmI*hLPuLr=Bl)Tw@uCtmj*b-nO~p}laitLi(7aHa02 z#NIWdCR3oqHa;tQgu+`opWHb69G%|j9Qbsn^v))OLMW&xw$Q5?|mSMA7^EF2#*Fsn2Pg1B&?*_BK-J}5& zBnk6Z8I@2b@h5&aAM>jc*2&?2Qjq1bHJM8WJ78^WW);_M{qe0BgpC2>DH-Z|F}_t` zc1s9US1g^9(al-YSz%pML5^5pGwy${a2KF7R#FD$MKt_=$BN>3_x0M$>X7T{wl>)K z+G%W}`tBwR!7f?DG<7q<&|hwt3eaa!$G`fDz}T@YhTv$8D81F z(hX<+PKzc)NJPD6iQZi0?iv(AaMm2G*NF$R^8zt8MaQ~)(D+F&JD(9LCc+g&0Apyz z12jPd1_?UsVF|5g9SGH|(1+6_QAv_x&x*?hQdxy;t%pZ_+|J$GrC04IMw=#>F7VvM?N?ECRAS zU!B(h5{MB|W;wYO$;i3?3KkIJ>Ur1c50aGFMiPPmZsIx z?laQd(~^^O?Mp@N!5@&c;4c~2Dnhptu~@LTiVD)AvDjq$j9`?iG%hE!7(!|i|E#Mi z@3BQ0uGw4?$6`aizo&{16#h*_q(2_l$etFcMt30V%1bSYkl#+%BjC9sFAvIbYIr)YS3xyQeD!xP@aJO3?(?HhD_@#{NZ-~Y^J=ShA6YadN zz7mgH4wNDDLLs7}8}~zlH$cjMOX%mnT!+^+7^BqN{7uw~;h`n#fdM3@Yq#d%KNdY` z1{lC>UkrtDF6=C60&m#*K%a0fj3y-DB(<+QOK~o0)s;I~s~<&z`@#z~N{;c1w-}Fx zJTTp{6i}%Bl3krEXuob8*SyP(#fmi!BlefyRu!GTUc|*`3k6b-{0OgL3*nT;-mw#e zMzcG&)4y@YTwISqMP>wNDCiBNPGE;$)KdtQS$R4xpfn19sf38oZMKkcY(s{2YI{ta zW1XrJ-NtvS-26qvBoi+#V8?n;;B4?@-8#%0F}8wtb`O{e2K)UE0tncO6`&LBEQjpe zj<67SzS#@ZZm#$kG&zFPMCaG(P6*I+)*d$LAH4EWQx;Qp*t*)!_RpT_IbavPr&#Pc zs1UsuX%3xS@xAo%!8=(=;q%Js57b&eP?Yqd?N90l1^v&!uL)T{DXGLXxc@$4 z=HIg_pSh{QxO<3Mq)!G{DdIKW*@%`F=*s86qL!bHcahwtFVJf{Bs)K(HH^zO6PiN$i_( zswKSwYF+ch!fr_O*QUwZ8TrByja_M_&mRH*wKU{V;qu4b(%{GFXIDKtiZ70leQ3ka z7`5P}iBHk{cih^q4+R9j%qfKENDblr;6ha z&M0;iCwC#XE1`42i@Q-hD#xqDx4_U9DR^?Q5&4b6{Yvv*VNsTzqx0i@>s!ab)OUgM zkKOB%@;7yEqvM9cjjNk&bqa!^U?$)!7&d6zhvwb&v?EAx7ke+V3gozU9?;lL5Xto0 zCS3mER+bgQn|-jEg5wx#U_*kOXufn}Z5*sL8qFMpv2u` zL2f>@W<{*=+w76q50-ICk{Lmnx58+GYdyHT<*j3%9TRl4Uwep(PPUBV&N$eLs0bZ% z@P28Gxcv-yW>c>fo}v}hp7DgZFBn)-H~Rey8mZm?&q&{r8VKTZUC?KcQ%izf%eonq0 zr47k@`C{*o;@Oa6)Us$}?X-{dxVm{s{EJ*HZsLn}AIu(4MffHHjq51sm==xuF#9es z=~pDWYS7G!J+*06x(WEdO!lvu42=gk#ijJ#aw()WdEt!)cvHNg%dpLZOY8nMM}6TB zL?kk^xr|JroNaWW8a;k}_s0h=xfq2FeMb|`f5Sm6_V_j*7GAdr%Edr#62p}nHUV?N z$P!{WnjVzXrW^PN0}0TB^1lKApou+?S37TvDjcUD6CQXv3t%u{Kj;T61pEMgK+WD( zGZOSKt9lE57}?SbD1gmr;cpzV_7`=y!ZeMT#cq;%i#iSqQVqR9-;7{@4IfY-+cs~; z1T9KI=Za3k1rVKNnm5OqR*DD ziq5S>I>P;sAZ!;IC5Ua2q-sG7j{`{Youb!Vbs0B3+6k7X3&N7?y&XvgS$pFYWLyO01d8 z1y8-=^-oA5%v|x~a+Xa(Klhibv+3%E&}i{9z6e&SUn>Q|0(Su%e8{)svq$za0+!+G zeInyR-A^4iewldhynF_D8)ON+Pr7#Bdw2Q#_?q^rPByS>V+UH)E=M31{gMiL>0gHc zz|fp_h=zm3SgLgW2g2EBz|A&uk%IA%SsD`uMamxs8+q@VY0<&{%&%A{w26raD zhuZ|j$AMeVBi0ZniMjA}9@bm8jq4?@u1^^egb@BFGSY9R*z8?OW1O2vKV>-RI06}s z4_hGOQiI1pr)P5$d7LezMG}+bHlYEeR!7m1gu1sBEN&C3^u3H>9V9zpkudQzN|xl| zomolpHavjnqD)ecVU;9SzZ@B?E3*DE)hQU*;P~`J{=Fom0wLhd!!M) z$sZMeF+b=*)OEtG@RJ~G4A|H)vWsqr&B`B&lJK4W-w9VarKUDoatxG=uf^qGzwNJJ z*l~Lf0Mt_SZg%De-SWktz42; zrc!6cj&U9|Ul+!U%62Qyt{?kWw2qG4Z-f^S=3A%&WlZ>mi>t!fWRtozAE|8A>;@m% zaRa7QraqdSh0mb50f*2`WK4NY&PiBFG^;axrWc|aPmpzMI4`%8FAVuZX-Z#c;<1GN z5&2yqKeRohA}}Vy{ksAn(_lingwi{NPa)$u#q~ENJf3oUh{{Nrs!*dVCr05a##Fc6 z#VL*i?dgPfk*NCf(W0sn5$gm{K)88 zZiHr50c<`rxrYL@%DwvbOz0?;_IN^rmAD+|T}u~tLk9+%ij7fF`mZ9@)m_L3S-$DU zvl+eV2Dy4AnyVgHcbSGtW{3AWB|BRYF>A#Q_N5jV3U~bG^T&+W*ahV~6wf=~F!}69 z^0%F)dePgVyah7-V=nokUuQ^JxfTeO{1nu*+29NK$Nui-=iSVXt2CJ~+j6qQwikul zslHw8LC94=3Xls!;ebeu1mV6^TrX+X$}JDUT~7`Hr66^6;U4U4A!_$CZ>YmlSQ{OL zeDi|T1bylKOP|(_28!5?SlidNIJk)&1gIu(UNnTE=|3nclpqX_&PN>wKE^k5^dKL2 za3gJ+^f8fZjjRAmmuwt^&Ezgn^O52K23M!TuupmyPzLUE1-2_^R{XkIo0Yw#+_Sg5 z9sT&W=wl{j1tzQjxY;;g8r*5QRV_q?lGlaWCm40<3;QZ z5rgb3?6Jih|05pqO6_Q7HgB?O2w0WMWg>S0WPksd9p99ixH$>4ge5pPzeM=~uXt2WhFh*+ z9S`n1EfBlzd_47d{>){Q(4kIKDT{-#?M7o7&`r8!F>__Wz2N5AJvF1dCDwc`j#4gf zw7F7xVKaKMq-Icl0OiWB1E$5*0;_Dxzki7u_y#%gsF;`_b21d>@o3D(5q%EQc`Z= z@vB3ta^k}oyMI=CwUxgHKq!9`@qM(tCS(>wtdR5I{yC1Q3Lbe!O11yt29iN04gi=mH z5fDQ6C3KUm@hrvI75Av&L2A=HHGhhKaUOod_xg!Rkp%EJ8{aNx5E6tAC*y*ht~=s* zHl2)e{vYwn^fh({E*ZPpssRv&)9Zh%E@j8A_C6hXv_LP&7ZJU zLK17~&&sA-BDNPOmy3TK{Itx8^ccUr<%vJ6-(R@Z)M-463lnHJuZZ8-wu zUs_;EsIUto-5@fUm)5VHKIQz&sYqQ`VaEpud>@}x@5+K&R&Mtj^3F-`%kZ~_?R499 zHFqtqH;e8goRKFjSmyOjmh@2Zu}?&YEXU~@2-A4BpC9egzdyr2+oe7l9e$`Jo8lxH z&fnLKwB!3jBEL$)__GX>ep=t!W{HHh{`NXW_gObk@R;;)OIu|le;P@eS$-Pp-Y&`t zJy_J-FX+B2(`rBwSZwvxr9u-~8sBoo8D$RKHSqS<6AT!e3bTT?;~}a0V~^V7o}PDe zd)0nn=xf13hJJ&8{!e29O$UYO*;tdS$f`)pEROqQo3tiN4L#?W5{NxymN9Tjw*4E; z0EOBtc^`5@6dDda&x^gC!8b~kzc4Mml-QKXB|yqv?@lt71i-HBvIC&^boB%XanbwQ z8btsi;P`HwH41oI7TX?hv*ZV@ zYPjn@__QMigG&Vf{G&VDVRl-XhVXm+f>^Pr=m6*^qoaPK#@==qvX~Jxa3uC%7m$;J z&|Nv|2h$gcJ#cA0s~5ZsDAfq)5p(E3K{gc<4#;|V5gm|+IURG^72%eKan^N#mf?K; z;GRIb`~C|@LvXJZd;G>=wcU_F!CVoScDiIQr!Ogm;JWflr_pADf6VRMt2~eSV&%o5 z?|gSmy~rDi3O;6~Qk5;s@Y1+Mm1{B`%;be0T(}8P4IG9yqVJ@p^L+uhbBPv02+pl; zQcrUqAL%52y;Vzkg9?9~dk@1=0^9I}K|2rFhXBacGEC5tR$EIrusa1P{0Mr5sHH;_ zqBQsxG%m4w`1@AK-&8$j>+tt4U`yz6qZ7QXx(E?9#FLmOk4=vDs^%~lcYVDN{O{h4 zavED)(cwpfWSP>QM z{B7li_n&BfDCV!b+uvT@&0!<-mkoXIuXLvmg$C#P!jzt$C|fImm=|vV^zjlIb1kUD zLsgDucB%&F>n-5~cGzkCjzB0}tiay4af)?ZJdlDL+BiYb&eDsgOP(;H`a#SH%NaL= zD!tOlIv6@q7h*q25Kg4l z&8O&>emk>I6L8R9Mv$qJulqi5Fr`tK@MgX!z~K#IcD`esc%}7_kwJ4f?jk?tIdZwW zx1&XdMy!sK=vO&k(6$uF(OuUEoa`A^69gK8Zu<|i^!U`)W~Y&3Lb5uc(e!KGPI!3~%*zVgN^t{8bV0p1(^%YQuE3a)8 zHkQ_tJ9mf|nD8RrByhh%E|Qg#{|FTnGcwtxVGHYGufI1^3mf5ZR^$NeSRbjpxSBNn za9(P#LcG~Yr+-E8F}cf#{GK#QBs2p6BaF0~q)NH$2=-}h1B$L5{?sAhN7%;VAxQ!D z;}B)h{pajz$rSHK&n_8gA@kP7xphLBWvEK|x+YRVs2%Y#To!*~dFv@tzc!Mr zc+seiCI=Kla9e2(3nY6AXjRGNUry;~ki00K9*!HKcM+8hEk z`^VF;E6vt=wUGEp-B{l$8oK2l_k)j1+3nt>=zZ+Ko*S>rgwDdrv@+i<(YKG>v=1Qb z>hT?;9q5*ZE${m^Bk#U5I(X;grkN}`666fKPPktAcXAOVAN~Su<6(GIJ+O51&@515 z(Fq%2+fD55Ew)b3N7$4fjuWc~?ARFqpcDh=^8QY3JhaL|p>Cx`RU~3>l%6uTk#4)O zF^B}y2jgSfHpFPC@|e;U??Wnhqw11CDq*3uaS;?WzNoY~44i8PSNVW=h*LqNpo+(qJbIhQHyZ& zaHkDbPYaSZ1;8F24Die4fm~gALL2wVg|f;(q8*|FH@?0ZLtxNPn3-6aJB^vh$9w z)GoKNGmizDRM0{>Eha$SmO;u?wtzFo0Kd(V#W}UqT8twt83|P?fy7Zq11)7fzh)P^ zp$=UwqRKDDFLEqdJ>F%~K4dbMPfKKiV+OC#bEqLC=#peGxa4h@_b^w(vzzXrg(Z`5 zFAc-%B}Aomk?(axh4V1Tzn>YtBAk5ZTPl5Y!Of0OHoNWCvUTD6Hn+xiBg1u{urw$b zt?{Vq-MmwAF-kw+oj&khR^5=bufEc6!A1}V4NZ;d}(V)K}?AKw7C z-$_&-EoWS274#lnNpyN`Xgl~85`9}cugF+EholtFAE$MW)?mq^POB!KjC9UfG{0H@ z=Y4G-pP%=^{*01UGlx(+jk-m-BR^{XVeGR$?+Y)dQl(mtidAWx?Jf=B+?9c{oA1N( zrIx4ZI>Y@xP89Ef5ULU3ISJp@9C8NX>(K5T;tq?+8yURQn%45kPzymDATakLZg_emYEml-7OkBQH>RN4I_EA+G@y3OU-`%0Qo#_syGfG zZr!I4ESb-79QDTNy04=RiALxS(3C*gKo0Q^@E2j&3zqg}QDPsFKocMMM8r#CAEl7O zJ&Wk-7N3HlSSp#oq%T#|E^w~P*oee~RN?UW+Bv*?x!$2WDcAMtyQIZwkCdNqUCLNW z8GPo-+Ka^xoU{h9n}cWbZwDiRDPJa}D5*s+>~YJbC|S=Ql^99(5490Z=z;_X!hC6e zdn-OMNhx{NH&O!TC%E0;*#yMm8LJiQ9i3@pXwF*zOH;AV2_BgEa2HWjA|QX+>*X~3 zC5}9(4;&X5hkSI~>h;)4$~4k3dk6O%nkzSIg06!POY zG@X^?g70DZ^CEs0xi7NUFa7jKN?mN*RpMzgw4GS_D;*r;BGX&c%_9h@c}Qs=H#>_N z5%+2*)G{#w&jkeucnClxWS_2{3o^r1g%k`tD-PgFlW!7z(tCj|7~U6<09Gv6!u)qS zHwFf1^Elx*ln;$4yAD|X5S6XQEiwu?FqLpNOy2RKvpT;d8{3b<=p{(G#1BQp6OF1s zH3sen*(kD$uigY*hHc&^7{U%aJBpAw@!l~tb((RfpF=QVgz!>1Kt2NvkBccULYKn@H|Q$g5n)2ikWlAmZjZ>rEs^ zMXZIxWsZ^+1MS*hGF&+SA_pAag`XO(GI8p%t+(a2!J^7Z0Q5{QKc!iVq|bNSV6UT{ ziBlRi9ofxAi04ZqFSPUDZO9H*6d17#Uay&ie!^jC*KdySj{?(doBGJ+7 z{<|`gu-{flg8XV&NBorjZclohiSo|$O?&FVP=!bK-8Q8@o7mS*VjnvjGgpPO3u46; z%De60t8Irjv4u{+mM7jIZcBFm>-gx#J?N>oJYEI;D}yUkp4CD0{PmK%`CIIxov zB!rGgmXpe8fVc<}*x7y5r~57kM2>uVve!n%t;7aNeo!aURkCU%i~0HzckZuph`I%5~SfO0bSL z`kKJ2rI3R2u+b;k>V$0F^smP0o6-7P4^)^4l9w*F%3>urD2}^lXRx5|^Y%qOTWbFz zYCv-5dfw2soyf>G@1OnaEzJ)_ppv^LgbT!30Tgyk0Wuau{1x4FI~^z>L<2os%(csF zB$DnKDIz6Mr~;sa_geaqrMuXht+S=6J*SdBnSAPoQvx{^F7YL{qZ>| zdH&;L0p@;S>SMRxCUJDQtAEahWeLqYoXnk`-Q_lLm57FVfu#vP=M(q;6>Y+MQn|Y_ z#M0u>*O9(~{crT+JY>S6*xEiR(@h64VTM3Cl4g*}h_&{LXWA z-*C>8-ZVPzwD@2RYR_fPn`7i3I5gO#7t`bOU$HjIXtx4YziM*cnpCCVY``CA&A$zy zlXwN5Q2$x;BJeDmF?5B%y^FDfQJ>;uT@3?#7sNS->5>g@U&O&}&n^u{Xhraxe@b|~ za}h80q}BsApe@~Rv+`?3RE2P*>^#P2wL9ATiOc!_+y6J)-8DNB_2-u}c4a|b$*-y4 zNd3G|nTZP-cuvZrWy|Xr2G%tM&G6JNx@EcB^eWS&m?gWd5H^V3AAXzV095 z)Q$#jY^F`}%E{Iq2LLv7?{_I#JtP9xQ+BDzV|3pkqs~b$%{tU*&dOSd^@BBA*U{4aw!~IydRJ_Y&TgF3GKog7lC3<#E{Imz+DOUSpeVZyYvfDT=6^c#u_Q#}0>;0{Zp1{C^i^?el5MY!*Me1QlhClqQ7?jJ>hVL%n#pOVsYVNlKnSw=kda9xEyY$Ca{z*;b!4xKWAd5B*$(+(y+) zS?k5oH{n@jTDsetnUa3uvFo<($v9Nsb~RiDKJ%g^w$aB3bD|ju=FN=19sJgS11YUN zm`-BoH;ejWf>?EDRezyXPXt{eQ$Gru%yVE(&PWTeY3N_nXFOVN79v(JG|MqU5K(4( z>1yIB*F8y1)6N2*F|#oxHirqBdd)$RboIZ zF;zH=Mv`8g!;{^)Po}757E~Lby&~|PWOW0Ztd~OXP`3g{B%Evci;(;w*PW)uQ$A8Y`t`MA51Iz;J-4#RQ&+`9mh*Ip+NvZf%UuS zDU{@n2oW^Gsfk}DsUFyQDVbzc;h%7M$0Q3F8Ow5>qViMY2PsVh3c|SM4H!}NB=e9g*<^lWnbu=n`dEAXV zl=DE4yEYU40L`V$rX#;^mRqj4p;_fm1t-(WGM?lcBFJC7Kq9?S+`kEPqN|cVRo*?; zL`u*#yvF!NFV%KBw!W5T?q#`_7A;Gc@>N_qN8|7<9U&bYElEa-Pl^uvU9?2O<>61= z?Nu7#u+-gFMz_iPvpZa(JeLnb0&CeADzEnG*lk?+Ercp}lUWPjiDOjj3K>iJy`f}O z6}InDlw-Gpyo{9}jkz)rt(0RVb8Eg^nVzSNj$z?W>OEo#uI=Pk$A;}xp(4(!M6io- z4*FZTa|x}#-*4e2M5a#R0axDpem6z1-MxacT+MIXF!z*|Q#HS#ysO`xQ)C@mJn|GG za#LjQFW8i$8xGA=U)K_6-s~CJ&i4IWKk4Nj8nN$ha~|g+$R6Z0W?;aN(u-1&^;}WN zuKh_`+*;X5`h_im_BWXxJaZKHZPGnm3{;BCe$!|8#I8H>%HY|6j64^Gp3gP0Q=HMZgf iX<8G;jJ0xeE)hqA?sySrOqu|msq?G+d4bZ@$z%Og6Bf+>#g=0Ki+!FR{e0QZtY@<83=F|!_)Yf>S6TUm%$$e!v?4{%frnvI z{A6wx{f7^G1o(1E6Y;V#)c_eHmxmXlK|$YXl0SZaLP?TT-5Mq^F|XH+jd> z>hO3JxyDbw-bnfE7H`^fe8v%Hj$D6lhb0ju!Tw?gVBnI?23IlQtY0KT=#O-}EA58r z1rb1m@J!=^$T=q*vF95Rml2Nm=i2{%pR3rJg7Qm(pGA!9LBdC}5_vg;0$NI|MBSB--!)21!Vq!}8QFqmg$3?Ls@_)$sNBFk96R$F)CX*EzZb zrYekK&)6AZXM#RlKNx5!w+goscuh&-@Xiu_EWW4pGh((g(Ne;g&&1bFbm|X$KP7*M zOQJ3l1ZYa+bv+Ac4dx#kU@3o)(C{DNu?_>+v$54z0ACCN3Ccw_m+0CXgk#)7%kh@R z<)yX1`m)m&oMM_4>x=<>8M_&R$i3{jrZE~d3g%_JS*Nr4ege4jEX)}eeyqwd$OJ#?TwBF53 z$+NX1s*vS=j!HZAb%GP+KhlKN%3^uAM2sgMI44yLc`3TP7aD1OGJZgc;71gd;6>aO z%{dU8KCRTIDlj4dQ2rIyvrIA%Eb^Mso}TUdsFbwfZaO{t?E^To_3%_(%NrrbNQ!fb zP#d%^bn+B}On*o#N-R2AzMq*j@dIc2nJz+#a(-Or<{sMp`FKy{TO4!>b?@1!Dn_6T zE8DxFzJ+02NUeJYJy9amS(9mEqJ%cVZ1f@0j|9be)v9%~`6!5g^ z?%Y#^r}M5O-ew+1vbn`v>4+)M%{Pxh{q>J}vMTy7&OCyYxP|d>;4%+{m~CSK_jd4ZXM2y_woS1O&3L zc;YTj!iLaGKT+MMoW^(kjn{6zA#sjdeaYjHK>!%!_pGy_sw(>FF>dEn_{V21Voi+X zy12_jyFc?#ex_RKCgfwRWDvd5>>NF8(b^(NY<7x}@TwM!SQFKHz?=$UgnlbVxfU!xl8O+Hik})W&>6j-eB@458v{BpA^-u)A)Az4K zCyY5BqjT`0k54XkNmgMle%*2{Yc^v+$%+m5@-kGFFY5&)2$VVCk(x?UrAH#bckrJo z)s9OuJpVlBh?4+Ozt-}p24H-CwASRCXI%&Bqlcp4_DiCyIdNt6BV0eHy-%-KxRYO- z0V&3Rk59<~-OI}KC3$XNek)U9;I7{H*dH&s4?+E~{#kakJ^=jrk3z^P+u#iPVzWMEbP<8k7Zmihtfja&l5n5J5#$qbF+ zf|%s?ANQMVSJYOlS1_YnK<2mtmmT_@=EWi~W_IqHkWrxhp--X0H!RF2lW6cHn&B>n%5%7;h&?j4fFPOB>ar*7ZbFUg>Q47MOCWNx!FY)*U29H z%e0ca9{NkeH>HZb>tz;pdi|4f&YXz%2}BPD*CH;ikJkSldLJg=7;`O1yxAIL_wKjK zN;NFjzy7yQJN{2cz5@2%FEHt%p4jb|z$^BrmA}}~O2*Q7p9Ys_!}yuJ=Vl>2W!ZO4 zuspgTJ=%cL5&v@{UwO|le=yvVCKO@Tdi^7F$lpXKY>K;Nw`?}O?JR4}&qy;GbAvQC zPW$@hzmvd3u=lSmyX&BKf8Sho#ub^dfH`m6*;v|{<%d-Ryi0nJ@|adm)(yg?zO=edr0dNZJK3O0?=n@7LTs} zD)~F5x}u@wwYszFX7Q?AeTA3*>Ltr)fP(Kwb0#+P!-8I5l3 z9Qf`3AIbJg(_^yjf2^#77I4wPZ@?S+(U{Su5`VuMb|aPL!0!d4zwb5;pbvFia$G-% z22>HTj=(0a4tbpOlas7(FFtrv*RbGiM{Uvhl;l>qvZ($c$o8|ZyBmz;@!)N4B@ z-%+gziGZ)2S{pTG>Q-~Ca>KplbR4iCbj3h<7bShEAO{Td;Hq7Yug>E_z=y;zBZd5G zo2>3qII#NnVtG66jBiWE)d_Jed);~P*Ri{ztI@PHdY{#yO@C!5XgGr~aCAFP;3O6gka2KH}^y@INfmWV3#`g2=mV;yk;$b8Z<@h{~J@ zqlJ#uHs5?5dY<@X!*jN6Yc7}V&io( zQcgX(<~5C2ADyj)MxmZJ+ay$#^mImC{v?Ojfw@8_bl6t>&Hbo99@3T{#8e$4(r`pgNloMIj zxu(w%;Ow8)_qE319tiN=8DduZxUm@SNehFeaS8`Dm?d-m=K#;*gfuw0-_o zHie{s^1+GY`yI%`nGGbwAVFfZ%K2Ndo8{|ja&KOdO)lX=b&`Tqv(!-k*7sr*;hwm5P=FODD#xiBds^(oAuET8u3@rEc zn`?Pk;H6=&d=&YBXeE!{uIsMUuxOf5GNVtE&^7QVTekcu$sa(>j;yjrYP zc>W*F8dNW4@yfM@n>+8pg!LfkHlY;|VCq}wjq8b+&% z{_IT2@;Vxph~n%rsob=if$gyJ297G(p|~=OdpWhtL$}hqmE~0~0Hei;)1zkI#Ul+a zTDk+I)NW_bmxq?3wbi@T$h!XOngwhehfYIKX7?|ua($M7XP;41S}3`W`@4W(WFG15 zhNOLc;MIV_Z%TjJ1N5#e8dfd$0F?kf^ch}tbspi}Rq3FC z-aqcqBKFJZvBz*f*Ng@`J^~Am8K~hV3jJ5$1Z`dEibjvVxk2;)N9_A34tYc`=9|$_ zqfOL*73@EjM}K{kWDA^tJF#|A0Trw0oQ}Z<@Z2Mhr{Yn;#$zJmu~=92-~*JF+}`)$ zqU15_|ETlU0?ZZHWnJ!yu9F=xyar~q{Q~;yR1NHosi&eAr3JVC7Ik$%yM^$rN4xxD zfFesBtgpH}pQu%mz`aLUo_KrC2RTo+2rhH7TaX4_v$5{begYECdQpk zT~?ig2~Ru3nfPYfct3K#laMz-$$%hRVxnmOpFFZHBA``L!JljSZapIJkCrxt{*ZFE z7xxFQOq+j!I$O5=Ej%gwITlNMeVEaY z>hGz!pIyGWey8V2_Dk=n@Iwl~%@TX`NCi!Q+xRDp1R_6*M{6+x0xX+BX+$a?HP^RS z40+&y4O5GNC=Xdy5c@qBDI)XL8kq@VcQyhl;LHOU+8aCnP?veEV?(}|vse~q%;C?a zU6YoU zh3=9xuufRf_f!`bv_!8e#2E*>4e#XkYg!M!+1}VcOP5V&uZ%dnN=6fx$tkn1L~`J^ zowF%4Fu4N-{|NrJ6utcq*K7@raJuCG#(75tj*COpi@-f4m~PE)Yc$kb9WSVns?dlx z1l?1wP;Ar^?r`Rwk+rNGgrm`S*W(|p*BzyEqf;+{HWwHcI?`eLE6c956L@$Q%E{|= zin(**IorPgo#+uGePv`0$T!ra5SAb&8T z_bIpj^tf$#NJtbwcnoFL2SV7WEwDww#s^dEvq@od)68*fEX`Zo;*}ahF&5bDn+MqE z@{yS{x};gXiU>>@$BMfj7#!{zJf<8foM8t~(bG16WBgEI`UM~TG8AOxF0$HXE&J1- zFsQsD&pJ2|Xegok>(O{FVR8L3ydjBbckx=b1JQ^sFJT?SeD9B_R})6 zDEs%FTxK>ne~LSTtno8SJ8}XRVkt35V1Qf5N;3{Ds-U(Q}69VEG4vM1%!MKeyE=N&HHl>hT!c_qy zFW-j|0#Y!Yp+lEX2^Sx16 z9L z{A4mIxaFBhK{?XJWJSNnk`ZFcd4(JBx%cD0T#VdW#XQ&Mj?X`KEA~%C3fK$qva!Ah z+$!6SZA3GV>VF7yukY1&NmF;@jNeI0*pxo_mlaRHYt8PC%Ufbmx2h<20M=7WA-8j( z<^MG7Fbkhv_~Qk;D@l2#ze307VC}YC1Y$x<r(XB~9CmEDZtv(?{aEF+ zS$3r88;%0Bb>pSGVZn^Sk7TEUgMRCURh9?U%|a@+q(s5_f$PWIkN@PRgf#D{29<@T zIfj(M9Z61)n}vUN^ClEBtbWu#c&dr6Jg-^NYE78XIIZ%W21=;3CZIZot^Rcyad8Vt z(0PcnSte%DKIx^2DNS_$=g1CvBKd;S2wHcHCG{oIB`oDHa$&DQ-9q*fydXnH4*C? zEaDC{)W{|du}%TV2bF&|4pB zNOd_m^SzRIawQLv4(W1QiS}8Xgjfqbzd`5;OXhS*Ai@VX`Ln42aZqKfM-brL1N#>O z4RQpDqgA;ODt7ir&03UhtIKim#`O?8SsR0-5C%$Q@{n3pWQgYw*H1YI`brkMh`2GP#&2aGmFZ;a69zv2h&_ zipWW{e#d>_x03cAt_e?5ikN&2?w5gt-m18ssZsZGlI0J#H{zGFa^?!O=y&TYJuF^yh)0c}Ypw?!m9iHx%Q3HP=!<*EWN4fsTmIpVNd?ozBI(B9w=A zYN6|H?QAHApd8)`ar%v}l{3s(H4FH03)bO%_nvaIceO7rLJBj@n0XBl0m|U{hqYFW z6WI0Q0@=ktfqZE1&f$1+6KL429LJ4x`HXy`xAA~CU~)pXE;>+MsV*Vs*7U*{WsYjD zFW_@{Y0d4bH)1LMO*}B{qB zJ2cQ(bF!CY^lK9vgwY%FP!cIbvSoTkxkZlCaeQ*HXmek;=$Lkbzy0uYTOh&s4e9T6 zR}=DE;JAF?6=>`Foq55zO6Tv>ge|l%;5OwVVJY!iVcSv1he_n-M{_JoLEmI`jgC3h z;Bz?|?-T`qqElHfd}GFpv*$8@|hko&;@`ml2CFnhd@6A~?T+vNT0rUP(!C zcyY=^GAj}a?ZjkvBfq2h^LT{~2WOiTZiEQTJntDO_>f(z3^%9^XCKoO(q0Jjyqp<= zUxzXTiV%MWp?7^DnLkMc5=GG?E*qrbEl;UJ(6N{?>Tv=x0MT@MMEyQJ;(b0nB8{NG z%ZCo-kG;|5PuD3CUvS*eU=QmI^q@lns3NMUf&0a4wHW zsULsN$4UXCqd z{ffE$+Q}bP;Bq021Dy22-$nzt0)^FGHoljLdnNsrKYDrsc<}-dfT~8*4_@HhSs>Cw$zN@joV!T|PuBfX>b-`uCm9W$-L;hT+ zqsj-Clzi15>Qtk8t1qw|dz&1?njRJXc%2;mi&J0B2n%&5(?dn4B*rAk_-u-FY0+E( zY!PBH?3Ruok!i2RdFpA$MPW_RjvngieTyBsCXpkBZ3CXia)(&%@k{nyj49R~p9%J_ zf9xpi3*OTzPJHR19$hjHEbt)1Z7mQYmv~>k!L?de22nm+NRXPZ>?% zHix?tKjGIyz2%k9uX#JRn-sVJ+Df}-1^+%+0j7V}M&c&8t6=M^`i;#Cy(Ac?PCocG zWgWSm5pvsp>=T);Y-K;k`t9Sp-X*@oq+^%M!FcA`c8yuvomSJ&>5KDQ2w}b6JQF(e zd(q1edOu$~Qd<1>GNS*qZ=jAyZy#5Zb~@n+5iiX9fg_yic|zRlh^vNMemT_j>iWje zt#*HJJ&Z1RZA`~}aUZ7o6ym)8;R-=Dr-}pD>G|QEF3An*bW}czv*|(0;G(1r;Z-(0 zU>95zs}US98-ga}LJ&Iibk$;^pY{%6o2eo%you4zdpdw;UAN=+01y(g;rtp5*FtNV zb!&kRIKrK;QZ}^AL_i11e0mh5?WkEj0>~W(#C3S{zbGy!Od?J_U>jb-1E;iT(Y4MW4o74eUgmaAhOWFSgj z{(1tAKtPu6UIWnI)XRMyTYp$(!?Okx=@~+sLE*(6LC~9<9N;k)VqWHJ98v0b%yP9D zHhR*tbXr{z5cA%cCdO4>x=|xVRM_{A=O?xPrp>9mz3Q8H&b7>p@OiPN0SbhCexetG zp47(Q#9)l`M-QMQF|zynzmQ^8Ij7?D#$vPT!*YCi)p%l(I%XjG)89`Nciyy%a{ZaC za8LGHCpC+;$X|2}^?Z>}>{F=8jNg)J|K!ChY8sqTUQ%6!SnL;_=uAx0e>K~ej5*ED z9VHVO3%){FX;@br=3&3SGA+f&uPd7{TWc{b3CB9j2-h+WCC~`OI$Q|X=0B!3hjU22 z8RYQPu9Cmg?XHP+P~59KP_2l5yRg>xEYrZl^vAAJhr7%L$^4s#t9m@=<6rvg#q%>4 zSb+x#J0d6%#an1T`tRtNMoFaZ&qBoC(9~WG@FFkg*^$Iq0_*J0vLZPSA0_hPBx9~; z>>c6;TDHc9>zhxB)OX2P^f9ri;oa2($>Bv6QwAEK9b2I%m(pNU~*E(fHPgSCq;KNTpd`cTkJGEPho3J|JYIKOy>t9e(78<+uf;bpCiu+sFwESqak_BR z?3>;PLhcM5@9t3>{`V~%YhY-XdH@%EspC7)yY)Jy&*H5)hj-gtF~Ju|K)g$=7XOsZ1yYDWsq=zz~ZTdnjs0jMPhmr4~{MSpG>}kg`%GS;{o?a6^7mF`T z+ITc826Z=6#!`DOO!qb$3W+6te9w_;g|X~(VR9hc_vlyACh0S<@@oLFKdSuMfmEq!MR$;8>?Jv?8 zpUPdG{=0Tx^6}(l`Z7u-hb$;b^wgG~t5S?zfg-Fyas&&JOWqE^LKDNvA`aUus_7gq z+xE{dZj5y{2i>%E-zlVfQ8m=Me=Rna$^vtk%L{$)Fk;g4Y)-Icx@esd62!EBz+)yR z-^)x1D1X2G(n_o8uAFQ>Mqwje%=z7tF=fBNh$$V3|BF<2ORmZiD`!{L;`UD)l7NcC z*G=pH*+m zg+0f`XLP_p%KmJRft_@WOAdy3Q8t1+$ck&SP#vEkYpxHY>#x9iZ%Gv3uYc~V^FK12 zHYXY{62$p!rCLE|>j%5TIPvb7(bm0;H$a!OUo)g&39eW3t~OlL_AM9lN)U>y4)~OO z2T5}WoQDhzpa=qnp@{;>kUH)(w(Agr-93_Hdxdslv@{$hP6S~?5TQ<~hS3GVNSH)C zdIa-!rU}0$?escqZ3aTvvl}`>kMO1*Hru?SLm~IZhypr!*BYjEupFtiGl=1*tNY*_g_R^tlhYD_OBKsQ)!R|F7ga@2f zNu@hb2;)h{s5iJ+dJV{|WV#(_a59DTSyn_ybRWIpn|OQ0hL=j4Yd+8SjdAFHWX)0j z1hbQ_V`I6t<~VKYJ847p2WO%a!98AtCUN@vW0g2^+RT@c;x&f3*~&mh@i<7|**iI4 zJ2Qo^m8izuuW$Rj%3vR`ZMOsY3QXs&D7_?xXbo5}*9}gYu};N<+fMazSgj8pUe9Sb zBXf}r9yq6~NQfX2M3kZz9L(d~P`q*Td=NV6^9e~91vR75?}`cHi(6(5^}Yzzzx??8 z^z()~m;^w3c~0mYVp{sAX&a$+`S>j*)azv5@MbtiL;09{R=7Lx+3%Qtqq!i~%)&r_9_^YDlId!`$D&;DVz1KbA zmdMSaDxQgIp@Exrx9z`SaiEiH-+{2@~k4Xg_cnm!JnYc@+wdO~s#sK+l2d%Ak7^ z-(v72czDJNE`jETxjP2Kj&no7WT-P*{r+z^gC4#Ox8dZp;W6app7=dOtMrKTe;8m< z2XTvdFa;P;Av#!<6UlS9Z+q*wWGjaE=c}M;{30pySS0Io)BgYFMl=hF>{fO8cJ02{&1wjOu zYh-QgAV<2Ra!rPsoTyN7W|-O_6-iLLbH zePF)CelnHJCjz%jy%Wd7 zetVkF2>?9va6Kwew8s#65rk%H<o6^9Y3U(v^V%S$H z`4~SW!d5-#p(;_Z`SRU5@4!JX*yuT=ab*yFOn_cZ5b`Vqk zxG}T~%7xSju;4v`!UK#89xV@>IF$LF=i*5X)k%2EKb6H2ao1M1m z?=N71lPOlM7-G`j#sd+iv4EXV1C)Hy;#ab;J5UG>m3ocyr$=L z8)LilMJPGtP7B(Nn{jCs@=4`mo>DuIWl4aFjk?7_dPSJ$@5VUInERDeuNTKwdh_uV zB|0SUND9u#eO|uE8z_ES5P$zZNc*NjZDkUzX3AsTBh?bbWvQ75cYoHTY+R(mzxnnD z4ozu6kzrtv&ry=v!s7d@lIiZlprPB;jj-W^qZqO?AyE9K+qQP}?55PqlIe|fHm=IK zXS0VI5#0w5pGEm@KH;9DYhK3eTWkTMv$2}n0&GD>{K@24j=9-8;RykpV4%0={tk1#jAK8xOtjxxPS6%?}67^|bYoSh@`{qBX`wFPsXscOB@ijCc1+X7I+&wF-A1nSfK56f8BndLB5v~I6 z%5CeKccy-uXRg7bB%F}%rXe-fHEY=d{yQrSYE+nBc;awE+9#Durk_D4mB91sOGBVW zpATC;QrY;o_lv3&sq+iUb7AanYraU=i!Lc^Y-J`NG3VLQP-DIL04zzOo3~TxV~-Q( zS~2!%R`Jjm9lyR6BGWo(T1%F4p#&9ud0pA$54nqj0g0J>ctX}`hpgUs@YWyHF;_JM ze?zgFojP4)iW!0l z0(qk_9YqhJ%0pvDNt;TeXrB?O&c@<*<6^90TeE{IU zeN(WR~yl{%RmT~BpQg_ph@{{)M>yTs2H@-PV-GQ@?88 zNLH+zcpAW7;vIs5$OdZX`GiqFuGfc{hke7$%f1)+;EHfOi;jF49raH%UtG3&<wJHg#XO#5@e?#Gz`9yy-2OzVM)<20tp@-!Cf7GX$uo2u63b2ois2C^^n zHp*IaG)ofLycORl9?Y+vtlFaFk_X0UhGhsjZzyS5AUXPN!FG|uBt+Q>R+VM^e}jw; zrPSGwz4dERw+o9LE1n%ndE6~EIbm5q&Y$W^$gg}PLWcn_3tQW`J*;{Oi#El+2te`5 zC~t5M%HCf-+T7&60bUxIWrQVE5R?|E%Fh3~?trALRB zk6r=nSvLtDX&ZiD$Gs0*=UwT@l3Q`0Ksj|Cygh6~jZI*j{TRfs0b!UiGBL{v{dA{) zCz7@2GWMX9b)QF4)v9d~NkYdrp*JM{%*<_FH78>YofG_@xeo9iI0E@cw4-IBlnXr^ z>k!6;yIp+%xFAKyvmrTv3=(@EXz?~a0`mmj_k3^{duy!1_0S^IUQg*E z>CRoTzwI+!|48fAU7g;t??BG{ib!cN7c9|$U1id)iFB?)Zy&9Mn~FCa*Gbxti7Gkt zoVHnv=6t&@FvoToj9@?8I8~-98*5sPGF0`ii5HwP1vUR_(xu*D#aguxB@;S`8pz9u zTCwvKJ09S#HMPk2Dcqm;cP7vIu-)gV^qe7J$Afc2)?Tb>kQWe5UfR^CzvZ*Qb)-0B zCrWmk{dFZvL9bH>C`wLhCE3lALqnByEO0cN1wk#Ex_PrSPd#ugcfeg-g$zSwmz)1jB~4pW{PO`Qwv?nyOy4Sx`IqB6+uj|ItotC1xm zk?B+v;GpCrJE^dGu3bGaSfSCf`pXIn+%K}Nr>ldt$#dTy3EfEN?J!v^eO_A7%H=^q zMqgprV&lSoF&C6YFke9wkRqA;2U0i^m4ZvQbG$VuJw|fp9&YI(hH(S2^7W}-_^=*9 zC;@Iu@B1;2)5jL~KL?@aM~j*P!hAh^8WR`DiCmMY25hVo%%@5}dk{S284Mi32^qpR zO?su>cuLlT*2V3l-Tz*bz}vgIB+ahxk5c(WsjLNB;(a?{9g^BN@00u&Vyi;{5u@Or zIn+AftxyNOAyyFY9LLgpctCb8zqr>9d% zHHQ3B-ct~0-0n`g-s`h)cZD%6huX{b-bd?=9w)LIF1;Td3Lp!F(+dAO`$V99xzuR2 zE{n<9Wwsd+K67(_;r5sH1Bp-Qqx*ZM{{P<-E*~~?Pj-uCpDRp#=h-<{1s80ZcnX{? zVVL^<;^aiu!M_nk%8JKCgzw*aZBK^+X}>|0FdzAi}vMj=pRdGXOJ=xSGbk35Un*erBy z%=NqVXwGWNqay<4e@5uj|JCl4;`4Thd@$;e??HTXxIXG>VyOCN+GfbxTEs=~aj}JF zOL*JO?+1bV65OMdBV%^A4?QG^;D)jN_~D95&b2}gvOxXE(;(fwkIS$7+)l#Q3vDyw z)l&rI4WB%sv+MhDRbbm(c*l@x4o&g)V#;f8@czso_-U2+C$gxmWwpoly!u~z)_$E! zuRwS#@7x10Yw{jGrH%7mKd=F@>^F&F6#qQ$BlUlhKi_b23?r_4K$I9+U1yJxv*wt$@H`rcp_^BkpRN8`{A*6OJi88-cE-zzBk@D1# zI8wk9yN?-cv`j(3G39dzp68?zg^)G0q)r!QHGYg1i?^@ObDlis7uk-(4?cdiD?F&x zhfkektTnd94(bVdBayq4M0Lp$(=4but^@hC(?_qqQt8{`Z=*^m0EzoJZawbObilu` zbDC{2lSM?Or2K;6#-87XIX_{NQj||iV{5TksbpgAPXvAMJjM8(gNit=LsqDnS(PjP zAh9HBdGul3^7rKs4|4&6%(Z*FvDBdH_9^$BxNEKD(6yGAlUR$z8w|(orm@w+clv&_ zdhxDpQ+`Hrex82#CJa!OOYtK!9+~q${b^~+m~h*7(s0)$B9Ke?8sWD3&AVu@3E@?2 zt{q?Q$Vl#hN-<6_i*(g0IY4ZKaQJ$IFwmjZ0GUO=)dxY;aBH-x#J_v*RJA6vZzbf+T_)=#Zh5y#G;xAPr z4)t^F*wKvdzx*bD6Dw6zGwEPbx0lKshiSXj)Y*ZoV<(*k6Zrr9Zu0Qlh%!{D)6Oz; z7LSga>Z|Z@3GN~wogn#;_6}2$x?|y&c0;Z2D0Qxy4)@kiQxUr;d`-K3T^1uGETKYNWnN;(lB$=(_G4zc0d+G>Gi6XV;0Lo9uyi$y=%{6shx7#?P0jCmT~{V}1#YWW|1 zHA>(b=IB3KFhki!Fcij?!K%1wqSI+89QP^Ey1=(!l)R)}Ybb6Hp-*F0#wg^NoZ(F3 z{>Kx}FZzXtZ;8(ppZjiYUQm1uQSganP@9YWAY71<>$2YS;Nc6+`YreNE(bbt;Z;poyJY*8^{G%v@Umon`Y8Po3m?D??EY>|R(t5@n&h2xBn}ljwa%d!e>HX8 zR6+V=`*Yxm20u}P~AkHjj|s;bLz`;NgbD!P1j96enIoG z=DNcl;{|*4?EIB2!*9;$<%rHdvEEnX&p4+uoo31umTX*@eP|Tj*6nrR_?nmqq-R?` zVDdPcy0CgGo8$N?pW3u2LTtsXEx#M2a_FaE)*4dy`$eyC#~${iew>1DCBmPZ>up#s zljMdo)6R^s@fRT*Qt#6oNAW-$l>wh6%f7Ak1C1SZ5!_j_zn|OQ<_KAMb6;5mMF47{?!DNF^i=( LXoCB{gZh5}ozpa+ diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 2bfb33aaeb601560ef209b56689cc31fe36b09eb..4c00f2e2aa9b368169d1abe25443c8d1aac77b3b 100755 GIT binary patch delta 2834 zcmV+t3+?oh8kic8Q-AO_00000002k}00000007+r00000000;b00000004La>{{Jx zWJwk8tRK66;pc-0vOUV+%!AVR_E$0>-kx;NrXfl1+>Xg=6GP9WlWooRVA9z|5&seq zp9G)92f+t@@q@(R)5)CNbdRls_N9KQ>Us< z-Q@1wyLaDMDwY0CZ~yak;{HY4#m|Kg{?}sQtrVO8q}aTlAF5jV_(G}l52}ChbK!%F z7`TXm*Gmlio%X!H(a*bR55&*va%Xk*S}X6Z^*gIOYs*>|_}$&Zm92a(zj_M4X8b!% z|MlVIb93ltSAV+6txC{d)Mh%FPp9+g>U_F3pRUiR8}sSre0p&{y>x=kTh6!dC!hS4 z{QoF_N~ML;!V|8e3!=2}2J**pbL}tWdy>dQ^t_3Fh}%gc7M{CVji@&hMNwH9Pv+6d z42|4*l6U%1U655<1U~0xH4s$=1n+ZGUZu3MFnBQ*1|feMP%uZBIg5 zyR%8lU3vBehB)c=W@)ZY^hSO?sxD(}*%(&x_ zh=W{&TYuIpSG3?N5BLk`5T4Mvfd8xC@G$KFSn;wE=-MAocpst-_$^e%$;4oByO5hs zZaBH_MGP!sH%{vkf7imy%1}KSS`e~5R*a_ zb73Vs+#ZN$VWVL2EG!f(o`rpaE37JH$e<>4r1Cpo?#sK^k@r@3xB74hpM)xKTp$e& zgMVXD2FIcdjzt+9i!wMCWpFIY;8?7147MmuAEXkSB1>$F?CK;-Mg2t91lLJqO^7UO zLS$JJBFmZ(S=NNeuHVGGAMeziS;t3YT6D*1+Sd?j| z8yk6_ULxkSx58be*1c79V2b^M+fl2jpKuZZm+YB7Q9s=Q)=O;%YWr} z8?~`2QNRFZu?iXm$Ub(Se6)wbunOGh4B#GT0Jk>-xU(6+P0axAX9jR9Gl0980o=e0 z;NJBP7=ji4<=ye&==L<=rs80A3S}wgmhDa|g~d9aTr^BUl;t zjzUDAtY^1@q;3OAUoRUM+>ao76i0)u?;-#ih1f*++TO*1LE8e}x^L<}s{1PT(YI4M zPVKPB1ST;|V_1!0Er#_N+VU06wtB;AwvGd~o&&b71Gc^cw$1~#-a|r(sDI^;0@ws^ z@~Wq`L05mQK&+A?zl5i7r$-Y?xg_f$L9!kaBmlL%6F}Jas58HiWMbeC zlTq=`a1Mzh>_9q(kiJ*a&GjgD(QllVNy*7X4$hQo1$1ND!x0$HMm`Psm~8Wj$=^_@ zre5kuKZKheFzeO=|3)Jm#hz0cLxY*Olu(O+d3Gp^+KPp^+oZp^+`jp^fc zq(*2k8=*mOghr|n8h@ikXmlE(k!FO(m=PLH2s*NquSXcl%t2gGk>{mWV(guCFgTWSXtm?JI0k5)5SO{r^|7Sn=`n$JKSu>#xqp1;^++0PnKV}<3c^`| zMtrp6>Zu*#LlI;-X^f?dxs&&-25oKgwuo}ZO zhP(lx-*DYRDICCXDME`8Vw0>UTV#k0GQ{>6Vsi|!HHO$2LlyL=c>!chMesPLwXOEZ z)n98PpLa65AAbYHYNBfhiVRAq81%htA zwE$qy1Rz2=97>;oBPjcul@?RZm5V87SWG#?V#*m7Q_irMa)!l}Gn6TRg)FO+W%T0Q zG5at9eKKW1#K7mL+4rcPCb^jk+~#!Pv)EX5)ai=a0t*mJ`efe<7K4ZtY!ahNvTu0X zKBBGBCVv8_8;T~TO$Cr~r%?(1R})q6WT%hxSH9ZMJt%Wi`IR}jbk5+i)1Bdr)+hUp zH;3e6JdX=}LT#aU_9+jXeBKWErXT4Q*HPx=bLjLJzMm-gqS%S%=bqLK#zzx3LoeFx{2K3S>~y|Z8YHj%Hd`D#v{ zapFUlL^Bsz@ENB&d)XTrdGss3WZAd*wl9fPf6!N>TE&$7*n^6%hD<@9EZV%4#XI|e zLx0(CytWVdlBm-k_-goupilNYU!pAD@k#4HcV)jnwapithRn(5J*V?k0t zAA9k6k~c?(}$^w*=>FCnE zI=P%&+P!poKbKP~zKSi5tXYgqFB4gMmA2fg^xoRcZ#=iNn(l0N^6pOOxo7DgB>LUn z`p!oF$@S&dMyI{A(c8Jv-FW&ZUw!2uL@i!_qG^4C-V69naPxS0I6Xcpce@Ku5`X-X zc#3LhB#((bgM*W5^1vRhK2{IEmj*^2t`;7K?PX&5Ig^XE5#IOdV%Ox%E^a)qi)*ii zi)-G+jn~A*pCxynC5juB2X=A#c*FR8G%^a~)WMX{d<{9 delta 2827 zcmV+m3-t7u8j>22Q-AF?00000002S@00000007+r00000000sV00000004La>{?rI zV@VM{S#HZsu)qUIT%3myGZJEbj_ueP33NQMcgG@$caF1@MOKKs6DMoPdPL&vq7~ww z@W2ayfER>>1W&vG;sFV9Sqa3$BJsd-TkZ_?Rdsb&J7>ZqlYf~7ZI0x0eP361*QxHV zuC{aM&Ye4NPLkx`RNBwiiTf9E7oQ6s{I9KnchK7WC#}tU`H*Vzsf8r@2kBpYE_`sY z1}@gX8)XgronqeK=<{BRf%vShbXQlewu}DSpu4)gwxV^8-`P31zFCy=t9RkoJpUfw z|FzNd3nlbZ*MEEIvsKr7&}KR{8&E%YI7JBwI&?nW)5-bxg$%IaiVMyGQ$ za_4E$9Yney*ZQ4qM8b;pYt|$TUU&*9f#uW9;|)975Pu2@H;gH^qqlxthveSghTb~e zo3!1PXJ6nIr@j6>&FVyN6xSkkdB?VYNA4T`oUXJ7RaK4HG-9QLmAC^T`~F?Iy^z5H zOu}56y4y#ys8^Va@G;M$&14!m3|=#8-icu{nYvKBaEOGA)2xsLi}t@fIPmab0lV9X zfn0@K)_*(~TCmC)|KJ?L;|3S-fAtq0rU-x?FM&Y!{$$E=hyw82sg9G0VQVYMO(QQE zxnbnGk!wcIjGP*|x`i<@)}vIq_^Pq9yUL_QQ3S5`FqH=F;W9UDlY!`pIA z*$J+{&d~MO8M^*DL)Twt==$pnU4NaSm`>fnOC1Wy)S(xbg4hURJ&3g+W!Y82$ye^OiyO(28 zUVn~7c{vv4n9Jis;116gqd5FWh+Hm+lvjM*CGSrC!RsRP$2ZOAQP!v*c{?cRe1%-<}o8 z-B^*_pnY#kUTQi^gB>kjx9sXN%zqx+omPX$VMbV^Pzwrm*W6GI)INZXaq=R>7L@I5 z;zwrUNA^aETW~)X(RrT?=(pGB?H%TSDTqz1ULU!5T~JU!sZmp-s76&p(RWcj&TO~G z1g0^}Vpxk|J%)`K`rRu%`_1b%vme-DKeEGqXovmS4*S6!_M^LW5{s6<5`RDpyuq8E zwgz4Nu>rA3LUsvH;YN=plyFJTLxSWyBuLIfg5*3TNX|pT`KN!d??LB%F2%%)-%mcp zf#Dp|M%aO53`_c?l$-Tv?PAmkRWaX?G`}I~w^g;aj`KK|9{@y^MJW;t*|8;;Uu=4%6K)H`K%IZ5wINRT7&-ekylWp zw@qMosB0B|R#O=r3=|OWE8G^L&GKhrVJ@}@XhFJ{L7*-iRMpnxHdf@4r2t<7xKg#6Ql<*$*C3*ncO4mf)n&5}X)< z-~=KX6sIW`6jDcMs4Hn03&|P_DSrgS?8rHw2weqLm$_<7m(wbAnG_(V9IFs=_;Eox zqXa3YeX11Gyo!;{mC(oqOK4<;B{Z_l5*m4D35{&Bghnn}LL*--p^^HQ&`5$oyEDh1 zX@>L1p5gr2WH^6*8Gp{7afb8fpyB*kX*hqLf{PM@m~d|ZjcA5Eq5kuP&Wa~=_B^4! z^@MuW6FOI(&^h&ly4Djq1@?-ESD8yE0u^~)v?9j~75QDL$n8Q!UKc8Ix=_b_9_mV)PMp<8@^XtB#pIBnk$kH+=+xn7`(vsRtLnFQIO?4^DJHdjlAnMe2BzSrdvBI z{0Rzx@aeA20b-V_3gwQ~jNwuY8!@cMuolBCh8#m-hP&dS7XDzk9HCZ(*d(vX7J0-5 zdBpa3#O8R!)_-`!#(2a&z|}Cw~wp0Q?K5Z(|erxXmxE+RrTb47FDKax8Ow@^4!;dwqys4*y)^+h|W9a%=>B z@~8u9652#oM;&~GTB~ZVJ)t~jaLM$YV} zCtC66JLoU$IMLKc>pB7-Hzwppp7X&EY}YlTcYi_~qm|@8v>m+9ROR2ZHIdaHvuaVz zPi&h{Sherknx}0ILb^Wrrb<-iMo#kI+71wev^L&z%A(Bwa96cxpGIw>8fqWL`LP92 z%~`<9wznuaL;)o;#^t}ZZ6e2CwQ5G5bK-s1eCMtfe9rWp|Hi6C9{sAVxn|pZ%hp6M z_kUrlMp|J?eq_PpRxMgpzp`pZE6G1(Q2vTlTeLM)5eEIf1^5a@pZs^W=KPL##Of!D z7Jb|B$rqj@`R4dwvVVK8a=o)!866%@_NLE|r?bk7ql4*Wx>p&^Dyn_CR=aYwGCMe$ z-WtuuJCzqk`$yx-i<8-NmBYzP<4P^7%ztiIo*&I_IpX$iWi~q48_z12TUYLFtV^}U z!^M*Zxs)#MEZ%*bOBq#PLFgfCT9N4$B9qrB6kefn^JekHGux}#_RVh5+wMN|6eXX7 zUVnXiqj-9KrM=PZY;W|ppXhCTv-#4i`)&cD_#@5ABUCQnf_>v?bTB(Qtn_*dNPii> zES@3_o!<$ur*LputDf1zwTJ5A_tT4!hik#Zu)RVoKc{lBHbQxiE;db0?c&CnU0i=X zTwJ#dVEiBp;zy_r!M%{r|V*KeIy%)d9n{dEx*7 diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index c11bd0c..77968bf 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,5 +1,5 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567402893262 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402893262 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:41:32 2019 " "Processing started: Mon Sep 02 01:41:32 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402893262 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567402893262 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567402893262 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567402895340 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402895809 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:41:35 2019 " "Processing ended: Mon Sep 02 01:41:35 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402895809 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402895809 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402895809 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567402895809 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567472156106 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567472156106 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 20:55:55 2019 " "Processing started: Mon Sep 02 20:55:55 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567472156106 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567472156106 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567472156106 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567472157934 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567472158387 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 20:55:58 2019 " "Processing ended: Mon Sep 02 20:55:58 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567472158387 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567472158387 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567472158387 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567472158387 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index 6b897856f5d746da87a86e401fdb2ad2fd9a40c5..c77d18db880b5f16dcafbe784a5c74d0b2ebdcdd 100755 GIT binary patch delta 1034 zcmV+l1oiu)3ZM#*TYpIe000000027y00000001Bb00000004La>{d%}+eQ$k`amB^ zpFj(w$YBmaU;~v*2{Ei1IYgFaC$3c|q7nqT6f1HmGvO=TUDwK`|GRDKe#4b4O0nG9 z=%I%$K+eo^=bPD`$MWp#?CeiGj^jMQdHRejOW2v~b&_>xWcR@Vk`#=v(Om*sKDS0v{ zw?!>?dvkMpvkj*CgI)eP$N-0>a8C4-NTi+q2*et<@_)A=!z{1I@)X zJ&{E5wBWg!^gGYDT-Wt1Ag{1NvhtT8y~q8nW~=QTcH8&r&CU5j8%8UC4C2|6wiCRA zT^R}!YkDSPGu;7u{8UL&XgiwxEXku-a5h*ij^(Fc zXc#5(iGPtwGl$kfom9k=H?p9>6fD1EjZ^AqYPrhap4(y_;cF_8rf^uZ0~dI=;5TPM z7kUMP&GAdJ;BR#}Ke}<|zao{H0yPq51sqPpXlzi03=@>aV~um<9;I3q*y6i0ycfs$ z9*>0#3;Ei})Splml0KG~0CNH~UFtu4!4QsfE`PsyTgutDksYj8vkP9chAaMKQ)LMON)fiX#JJ9T2aMmQQ zprJg~We26ThSuRa?IEy3QH-UgYNah~WkG0FVF%(D+KE!!*)z~$ zbblSt!z$=Qc`5?wM~R4OjngWw!^=eIbvi1W@yyn-JVke2FO&01d*Jl0TB*V+&8M_<{C!NF2g;L0gnd7QA|hk=J=?E3 z!P#;pUTL!n@PZC{yS*-X!_MQwCHR*jxqk-yLg)n2-F6yW)CVG&+S!?CNnI2fwHoeh zH!ny2f5lw2`l>v)7yjKSFELsgHjs6YtNb@uE$(BN-dB8qO@B|U#>%UYJK4dovtM>H zZe(N?Zh*L_xJ%1n=RO7L9!vj~G6~tKn;^WbxwYfEZTbrU0RR7Z0peg_WZ+<6V3Sq^ E&5j-Oy8r+H delta 1034 zcmV+l1oiu%3Zn{;TYpRh000000027y00000001Ke00000004La>{i`x8$}R5LlGY> zP%420;$a>tlt|b)yQz{!Jk)WVln|5F=T=o;s`cHTbM1Zgb~nU*>Hl3Q;WxK-e0JkN zA|7~PrMubL+xgAR&d1*A>FMd8cpS&MkH@LioKHd8O37px%YTB`7Dw$Pth|HJAC>2K z%<;Sdz*qQu20)%k5>bp7L6~Qp+6Q>Og)l!?VF1Bc&V6XU1zOQ8wT{w}x`x$9ZLi0> zs^e=gwGZ){sxM9Wf8k$04}0hwpl>mq1!zyEvJhGZv>U}z@yVYPCQcBD+Ay6>z+*7x zF6az%M;=GMq<_O)M_H=2=-JjUw#1bjPCkZ_N`K@dBbDYES_`#P5szQXf&x=8|DHBZsl$op>iqkeE|w9#rUGdSgEbp)j&*Z( za~57S4O7(n6i-ck-Pwy6QJo*@4+*MaDSXL{`I?({|@h+=r{@hQkpx%9!|1~ zTSBwk>{O;)H*KRcG71s#|G|-b4hc{Ch#iu87-DSadmzhlAUwT zn#83HUI)yyYtldrO)Lr;$P-<5P+Dnd9j?+Y0y_}JNNTE9+MFwMOsfjp7r)VVl;X~w zf`1mntAHLU0Gw%Rv0hq?&@Lkp8TjaEu@47Nd{Ri)5l_d#ojOP-N6< zxKnRl4E_I#x@z@R=iFZSccZ+-Xkpku) z^b!I{=p=*^AS7R&_x&rrbKaA4GWXuyo!PrPJ9mD+;W~BdR0+pv=D$DlcZ(^lTwnUT z`*@41sw-bpRu#V$;O^-nuBNUgeoae7RZT_hnyR|^L)Vvq?#`~__ne$P#8t%~-VwKU zeI{<`;{J*`5>|SaspizF#=!p(r&|C0U#SxMzcTprM$Wk=rN?_+xUg63!{Mv^*G_Q} zF1~qv<*N8>)T_6x1!lafTgo@DOBdWcZMbkham!oOm(jAFkfk5C&>w!#bWC0EC;D&o z)7%Dp%BuILJi7XIYRk&Xd`yZ)k2*J7+yJC>PTSi7^R--OFn@>~;h=FSrvtTr6FfTC zcR{rlko9SgWA>y%Sp(h4f?vKwHBp^{T^7?&{oQ_p=dAhpxWP-;-d@==?pZc|%=YQ6 ze4GalrEmc4BBa*HDL^gN-zJwAUN*Zi6iI+^i9Yi^bozpSd$?KNvoZ96v-Kh(-p{S$ z-3qk<^E;8>aVatuhWIRY@*7|N=6Bv6LHKH-c9@QV-C|@Xz+Cveqb{lb8~Jelu&Kw- zJi%ki4%4hze`PyW)>@|G@z&zd!z>N~|r1I(tt9_IrH1 z3;L~eO6$5oqiMFus7TbrI_XbyTgK-z$7^${w<=4o4l;tipSiR zpWZYz*U#waWb+B?`g=Y+9IUBESt*0Q|919vH@_$}K&Vl(if|LFeqdRvay&QETrxI( z`Zgn6BR`^Dn5LRG=t-`Bg7;_*Hwpw;QF zm4o0<_=(TYwkFool!8n=;QrwipJwP`LC-xx+PK$#BQmVaWWRkK`rXwVblzt_u{M7Y zKo@tyXKcMlRfHWB-@v;zrv`Xhn{pPKE=)LxuZwtG4rML>LgX$#H^QyOHVX! z-mHIYLAG8E3g#o94?eg$R-}FZZ zoJFIJLn2pJH2bE8UgWs^#NR`#XZXcc&=S6##{nGd-)-tYPYvjs=zCs$5B!t6ehrnf zp5e4j%@?zs)b0LpqL2s9m{vRTF=kYM(Ju3dEcumrilq`sz{hyN73Dth!Y&P)`S6e;tNGMDR}VgIN>BnVkxsmX4pGTfT* z;?{+)q8*oc-EUgHAQoWgEz^cdl>u~DJWtG9tMxwd&cfAa?x4V!eH-&mccnLzZcTqT za|4q!zFTv|DK?8d?_I{l?%N!LRvNLvA7~4k&aky@jaR?wyB5PU0-`E;?JiX<*&#CP zFJ*@{Jr`3aE+*|0wl@Ik2d~_qx$2c0hB8YOHvjpEw0n=(16@yWQQ@Boc+=C$61R4X zA$vK`B-OKH10p9AJ;}+5hg)iksrTDxFMeAz7E$Jdo#*`_({5EBLVIIq%u1F2q2b%9 z??Hv=y<~S-^H}ppX=)SSAG=xI&ARu;$97-6PKr^Sb2(aN;hy% z;Tv2h_z#@GTPp~`{$Se@vEAvHwznK~kIK@U-_~tF1W#J>!1te3uQ5d8zTMJ&^}(|o zHRNqg^iEjQ;~KvSi!|ViF4iAcS`_r}vN^fpY?XIve_ZKpdXtsM2>f|R)QGKh?fEbk z!9qk(yXJHDK*Y0c?A!~HX+U3z)d`ttL+~MEgK1m-OQ7J&$cxRZ>&JzU5ltTc$KOwW z_m;j*c3yuWbAR%F{$rc3^vv&+Q4U3w# zwcdi)e%kK7W4gKP%DbtcCyD(c&pRlfd)o@HNJR47bkuqOPq}y!_EG z0;~TDIrdZzTygVBzOM&7pnjWz>T8F1=;XD!M-YSO{+fv?ZdTfcj~pbE1@*s{MF<+b zwF;IfnoOEEXDyrT&ofnr7{k${ullVLcY8})D>&B+20G?v7B{NymK``iU%YjknV#X9 z`F^_!b^fhi_UOwWW4JhesEKvi-&ePqWUab(XBKB?pqiJ5wEL;?a?Or;S^wJPO*9n0 zbFLvJg^#y-MAguh{Z)Z1IJKs^E=re`#|6`ne?uwq@AUeT_^Spp4%cno+wfMn^f4L| z3!x=r9Y^ci!u40gs;cAe^q1Df3qLG!HQsjGzijgr8XvIRezvM~w9jnWclS`M_pszf=&0Tg%=a7`*txk3&}mp5G8jw>*kMG#V}Fw^8NhU|}%_ zlUk$WP`ky^h#=2hm&2XSU<16r5rEnxy0_(7yD{~dPeq15;r z%&J|-rI*rGs>n9vo$^RL@N?Wo84RZUgo2G`>qf7+-hG%fvqL9Z+F`t zr^6jI6?&ts{4OK|pLg3{Ht)Sv>_PBRSfP@7cH%hYp3U5qousaX@UfVR*@?jew&L6I zNki)iE9)Km7g|==`P%)$)KMqCBI4@xia~WrR_kPmlA6Fx(IKA5?xvFFF|)97fk@6Q z_nK-CbiTxvuLv*su1;W4c=A@(ZL9=N!9r|yY^r;wTJHONJ*?O&3}1E>>KvZ$D8#w< zZS%OLCNSr-th)V^WDtibS~OjN^7@y5F)&+f3jE_Yk(-EKlw`~(=_l|}( z2WyE;y@1G1K0#jyP-#HwIa^I=zN7hn+=>oS=I__F?_Umwlm944mtaz=6f* zP@-c(tytT}bYf5IHLJn^y%vBcq5``=D`IJRkKYT*P2LckbQ}!?DOa387H(u-)1q4y zB(5!pPhML0f4@6vtT(ac>>L?00j!mlgDuf&bLwE8#a5qjpNFBxXTk@QK+^_+k2`2~ zGV~A!;gyBWHC`cHW_#z|o1PmFxP>_CCnik(a`~hM*tvvViVWjx|2I@Le`a}+WLSuE z!?ppN9A?&wg!hz8y=`>m`wqo=$6{sZLn#I-N0N){&GK-1@sVxeCyeOJ8pa)a%pCE1 z6ngH=w7US97uUP+O$cY1IiZ=p#^JEGlP%Mjpsn0&n3y&``Lj^+_Y6b$ z$$f}%1{Hd9waU|FT#!!>k?z33H?fx={99(%^6_!#mv&rLHx8Ugdzh~6C{M=O4JTi+ z2a<4xe1Wqo!TgCkYc5#CrOC&m%HAo6-BPHs*jkuC^U8y9@Ig8idi4-G)D3!F z)xP#)RSr?tsgzQar=8v1a(PlTL(?^4GtCe(-`CKys*Wa=7f+%NOqBbJVz!4>mqz5* zAFlr4nJ^Un`1>&HWw>Mf3C}Vy><+D|f_AnmZn%dyIw`+{1Ke34mJR!b`XR|pW+(?- zpK`NdMwQ14<}@TM(;aHlC#s`2u(;2NA3L3zrI=@66;JZmtA(8kzfc$JOG1Y9n~^MU zK|xa1V+Y8{3cqip?~g4m3H5$1s35pyTczc>kpp2O)@=2RBO2WMoItlY8ziNV1O zY+jiWUePsx7*Feqay2UUDS+*unnb<{$H&`dX-mlIc6B3{V^cmdrNXnt>R|Vu>#6HM z*~g7CufcyT9LA*p^~`G$d8ii|?UcH5i}4^(T-PaY(A<%&*HlmKL0S3w5_hZGJ2Hj(#0=$&dlH=)$(vKvrK}sa4cO8S*YURD?O)xIV&PdC0dIB>b9!ynD?K#R z18kmV#&}teHugUEvAwtLKNaffAh-gn5>~TE!u92MacaYd7PBKft2Dz;>Wls0qT&Ln zoR+k~KlR^21iqCxG|DIH%jO{Da)F8FTr0(r^BxTKovOBg@1qTldh7fXrB9zTI#w4c zgbRE%c=5)s0yuB>e<0f=oD`>+P&-Y3q1m7=Q}wW`qZ1;t9#CqVYGa^xU<`i;eN4Ux zaSF4>Cw(vby-#sDUOQJ)rsVzVCc<-Ed0hEcuAj^C?UYrvHIeZBfItT+<%NI1O}wi- zoj6+Mxw_IF>g2HY+Bv3?}(Jw%TDTV(&m(%0`dAy>YxzS*L zq$kk+FMV>Rde)Pws7V=e|9gYnB6oSWg6$ zEefjtIB-6j8!LiC^7*Zdhjk?cmj-R-_`UYoHh#2H6*qN!I)+#dk>yN$EbJ)Fxoq{I zZK)w#Qq(uPCjZv4ei7p9T>ZXCkV+Rg)w|eiXYR@S^^9~&tY55htz~R4yZ;}(g)Bog z7K55ZXt+nG^xS@yJgn}$C*I*`*FcZh9r#T0r+)6o$eI@0XUBgcHqCUVLsGB*3>RG8 zSO5yjWj}N}7G4+vb>!mBgCu5F2K=hz|e=@f-ea=6l=b8|I?<2acdDinnOus7Eq;4+Fl&jR$ zzJXe-`sePl9S2QuuAf?Y#b_<_wkSQ;EVG*8iRG0P2(!P+z z6#Y@E@kb}_pj#V%l?-S=26p(b{l>ARPXyFettYgWYAURqz$QENU7JC*0TC+WCAzk!s()u)pt-1h*a}- z|6)MkXJ+rm788Awnr>+T#{5PDxZI;D=4fh!3={59r`Ky&7X8Rk%e-3YA;*llpB-K_ z7C7hgn9*RLg6E%xF_Q^mDTyN1AvIJpwxb@hm~tjpTRu49m7ZU?gKaCt{Txp0PO!4V zyr9)De*-qax)Sx>z%h}9PcKq87br$AcugE)&pk2QtuK8|L@}@K1n0ieBYfyr=Ssx( zOqBlcPE^R9O@u)p>hREmd=EmH zI;RIt9wbIfZQw_>GnV7U1gI>zEFEu^uWOv>)+CCNkpb6Bs!qbVclSD)KgdZ3^frjq zAg;{x-5YBzwO|NOfDBQaP&0wqu>^d0=%eejtCh`bI#Uh9P$ly2KYJ)pt$gWk!^obA zuRknx4F2BH=u&^@$v1Zt{&aje8PIk;XQr1J9LUeeWp2I|6)HDb9UK8V>iF#V>ZTmn z%?@)5?Un67e!s~wSUPaFak*^Xo#{LcRPFc;+wa)Y+pgA@5e`Ru(T=a!He=AIQSiB6 zlbTkKwiSSlR_q(Y#~uY<#DBVYx^VpqHWHOZYdVXom1YgKK^LYt{eacin4^AtSf5oq zQQPs!fien#UgUJ540hgjOj!~Wla<-;=r0c9> zu*dUHlqY=I{tFW6W&JXA6_J_qD;?nwCYXI!WS`Wkdt1ud7W zEg4RK^vgd#I0{|Y?6bZba{aflM{2?1g|P?w^50Z2VWda-mQ5K#%V@}*T;N7DjnXti zjAqV+sJ82OWMy&O8WnkfWAHF1t5sJ3{7sbO!tq$3!_I^&mysx|3w74?==F6|@(kbV z!%@hFf@tpgp25+@$l zDec=oBLkH-gBL;%7C$5r-?29aTPRJ!;GDblb4TADMlH0tSoHL7SDmPl#b-PbetHLw zSE{OkB!4}|k@S511X=M37kE8$Mg3j%_=ZDSOE6JK@lBpS9IHQZY) zwR5$m&A_r>6Aij3FHxW}Gc;v)HJV2IAyoEkVkp6QdpWZv_T-WG(D5m7;9^@6lowxzaQ51a1YL*XB{I~8cWKedM6m;ldqI;ENiyI`m37uqKBZm zU3r>24|2n^9aI7M;|i;4TRWZHv+}!68-)<*ftA}1cLi3YT6QstS*u?fegjpmdT>~e zEjI+!fea6`Dqmd{z&Zcx>a`r4@U8shdU~IIGxWI@qv=vRMK(bWUMuHWXq`!FdeF)P z)&_)(RUZ*|Z3d?lQtgz=EJvw_8eKfZkle+~DX$BEgooq?3l9Q&DYVW*<85eq=S2N5 z6eq4_armX|;F(|d=E6|_&w^T73cm+}3kh0P^B2h~JKF8LhEl^N%)Th|Dix=Edf)7J z6*nT7h2ZUN%4-PKiAEnv2v)w9uH{_&{rbrN&6w*?*|FsrpW)hqAj?o~r6jP!j>?n6 znrc+-dReq|O9tIGem#7WFc_-DUSq3kLVvk_0rKfrNZV!+GRv%Ky{{2aXlm=#6}%{< zN(pZ+6QP(Uur1@+7+69a2jSFs-Pa%O@tCb^nhf$c5zzOxg{@RnaA+{`q8Ju0!LN9J(bWXIp;2jAEn0qc{w1hlyP zE2{kd114zb`y4(n9P?jkU9*hrhC&bx& zjyw$Mp?JE12uEeoY?x+W1}7Jj13!4Qpp~K_w*?@78uBi)d;D^IIdkdB@EAC9FX*C# z^AiO zNUwd``SddR&}FC!>k}6oRXcz}fa_R97hd$9qUia=L~8Zb ziH0>+ypZ0Wc9#^BJo#|xYm{$qs97DN>q5Pi2TUU9PSM9VJJgalZ;?2Kf9E>2-yEH7 zi@=81MW&Ztw6>hqEg<2I>gLk6!>3;?EbY+>4>*aD9yuDx{zy_tv5SXm1-Vmaw9%P>_&3;&+Q_Y&{XacvCyk@EbOom)1g zEGop1uoR;!2|GtgBi(@W^zaBRrC-E9p-M%V`~GUv?riaJKHKd%zkLUU z*N(rq4th4U@-u$Lc!$)Gvg<`rj%>S;1D8AmvwxIo9p|Sv`My9_TLmXU%z*V#euhyD zTVVYPj;oZo)?CI024VLG9kwrHiDPn05*f<;Mj>$ZzrCq(3(qN^^;GZH5OrH5N`vsB za#!a=AbS2l%Pl$O-q#H66o%#3y#cu;15^92_XQt#iuI+|K7Z6Hr2Vfi;_2^?tIcwz z@S&y4I$kA9=kL=6BH_9Z>KgAis_qS)Y*wqM>>n8lnqHHxy^@r#Qhq>fF%i8lUT^m~ zzol<0@@S+6Tpk9j{vrIyLqYnsiW7nCqFn3 zDr6_eo`kM7XSCsh8eS(}&Ca^4jpmzoW<7BJ_3&(VA+i5X5?H1+k~IilO z#)e9Q(+S}P!J1uHXI|_fwGdkyOHxI)C}h`M?gjACKlnaVZjWRYQ_jZAvXe7NPFMyn zA$+GT4{I4i@BH9%z@5{{s9+!4lmo=0G-vRY@QD( zV8c+`{O&uIq}#~Niv$%m{KE+h7yXNGr%l?*G5ZVOI$y;v<^!9hZ^0@w@thIm+cX_Q z(|W}%Bv`?I8&KO7O@bm@lwc{W2wSQGwlIOYyl9>|9)cwsbZPLe_#dJv0l-mM%Sx6* z;#IP_tfRonH2cGM^&U|SV=7t(U1N^X*RR@w-(M-2dRVnxnp$C zoGS-gP`$Cs0pq{Kc;R(}fGFm2rRH!Z`eFqA3Vb{7O5nn=U~Z5D60bm0BJAGyOw-3g z_(2dPUWsNy0LPIUkyV%b->WSVJ}UP2!1)P5>@Ajcb7%UyDdyS!OI100Y$4tPgO@;F zeRkhE!(JVzzo;rUxWBmlz4OrGV%FOrEk$n+nib(r5KOF5sq00RCZ^nX%rNW=H$xUC z=2U1*9l!#(1D_!&LKhl2@>JL~iH%Q*cl_@(wWga7>^P_^UN?A;#z#=}7o1TeTeq{f z$vZjaJW|=)G!b!H94qm-p?GqemjFvVzD?jT0^<8z1CJM3TOLr&u$eI=1!R@?O>efn zrJ#RB1u%Dzl<-&tE5jTKk)=5jgp8>LSX_pRU>=eNYazVqNi0a5De$^&c*YBM#&l;{ zBbihxN$7g9sJE8`{N*LBC=a{q5@jAV7P%pesBJEUbkc1U{qmqJnB@p+d z@2b!+|I~85M3TP8W#`7OHY6rFs#bMk{gX4MpI42rL;IWJU2OE1xq<6#EUaC_7onrC ztO<<gok0k6e zA(0KOg)QW#e)$q4)%xmoI~yYJru7Pn+?Ij~I3*fT z=dfjdO^g)BT!acifEB7$@#>y3-blxcC}6-m607(SfAKWD15heUND`(^VBu&{zy|Rt zL&;{>1#=ycRbTkmtEzmh-U$Mbf48J*ngj#4sO>ATI$xvr5}3EXoojKHw_ss};*u>M z!ZaJ=2C%B;9^8%)#0DMXUzlbs6oC|3MlmdaQWF|4tsX7?cC$`eik;%o@lkl`{pZEp z&+AbBr+z{@+N|hX6Az3sj;bbe;mDePle1~@HGwI`}dn$*^bL_g0&H_xgU>$h!J+x;%0MW*HDhj|MnD0^`O5Sb?bOsCC+?zmRwUZ z{Bt`-IG2+l`^(FKJlJFW?1rwDDa>Htvmz1gl4}xPC}P9k zr_OL;evraMwm&w!QzkL)P(`qjY#-&X9AsiG`EW_B5F6m6?0{%-%l*Q*d8M{%Ct%*@ zNU5Ih$g06-e(MqG$V9307gnG!hpHIEcb{@^n_DI6IfK`9+7ZgxlmF}qFZ6NXZvy|5 zY|yWF>oFY*5w0k_6iuhck|+47Afa|WyN=awxvG%KCa{tVkRw%v6%|Q(x6cd9xGT7i zOH8L^KL9Su#@gW&ui7Vgn0+71Z`jhOV0?2GX0yAe8;-yGcIw4>+%o4E7+m>qXcEOR zpzdQcqevY{b*semDWGGq5}!uw4N~Qs`~X(M@|3Z?J-? zib|9BQqLjPr|k8xEpjkjf`Sp1h85iF5iH?q=oH2ED`aDiA3LentlougcWT{^|dZN9#CBD z5k!JzXkI7FIiv)Q3`6F0k+Xv_W1fz@i1{$>ncdV!H%8RAX9G zCEyjlwhGm}3j%C%+wo!q0rr-Mb?^{%DMSRRg$=EY#R_V=~ALtQO%s}y*?)jU= zDF)6hvlNJq^Qz{vT#09`!H1yYSbOE6fTNXu%MwVR>#vymwTjjCjyHPx6 z|LlcuS)8YRzd^~#*U96={SpnQZVK755aDMbH+I0dC!u+YIa*9*)*U40NbuIrhzK8J z<-fjQ=hFxXb%zrnow5jHsA7y~zX z0Z66~_MEk36XPN^DP$L_e+H#B#%O@6PZ5KjTK7EJwYF)O*FVpb;Cj#x=^T&w`&F<< zUAm`1KZT(678ulPA}Z5Uk(2RRS|KI`v9oph0mPQm|7^kW_Or{M0-Atezm)KZC1lEs z+QXx(DN7;9dYnx=xjkh@S}NqLv<+f;Z6abRKgC{7DkNF@qAV#AOw+H?ncj)L5mh6=NBsV_R#pyVnmcy4LOtV|KiGPgb`a*Jir=muAEIK7Z z1`{<)P45@UH3JqK13G$Cx`Xw__~nWQbOb}h0bNinLsYeVV3Nwb15Ldopu|o z>w~83I%tJ?mq%dxY=vllZvPgIk-%CY_;<*QMz}(A>;88RnyD4SG21R?B&k}FXpW60 zKEnC2>&Pk3)9xLBnnNd-fxZVrfHf8n9bE;B|%*GguG$}XG_cY#`NmsH%u)jqxSZ) z`{=P#uN39OW#WZy%$P+lpCud7+EmGVJUNh;o)n)t<{(=;A;+rAifAPqT%JidtQDX; zk50mz*Gm8b_y0)ZJ%haRtCNK|Ui-v0E!wEGBIKGjNt$P1LIgZdZo&o8`&ZkL_DV_{ zD=(kmStr|dPg$}<9o_sqh6q?OUhfhKP_f~Y&=y~x3)a6cnhB&EdL!9AeHC4;P=VGS z+vJZL#-CiB1|Vl?ZUh(Z;ufvekgaIwFxSQN?8-=M5Vxux4qUAJx{6p%k6h?tYc59l4AAI)p7aK``o(uDa zlmrbT1h+1S&|cOmeo-DwS;}+Ua8hb;3?SE${CQ?=3Gn*P>)fG7!@GclMo8`M00uk0SZ412Q1f*Zb)b*b(aut+%a+sxdjnHE;) zd4+0oMUBKcJNE9T)idxDAFZG>^vz5j&flMx`LyG1}kmG=s$p4`z z5}E`%vUS>Vyn}Z9MaEP?EPxLKN1~Ns2*O80sylZ4L9;O5Xy12iG)d!`&%^fNG4G_M z1?6Xub&qOz{scXd^pR)AXaj(vVA`AIlfRL<8k^sjrRXs}@4eDqll_8G390-5ps{(gsn{=okLdH8b%vPgi(nCm|lK?lpmf#ij`_IrVe2lmkH`@(Ak|2tmykpDCQGS5=3sx(Y9F8 zj?}WpD%-|;YB?lVWFc2@s$|kk_vJqx&lXDFY4b+dDSQ#-84Q!+ujQiJ5#W2r5cyH# zc`NK{wfQj3BtEHZ{9_vkP)R0@$r##V-H#RH4kf(ILM@^roS5~G^*7Nx>R@L-p#O$obwsEI859{L%_P98-u?1L|79RVK6 zvM9@&KFjEaIV6V^7^yMF4lTK7jF$PZAu&HbJ>P_moh&bv?+Fl^5Wk|jPaWM}YATDe zy+`F>OYBfd)uZG=rDzWb{u~H(ro#@dl@i?mjPzlI13}y9FQ(*W?M1jDvPC9)j?lx3 zcnB=&r5y>+c#>KqW{PhaQ`fQDX*6>#`UjH35}M+VbZ+_=@i6$Dzp9?LlQu$T+Nqc{MjU_b2gXC-;&7H!Hsr>28(`kK#%FGSZJZ0Wf4yuWM406hUtlA9ZDS$I z$eNI3&zWBLLC-Y!+Wr5M?a^%b+V$C-&XiHwjdZF&4_fiC9Fl9b)8A*6{w@Rm0vPXW zw5*4?K>m)xU8ONo_;gYLGEcK*tXDMl>qSf!X&g!J>k&oUGoyiENhH+;+hgl&NDV@% zD8aC-=ttBeEI5uT$Aw9yk?f@zB7|vHgbY@WpDsi|8&eIi;}-|3((d8dP>fsDx*z0= z=o{2ZtXw>ajAA?ja`E*h%4O>~STdPRm^wks_-}$#w%5 z-LJk=^KD$9cO)vH_LcK-S^bU(t5n%yel<|m@T{@R zmQ2VL@a2~K$LI-t6pr9~AlyG6#tOj^;v(t*x#=A^;UxdwrvN$!p~WBQES^{0x%)qx%#3fZ3`^U6}%d%u(oH1c(I{%LzT-9za5~;s5;C zhgp#K7yfThA1kGTmiM?x#sxk>#WcwB$PkVx6`a&hL{p`TeQ>6jsqf&6;x5p zw7I%bCM#-!!cN!W;VZ0Gcyi|_Wv}`XfVZz3DAG-zh+q`#${@Oa`+em7YjyE zBjZTDxXdR5@BizSEL0fHY>ebUgP3=las_u^c2UZ_)3J5_xk3wkA` zCtfa!VCns+mExbf!+1#TVZM6E8A2C_b@|Uru~ZXL2(X#K9kV6%b}q!85H}$ZPx3>K zd<3NL`>tkI=d*dSj49>F>J7MA9Rmn1YO!|a??*AeW*a(HRD#WGctIbp0S~TSo|EANI((D)JTcdpv#K zTeHcsP9-^td|7R3#`fjaD*D^f3i8ABfJA2_z}S78?{vvuO6uzsaRW&eEqA@T+#1;# zJpJ-sp+O>cWA~!#F~zT|Z2^FL}}*dec5!IYe!V&PC56 zi4qVoBumt`xZWu3ihF|3YQ&+dS=piJ_2sLl>W3DrD6=T_%vHWB`@(^vY^~t@rJEQH zzvqjQ_ajzMWLo^5a^;;FBV$rLqtxZ!n^J?5Xda}19pJp$kPzA>wiwrgi8A&m&ga}5%0Q8 z{Us9^*O!a~pED_0TS@(>+Ins3NA4WHbDTMKrx^FB(&vCJfA?n3WFPz^tI`_s(69GQHl8)y z_9#)v{x(h!iTJy5Wbt%duF~UMYL0j-la2q}W^=RCEf~Z*81(qvb>(AOrHU^3f|I|> z$BjJrha0m>u*`pIHT%lyQ&$1ngor`IDH>R7>|c_+6|5@0Wq7a7b?@FM7$PF}%g^3pL& zo3@Rvw{S8@iq*tPGR+dzSFzbB3G*W?azHyp)+js#7FIEN<=E}K%M24}lWs_AUl{G# zzl*e5WR;b#e-gm@A}0Bgg#a^d*;B((3T05#9ju^7xse~VwJO_}&pCH!hHD z(_m353bgsSW|n%Z#1YKEpd?^~I42vjG(nm!#;!Kn zjGlHri*}&uU=hsxlB2~0c*0rf*?qv;av!J;yrxCULZaoev$!q^QRA?ykcEj{bL!8^ zm+Z|K-Y5#~#AOM7UrS1?$@zQQ2p6`hj=8y6E8vsN;>~5`6IA?N;Nt*X-0kQ{CBJ7> zR@O_@@gDUNw(GtpM67+S2@Abw;b|9A)N_kji^06D{C%zP!euywI*C0IzMQKs+b+K1pc68`dZX=F2HfmBX5q-D_;>nu6?175 zF?UG10MH0Q{9Ll!PB#PJ7LwpJBhg#Nsd*3a8h^~~4Ph_ab~ z*qEYcc^>X?abPtQA^@);c~uv_`<)HXni+f_SaqrpLN_87-$w$S7YW$!azzmj;= zvRim6Kcu!7?!(=Shsj{#^G?wc{xm&YK9I=~x?<4*FX8!s!N$PE>)EV-MVa`?XK}EQ zt!Xzb{rGjNn068)cggZ6@!jnr$y=p7m7jL{W3Ibxz10@I?}jm=0=Q>tjv`SI37Aj1 z8w2-cpx?i!{UK5;mAOClNVEj4o0XaInPL+YeARUP+2=f+{zys17r5AV1~7OnU?JIY z1NO^Vta8porDm&?Lem`%9-XlVb4HD}RIOp;cHA-7UiH=6efxD|!$s*jmYI5&?F+&t z9tt4%1|RATmR%qfAuVNLlGWc)X9hyN2RGu1`4;rF(I!-0Cf4=UlMMw;!HbR>?sR8W zu6Vx%jdp!oHaAVtvF5RuJ?f|ZAi2L9Wb9ym&0A5dk?Lq$W9r`NK^O&W>i*Tld9`V0 zxSg}b(Dvmy0L?_yU-a60`om<6_S&i*v3)zyKz{YNp%pFcX!T!Sugq1lwW3sI?30VO~sBsL_4 z=JDkQ{4&9yF)lE}dv)y@_aUk4rtZRlby?by3zJ^>%e?wM`!LEzajLi=HZ}y>L#cTi zvB!eXRlsxek?k(Tn&viOv{D!qzMY*xM$|7@a{iUW=hL^QN}nZX;FflC6*yFiPDa$u ze>5A84^%9mKa-J)X_B6N>v;`Tn&MUW_l|o&Jt>cSCUljZz+*acP80hFaVxcHZ`c*< zAmr(fD5}mXrFYo)y(fQnb<4hu6mOfxVFJ`0Km0y?U7Mw^zTU8;5KS4X`Tkze;bZDN z?qBTe-CqvV2CR9%ZgdNms?dth%T-0|vr7Yb2J0Txl80)NlgyAag`rL32A`_e9XrlC zAG7exgvO=ENTIe>>^2+FdlP1rv8p=M{Dhpk%gW5s>5{*K&*G*bVUEA_Gslh!YoReA zx@I7Qzs2k?+!(m7DnSn-+(ubS(rP}PORmkazEBIlZ?iGoB&!wko$jo0Re%7W*uAii zdHFs6{fipS^wl`;uC>0vvu^6BFVnUk{^hH9tiXdODCyfUN}CWtvSWp5>v5Zk&5szu z?ya8D1wL6)8cJ8Hw3d~^-Ond9bZ8MX8GkeAf#3YcO(6=QY)#uMBl;}E?G0U8x9$Wc zZsHeTjSQdLb+bBnb_1Bha2K{0LOW97Zv}mrkQ>dUb_AFw~ybq=O@jv>1Nh;Q~gIG!InizfcKkE!72FZ#3jHVm{;r1 zLiO>y0NR}*iL-8v_GUZpypB~HTQhJGvn78A*Uz4qs?3_R_WQHgi}bY4CzO80Y}F)` zK54*gE8{4$f90gEYZ4?6jQB8|N^gaOlj+tqt0>*^mlvk;1@JHQ{63D2t5$#gnVhhS z3UGRRd`5{y&`bCK)%4xbZ2#Z??@pam2em0`OIvEss$F}PAX-#yViVC)v}WxZ5u^6r zJE^_*Cd7ytGeJmtHeaLlK z&;R|HDO0Khx?UikYLz}LY#WKOPwPs_;&^%0f_#};`J&eTVjBg}mk&u){6lY|_=4I| z7r-|SOfK%7YPr+>UC6zCEWzIbw0Z+bb))fPKbKc_;`p|<7Smbdo~;SFLo)q{=W~|} zVM9yJYCnf2pHliM!(EZ~7~Pb~FEfTLJV-?cTE3bT##55hW^KdN{b*^^)4Tf`ut2Hxmfd%WQG2gio%6q|9k1#o zOEjW%15zqz)V3KMZg^$z+^QWK$LlT(+$v%Xd)M$VAVb;?qoHa0Bu)kDI_Hn{ zJ5_gbCR1ZN7*a!SG-n7yV#UxOo)zXQ_9~EKRMclLH=pqAatgE%^Wz62C`L4{yzG`7 zNCy*lYlog}OAy0)^qm5_78RSjh#k%_t_ej(o-Z?x)qN&X&9PT8C3MbPYQ7oc0Z~U$ z{PR7Lvi&pO8FA)((v`e_O!betffB~j>h_hBA6`y6sCwmI%}KbZYn?b|ekm%O8mx~^ z^ziQveVO~kuF^jv+0s^Hs@@{(Ou+4)`+Q8FK;co!9|QWwGe;$G35T}=(p8Hir7!1Y z2GS*f27`&1#pI?!piNE0`eY;BW4rGjZIoHC`yb2;KP7bO3l`Y^tNwzxF*-LkF_Q8U zWele@2(|l-TADU!RBw6#UBfGNGdG8X55(SaaNfeYPlild2qA(Td!xk5Z_h7$P{}kk zjn55C->(0s8zkb>QrM)0lJI)*)?JZ*e$N1XfqmOb6y*@FWA)P2@!Qy50P^t zaXmE~-DNRBGChiM%_cBVMcf`xqH?0&p)d%-%yoJ%@WS<{tebv6)1h}*ds-<7eB46x z2&7~(Wm0pt-7sjScQ~`c#TAaKKU7K;Xo=m|moC^51zNu;=>KBQkqy>58lP|UqVc@B zIQG8od-)nX3!1t4dX4gj$gh8x$l&kgoUqjpvq?u?jo{l^h9U>v2oZ}~vkSiNC*JDe z?=qZT=Mfb{42o_)^O%j5D1l^6IEy64hezyy9uSodEh!^%Zv|{`CrZ6sY~T%;vKG?X zwY_w&xu`1q7xKQ&s;0J-IoRnCx_VLZ?wWqSS)fgksbNWfVgwb}b_n`om#DOZ*M(;} zXsy)VASO=Z@ymBdPgHJ1|K8nDV8 zaSIXVI=b41CTeF@m}lK^N@me4uC>snpoVE1>z)$b_*)^ijP}^Geaz~0`HrfwBfG|_ zkNVjQxryH-<(t6AYypJBMBNj(z!vS-;|rv;z#OxW`f(iR4QJzCM!l<%jPS;VU3M$h z^_MLlj6%kHf%ovAx!=BiwD3VA)=ze;>y2jHi5EG<{to;T;&jV7{Rq9z;S1QSf3^6X zF`;&>>4mEt12#^UN#(ctW1S7JIJ!+DuA4l)pvcst59e^pS!|*~`b|d_r(5L2*@ca| zZ+@VNlQ&5*x%x0!QpTaAJv_&1*6a8yxxB_kq{z99w?w)U?lkXP;qI#};~;~UVJXgI zx$}HhtiX*$7P7SV)aVAUdQjMpem6=hmhOhO35OMoD4#I@q==F_J9}M4%0^wC2aM0z zcDQ>*0p+Z)E&BO`XojEx-Gf!U*b z$t#1ZULF|{yiB;YAa|osV2*-;R5>OV-I&+z!3#p_q6^2^9IOij7skK;2`y0ztwtT> zH%VA*Y)&oDFHvk~A1V|bZ%{hwVcD{!l>HgNHMs=|;=B$qTdrR7>vgSEV1-o*gL0@_ z-5c5SvWQP9egE&dzXfv&bHf4Wg~{cv>$2Lp)88BE#hJ>{=q(S;>9B@Cs^4Q*F~gIc93`Ii z-e|`V`J^W=hrd=qHusOhq*qLAm<|qwi4YJRVuo52MJ1S-KKA^iIBTdy@!TS-xS5hB z?p6kp>-eVdd;wldco5LblM7;UM*28Yt}?X)*N{e%hF3ea>*-EoH%Sgbw2mP zx?|IkOYbeada3%TVeXcwHtFTAi!Bj5_v{GG#vF-wrbzmQ{4(bvr{Yx4vB*;Z{!gcJ zB>IwsjZf!WECf?bAE=4swf?8Zz+?=!wy*!{QQhc(n+6lz!*X7Hxdd1@eo}1qfj^zs zoUN{CvhM(oqGCwnB`WPhwRlYpuK94>iQGk$@}`?)0&LF6b0VNg*=u%UZL`wPJyE*S zQ3{A>H$oRvc;Xt~zY&lC3fk24X{K<}E&E(7bxObO6QmEY>Mt|!%#wBVbc%dtGlqy; zm{319EZX^To#J1wiF#gT@3r2@U<&dwNYp}f|HeW^>SU)kUVLG{LU?QXF>u6}dO;@i z1P0N@TsaKw!l_)kZs1zo*tf3Ap76cb2dq;IGmxv=U?*Sp^NGOzy&vDeXRoTZFnCPv zSWpZf14-FvHk-Z94~`%3cAs5<5t$nf8BS!)+fTBjx?8rCn$OZ!Mp35%w#g_`b>BtJ z3GCebY42?bQ-H8voI3KeoBCHz=dPXwmZX(GbtXsL)CH@oRaW z&^$`NrBoGs+9y7KOnR}W9`^Yq!z_C;z(54SDznA@S^B2+=On!M>ZLn_b{dDi zcSJ)jYW%h5sI&l@*?J>&6XO<MlKg!ce4(CT(_QZ@U>ShY2OV42aW0wX7Uil|86UghY!_or2B&;^1jPvyMBjPP& ze~`u;OA-2$HbK`}T7_M0J&_JAr5CN3u-i2REb9NWt zhnEMAI;UB|Ee{;JlQweBeP$7I0O~kBbA20MXpDIyXGBh*Yp9PP=56`#nEx5`@rD8E z`hvS;<1#J8XtQeD)l%Q)Nq8qVL!s&nuSsehiGHwi?6S?d!CW>+i&bHnmuA5Y{h0n_ z<&IhWEG^%Z$CXX|zHMA;@3o3+G8?NgMeh+k8wIzq*nB$>Bf!d{OGnQZ%_C~%R_8Rb zZp1StR2HN-c6umA`fMhbH*Y((mjprc+;PN58%UeqDRH4267Uz; z)(nV=2x+VVNUp+~`z4G>^!|0)To!dvqf?|*jb;i4yK)H(klQNPOOY_no>Fn!d+B4seR#oT$v_34%~;zrD8z3q|iK;?@wIYOuF$b#$W zztwM2ZFb5-Nji*HtHY;uJ<4?ZL);^z0aL0WX_>;4PK1&T?k*|KU#HkRGRU7L2IizF z)IE}Zok{UG2Whu!SZy~CRf&Sf^$^m0w$%gq>BWFYT=BPyzekq-Iq7;p6GZ5PtnazY!vI5wRv(xq3)j+$mDr|_HADmla_}WgH4S-svdZV z{6fcY&WPw3r*G77U1JMX^IzHhbwmc#fDxLb#Tv3g%z4-DDG)Q0C<6vHY7{AyRViQi z)Db#QAgRRLknn(e=-DuwL95<3wteen=^i{iPxK`g+b%=P&~|S{{%hk|o*)g~h}-aw zc#{B)K`*Ox|II1Hj)bbA0#F4eCJ-fk{$4r?<7caLIS^I5jSab6BW7CaQ0``{PbDev zgf@kX>}iOmzwQ7sp?#9UOgcF(Qi`tMqm2EF1&#SrVZ}cH$UTIK7D5X+A@WW-d`{I+ z>wmvCyLi`NXJ-2y=03P>?a}wSSi1|DuMiMbbSx02rw4v?qvBu&@8yfk@wjHPMgb8*O)569fQ`Hhl1lBu%Z}`?8s~Ci7_t*`W|Y$4r0Q@#n)bM#TVx&@l^{a)m_ zO){W)s=nkLnea6@oSn<~LMe5hq2y_>Sf)~%2%>PG?@j2hlYBO?U2Mc6znf~vNt(tR zTc{2a`#1K)nLfgi^fYz?_}e7HA=bqGi~eg^Qq*o&i-Rt=2KCR}RN8C7m4E($H1=1y z5rlc4nz=;558QyvNTJ@rldki!rth9Q^89aPd#kp7cz9MT*floyXR3e&f7V!ItvE z6Wsu%t(#iTZ*I*_F_8Z82E8z3i2s0#PM$U7*;2Nk4$pb>7s_qJnhoK#*XX86vDm(o zdiPeI{ALmVvr6F!&DKF%x|1H4V2C%`o4?2n)X$vzW+l-Trzt`TT9;0p*U$c5H}RB>S_tT=Cxdzf>wie5`UWTiUxp zsCV@hPLf{t3mYDoYJ11LQ-YU@+)2OtaE72H0H<_K+0u}w7_4aC60wj}0ty^dyNy(f z8tRIxvPP!!uEv18$m4QXQ*Cuf1@j+#=BAk@5duT&OwEEO6YxacH(*P~yurx6-CvdE zTI>t?O6GG650$t)WWb5h=~J6|8@a#!2o4QB+@5leNh_FeGUV2ZQdSNABFrTH>|d%* zc1LYi3QyZXYmcIX=-hU7_B>=YHiGKj)WbWG?;kDyr8;h#b>iYn;}HFNJ0PN?=|Q$& zn~Ty)q|^JGpb&bG?cAEpC;C@>!3F%2&j;`9a0gv?V1BFY9ooRcWgDfTHK@ZHZAve# z+H~|OQTLCBMvK(v>1@Z@2Te7%!i;@fOy@q!cU7i>KeV{&z-uE1sv!qQ4c=Kux_N~! zC8479iNY!z2&&@ExTmHFKYKMA3W2POC5cz(XA%`l|e4{iDDdj zYO3UF%ASYtAmREPt4mXnd(fYnKRf#lsrGx(_a*DxGK_tc&^|~SE+t!1U#W7DMwU)> zO*{UbCi{Oj+-HvKrus6I4~9191*8kKK+3^si&b=hXGEcbY@Oxd0G>n|I(8e9$IGa; z>ylX8zXb$}j~ap8g^ak|UG)6-^w8JU0}i`OJ15`VbRVh& zs=H{i4;kUp_NjijNw^}U9u4iUAE(Ku0oKLQMI{?nK10bJ;!?wBPL4yp_%xw#e1p`6 zWQ}-UkJ}LMkPR-)d3rtV&9HcrSm>HKx^Ph3ARYhdxbq$$3lK3ZYVW2A+n4}nEr*Mt z^9RK>()HW|Ukpu?KLblk1Qgk&UAoaZtgaxPs$QlD(Tuq4hO`a&(V6r$;sG z`0T(G3Yb zSFE+hnSJ!@Z^|_Yq-{6ASl8*UXmdy2KfIIbfI7Ru%Gvdy$HVt2Ma8a299}U7m9bj& zhgwa6ZVs|a(#08`|GD)bXYPq`oZVS@;-t|2Bo*t)n}|Uh-D*2vGBB06vf!Qh&A*I6 z%PYom`re}y{dFadd8sb9TgQ z)N#Wafe(A@5L?)b7=~-NlTHcjtvs>OD#Ijv8sx52EX4d0^#;(3T)HD7b{x62$b-AY zz%Ad$Dyt7Cgkl_MRqI>NoK^Sq)p3?Sw%F$MTWO?UdTZ6~mF@$w7I#F{&~j7!2>@6? z+#^-ylCfJgH~zE-ew@?ORo)Qa=vh?V0{+4uNO8#$SsYYkxQ2(}1S#2G7S+qrKXEL5 zR3c*Nn54wM?#Hqq7S;5;vHLH4@NyqQT?F-a&Wh!ga50}%p+BW(mf~0Eki*zst!(Ak z0NnvnP0f<7Jd^Y+pqB-s4p@Sirrn<=m*1m4*Ki~&*4nG?arTzrV((#Q)QN*Fi<4{P z{}dQ;g23|SGo}u4kM!}YkKf~zaBiwmaY_6KW7lKN*+3G`p|=>)D1N%($;_tGsHSuE zmf&M=<)GQ-17ZQ>g7>)2GY>@PvzgMPX-UhHv11x1zdgv-ty;@b>$9=@4)6*wz+q5I zYlv*p#6}vKhB}?<$x}DnYE0|xjiE{)@&$_0g7VC^`@eTMuyM8gqWZ1NX8qW0;@q`s zp|@(pQ@!si(UL#Or*W-00uDC#J8SU@KCK~&$gz!BGC3hy)hOUVFI6j|Yk z$RIzLGAL);+W8;eZ&fWk4)`Ak)i|=PJ4XSw2#pki?opN0rf~oz!)X>1qfO`o(>rCv zTjAUy)NTmOh(oIok6Bo)r}tiu(i58u)xTWMqc@EP*_@m4ck5;dYQLfnUfc>^^*4e7j!^x5Ohz41VGXcvtNmxDHp|wB6ihr7|?q z^s9-WzcAPrl*bDA3tm^}kCpuUj*`L0=_dMFFc?m7)c}%2%s}Swm6P;Da!Yah{_gIj zGp|=79~IO2q&vKCaJ>{u`-FGRebf|$2tvV1QK={yfjD-cpu}N>pr3%0I@Eevid^Sa z2|EWDSyh)$710LGvBgPi?lKi(vlaHN@}@nRR;VsUe3B_W|Lnh3by|2Qn+ug`I*{Nj z&pFJ?NjtIkmB@0|s~yZ4fKLRRo=N*l^nU8z{4LqOg*2v+C3zy`Dg0XCM!ZLs+Z%58 z%KW%;p}BDAG4kKAjRf){Hwf-81sZJ~HhC^`X0h{s#On@x7l)4&09Ul9XV*9C3?xpI z&xFmr>x1C7aXtQ4@E_pk4h3)@BE9KP%5m>6j3;dY-{AmvD6sT@#D*8-HIX$==U$&E zWU*Hc(>Nh4>+nhYFmWxzQ{PrX125*e`8LV?;^e)u_}rH|421dAmg1@+J?N6pW)O;R zrHw@fVC5gT9;5(6jXJ>-s;(l<#~g{{6&!fEPYu0hj$L`-n^oXUQw&a$iK9c9g3QWC0!u?p(Fuqw9Ote0O{6E6mZk+e;#z^sOj#_};3F zfEVTAh?2zNA@sJFz)U8pg}2mCq5KAd;mFn5o~V9^h-@iR-HQ%x{#=jg3^WEyAk3@aiNC;a9aLA!5c3`T;grd*M|sgEBMNTU5|iEN=)+da#&7>U8SisVBBSLE+03NWlsF~p-qXIXYXn)gZv zk<`DZCwTy35-0k9F04nZoh`MQqoWG@))wLmUHMIqULW{06rN@;o_lBWUs67``2z|& zS8gEv3>QyZlzbxtQ9Ik`d7IdwYyXi!X6ofd{okKjS&nnYuwz=xcEo~W5MuRgvHXKnD~#gr$B&FI2E%Qks=afAjGP_a;0LuG~%^4>aRgOWkqv?ad;PG$va z+RI4#YkUjyxkKsXM0>ReYXdM!pgLf2?W3+^XyBkZV z{o+pfs%Wotonpw1+IuB%LmoQP=$cwi3Hxf(_QLgAv=CqtD` zI>8}{!w)FJQ(VqvI{+~8yB*L|p`5*wh+9sYw(D6=(gdFdH&-TDRjr*>O#nLH*lW_R zA)DBi^^vt!Q&nix0Y|G$!m7BWTX5ih5(i|n+hj&$B^A{D=%LrJ%R?`(eAOZ=IzJ%uw{%3#D*o2R)o1sKX`_=Vzv zM*LF+rRSr(;wn$yy}%YJuY%Zi^QqE%i&<#bLTdFZ29@`exMjjW||&&Jy-(!{XGT2D+hd)Jw<;E=8iaQ>H{Uxp%5E^ zZDyK1?JouX@QgoOb8*#Wk;=|1C9$NB5E!QC1{|A=E@ny(k1J!I%H!QT6gvFSJb*bW!r{YX@y9yr8#g-I zQKMzpgflv1`QFD#sy-vfm3ZhRKH)6-_;D9(G3t#t@z&hF`<#unm^$|1RgBktgiTru zJ9?T9J_eQS)nV~dr9_@y3N%qVz^l~1pHLHD4Kqx!rPGBDmU_!m`(4a|rss}evcOUA_S$eUG=2lkaAH(R zI%=U_0sQZPKNHbUCaKkS_u9+@an0iqmhI5S;+8?qbmPtjolr50h0E#K)cFJkJK8CL zPvl813)fdN(^a0Eas|~0Q<&@BwU#U~Sf+xxJ_&m^x8i;4iRNrjbXPe+@qK+rI{-T@Tu4D{}C5k;~0(Ypxz`w}8FJu0$ z!J!!v`zuMil;8Z1>|x8F1<8*7##l*xFl_yPhdOzEv%4N;3v&YW{^U>Ebsr&BnIZ-o zT=~Ml>Ha*wvgzb#bp1BR#wu@of7fJpa{axvzLo7z9AG~gjbbaEib3(l;-iN5#)+(@ zBW~r`$YVSy2h_Sxr#7iCi@T3~09$9d5&(CAnEZZ`Z6tF^=I7i${uh$W&*ev|c};7U zBI(x#Q&dDkOW{=w__#if*7&F_9}ZgO7KAmcLP?kr-Cv|)n(t93A4nGOq-RDI!;nPGVbZT|2ocT z*7Fj{p)6Tu3D|9pOLN}nITn+(nLkTS_GB*QGOWRqF$23AN)QEx60IExQ*ub*+hToP z@*nr)FbClQ%xlv*mC#-pue;M_h3A23(WEy>hvoB%q&bzTkm8nN%aFA%)>Y80T-3l= zG-S9@uXdmqT8-wi>xrSdOu~FcdhFy}c*e488f!r`;l^hER;x$tA4m_$`iws|6B~9R zhqA`x()Nwjw+#1f&;4H}>)p8M!~6`=Mt<)bd~vZyv!ON=#fu0~+oK?BV?zQwb4V6P zYPy1uN)MJvU&doU&3a$5J{ew?Ffxz|1zR7U11DuKEb_c_Wg8_%%6LoLz!Wb+L6899 zs_^k*P~`>nFlUQt0_gXAXJmWdd7Imfu7GMn@xwG#p{C?R?~kQO3qk?oZgwg-f`#Tc z^lI-_x)nr?d0QVDF^}!}q|7AVwd;Y|#=Umhe~G?x7{f)ouZ`R^QjU@`RxTAp2ZS^F zR7Qb%;$WDGln*QyzpVl=+XAUni#?cLvh`VBr2|zU(PD^7NO?tRdtFT&pZ!M1#jo#B zYw(0~K3Z<=OOm+|H_Hd?6gdToGMb%tFAqA=QI2ZXQKt06_WUo{c%1bx9F&Z-nx8t2 zbaxvhUmd86Vk4VJH4skSlbb8-&J&0CeX`?B|A;a&Eo z@EJKJGYw?7f&0m4vFO@*ym@opFn-4&Ijz^tQwS}7>Ge?jh#X5~^4X1&_K}KF5bbUN zCWs7vmQ4~}%Y=|YawKVoK)w#CtS9W(Krp9$xK^b`O0*!;N?82{Dbifl{X?DbWjNYt7~l{3dP0ehrsgH+`+M$KP!KN~ zLKq<)nCIw;m?EGcbnhh#{%O~*{)zveviQXSGZY#y3jtf>0vC{mtL}w{U^|2NuBJJ@ zKlAUr*kl{b__^7_zs>%_pZh+5&d@F?kGU|^$%;xfpTo!eUSkH84xJ8%&Qo4@p~|F; zj1KMxyt`i>yR$v4yc%wqHWo^k_A^Y==$3e8gE(6cxWvAxno6Io!-F>1_m$4ijs8=H zE;llqIU8eluA4QbK8N_oTW(pLMgVnT%L^?I;BtJHe}EmE;-Fzjb~5R zitv0sk)*4orm_TJpHatr`!CevD4&FFQDa7Iqhp^vtr3oXwg1elDLR`Ysot~*9MEaL z^K&T+fe0^GI17h-5}=-;a$prxvfVs&sul54mr1%oa!b7M>YFy`t7 zlugD?4EG2he_mJZX1>cb900morISOWEY(ejcM+02le!Xk8nSWffSgRa8oMwAU8=k0 z91Bh-o5!I{E-hP{#7E1VW%9sWL6%YuE%y7#Jr}WMUIZ1{_T#f#D9K&#`18sS7tP3v zbQ+_|Ab&7#-4Aw|kd6v-qIOyhz7z9y-R)s0l$z0JSLoTvRzpd3%2_d0Ha82l@h6HK zeRWIOJe-_78n#m_qkxrqor~i!-J_R>&7RHWJf%;Sr9c(NhZPx>h{nt{U``5M?A0lR zEsFWW5#RE2v_7(TrF_-H)pNsdnyO*H$W*@~$UB^@qmKFC|M%!fMtL$G(j4ADG;B9+ z{$9259_LNs39maki$-{p#ax}zNP)Ac>=pJ&t$8EZM#}(Ex(#uy%e0c|^M~vNb*Pk9 zw2(jan&{LV5O;rgH;u>Cv84;~B4OTU|F_s)6HQ(q>`TFKEgP?)BI#A1^ZTEA@|q-EKiff-P#{&xoppPc9vI*Ow$Vobl+K06 ze*!)><@&-Sr#_nB_QrW@TrDA?jCD7z zzBz}MyYYH1C9xf|!zp`3kDB4UGC11~K!@@}Wz*k;+WYn!w)}x9#MDCwG3FJd8!ha= z*Xw) z^_M?$Z9TSRfiH%Rd6}w^p@;_`ws1ET8CZV0Ts^5Q^A;H``(gQifNTfUmWgFg0to7e zvQv}FhtnIhE=ko8P9(p<)thBzJ6BFgF(kPfc=@3Jcm4gp(|*60I2$me-D`|QMVAik zo3v}}XsTXi1WPQyu6s0!9j$!+4pFxJvmf|lwXwwvJ-iA=dn33&IrX}xm6xeS5M)hd zR7>ev|3*`d6o@)$)0Rk=Rlw9;pF`B3@yfJ{)tW9CYWTVa7QGiL?Ju@MxRr4BjM1~X zuo4l-4&B%NwaD^XM-<^I>0oBsp2@PR(@u?CnsWbaCJ5m(*n7A%b+;S;^@;TcMC(qs z&o2F*_;TspC3EV%u>YJ=UEccr0_~K*@?Jv4WyMEtBW!m%ksW}y*cZOI(~H;PpweIT zr2wd&=~jK8KOJM;Z}G$K?x5q_Z-$+Y`zxX>nIWZbZc+x6FV5tB#(|L-?8Ac)EM7f~ z?=0ArBo<@etg(9%XlcH7G1cdqmQcdfP`TS*x$>plxko>KMG!7r8Wo zJ)8;wO8V~-_UA5IFalL$_H|w#E-Z2A1sEg>DA*8l)@%d;6csxjyC*}yGAGsc9A4In zd*s^&{TcG658=@0A)QbAuCZaqzWxW=B%kwzrt?U`JlAyeDl2-*#Z>6jcrE@O`tfnx zbiMQNU!PsDmZ2okQ@?wZil9xT_ z%^(OW&ywG~iRn}v2L-wuc=UV7Oxc~DO@yH&Cx(x0r+F?g5RA%+?_0?Ow~nab6<;CN z>2+7MZ_uH|dB77;UCU*<7KBjL_p2>0Q3SqVem>wcKYnS=xJnb_mv?ksl58_q$sW3- zyTGa-*YF-Qj?x}i^tuh&gRLu)Fd@XeKs@sk51nX&6WX2cR-Q@s#dZ`EJ=LqR6ozkb%X?rGA$%jpS!TDwlW zF8^K-zhCjqwDf-F;Gm;p%G;XZAj^s2)1mE-Ge3ZFUJfZ;P{v<$rIG$jX1^|x6nto4 zZzb)sE4Hpqb|@iJg2$bt`%ckn54$1PreR#LX#DLM|31QsuldLRaZcnb&3V?wPyZE zYRSG0CCXLH)lK-mf8W;kuscjuX49o#+k;NO{ath~w3XuT9c6d-PsXf1PdSBUq{C7M zbbcHnxy2oTp9W-CdD^)RSVQeq)qx!n*Wz26a8J%ZYP7Mvu~1dsG@V1a{-BO+yOUxu z?-!+8u%Bxs2xYepjkA(?<+ExWt^FU~;{u>>P5FDPxc9$%$9zR%i{XWz9~HB<)-HKI z{xewKCCQ#jrM-q5Wv|aMG0__E_{GUo`SIG$ZZ!Lbb}&D)1Ot7(_1cce&iduh5a@di z(4~IOH*I&R*9uV}3Ux>+ z<->w&d>qo%gF16snapM=xe4jlJ!wQl5rfXsp*55@6>eyU&wVU?67E9x_!@7zso=(I z!@=fq=Tzeq`2^q_?*^5e5TZ7$X*~3fl^`{(s`fn|Y)8#bq3JL;&2&kxZX;KqF zIwlk~AT3A<3P?gI0YdWS_uhZ;t+%q)oI8`bckZ0I=bXLwj0gY#s1)E}Z;|ZZ6}Gi< z4?=qR`zdN_sh?NZRJ;=Gsz<`+=LcqNbwd zO+{;WS4E?TUXR&5y&FO7VE_P7T zYAaT>cR|HY-NmI6}0>H$|ZY{;F@Ff%*KK1Nl! zXJ^YT9xL7i_dR=58FgO04+l;jWw&nkToMP}7rdv*ZX5-9+)i3`YwJIw!Chndlsf&8 zVYz?_`=g^9B3U>nAIh(KZvn(xDVv@ckoLkfvGVh&(?edCQ|)SUm#!|#_O){f7%6w| z_2fEvOumz_cWqvh%muD;7$~S@oIMrRkZ`r;Ud#u^?VigA`*DjUgXDEu_^X9avew&8 zKB)4bJ3p20SA7UFdZqGfPUDlq_ZWmz)^n2X(RY`gpR>N#Tyl6=GHA5E z8~$qblY{kAix2kyy8fS={%=YA{~0-5#*X(E8k_Vp&3HmO%89EE`T;+8Mx7>Z&0l+d z^Y+&wWsAw9jl%bAH0)i)9+s}?-giAwRyZ4#LW>Zesu-q^{_{X+UN?hx^t>PInd$KG z^ng1~ogA%7^!H=D`qeA&Jx6^zI@(o);LKece6*f)>n8DRLzW-5BHd2Ca^vf0ZDPvN-{QGn?ru|~ zS{5n$cZ~Zq^3~5;mlc0n+_>8?391a9jj=0xRg-zz{#5*>7M-AG>?T;Uo^WS>!zr$;`$>lyXnY50yvuw$UD6}2$Kz?*@k@$YXF0s)|=ya;Fv=HQ? zn9zHvtI}`1nU#2zrgrPB{4%+#I^*C!zaovU-db<#&i*BJ|F`B^zES$sDQ%_diuxq` z2a6MyH}$&cHk12*Oxo`PTRmPZwE5-- zzist@_?=G$`Q~NFTWT$-*f+m9w-rlN8`QsWc2cnJCAH~~v~u#=hfGvY&L4Y+(rS*3 zal2FnHNRU6VfOVa`rP%`iFz$~s;^j@)jDM1*aw}5sGmHd5QUW-&u_1`llIel@m#M$ z?YmVM`1bGrs%#jJAs88bJVj`FQf5Eh>nIjoV%J8dalBZ)y1zfpZ`JEA7U*OgHN|fg z@pZO<&%3#GS|nJ%v{C)0uJv6Hwcrj_>$0zseVX5XdNr)a6zZvHmsS1~`u6I(uVdre z!r<}J8_kvo1s8i$g__d&xxd|l9ejBDuK4^>y^f)rnpu{hj*j&ct6a6t$gMNK4X#Wc zy|}Z-UNYWEDB|f!u4_f1rTsacy~6qej^0;P@Ujzu(YE>(OR3eLPxw zw|!0S@Xa#ru_Y*H4i_!=6(T0^d7ZnrkW_C)&?^{RbLs z&A#-6ahJz*pcX5Bad4zj=i83l%N$L+-O0s|fc6o+i^kbCfo~TzIuiCFzP{DT$lyC) zMdHrmpSYcUVE)Oiv+wK0%E^19QSUeHUwYJ?GyC;Xs?yAxIFy#c2obM*SU@h*p32>p zynR*7H`R5FAASGTSlYz3s%uphw%fMT}T?8`Ja+%ACmb ziCODpa;xNh=kM!v+R+v;zs0JKe~04fZ9Tm^-%2w+5Zt;#eeXx^!WdT%#mB8eKVAPT zpIx|HYwbtvpFr4srF${u56%Wz_-s{h^<5v^Fw^<_I;@4jv?8yZdTw~T$d90f88|VO z^7F2f%e2Iwq8}`t6|=)6QgyvQ!T5B0X_C-_!%YnjPt?HQ3!e>}L|QEVjJ4ayXz2Yq z|AU?4{>od5+0N`uJ8Qitk_Z?wYO8AcbNyKfR%%aDT(tS!)8fFsKUt-Y%Rk(5f=;=M z4Yg{HIxY9ecxgloT&x_w_D`=3sx9G>?q_6YuVmv{;E|ATLzl29(I~xhGv~p!)H>sZ zN4?rPhmaxZu~Q~L;W{l_)-5kp&N?Y$=;Lu$_Fox6&qE$Z`E;b|1>=_ zwytlt@ASFsPG9TOGx?I`Hr7?^#w}$)|BqG(*}as9Pak8}#V28wY{o*_&P z=T3A86fJdLmKyda)_inK_Igu1!ADJ%pBtpZ2>Q{tRqX~ge|TK$8d?aKf;pD1IvRq% zm%mu}=PcUFbiyIG!tMwWd#XOZX=_{+eTfxaJzjI*;B(p5z9g0`uoACYI7(I+d9g41 zek;zeIVA0g;TPvY`X1psmx>4L(U?<)81>Rd3J|6=Z~ym7;e?at=C4Gj$oUa;nT9d| ze9c>C;S!_!Gd4Efy&0wd8(1Js&M``?rBSbSRxHam2ewva_xn zaUjaoh{|8+PU*btSPxcEBSGaFS%6l(SL^lO5_ANZavSk%4dR|2c?e)diiH7>|&gwx6T7% zIW)vTK$z{Glm2w(XszBv597v7g`7gs^;5MGdEVnH58kBz=qc86x4zv-xKHX8@&Z^Zbf{=ks_UR-MDCuxAh%j zo|EISThdj9ze5o{J0}zj^A@`2WcwT@Zu-dDlDZcheWn+C7{Vh6;eeHkhxQy6C`lPg z^dP(}o;{DEK7la#ppf<7)W?ec!wWv5tXoz%+WVBW?0d{&Oj$^+rq-9+)AbvDPLU!A z{qq;ok<3xIqec2wjz~giupH2#uQvyWxMZMr@p*4%=+aho`5W+m&r<&vvKBdQ`1Zg-0>4(T_AT^HwBbaQKcFp;U=)k61M>(;0vN$$G}IC{8;*({ZfRidxge_z^VT= zl^T!%i`5^9nIl;HOl5Kb^3}Q$EV_Ojeo5h;ZDc16sW8k+UblRp?ruW&79bGX;|iA^ zdrVu-W(YYFy^@9Q<^0wEpl`>$cj@o1(McWIFFRVxH}7aa$6t-cV;Xj0t@RkNv7_WA ztkI>4@Ew!L!cxX?gg=c@5&Z8dswy$HgQr-&{0wW2qfm-RmxCBwYj!rWV=Vk}99}<; zEJk_!snJCkE&%hfD71ebq|sNe-yH(KXvM7?Tx@>S%_O|hTfsbEOZQ7_-D>qJGB+z~K{_I}AnZ__IZZKjCj$Wt+%Ll4jO{!9WB;=u~X)9)`wYBqU?saxrR z&v^=LqUAhOQgFy(qC_-*SXKNwu`|(J!-@=KPmX!i&8T)?#Aid41pT>3zUwZzTxlYpn(ThH#FZp>#mWV5=gJj%mM-Ozc6R9w5BIccE~Lns zf6Mkr$Yn@(UE=Qt@VA0Rh{eW($LS_>;0p-9@-PR#4|~MRa`3w4mAZuAfz5S4ZQl@( zo+^J+!}ssOdk$fNb?0;4%64qyP>E1@2APU}w*{S|M%~6~>6NuZSUwHUO0?t%*<7%N zB|6XUL}F44PHkE5UxxLwI`!9g-QZnQZ4Kft9buvJzw^Sg4lMN)Hf?M1!L6M+TDLRg zz4sP`X5Nb`D&GR{iQaQeO;hW<8Xas-zZ$pBqZeI73yX;BYj8)ezCTC$1BwpAkl<5# zqf4C828YMM)rnV#Bhv@h)QR8XIm-|0c)}Q~UZVzU;pt`Lbpi{Y*nxIv`La7Bh)E6a z0O1WQ!k;hC?mlJcC2L{G$0wG8ZmyA&1Q@XFx^isp>cxaPbG9Zp}@Kz|P1xmPaKAI#hwNMv=42e})Z{p?y{51_}~A z6RpRT-`EU>Y@z}3e@mu-w8rNBDE>q0Ppd?PvkOt5)&J#PDXz+U9^+mU67u#i>S)*- zt1XKq%+T|#IMlzZQt&1ie=GCfOinL$rYN5Fd>hl=r|W_cZq@)CGAzXR0M~nqwru#n?Ud|5E~Q(Gre>jRXB_h%U9{8?`92vsWI`Sep1 zVMmxBb>rD%N9>y_UB$>+YvS)uRYVtJ*vN)pb=7$6=xN7Xmg)^+Lb{E@I6hvO{gl}E)P1a5rsLzz34%Kwo{zu- z<$ zW)%G-j;@-sf8BnI7WH9akC*cF*Kbpz#9~(CnS3N&DGX9ZCO@^fXFm;)`f$IM3ssN$ z7swn%3L8P^FO}6{L_0V#Ce(K&$zl^RrQ;^#TfOsG^m;@UDH&L_>T^IeU%Nh)K+O!7 zcykam+P2b|jL>#?ui?yzZP{y}&qjr458hsp9t=(@aY7GL`Xd!lmkU~seK4?cA-dtK zvSFBHSM$sXaR1{m9|VH4Ah0($0^i!b>4+f=uq+Xgy)uog$WI(`1J1yde0ogLJDP)=3+r5d62g8Nu$D(!YRE3>&uuU zVA?YK=v3h6(pIJb)d0Q_U5X{_OMeq2IGKM*-J0FG-nJ-0g4O-UDMrhNfZ^ zv~c)Nr?~~j5p7s^j>T|rA=;AS_VZqoZQMO96tHH6?b`4N@FuI<9 z<{kf9i_oSe=awyifo?)Lj^##5Ql^E|nH(&KMaFpX*4e*9rHUxaScLwsr9YNiMU=BI z!4tua<7EA>IbSVCr-=!qu(NLnzN9^F*X|}A=d;o3XOc14 z`1qKext!|FpT=VB{ZRK`JMa#4ET0YKAZlLGaGN$yr`xTn8DQ$g!DppqId3<1t=hT+3)L$+5D2@-1?~eZMDE{u8|D`Z zJCI;gmt&R*MP8fjT5e*@>Azu4Y9om2!eEREFJU_!kpL{xL8BPx^G%;u`TLOUpy0;C z=OW!Ixx@(0a^RTOJehK|Nz2ig9XI*8tY_;-i?HI9>ECOc7)jWlAYk-KSc+sl(a?&O z?=RVxxkx$zGjijb|Fd>|*FCDa*yB2de2c6AOX=SRlWYGdU=YOsf<6~>9(MH8@RmT- zi^4Cq*D$LBVGv#3c|mYYvDvh08KjjFu9lmfCRp7x@o_Kk%ZnuoYLxw(jOqBt>}m^p zHAaS%5xTfyX1NcOv3;)xQpm{r4B4fy=gUFXAi~~u-&2Ip2yBjOaNF3-z=ctmP3b5kZ{hdKVmzMA4$n}ja=#2)+YBPZ|MP#a> zAo)0%JEP-bWo6Wt^6_x_mvYZ_w0DD{Y)}E)tnh6Wc`bU);6sbYzsDtNff5NbR}8{3 zRGXhVBQr|Wo?OT^JMtr46LCgMaJb7ATV+&adiR1ew|hYJ$3xd>;FE+KW97OhL#@|+ zE-{*c#I;kez?>)%=I?3U4EM{2qXU_kfnNV_zc%^)eR|K;ZP^R5+Ob{%TZC2$%4+pz z)(c2^0PxQD<`(7|MpHoC#!djNa3vo|#~PrqZwo}eROK@2KpUKfBiZZ?4~0YCP$r<` z^2he8MYeHZzA%7RsbflPQt9#Jyo61yt4xc`zL(d(mF%pRYjG%4Jq%CZ3_C$=I2RVC zDiApQIwY+=?AvcqVBUotXs!P|t+M?y9LFXqL26)S<=J}IuRm*s#D}b1T+nC+gXw${2jC+$cgpt!TRBhzaw#yK zdH=F9b4`@c@&Igk4wqW?`*fo1zQyyrSyHv#v!N@?1QAo)!m`K1QL{I_E)aMVZDpAy zuEtJ_S|UoJAEvUx^+@rcMv6U%7N7KM{Y}{Zm6%9tW>k-s4rLbvL&la_4=RhCoI{@a zsFV9*B+7gOk}=MJ+*hhvbQF!){2s-*sBEUm;`OJ^=%Q1Z^T$@Qg*wj{c2`>shvmNY z=1$tRq_^ODti8|5?8z`tQ0ULjgnU&V88SCP1kx9Nuao$MA$$hTq~BQwkC;((;#jhz zykjt|U9=$2XXr^OxX**x_N)h-s&+#3dpLKiYp<<2W+mw=nW(t|%kEckT09p{%v#cK z;=oT5Q z3C=SQa#eDk#cgqHS}U4Dwh&=1nxrwrsfFw~YyxKb!nG{wGurOVH~$4?MaDZ@VI zsOByaXU}GS`K(ne3HfK@mJKEpw~M`q^|fE);;hKad@6Nowd z%})U^@(`2!e3Und2RA7GDzqOf92cxDavKo56L8J5m$&pR@T^>@x7CZ5$Z86S^UlN;t7SpM+ zpt35-b{zEhBdFBa_?h((ARgU)cTDBOk96G9{)zS{bYa|XVh_XM`{Tjq>#-I>MWwM` zQIRCx8tLb~0^#RtE=02wEs6{Rwfd|7QtOmss<%#ag;UaR5W#0R%(!pZZDwuuX;5aD zD10$S_uX#T_EW?bH4+%dsZb~Ty~wjKKC^ajixmnDaAvo@CR%3gz_bER3>bXBCvz;b zg6q@<|8+mvmu<%t2O9f-raRmH7(3&k9G{iTeR5auW|2td;%hBOu&+g10oo0GGyRLn zfD#a0#&xO{nAOK~iY1rnaN35L6LBGHBOMCK|5}(lgnOoaYJ=~4#{-AE56|vhyOgD*{i4?Xrh4As zQ3m{Y$%@fK*xsqRhIGEqA{ep2`WsRoAx%cb|vi7umzdR{UANoL( zu8=0To@z5b-kPsBTIM2$MQdv^JWG+c3rl}H&kr=jT1YSabSsS`2>hf5q}!$R%>rm+ zIA$DD01^`6adMFmlrMOf&P%celF!^+zyh%H#|)~em^ci1P$A9g;kQMW2%U^W8P8lO zxD^Enz{^$uZPQo)H0@GK7=0H9J#F`^d++<9KB zJ8rp)GHMc@8>0-3$j8>NfLTW&8)?Fn07FfLTWugCpdFlk&JeBh&C(|u;s=paT zhq<`h;#aRP26(vf^;@jrsW5xWSyJi~{o0PoeZ^ViMhkGFL7vGK5aSK5!07n2cp2bsFgH*2)YBHRKqk_RGIf#Ay0$hzHO;LHX z%{_uJ=%Mjb`eGN-%Eg!$0zAqb4H&0L8vruVRGWZ}!wRCK%@#C(sAF_jc_xq|S**PA zyn#W(L5U)yh9&yLh7Ve~6r1%Zp{~;rVKJn-CwabR{x^ISc+s`(NAPlK z(qV~3Z^?W5s2JTK#{>chJ*O`H?EV50git5XRQHmBF%2q&TRe}t;XDDerwq85?P9Do z++ON_45{y2n<$+KG5p?*0O6azSi;a7=>`I5qS7}l0g6Bz_V0X@#aa-F@xCMn!$-eF z@(0X`*^gp2o)kWHYvaLmApp#a6pkX;+`(_y-#U3iIZ9G2m`d@A!vdT?WB){yam<1@ z2et!Eu60SDiB>wfE;sdNpP2lVPcz6yh_0sTr}O|wLWj0XkUi6`b?LcyICod4cu#%k zpeRztV>KhU?$bRp`#tP8^q#+PF+d1ON3#fK^47c;e+=WLWRsqNqGqP$%D zMp8O1Pc3}fWL_Vzu|csABkbTT0wDIeOZ|0n6kR#y=PWW~Cqrsp|U$ZRR59Rj3?hd;jB{vr+zaMx~^M`YNgcE z2XT@Y`|h0FHTeFtG%#Gr<5)e%LsbJXz2{$8P1l|{Z}?Glf7KUcS<-H61SW9%2%Ljn zSH*~aP7WiX=go|H+gg6y&YH`9VEF!B)P*kPwy2+tR;l);aGvxH!^`T=mvwdULo+LP z&TBkfAa>T)&(}&&pNC&qWIYKsOMtV3!37RR@j^B-==FDpv-wkpPU+m+6 z^U;l;V0=-+U8_p@Hx%GqgNlz93! z+VA2Ad@~hE(losKwP07csR$+m>9gA^Ds*ouS`+dxG7!9MT9a(H6Y)8X5crC6jN?J5{?ku>q=4%nF+qIb*p zZIXr%I`lmYAY4iz{A##JAqU)w&bbSj8^lWXrFy6N1JR)bwq?oFFZCKy!tHt$Cwjxr_hG=)2^o$Jkkum(#2=rOTRdnB)?_@wO4<*Ao-_YlC!1Cc zW>n*pH!0h(w4&17q-p`Q+sWmcKVonLBsd+rO=*aQ3l$x=X<+1kJ&yUhI7R`u`B&Qn z9bqceov{ODt#KUme%Z$T#g%aygDD-CHz&++lT#Vp&~W%u%}JSAeU6DJNSThU_-30k zrnB3c1Ap-3BMcp$6?$p-pWd>RsjOR+V3d{5op*l(Qco?{-jVMRqmMxs+QFg@JgJ#aP8IgVzy{aDG=z{ltO_=StF71HlZ2cg4Z zHKzj2$ya-iVr=kB^RkE_7(6A(0)!@ZsKm02s1`yDO<66Ei`HzcOz6_A?v}PdrNja_+J5Nq-@K4;GD}5JZoT8fHh99(@Zv1Y6Lm~6k{1$ypsZLp% zFttStSD7b1P-!7T(TZ7OM#+uE@TAu9OS5zX(wqD@DUxwW^zi0|!BRvFAcijh-%Vl_ zr|)5Tm=+}2k6sLY5)p{ScVoGjniO~hT^Bb8h^a|J2}Q9p9E_ntLSz1bqiV*I>V(K3 z)Dimm1ey~)hMg0~Ak}MameD=k7!Y2+!p(qTOBy!+ZbD-S&=e6rktF)$l}<@q%>?f}Bs(v4A#FC{b9KkcO3eSEwuyeH91&*>P(g#bXEv^Ixf^ z%EZzNfwP)kj0h5XZ}EU4jTMIUUCfT8H{ddW_>El8J?~hW`J<<0;0?(l;J?*zFkKFZ zpoB5G8G-Z%Co;(AND7x?U=_WGqr)}%(RmB8!MH97hOk#`*u7A3k0NvZ(U2DuOq19b|E*b@DIE_DIF1%N?sVc%p|>hM}9KtZ)rDp zaYkmit&3kEZ||*JG%4h<3*iVkTexG2Qm;%nMv6>qE3F-~LO$bgF47DzyF@E|v*$|^ z5%#m)@EFN%kMH|@1TMbGNaPG@c5Rj(Mn($OKR$mfB$QrYZh8U6wKu1awiXFGf;##I zhLQV3QI4%!KeOB1wI}c)SeBX6r2vWvtdKLcWwsYX4?c2CEBtIdOSyViqEIMNO0MoY z&3sYRre20}yy{|+Qg>c#)MkVs zb7>=+^)C;pYG5`jv%^7MiJSFXg*N)-M^+0Z^D)x649*VI!IBsk(#k|m1IkNePHUPA zqVx~(^0(9lEHHfemNB~5nVZQ&y80j3TMPBkS7Z5;yn%?XUJN5r5|1`qPVdq)>Fvyf zeOWML(?v zcXIEbXyr$h>3fEmQ-Dr^fftV|bNL4t+$eD@SxZ`|KP~)2MZ>D3Sz`*CM*0MwxY? zmk7>xc2olX37SW)H=?)5p`=?rEXU034cC*urgW3(McuiihX;bX?^MZhIMl5_&daF$b6sMM!gNy8m#EgY~J zp=&@7*@d*m(hPyBHk1FbTu^Ipzy%C)*`KGP^c9={n$_>w^Ug%30hFt`#-I3`R*dka z_$u|1!_MMIFPj@ypYIt~nEKcD-U8HdShFdx-Zem%h4(v-Uw!=6A|X5P z;S(`sY zz0on>ujZO7Yd;lu&oq-ICsmv0rnk<~dsTX1|LgUBO#a$S8sbD+`{|+ORV$NL7O43N z5=R%8_xWIA;qq`>g;L-;jrTSXX6TTjhPd=x@`#KX?&c+Nl7HV9xj28JsBlV)bApU* zgs%#zE%JA(1jcnp^y_Z-gujq1%@m@b5``kQ@l0~k$^3JY^uLgzi4}7hDHfKa8I&L8 z`+4il{uvU3)nfu8tH$+I8Zl-z%W-oVf!?F)xV_mwJeqzw3?<6aZ5fjfIeowNL1crT z#*q;xIn@qO!0i2XJ_oquEFVON+~lcENXJcz9vn+q$t`dZnJN+0DS8y~uE8_%dOFY$ zk!qjP2*NkI*iM=MEP(@11M_3%TYHVT+~9^A`XYz|ztzP*p_ksLO}sCMI&|1v+f$2c zl|5SPXjJ6;ZSVY)@wMti@wP#q_&Y4S_i2>YyY-`usd}EDl_?E!ey`);9DA!SAA6es z`10<-BE~Wz^6Cn!SV9cL-#B<~3NXno=zM*g~V)G8dklsBi^or+(Xng3Q&H;(NNJp z=)<^!S}udA)1)aNcBUJ_*`Z5`kv9afY0cc?{5S}!j)ThuENjdAypdh)2JO1Cy*yI`!cJGv{&BjBa(*~M7*#7)P-K(hw?mV!hI1% zk#@xw;pZq1GEs7yu)c)ofZ*@_&?6nS%p4VyDF1$ce)OD3wEce?4eS`U_C3`gR)JU6 zsPwE^dk$8BK8tILV_fP%s#353^0;`K0*E0-Di5PO$I=`>O5rM(GPpaWDcJ^$Gb9wq z5SygH7bQbldzMe;B}H<~O?HEMIz$6v5XM6a+>BoURxu9hHlWXz3VA6DasDgwDDIBq z6j2z%g%oAFd<+cdrHin$rw%I)mkWx?OHu%!3Cm&1AD4D{V$KCPV-8~#1fwJ^Vw_3J z#f}$^T4Cul5sBUbC)_Tm=$b04uQcw`nLu5eFNb0xL%ZqATpg-Ez2wXj>tZebErwos z{~ksah_zS=aDsBe73kB#bC>4H9GISY`!fs&5)a5idr^0HGL)irayP{_a>-Glk--@? zd#ow?kpYPSDZpywPpNFQ;NbC$&za<1i)-CFqh%28F!*w7pQOnmOC*c1%@hEfV?8LBs4f5^ zM;hGVEPBGrkm~PssVN=2yiFK2DKe>G@fP}#!()07Af@{|V5LwG3%!u`onMIT+NaOJC}pf*;3q-g@5KFSiJOPCjxDs-Z_ zI$FD-`yx@=lyzDBXa=b?mZsO^_6>fN&Ltd5khs;Z6sxchup5OVu|*i-{7#GiHbaWu zD@e~v?B9OVBX zN3i7~)L;Y1^fptEtqXo(fpcp*tGPN>66y_JG7_(`(mg1dALo(@jRJA=KUSPTc6DDZ1AVcUtH?ST%% zTmZWY`4=&Ia26)5HEeAeDjV3qPcee+2^=Rh#dc7CQLAy#c-=B(6i0xEe|oSEYY%4u zn&l`|#Y<00%5w#MmYM{Aq76*YGT96jcPx}BLXre3@R|8tn4H>qf#R4GfSCLPeNX-^ zMQR0NX1g)mF=r@z28^?$D7ST8ig%H6+#JAhmbwU4XaG_gM()}b(eAWu9871U1nKW_ zlC(u0;2c+2SrQn4A7ws~pH-lXb1+TfXzit#wg?4|7_opIu}X3`jtJ~%NrH1mfk^1i zkxeiV&I_qtkVXOMzj005l!G{oa9elx1}H|Bor@ylHb7Cr7EE~(`HkZ-9o?2Q55F1; zM7fiOx@Iqbn%Q7uJ>2&qg@2qKh7_(iu+?TLVD2b=O+H4Sq7|ns63Kfa2fFjnvs2@= z=I-XdSm)&jIdHXJ->-f^hOo^wk4GBKxo+q2uD%irjT-*Xq{GD(s}L>|2b`}pQs!In+Q-sKT=^k0Lmy7FQX9ddtC`?d_}s@wD<{A>#k0^nE#o#8*+a_;OcU7`!V5kQ-)zfwhz)sG)6W|l{nv`50wi01t~Q2V zT0Vyqrj*8M$%i$FpkEAVGV+QT?2GZlv?XD<7*Qm-bfxDzCJ#SvoR7?Y^yaUGX-XxWRqz&iX55BO6)VRdX9}j223J_2Y)tG)#|>b9tNq9n#t-dlMj7mjHbV zJRE`*q(CU!Fop>U1fmmgP&|iwTP6!ULenMbM#9jY@5u@o>ka!`A@Uw4c}2qY&`JJO ziW3eCf+M0EV2HL29##PIT?3-OTWZrb4zn=e&w;jh$C{rn0AZx)T=E@Klnz`qg<=** z`!pkR0Aztu2cY8|?R+ZsXsqZoXI2!-t@l`y8Z(k)(L5G%?~#3!8%fqHpvcxa<8>=r zTjQk6o+J44h8rr+u)pa6bes*qOMC5Y$2kLGqfr)~_$I4}&R&$O^XC<(V{SAxk(kP^ z-&V+&q^G3?i1u7V?kRd(rWR15DW5jvWx=WKEafhx_8r%5=A}I^C{vmML1Z?+OY{aH z>M8W=3Dv^r(JKyZm-c?dI`gzCRYgX6n$K;1dVsy+Wg-ARz8i$6JU!Nw59dYiCO&Me z)A~sU1Dt`7jp8u>ue6F6*xN2uk@)UCfdnjj7LyYBBi2|&V{;wBcnVPTUxeb`S;`$Y zo^Ix(CuJ6Jx?TTy+B&JDCh$Q&?a$Qid$o$w=(2ZUXd)3p_WIMkWPqmCHvyc5@?|0z z#YUF#sHb(e7ot>rF82%csx8gMI@WPfz_A2*ToM2SkEN}P;Z3uxB{s33sDA)_Xfauk z#F*2>zGv3XRTNovRylEmcNkp^2C(?UFaV|!MJ6uvtKlzxUbkl~XJxE7F6P??NHswk z&Jh!nI+f#ilpa~N74e>1#w~ut8v-oe-fgui<_VK?5To;6>zApL4Ro@QikwJ;@C>T4 zo0FfPB^F%0dg5hf!raqoAYD-2oSPX!N(RJ8Q^0PmYNAVsgdbPsn6Jj3=KNYcQDQxx z0%VO1peiZhv2%W_m9`-rTJZAh=?TY;y=$+XD~E5!q<;QR=pcI_vcpVhB9sib=|T0vO)vkK$v20<+2F}Rw~~g{O!(J2^qLjfLcz4XP^u?G!|n(#FYKz z1Xn-403N(h|M()QZOn1LULq=l6bkIqv{o&M-2*AghX2Z+)7*7sd~02NJ|vj(BjD6| zRd=ZqJN!X4#!k&vp zDA%BD_hpTgjT@T&oXfj$l=L7eo2RnL$$bsnZZ+$1LyX$`1BA)%Q$BQ{GI$x9Bz+JOyCVBP z?DkXnoZ&tPeF{h14#4Nl@yOl}?D-s*5|=kxx7=m8kb`xgACV7}%&O~Q>+d``XRZ#n zisCf&#y|ErXxESYIx`+1dF94J>N}oTh2{CwchFcGAJJqGlzJ-N_%y)q-n=sh8#7<0 zc%oSrm&YxDdixPd4L(_{8kEw>wEL?jcL@;eAwPoA&%o zd5rnkb2dUj<$Dyag>LQm;KGIG+v7>mu>>A8@km3wuUQdKH1;5-vDC2BNcXVl@#TDHQ*6b zJK**01$!B36hB=fll))fD5S5@B#bVKs|JAQ)JHcN33qrXX|TP%SS|Z2TvaxYMi@vR z!^>#dhlJN1@FQ6Y0CKi;=f^z&>HKh8b8%q0XbiXEL^ew7KY5*{uUY@Fa50>dzJ$wp z$`Gk?`ps4}3p!Tj+w313lyGaRmR~_O=0gKeE3}zd_ye{-+n2=^S?o7oqTLs}eV%I) zOmXnEz$p{D)#5iC)b!%*?H9*K=AooDfsBtG6!n1gTYec!m1!Fw6hGwE!u>e=Uvznj z7rRLK!0E;TJCo*p)qy*<9%1-%o(wsXg&(1yHv5&E|ylv@!uq9mpOBFH;q}%b6TW<-FULz}I zEd>Y>xN(U?;j*1Wv4-p_ddBE^=ch{pJB+Vs$$};~8Yg2ah_uzzSLy?a)sc&JI;7-P zyF9BP15(-lE9tAF+IZft+tR{^QlwBkKq;j_kpRVl7AacXy@lc)+(HEk6bT-j;-$C+ zCwOp*y9a{1g#dZ^{@!zT_m4TVJ93}r&g{-ScX^EsUpc_8_LI#!9mCJ9d_tUbRA%v^ z%YRf=w!FXuiJjNfJMsi(1ast1C`f}Zj;M4N1&}0&&uq^5F}AdkbeeVH#{Cy_!Q2%S zGxCe_FLSBqwR%V=!V>1IDHXBGYT|6ViTSMamMdz?KQB^0H)ZELUd@?C02Eaawnff9 zq<_#5u?%9B#iJ!BBC#jj+~fB0T$iMvE*A-FF)eVf-QJ75?jP+`Z!z+mGY20=s$kFZ zS{c(E0V*mfNybPaP_GekieP9|6h4K&gyudsUj5yHYT<|cKAf$%df2$%Fuj`lBD*Bz zla*b5IV-EI|4@a~uPnk)5%QA9X-cXSPP}Q7n z%8P_&mEA)v+MU^UghJyyHD?@e?V?jL>zwRKWg$c+r;Y`3GMJKQ9w|m?HY#C`hNDh$ z)lNG_RwR~-Nsc1_k=h+>TaQWJw(_`;%>ZtVM#Vm=#5u79D01Y<^KEj_Rtqxp6r_s7 zHC2OujeOQwW4h3eCm2?M@W9`K(gvouRorLcmhx7dCHl@kr8IeGReHS@f@$CxzpY;V zn=tF}%0;QGy-3(l{Do!xof>Wyz}M`-=>zy>GGk`mZx7nM60jc*j4ea{w9CdX#Z>&_tB z0C|L9tD%~GuT_L>ehQJCCA8K3y7ym8%}AGMoFX$~~rg3wo##=O>wkX`q^?%I<>`M;xESSlY)N zeW>%%v_SX^-10|Zy6JJJXZZ#91(8e0`1iQz_jWoj&4uRmTWssG`=(hE(Yg@ane-5n z#S0CXQbf$I3AJQ+XMEccFL^!h!97X59L+)D(%sn268~<1b=3ks<5C((T8JXc$#eD= zN)J&p5{8Wp_VF%lwaK;m0AbvWgyl0wb~;+JJjM%8wT1Z%><2GoLGXZAJGI<_J+&!r zo)+#^HpLHP8$JegW+a`DLkAW)DUIpsI?6VFhIBhtE~|At`$(wb{^6tGam@KIy)CM0 zr*J)XAr^gZPnpz{EHKL;eIw&IV?P0vBSpa3&{A>@s`k&o75ItWWdf!zN&K3xytp!L zCI2dOo-yNHmtUmR%^m%bjyCivICfab*-D}Q3-FEy)hs1+(@3v zsPN7qvwH0`ZHeqCvGY}a@GfDRlC7ijjfME~H!Fu3g*OIIZ5#%wx`*5XMq9p&J6=sH zjBrOShuW3q2k4Fv<)SL=RMvS+8o#_usy-As59W5(zOn9s%c#$+VFhpQ7OzX$94nov znIrud)Yqxsj3mMAjdF~at{OmK51)9{=|M%RMMT!*W{cP4i~Bw|)u?KSEBJG%z-48= z#b;^2Vp-0{Q*Edz> zg12cD-W6GFd;bpou9wgmr!jk--uPfmg4DBZK4bA1OLFJ_zrVk~&UWUu(>`^LaZIye zqfZkSzf0-!n%&;ooL$rN;jj@|d|?G}@g4uGTG`#0&$g7du2>abW9Mg7Tj(fd>AGYZ zZBXZ9&5lF%>4`Amwqpa0JVKt;_`P7rna=?wB?*l^E~y~4yDF)E?2;aK6q}Rj zt$sSjzfNp^v<)&|$c7K6JbL@t96b6fD|!O6P`bUbh#;^S4yc1&?9V6~sSjDRUAg1(F@4(U1`NAStd4IyQnn3Zi z%bdZR*YZhI>|S@Sv}``F`#uv24&^pJ`OGT$Ll@^_h_1T!YNxC{C@Y1Z%Ws`g1{jpC zXOhB^XuC#wHmm+)3ZGZVk-k2NH@w$~Pv5&AYjq={kevDid?U&vFK^(dponr_eO$nG z#U!>X{=)@5*B(kn_^5G?6h-lYjftOKnXY2}( zJ<(5@zkcrjj2H|QVo7GN!)x?H@BU@8Gx9$8kE?WiRdNC$V9!1#eOV=!GPT{7%w`-* zqSWG7UMu=93E8 zoKbQavYL7O{?2a2U zcug&YW?poGi0NNWWk&IFGNOXpwK1*1$SAf_Nu_$7z^o3~t!#b;@u5u~9H=Y}=AMnK zw*8EV$u}U_sU*@fCrF}VxH^#bvMVJa#lLA2{XhWMz4Z8ftEJ_kw)xBVr{wP!cjj>) zjXyr0%*j4~`14_*<(tnnhGtkXkmaRMStZHY@iT*CoGHPa#izhO#bPED`#F@pDjk{$#WZJ0K(zNtQ^u!|xA(cw)X~ZqVbIT&blXTpg!vt?pEzpxxi5)T}|F zU9FsO7Upj_1?V)CZfXl}&wW*r`ocZpH4Rd^Po^BJ5^H9dVURincC3A?XU_Isp1`>w zxaZRiQ+Ry7=iMtd!A%hip-4`wb9N=|0NX(FXq2bG822c%i_73v56w=4^C0+6>xGRt zb+n+J`f!TSSVZOFbgH~jxxT|()wy3(@%{{5P>8i$gk=~g;Z6-%mhMsT?zhRTx+5#!EN>LY?xVmt{= z<0gwG`csvM7so^!6!%@(crAXI@&X|NdgCo7`oOOU-R4Ib8PjWU;OA zz#AebSo$kl>l-TfioH*+RT51UE_P1JlibR*Ek(5MI>I|@X$;5VO1;kQXF`b%mVsd> zfq%J`we3z@c##(Rh#<*rpH#29k+Jcc%GUB%qGrfX1}L6>Fh%&Gb9OmB{91`5z6 zelt8TU!w)Ko7-~NB0$Y5fJ6}a+ z3n`DxyLEA#D{+7h`hwI#N)*Ee?p1gCHwy( z`-zc7+m%CGk4@0+Gh{`>RO-=#wdOli2GQq2`4N;Jm8U#qZo;VAlt#73OVpiWueI3f zBu=2L;JXxx>2TGrUvAbntZ>)RS1q=7RW)M}0H(iqr4R{O-I?A><{s4rVhNfY+v9hZNTVkRcZjwOq zmj0cz^6A#!A`cwofk2^@p7&L#dS+c@`_B({);mMH~KI={R*C z|2wY_HqskDADueAo?Dd=?5{NxShU!<$ubOH5nX=?*z1n+QFZbUOzbxcbW;xC&;lVNZ^6piGzf3EoH9(Bx^A&*rYJRw#O~JlT zS&=w7UA|q@BhlX$Q-SYfTr*-w%C3Q?O^J z0giuGzj^f=P-j7Z#P&B^3eG?0dgkG5oVw@{dn45j~0$ z5k&UA06@THiJVCQ>=zM#_+fHnnYEu3DkWurxx^O_~RGPCy{EMhjL<%2%i1{=us#3Fhf5#8hJI$U}$mcLzm zV3jkM_S-!CL%-dnv%9T>;|p;W>N5Z3(GL}y8xi_)BRIy1Di%n(NS~~THS2EVBMmv= z=qsJqJe>F2r;)zcPowLLUF6I)u7uQN?QdX*nL@FGry~1e(PFpxC6I2>6F=&7DNE1p z%P*PH+a-3jPV&eezs=g(scJXl)6@gt(9W#EbhAy3(XamJXzHOJS)t?06-bK>rz zBK7XChk}fS=fp#CPr!`*ZUX%?{v!XRZm^wgG!koAjy`?Yt?S9L_1z?F%_ zIJ89zNijB>=z%kttNXlR(36XQak{+UR6YHkUDO~dRSb~kxyFgbpZ0(kXIw$g{N7$Ru@Y$Da zlnPL{x+s7ixkBO7k1P(4;>lOaQ~Y#aY8ERK+ocHk<2iK{@CI@SRzckfUntW>DD_gony^-|IPsif7r7qaZoJ`!*N@8a1Li8||Q!3+W zH<^D;v!c{1jt2!_U`G^~Qo-gDYR^>1&*6kWu#O_i86^aFm=4Zdp9ITiy8ai&fvs zpOyDfjzrxHWf}^%cREo(f$+ECPgyT?(rAWM_itF}+QhE29&8w;}*ZRp_YVwNTn$t*WW~M)0w8nMsxMa84Vv5UDNH6S-1~X?z?#yaI zqHkUf(lsn@p~ouzO?BeTe7#0l_*`ZCm1>&E#LkE! z$q}}Pmgg>3@k<^5D5cn6>Y_OI@cFUA4nm^O^xYC~7fF4Tlj_$M-Pt5S**x_6I#Qp? z@&yEcebX}5QmE303xQEn)`01gx1d@>6RU+!;S%=1e6qCuJo=o--|r3kUw>vc+f|}J zR&C8pCez^0s~;7Mw$T)SZ3u6`Sk8K}J3kZHQK_hUKDVq9&^Bu6ukBTnLwUt73$3No zHyK2Sl=4}ZoIhltWhJTm&x9aXjW5S-@mW;4qthO(caw393Ti{9bfpjyTk zJw{DVcLXb|xa!C7$Wb9`Vctec37`$vsyUICHJ=TF@`)kB0psPVC+}#YJ`ZDd$6XiK%&{_wj8tAhJQ#&-prbyezPr1b3sYt zKaEK;v3Ec62kk@DF*9|O%5;`msnHquE1eSsjw;&=PY+K#Qws!W^i7a#dqbR(Q#r{} zBn<95@EpzLresV@u!TDzCokJxEkn-c2d<90NlCBDI4B?0N9QC~DK^jKrgvfN++0%b zuWqSVugl#@Fl*`T;qNs2_>m!&5+%-El?mmM+o>THQ#S*z-6XSkN)`r>4D)*_)ooG& z{sQFr-w`}dbFhml@z{+=cV40&9E!tksc3Eq-F14Bo_xiLcaT0o&z~_!1g;i4`@5 zUmQr_(vv@qrh3{)&Iq`kQPee1d=LBd>@;6)DBV4XX2oEkhf!`b^TAqecTlM}ac5FJ zHu-VmQ*3D6#F<;n_V}^PM57tH$M+{_qyN2MKNqw8C$Vhq%z_e2`5qnCHLY>FV^X#LaZR0gO;t_kTe7 ztmqMS75CwUjjpcb)l(5Ejve8y?B03+VSboj&kNkxYT!NO*H%$w*fzP8PpXyzpN!3>2NYRUG^J`gN1D)0 zR!y98cimJPs->SKrAq373_EAo&`K-fRo&@kf?#&Ug)6eeRL$4;j!~EUNgRXnv!*9; zG!>ZXT#wnSKjLf(-`pxY=J>*Owc>CpjvzIfV%Vek0hN=>HG4Zk^w&yzP2YJWsBbdP zA)Rsh7-ytIG#|#f1o*>|++@a>{h~0+wV-F*{&S!xlnHauGU3nK~qRDJpI zv(g<G{?_onJLpcWT^ z2Kc`lUu(TM97Tu`yD#Xq%QxMpn>;w^e@`?|jJJFPk|+w#Wcs$-F%C)ZUV_L=F=`xr ztub;Ef~M3L7#!K3klK-7TOy(ZeK_v6_<)y#v|;&A+WlRU+!;TFnNGDziMR~y^tmh- zhs2S#tDIW1q?i|6z9xO@e&T;@&x|Ir&E2S~>?*JKkIQ0CB8wD!;tpF@(I*21h0f`Z zDC74CN#$I;Kb+BjZUIZbWe#67y8f8p6&Kqq!PV#DTpW^a8#!lTD1o7LRFAu5e@Sb5 z>CX)EO&Z5OjlPc-pL*50n@gq4NH8o>m40(w$>z)Q+Iv75|Ew#hd$iUXesMOv9eKaY zAMG;xCw}=vjQEcYQ;k>$ydYuC`S*ntbBhK1;_JTt?~9fyswa*Jx;W0nzqf!b!WMfS zHSQa&A|u;TtrcSsXWt>jFHTC<{;uT9O6%48t?&-P4{fFpF>US=hB~k8E0jK$gi?S+ zHId7IlP?BMA*5Q;sOFcBVu-mvRLYr;=f6$;FBPjHogn^tu&iyeebH4w()G{PU5vquQI+pT971j^Dpe{e%-72l8i)@cg}>2 zL-hOoulD!IBbM^0?7ZLq4~WBwKl$&$k63>$K2k~_Q~8!B{19}(iujJs_E+77-hRzg zmQg7TefDC^#;T)ZxBW%#{z8AwX1qj@OwJpv1<`w4iv*6(7J9C=2<4s!Iko8mTQ{!L zm&#E!!g06#z;NK1*#`A~)E5LT!es0G^B2}r1cKGkT3!C_%}4T6n~7CD_o>^@Es({V z^48{g2jyv3%-$o$<$cug!*Y;cb>BLRKCNWlp;kbEP2Dr5I53Cgf>hGly8quEjZ3x> zvsM9Pc`1_#mE+@ie5)(hY_9H5bmmWW$L%%}{T5z5UanF*t`W0v&Pq0@Ix6@bwEP#s z)&HivJF6?)@wxs9AK5p4mb$Cpx^-lxx2R;^f|uDs=n2n;v#iuFqRl;xvX6@i-vVm^ zsWb%u>_(r#txs4;g=|AxOC}W`Hlg!y%^`(?@jd9KpdleW3n}r|U9q(aY;`L$tYJ#$ z&=Xx1fO7y7_`6B500t+G*RIyNn!QOvk5WzTZx?-WewONNU~+=bK~PWwqOrciwP@2r zFU_%~Nie3fIp!GY`vj6572w?U%bB#lo5`@8xD9h&`4j7(v;0=Ayv^~Ay%MU->s*sy zD$9(#psDzD>jCr2)o@la3<_=_1zrIsv8;p0qE{ip%2};h} z?|5vhY7pmSL9p)P*t=TGlRFJ1K3k`W^<$cUKOA88AJ|63H%Td}YvlGj`U8Ksl$jKy zql5<{X&7JKCv?nodqX@W4?a7ciD??##(lsO`@^*FpZyJx*_U!*z_Eqw8W~$A&)RP3 z8nK>g4#stjTtVKezMH+xldvUV)c0PU{ztsQi<#qZs5S{?68fWmy>CN|`U04kZ5s(9 z&ZH(~g=~7-#*tr;1b-Yhe(+Ki+mHxuW5a%M$uK5hP@4=|6}!+ZHeMU*Y@h3HzXwbY-!VyEW9C0C&wG5SNkB4o(pZA#kJ#MUhG#kC5v+^_6WJkGC$yrtA18 z_z!O*tntJ)zj58E99&U1|9Z2;y1W~0Q;F{d&~-KYFXZr{E29>dxn+|RVZ*!c;7?T% zv0{(F`T{ZZk5h~*cuZd^+zJtA!ge5~?ocqfKKM;dK4AJEDC$vKO2c?Xm4{}F!uM)Yy?)Bc0e|1vfnw@J+RRt}nyP@H zSIEZrCx1-so6V|J)!duG_vnC9%pJO>SAD*uAwvrUAn{I$(f6vgpkdSAE8sptb8N6+ z@l2$oH^_)*!uenKmHI%)SuWsKUq1RS*CZFKJ2!~UZA-iU!(&It`Zf1c(1m(C>y}8VJXG=j#Q?B{}MedePC|j zO;D%Tdw?`bb?fSmq1St<;6Kag@QD~Z+aikxx`ypDyTzcWVn;xpV zZPrT5`gqQMef9|b?Ojus&y->(SN+$9s9z@!Z;>M1oPBOf?7y^oT8=g_E~c&*p$l}w zK;JuKMl?v6^%!OYydCgzc&*@Xrw|L+-|+l4t&(D+I`4pVa3t-C$h6_Ef`PAINJj~w zW(P0_h0Mb7@wtvwua9NKBsn-PpQEgu+K}ku`S%Cg_~4*#&jQ_D@j$~WtbSPka0W+V zoFn)Kr|wKR6M)nWk#Lx5ef-adDj$3jpV z9VwsM2Oi)-3LDec1ur#3bG^;-Ey2`2{Y*P#z~RRcYh_1E7mzAj*) z$l>oDVv^!UGG7`Cxb5&7pB|`bQ3Ct}V!(EYgxFm3{@zib6>1B{4?a_20qw(P+tV%x zp<@u*r^EcmgY}2cPFU}9%_Z5H6%ZQH#`Xzjk2Lv~yGlP~I$t-LX!M>&o{+Kj~$U~}@%j%&{ z%9w0K7{T$t!|V2i4!7g)YSC4FaWk5sgK5Ml16U;PbymUt%KTSrzICa^k%+zD>5-i$ zdn=w1pwA)rUc73c5m8k<&>Yt4{xaYzGCXuh<+z-;D9Sufy5Hol!E^j>{jQ%J9ErVe zU*Ct7`8w&Avw`lI`NAjQGonCm?yG`sNn>#a0;Qn!zz27^GX^|Bl6z^Wz!CXqAdn>a z@UXkFHQsWuFYYSk7%VjZV2x?psmpTO*5C>@2qkVlLOL*Xkj7uszdY^OLN+yWKkwPwH^* z>?t&ZTr64LMdv!-NeQ(jRcfxj;lsd0nrJy{>m@}0~3C83?7!3G$i}O9#HOHLy)+5p3 z^fM~Atv?qg?$HNgH%y3ktZ=rk*=}VA^07$aBDcb%fn6pQ>GB56%NA$x1Xw6MWPv@> zeaiL|!6_NS?O(6iHS~ID=_?f69OUpCc}$I+|4Do$>Xtd3A`dR9iv+CSbK?5%`x6Sb zLZ?wVd;;zUK5<|H^_a8VcV)j4qdIb#wCIZd87PHmBmzP4TMF5I@*%hR%F_P`QZO1A zKyW3g6R_P%LbP}Pnl6OapznT%+*g-z)^*Hxtx~<(l3MyvGW9-s8mdrPHv9fynjGv1 z&3%bPTY+)05H(mc(g*(wh_o(tK;IX#8F*sa>(Bw4>2K*WgA*V###(*B0AOX{IC_J9 zH5X?KO;>WE0R4~0|3~|`u)w@nhEQ2+&l0;9RPPS*8g=UhieGwjxY6%EdFBgJzVdpX zG5x)69P?&zdF@hjQ2a+Lw13y-^jl%{g=xMt;HY8e!=EP2rBAIkh=e;%s+rPSJS@#l z5{%P*x!1S0u5H#=w44^V29h`Z})&fYkgvwYZl?C_C5$d+^% zmj1I5ffU-eWMqX{`+tnCr972!+0&d&S|CIs)@Kkab=P7zS22mPu-$uXt z0LfmUAU-K;Hm_j;d(7c8vR<4pu$#Kvdrq#o5eE|eBviKUT?T{iIlt60X~Q{vuO?#t zWt}e`XdK8~7l;QsF(qtRr0)%U+>l$Dxi%*}LNe@f!{m;p2OH{kuO@sa3nxp1`YO=u zs^D8$vmaZJg?{1E$_02>kCEEteHcQwspHdqwk6iqki)sYRZRbno=BA!K#^N1rA=%g zdavCc9vzwLfqKo1ZTy06? z&Jq$L-m^>NpFVk~BDFj@Lp|HSikNCW2ix?PssCk?h&f|9JJ7-SXpx?L>ufj)8|T=F zB?b7R@aivF&+{3*ixcYU>`8Z4Yv|n$UEj@axH>m~bYjAMQpTDfVo3R0oFr#vYF!2i zf`~A`P1yhg1l+<2q{-7b6CrENR}7h(?jHRw&bhkDCPorO0!G8IE({WWn(dWgJqUCa z_QY8A0;d%(XZvLVmJA~CRuR(y>dwV=CI$e`Q1b$mEy2OM?*WA;SnqrcdO;63-gAAo z?Jlyj>#9AkAmx45c+mjK&a&~Fe0%DKgIWlC?o+K}%(JuV7Bs}G%T7RjO_lM&FGiTg zJy8t%^)&AU&FZD3N-JH$I)h3BcQ=L;OfQu;S;GUj77I_JgIUS|(HEW~YfGzU9M~`{ z$G-cm%z}-d%0g#i;CH@*b;yn^u`tyoxA2S0J{q=*gTO+RPuaEg>}4oQ@+;)dDsfj7 z7d4A^T>y$K{4Mgf$JU#>?yW;sB`f#hqa;^LHvM;2T_-wMd+AApu~K!=fSrlX^Q>L! z6T5;sly)o7Y|7nYNd&O@eBZB2W9btkrulk4MQLf~t#jQO4^k(R^90|^SbRTnW7&W7KiqPPi$%>Y>75m^UdYq;Jtyn`1%sYJq9$!{tfgRe%q`L^tBAF|MB*A_pO`c zM455qQ0RrS*(^dRLDB`CF?R<&OgyQb19oh8eW*c#tBdBY~igG?{QZE?r+}v z0@CMT%St?y6XP;Cg6+2QT_`1R+nEve54nj9MCj0_Ne$?q-tD?}-Fvv-z$H;u%)*TI zJt0V|SprOAOHIPd`}s0$*30j_?u;LZD+H*&f?ivv*ObTTS3qx`JFS;21(A)QqO|YB z-x^WPW(y_yOF6AuEjrIGnOF}j%_7$I#H48Y9hz7v%weL>HfpK6&+2G{8YBL5j0smmd2u_}=@#7MesjK9Iya=c0x4nCU{|cbwb8hzQ^UlwMr7NAbz! z)&Tcu@D<_<_O34o1*?LPSxxy!zWsSVQ}^aBw-uo#a=mY?^G6nU$(Cu5W}djvu`G9w z`bCTU^yMFOv-Gp&6Mrkqllq>3+xk7@sXn%IZ}N(Ab1IXXk<|*|dOalZtco;$u-cNb z{DQAle8E-L_r}r9zqTwu^W?U@bZy24(iA@wTAF*+tM0Ag8XMyS)#(w<18z!atv3$pN6!(y-d0 z2B3nctu-{q`+tnJbnbdaI;CQ8%Hc+Nt2O=PNQFMg67r4HO$r4oetjskkzGiE5N9(i zU8=lR>x%_KxE86|F8H7`mac;=|3__`&q)-d!1c2OpUl(LL+6OGfasew*Q=3LlNJ>0 zBjfFsy&LrJS@{of$`h3V;&Yah(;+$=uh;9(mepmJLOmlTH%p?K&RBXUmMBzzna4zCL5PazVd1t~3jBXpQ)x)ihEswGFhr{=!rF(TshTi8$ zrw5jqT^kqF?Ymely+D=*1>arh_i-&41UcLXmN+!cMPU<45PX^A&L0j9r0mbjLOX$0 z;z2)_SU9g)qtOaq%>PHONO62$SxAG{bltC}lJ!r!#hWlo3!|3ARnv{IVh+$|Lr~Jk zi}(*2n!wvIG$pNqxESEJclA&Cy$@_Fq=>JDxD`hsXn-S`u2L3D9;H2{<*(PLsNA+p zog-!#yNJB*fY?|r1CV|Q_7{<-37oHoV>fuA5bD;I*g4utqF{0#Hof6`AL#NnUVrVW zvn(1$qdyW{56;9s*S{YFL*c(HZ|Yy!k)E8Y(_<~>yDyynuN|yXh{|f*= zf>M|CgvcLf3MlS6Lr39jRxh>6GOj+(tA)&5L1GAkmZccB5Kd|@ONEI(!%3CqEk~;q%wfHYGUG@czi$bF zh+mNP9MX(TVX3dcEL3(GD!Obsy1RRg%|Sg1_`YDZtT?tN7(^9ReB&)!-rUbu209+B zo*a4`O5P&%+&$t9A~f1$2(FiUi;rCIki6-DXdW~S;INbpX#J*B%sb{K8wjS(qbloM zpl|OE4$?vFQMv1cp!bRYwUh!7`mTt2tDov`6`WU>#ODrJLd66SuN`T|Wy>wA^q$m8UwBD+U1zlgcGvh4&vO3V+w$?{m%{fvn1X~O zPC;FxjN0IuG?qi{s^t`gJy`Q)1UHsHbVs@gsv1D{MQe8057~n&wrO6K}Ha5))SJ}&4W!=w+H;|2>_dV zqXGjbMSN<@##_&guw`Wf2=>hhGs7cYAmq(*oz@Pvmy&+>{G<$Ql~HUGj_iL9j27QZ zi(@eZ_!^^{Smc{yc7@Lly7M+`Te~81Vd4mF$1`J4@yT_Ti~Ip9^FpfGZ>%^0p+}8c zyF3ite|tiOJaQ4>><}gKGF@NtCezEG|!N2sqAO2rd}=z<(S} zGLN&LG3ADSC);BtpAbdYSMTn~;Mp8xxVN6VBis)JDk(~m_`DVk?Y@Uh{y4)wA0GZ{ z=Qh8c6DW5DG_zH51~SKGIwlc1n0JPK%i?~Sy3AJV{5+`w+*z3uM=G+wuVaco5%Vv% zO8p4JA@iBR)Bs(L}dWO4PFA?CR@nl8;M1Q!Fpq0<=$wbhq*H z#2j9ImAUO_pV^bvefXaqnT@fl*uyj4lH=i{9z30f01qPPqNFJXPtzR2&}T^m{@C>+ zkPdT1=qU+z+Koc9NNCwFtf_u3wu4>9^?&GIscHZy5c+cUYCK z;7tV6$oBL&4CwIz4k%V*?gaRt&+Toz1b+^>+f~#H)aMe-KipV~M-F#v_FT?P5g8Oq z^9nqu4U5_k9p!~duNJQLZGcHEDN7z+l#ZW{{{gGu1F4I2w3yyjdHX&8HrT!Xitrb# zD%$+C1SlPN;F#a{Rv`Zuc-EaIpN2zjo`ZOJUsh$V;Qk3?y6n*A>wac?ym2vt^v7KrMc;*b|KgLTX+)YJHB^ zjPpx^R6$31pXA8hf9=pl5bs+|=#iC(_7ZPXNM8xc$?*R!Zs(a8TX@eZv~4^$A0L!# zBJ{K@4I_~@gU<1x0naRNbMYpO(q@fZc&#Qkh?BnvRqT7sNDW8W(!8~@rl^xC$`7bZ zWn+5~PZt~}u7)eTOB;$;go=451pg-koO(#8Z1?CuRkiv0DIotrIO`)JYkoZixsB9Z zsK&-HBsqEF`BTJjSSdcpccnOtuKxHg<(Ikc%QohJuq&&gq*!3rzoY=2x=#omdN22t5#omo0txP5s!P&@{Gdf>w0E wV)lEubsdB4o16rBx>xUttuVhct~677A&@{4f|sLZa)o*7wGAhv|MR*32MIb+bN~PV diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 93c3d2540e1881b5b668972d1f5ccfb26a8c7dee..687ba9d401968a7e7e8f547bbd51a6af387b9ed8 100755 GIT binary patch literal 21382 zcmZ6y18`=+mp(l4#I~JGY}>YN+qP|EGO_W-$s60oOl;fw^4tCIepOqyy3bSRHtspy zx2x+s-N-+F{HTEi`OaOwAK`DQZszP_#K_LT#LU3V z$izaVV&?2_WnxC8Xk=nT#6+YbO{8IFOeAJ%<@#N-{1WuLnIAuzW&cb3P(b=`8Lsl* z(i7y|589Jl!p(kWdj#k?gk?e-3-2C$(J9%Xj3Q}6<|W=@&YEuC$0@2S^|T&h!P+7o zV#k)SD>xtb}CRNpU17Xq_@%P^Y!`h_Ox@2L=bQC;kotPJ3K=2fK)DqAk7Iff`f+` z{FD|MfGYVop{dyh5II4_vnnCfeBWN7I=UglI@5^ExD!O*Bz5G4lyGtOo;hFKbnj$) z`cX;V&c(vg?Nd8Mi_F8Y!3I6QpWm&*ZRP#6x%LuBoh=6KNhWrlyXA5d|JXHt^{@L4 z1;&WK#GKVvs0#b8!eOyG#dM17#H~h*e;yp2u3mL%a$dqK;gJ9$8)mS6-4KE(jZYB! zPsqTZKheDl$(Y{#_;O49pi{#RAOer=vpdSrj_z)gj1qOzK=g9J~0ur32d%GP39Z4VMLO` zyIO0c*;?(n9Trv}<@N(&JsxvFTM=PHJ|1dge!!(wzfgb9f%5`~l>)C(XD)GcyULcwA9bE{)wr=j}>PTHKEhq+3hs4YJ|ovMcKrGWSN(IBMj) z|01@yQu^nahwyS$+LqYvt!G5wP9Gkf6XwXt#?m>A>x?&7rmATd0tBss=0Qs!q+wGr zsOS{64cqB@@74}#2h@OGdWTJ%&tZ) z`3W2P+{$3~%RP>Ies&4wiI&--bIHU!tqA_TjmcdW_fmLCWWtSq;5tF8!xt#qPRcWZ zznl|;<<>}_Eq3}cD8R-mm7O!!H;{C+xjWZq{12e7?-=afC1(>4iXCo3&&jK?Tmk$4 zSR{|Zxf{;*4~j~}Drm_NBp1A5@nUffK$bu-9SA;J2OGE$ve5D-J)&Vqo{#G@MudR{bb| zFt&(VU8Z4IdDRl)7^?p5e*sdzY^eoeW{R6yuYUwSYg5>g0CT0@u3@vy^IbJnJmGyZ z3|7eET?r;d2o`p2@?h%DUtP35!BbNbIF2+<#`7uZuWB8U}wb^h49Sk`CIlZr6>{km#L2z zubc{1Fqo=!o{&$){RwPY{KBl(HD2bN3Tfb?`&lh3tndf!;T0p_ZNdDatk%=Gnc`-$ z!=1~)tay=>7pP!Qyw?Y5UH~b{QZM&BhieY^e5EHGFn=a~PoeQCa9kIIJ8y=q6FiWU zWsbq}!(M^ERv=T)k(<>Nt;FZ;soy?e92}v^@hjl&F$c?h-{TU0Sp{1G=D3D?=fKvM@AUFv+Z6}3` z#X=J#ew`J-4F~fHsDo57c!e5nTFh8h7s|=MlNDN9)OM9RzZ*zAc}c}MMv%3H4SryN zLoINyvE*$N8m+AFTcW^K-6uL)FPK$ zxN~Jty#14)Xu%E5i3yDIR>i+@AS=^^+LO~7EyMV!w=3{@V#dH@eUW5)=MjYHXk&cv zSIPas5AkF5E|Z%{o#Gk^1Hdv(c?PbK=uNNe-EGblJ#lMV5cbH^c9C*~E2BvP$-qY= zR+>^!dOdgDA8|L%n?QI^|G~p_B>8tPyu=TI`%ClQb2r+F^T}s3w*h86y)eKFSoZe7 zhRb`!AT*%d@&!4V?;%p1tEPWvG>uy19K`sdCWTZ-_tJb9tY*}sqxx0y%*d^hcelgM zz3dUO!etO`7usr(Taap2FKETG1KCCrmykA34^^T!2%65cIpF17^0s_89nAE=#&KyA zR5EcJyuuuFX)`0klc7zCFA%vh^cts@ex!V=OLo%Ai;2DwTtuWlb(Bnf7pS<-9^kpj zWVsccpdqo*rqb=(QL-xACKNt3&aAoJyB=$Qcm0}u7J`gTm~h^_wdW3W>!Di^S+$YZKC#; zZnoLs_th=U|0JRQ&tFgJ&6C4v=nPZe>-aUna+8>sAEESdZUTYhD}K4xFr9kdzm{RC zFr+&=vWn@=$nR6I`hhlvI zGro6J$mw*aPe0w0dE4de7xz}ysAoDPQpfALOKnL_*fsxg-SdIX7fcJ z^ffp_VCNH8?u+_amj3%0Z1cRl-%N1|_TcaV>Nwkky8ND{HW`!b8wFyT^|0Y`!r zef=6qF2w73x|i?2G3e)?4C}M7=8NCP(>TD2*}nUt<|L{3)|EX7M4zWPbs^s-`7qL^ zJ=~4xE7dW5e`$rqPULtNY=}bL;nhT2`X!3#e|_Wz>Bk?mQT_)XNEC%3p%3095sIJu zq-_46%Fgeze@N=0m5JW=cj`dBz=@@auTon69RMs%m#82bzqD{3hy9K-W{NJHHni?< zAwQwdMkb2zRFn{~1;$GbEHZ}?ny-FWwqA(yz zr`NsfDw(zTzQ=PLDKt_cyoa;4<~|*e0!E*?&1#6Kt;U>rJMw7+wkHn_E)GP}A5Cyc z=RPw+piF1?h|M9M=VZGy_eV2~U6c-?^01wdIeX_&7h9%u22cfNI)6aXoZT13GCmtg zQyepcq1NQhsamnZ9q>1BYcc9+dijgSC=W6}zmGh5R`yamZueJ$Wzfqyt6jL2I4*JT zR(cFBZ6IqT;qA$+whhu6{nQ-%VT`_ zn|0k1?P!i{mz`Ci8Bv6z6ibd;hha9WgO}*5R%|?`dKOx-3B8az4LJ1tJ!1B(pqH_y z2#?-CI6*v+(jAnQ)eir6gpvc{v@%O_i;QY{Zd!|@qv3R8^bYrzuIuF6NJU%qLIObXi6ZB1U;x%78{6XoE#!mFm{;5sl& z3}5`V`t7scFbD%EdfI1hN29)@?S4-I4Nu5nzVNfaFpJ1ai(2j=^BMI{Qdh+%XWa&l&0lC$N{-oEk+IV#!cIx0X3u?XpBpTY|!eK zwK$?SxUxWO;1J;(C+us~ipgRzsy>-btixmyZaZX)-H6U&HoE+q{Eho8@Kf0KzAlL= zKNgeFgVbct1Q#|x(7An$ligH6V-X7E35neStK!_M*&Mbxp7P7ES{{9O7ov2#5^cjD zj;jjaWtsc1+GBEUgULc+B)1#ig`NBG{4BGnhl&zPz|T^GDdND9EXPS0(wg?U0gpM_ zq1H`_ScCHU-PnaeYj`MbRxpZfGkg0a)`55i6)}UcAhdDFP>q zW^l^V8+rPTKGPo86@^hw>Nv!xonPR%4(^*^Yav1&POup$|~Swqcd9Xtih_O%}hmB zY)H*_#>(B&6L;DM7CRX}2Y(Il8wnNa-}$KKT*s=F>0f4Gt)iQ-L@ta+FY7Awf+M9Y zLTmZ#J0rN=y1s2)aLQga>CD&Yn?|l6WRaF}jW@tGgFUD`YLM?Rqqx6iR4T$tjV5QL zETJgci5iplO-)C2Xs$X#6$7n6dUU%gv=+_dkFoR|b%R`5RjM4L9d9bhgD-X3fmMW_ z-sZj}^1CZ_rkIrk&~Td37*RSymWv-o^;%6>E8&lW?p^Wq`g_T?tO|*gOC`xvB4tPl z>iXqcg9)lEpNyxI7lVb5w=B;xU*wn7*LcEW?>w(+Kq&QJTu9kCpB1fR1CV+ z1j)HkH7I=~P4eoFFF`IE!r(yF?G}fG7aDF+l?oY_KrgYnFbKxg8QgrloQU^eVhq?9 zBGRU_74Fzt3%_H}hugow%^uqMVDr=CY#Awkqbk=TRRe{dkk-`=6vU&oGqCfoUhl>Q z{r06hrlUtEY+L6B1n=KVMmMasTcf7z>e#QNg%^vTzIH^4X<#1hH-5Av-XCvPH?+kdm@(=}uWa zKOv2p%7;x}J!fepV}C1F9GTA=#P`aGPcdxG|4y~v3HY6+Q?}1H-=etuIy#uh@2nsx z1=0;YYy=xS*?zdULiVmLGx~uUa#*CpyWW{YySrFGyWd$j4dtKB3aq^5G+{WjnsNz5 z4`?Y(IzFEOXx#A-@!JgBMUH=(?FEly>48UBcB$)Msk4vY4F$Zbr1(eHq6@FU{_ceR z?V~$;kKkm$fLM()VMF7^!+=<8+Yjq$YZzm}u6O+#-Us&g4F#^xm*f-8a)uv$vPjKM z_Ad**+^*2Wbi~2VND5v4gfke#VjgTUSyEs3UNQG`Y+lUp@(TI%-&0US3R}_89ys<% z^zwUh-s(bg@*yc;$GP1+9`Uj5S$UP$^bJ)?o*vMMQXRe2*$G@7#xMOin6{?2WkQ5~ zc@lh==SS9Y;ZcHfw^?AALnT7%wVIz4ix3kJZ~{DTLC%uVTg@GTUJ={Al#~bCyMS(! zWwBb(J!%U~!1e6S4zvbs0OfXY$&%y52E@33dV*nF?;3EM7zPu7M8M0l1By7wv~7y; z*y1TWBvuc~BX<#m5hAY*uGy;6^y6%qhSlZT#wO!%ZZfya@$Ux2^g-k`^=I}UB(Q)% zrO2S34XVN30$ViWZ3Z?NrfVpiFs$d!SYfz#E^%VWPniD{^W7Qmm9x1qj@$+TzxPA) zO;bH8pUa9ifj@l^?#qeNbydde(+P`q1g~S1-fI)@gfU4GWzoJEYk$d0*&$E=tlcGE za>S;@A_xb~6WU4p&6(-cD*KZ|-sM9e(J<`bd?7c>D(WbD^w_J_(-#e8$HqqR`XT!h z!Okda_fRWg8{^H$6D8VK={gHs#+w%Oup z`Xx~;RpNW!qe=@{VJ*$U64A%^W!awI=w!&U)&FNbEqj@_L0tMP$J+N#W&Q;P zxohkn8gVfPvC->_%3X1qG%D9dlX^+v8?3gYk&xp!pl^lVOtSj(kI5fRhyrMBp&bnc zn&UC)s&e!`40mlBNtNfN1(qpgN*x$d3}TZ@%!D8Y@20|QBar5o&_LL z``dzA=Y4$q^dn4_=fh1*F#YjoI9CFXCk{x0Q3<5%1`*fi8@j4UuhKtfHmT*q%C=-r zlE)RPA*B`GpRqHF#E}wy&g1;L_EmdVhlVx2_P19HUbWzk8lH(|o*7B8{Kq!&4_2zk z308KpyIKpDe?f}%(!%W0j%=VfQvrhSLp7X3OpxhmPwz5{MA|_Sl$v;219Sq=ji~29SDM}mcY8v z5z-9k_B~Q-cx|n^VHqgxal7?U#f1^wakn*F)6HQU@|`N3OYd3Uh*g}2KBv-+DGi42 z;r-?x2KXI>%_X)nWWrvq(fWqkiQoTvlkW2ow((4{ZfhOyHSrfpY4A4GB8+r*jw`vd zPhliDmRNEs8B*>sYz^j4ZQcHBJ0%)vC(ys3HmCLTNRHb`oIeK%)}J;)7Cb_4Ij4ln zarGb-ylbMc{`Vf3#?x}@Ld}goO)eMO^7p=(rj}r+B;ZLfh`Ifhq}GDk5i2H)Z;}|f zxrfleZ=uIZD0L>l`{&LER{YpYt;`4soz8r@1h`Bg2h0`>o4RC z{w`2Y3JucT-C`s}e_q_>r7gWknMroATt*&~1@C1c2{j!#mY)`jo|2s4Yqgu~oStmv zzwMlCqXlLmTHxYLr}DXb0_}4n85}^j&lpP~T(5a8j%PDrQ{ystb~KL8i%;bTVEOpB zmEaQ4ixPclk;ASvNu94>-N9!Ff;ZlI5d!-K5iaqsGTjcgQv+Yt(B)I6che(j@^{buWSZJ&Gi8i0rEfHpwBpuXgzw8xO#W7 zl93ePs>CcEY{otkhIO>TjVYFI#Y5D!%$)V$2xC-PjP>xkh*_=7*J9;=_U%Fm4El!2 z!03Aq+M5tWaY=NpoZhkAL&@Z%OV1Dvurp485@PZ|Ur3PI78@i$i&}CKUeUxd!yu~9 zd^vQBc1B+hGRyu9`HCe%U}gf@T86Nsn%OEk@TJ4o`;nxXj)%iO%MO;_tYDCJ;*i(2 z`q9C9LB`rbeEBl7X!`c5*B#Hy%cb7;l~oIpuMQ)k>nbT8%wmG|&KM@jiyGpmR(GU@ zlk$b$EgEnU7*LDwR*f*Qr*9Nlh1w)PSyV6!@!py5WlRMtH|s$2rZ6XyUu6LR9QBB2 zVA!+(1D^}#jhH~NQJcCWd@?)Dv_$z&W8F>AIbmK)NO>e{zAM zg`(3j+2(D|XTI^Md*f#JZad9&g3Ws-jc&($y^kb2;hj?R-RQR5hL!UP(mI+6<5g#O zRn&D2CY)fHkGk-EMqzr0m;^xbO2KRHmiN6N5!tuXu_(-?&qvyp;I#4I!-Wu*CXS9e zHhmLm{>w3K&<%IOYhqP|)ls-ME7;yf;C&a5DK|0m@{w4nT zE9(pSHlX$(AoAV7@`aEnASAs9^QC9;c1z;(%ksr1F`#O4EA}-$m|jCDBjYzvg9HeA zbB1IP{yY_F5c$l2tU&syGZ+x~oEO}^CU$4yhc_sN4#2@Izb;8~tW+NoxXyL7-0rbxQxReKD5^Hpfn&Q*`U*GewSBj}e*+udNE`XRg^Cj}%Qrh^{DrM#?eU#Lkv zgkHCdTVPqOq@)ZEgHfX#i544!L_gQ@safOkQ9bH}U`0K5gCeeY$?St)0-sZLhD-3J z1*k@ZxDfHQ?*g;$;xe@w5a4#S15X;a5NrGy-kQ#5oOLtqva_L%VL4T=&4h<0D*@3N zxx+OT6CN{q!hLWa+Z_~=XKd7>($v)-za|ZYUjXS>l`y~ORO7t=7qO27kh6O zSh;>I*FS=1jPMbn18Hw^15)W}QF&HTihJS>Zn7GtW4zem{zWKZlMMjq4a!_nv>-kZ z^66DZEauYShF4)=LpCuk`6_x}G$Cvg!n}WF%gExMUZ6aoDPy^PxOE{cIi#K3SGO4I zFtC}9jm^tp7X6j@uIsj$CVK{K{r7O{b^_D`=nqvbe`r|6Pa3fO;yx%XX|Kx>pXqn0 zQxDq`>mQcs(S?~Dfj#!(J*}1`zXI9HVnk_*0dUnFrNP~Re*^>x;*6lY1%1-KiSQ=9 z*r7QS?5^(gy@^xA-wl0*CFKWUB{CA7?HOJVeW_s(#+6kA;qBNyinIH=(^wMsUVDL@ zl#TkhZ2E0jLoJVmYqJ$E_H!G3Ng!*Z&WPZm1b}w9IS4J__XpS2?4dg0 zi%xS_Ww?Q&uMfKR)&v z&%O6w@J1yZV~IYD2LD6~1bV{V$VHAI_dwmK4_*mRw*L6wGZwAb`BRASCwGSD-35U@ z?j?`No@O+K;z+T$8#Zpe1IG3)Vb)~g*X*9+`Cg-3)dVO*1h)qI^@GNbuVm0?u#NSz z!rmDC2S1K_RGde?(K|aGs1A7Zk2>(3akOrI2=1#By?kYH?7F_2qS!_O@wLs^#+4#l z{y*mmiY=6X;KZV?xfQ4TgEH`bb^^hy^#9&O`3`#<>Hst(&cq~}?vWws#v4;-zLzV$ zA!UM-Ao5ar@Zo8zILkBnwUWt~@am2&J=eWjqf#gZ@RZKqz^0N_LG$}n77b8H9QQArt^jqQ) z6APh_$JwqI%0SMSK}{&cp3WydT7_(@=a^m;!30bhr-aXg<8S68@p7>2I);f@kXfzs0c;tM{8i*c5!LMd!*{4ws zXWkmNrv&GD?X3h<(YcRL6#oUbbyg=6yUK|o~qw(AYgpL z{)U!6z<`Oxtp$yr&uvIc<9t1;l;7K6T$%I*8NY;jBrG%~=MiUGrF-GZfb`Li6r);d zRMk6sO1gRZGAH}8Le0A@=VN2zV@f-qrd6$=^5M<`w4oQ1V%}2-qRHnlr|Hh(A~TO) z?cFz5L=;hP^qyn=qC&iC)4utwOy}d`MBSrmqxRnI>3;Hll2wF;il2LQD6Z=-5?1crs8X)j8n?Bb{y|=(j_Nv3xn}asB&cAbsZzBK8h`$Dsp9kVWb<>=y=uAA_Oelys}f>N+Y}bcnN6UT(-PWTBhD<;a#d-iKE`zo2kFvWqEX2 zaX4+=oy^eF%D16nr<%PHmrv2rs#hVq@|PuUQ`@9cKdT}_{56Rk)6se#uHI$ly<#)q z>+ttK?Cs-JY{7O8^H!DaTGHjx4iAGr9_H4S>StC(tvC<)o9?KUZXT_#)*orF_i(9^ z5Ys<)W#xd+93I!Lm3$u`5AMzSVIfcKuiiE+-D%ne-F$3I3)Rb0@;Son<}hBWQ|NvQq%uiwbx2yGd6Yilt^Q=Cax@K)Z!PXWo|CMst?5LFckwL4^lL z<%M`nC*OjO9h=RPc?sLnR=gGjb_aM#M2uwZCEr5aCM1P6=ksK0y`oP?U0rLKzUAX$ zDT?_DpN_Zd^RL5y(UsjS7v9b5TPvsb8WyEG%@x2bcJr4<)us*GW|0~7sh!u-r#eT1 z&vRNk`}3SyHS=FADi`anU#@@V1=toURjv8>KH|IsYRb?%no;DBcFs%cy4w*{9pqcf z&f+RIH!=Q|Sya{$o+&ek!(l9GE4=O-t0(>qmM&S_QYo-vKZ_f74XDx1sZh{3jl0C& zx8WP}FZ5cS_#FXrVr!7?$0+xpp}lk|?ql8&P}#baUCFAma8aRM6;QckfN0QFSBkz) zc_{KSUxvFdbqTDnr~S9F1hg)hKfS6_SSq_l?*IJM_UsV7Fum4V;823~uN)_Yr7s5^ z)Xth65zw3f60duJDZDYS&-zn!+PVQg&WhkqI>0YF@#RLXw-(yepTS3oUfH+b5Tt;` zF|0PwzC|>Bt?KCz2U30*Is{93sd*YLtKNFgYfxGrNJn2S^`u{8+anVSQmbrrcC>HW z@?TJXeK@=YU#3WYxg`u{?%L@~PpR0?Xev^Ax8vs*G8x!_9oUKovlHyAm=jTh!HJZI zj#QO8+P7qZx?DE%n?~B1crD)+Zo32aykPGy+GfH=*J#sd7p;OxW=oryY0)oHUs*5U zKC+dLR(e6h$2}%Tfm9twl>r8gPs95ndzD@cHSFsHw~@zZiqQRr#*ptrvasKXyRvzD z&+0hlLc07#O37K!Y{>#U-nnnfO+zN|=W7O=C;zt{or_sVxL#&L0oTp~b<@T2wiZOK zS=(k>j87jnrkY^Sx94WHoyu{1^FhgzI0obzs+Py2xQbG`sEE6TWW~s+_XWv$5jvCjCUQ zk#y(J0BS#Bc(i!<=^qE0)P6Z^aOPdPdPr~yYao>c!<6T#ksKK?S=m@^UPD5hB(kw{GaSo*>|gkS7BNQ*N6sv zP~WUx;Z3Kj<5~^GxCU+>CLQ9fKwvY2f`tn9b+o#Umgb`9Z4h~MR^S1w02}S?lsjG> z*7r!|?fC7)tZJ-nD@FZ)b z70+zqw@m(IV|CjVy+jW~#AKnv5Tdf5JQ;K3U0NYOkk9DkaOS{Lgb0;Jtu)`u?8Gmp z%wp5?=k(XS*E^}?gucx(2$Kh^QPl|VJCL4M8Mu&e%>bZeh}fhT^< z%$Opi9lb(9#yK;h9>33)Kg)wQP$g%r0G`JFtZR$HRU0EVE#<|Tz<>+I*p84?Zzk~S zpK1<-8N(mW?@8{Mnx(PTr|d2suQxxV1e)Vyz-`sk3#BkcEz>HKfAtyRN$+O$0-9xD z;qmrP8FKt&_@0-v4*9nF&Mfw#oG+6jGA#_B*+=CraUAkm3sg zPBpo8OcwgpO(B}MSaFj^epuk0HksS+Uxq9 zr`@#f@?^YRG)VS|1rEJjw8-AOiwz&nyE5)dRHhdwK>x>J1fWU-CsB6;$*2 zzr@ab6i(mq)BZj$Odvje>QsN&zO%oH?>#{__!GW-hxCrFP-iZDG7^J(<;)78=fZ}5 z8n?1#h@J19MuG?Vk0YHX2}VBUokWI~W$<>}vVXLewA(gveR@FhN zi~xUV$WFXQ(^8XEtkKgCwwBSH@S65UI+g)M3S&#VDf=mrec=;{7HRVY!MrKkAd_oK zK8Pi~X9&`U*Ds@u*EKStA&o1PRc=>-?}fq%O^Uhp8e?`~i5ds{#V!i`wvt3T3K0wg zKPYqWH)eIKBVRP3_U;L>*1(l%S$f$aRf0+Lqd=)FkpCrYsd5u)BIttO8ujpKIaF7v z_D6Y<3|0(HJxB4JrZiU6f>QH&4m@13{FPQga$AEKOE}otPSR8RMCsVJ-6A^T9V_+UMd@WVQLhI&J)1pW%gy-# zmm+VNipMh0n-PLMGlhOg0Hu&ekXd#dz*9)eSYbCJfGNZs)e;QyBBii~#j@as-E5&B z9)LLHk!dy@eqBO{XQa>%9Pj{nficUD1;`P?WwIoMybuj}VvN5z^{`R=2_2v;sO@n);WZHN`>CIEiJGB zb-|B+Auj}kcoqu%AOKD9>pdarY?cP#7i^FhVujuFg?iBIRdDO*h22Ai-AI5lxb+yJ zzqYgX;1^zy7Z-(j4zruE>uo|lh?c037aN6kOqO3i*VRKF>1SK80Up6E8-*WWmNRUY z0f_4mkQexcOW!>NFPqE)e`pUB`Vj#9Lmste{Z|V8AORM*fWLxo)U|I9FIP973^yN; z7Y&7a4%hlHn;ckxjl%Bb!l{r);}Caz%K+oq$G}JK5O%2bGx&A0!jB4}9Egi0$P4G$ zP0LyLpht?37F2)$yQPoNfHx97gU09(FNR*zwm(#EHk8S&>Bsxy;mCgpR zYn=o0v6P@u2?ir`R*A0# zbPle|sbeigm5vlWvD+3Kz=+N>C%PSS<+N1C0)54*g|bwO0SeT@c97{809ugLBV)I& z4pHoaQS8c4kTBU9sSwpd`P(B?lBt{e|41>1qik6+UTDpXp2~jgeNx@6q#V{Y?$D%* z9E|ktSxZOg*!i^}2wOT^!s*dAgBGnf)J92Jolm4|+DN9IlS%J5Ah5aiZ0+5(9vZuq zNgJic=Omqzm_$UzOT`q3B0@_{+E>*22-1ta8z+O5pAf?fLvimRhmn_7k@`{#2_aK6ty{iE>+INtJ@^UTQ-)*yPR) zn>>bbH#r;0Wo#Z+FDqWVlcbXJQh`pU*(1kJEBz+<_snaQ{3~!F935%AV)#aU{eVJm}Cj?^j79 zr?p+Bob(%yqT@vY(tsQ*f;sTNg6LGp*zCmNC9YIY7v#OeRpS*D-fG&)E z`Y#y*_zNA|v!19rma<9@L&>d@;MA87w*f7C{laMF+q&`R%#K2?S&XK?1M&4blR)t` ziI5FrcLsn>htQpafea@08pG@}cbd_Yn@su`WgK3nICXt#0s~Ve1IQ zvi2f_$Ip8<*Q6x7f|5l@C3iY^zS;#q3~Ly?@K@V%hly$Hsg&8Zbt z5OP=c#R&q>4-c&Ox#C6n-3o6dZZ3eJhtl3NL-h#n2RTY{`_*7aCeWZ^d~yj%o5D=U7- z?EfhCvZoOcWK~n)E1;m�)tm5#Swiun5%19uLn)OW}Vhbk4-bTK((;A5Xt=gCWZt zhN9Sg5h4|^-5(LofPQX`@InY)qnhw?734x~_|KVHZ@o;Fe(~trtgQMtWw(9cvA7TlH`cTcCh<7)!g3c9?L`4(I_J_zj29KjDzO3LA~AjRIg%0M zvt>352BnK_9qr5n>PZ4}C0u)AY81j`k`rQT=YNT4?e>ztV+0QxIQZy7j{7HsFK&iWPJOwE2kgizBPAyx_oU}O6S5D-^u=_ ztMdR^(~`)#sFVnk!+ko@kdI8_Za2B)%_d5*rvtQdS1UO6rcN;01BQ>ub7S{Z-i{(1 z^^RfjC8!F#5Hd9cdi?rNbcBDAi|`dYjVO^L6YO%z4#{Ld=t6a>J}h;tQ-Wno<^EG) zX-yqTXc}1Rv5rBVzsAi^XTRcOon(j4S((}STbOR$5j<-FKH79$HtgD*?Tzx(pb}j~ zs(=$(GWCVXW>#aqxYa!Ib1BltQe<4KK_IJfkGSbblh}9cRF(9b7UC~-w%vH{6|dI9 z(GXpH=4`3AA2P?tQgNqf0wTvp@YpmACWzk9O5A8$KT`pO+ulYxri z@XT%7^`|KR<|1c1g*qdF=yq_q`$5Pwwtnmu+Se*TDLY3f{upz^v*O`Mm}!p7 zZhv*2`lI-uiwLXKE)JvAzKoz`@6FZRkZz-*Wk$Qq6#Z&%Ir+dB_%HNB)S zhuU7~$l2l6vVc@+_G_l@#mVd+FE^F@wkM}#sFHzF5Z}B9_0teTv1h# zya(k{g%NZR%##L}X{H!eAO*2bOC+7L*yB6n!Nrfz>|AVZ#Z;?~U6FcFCJOdSl4+`D zXwZ4q8`9B|z*#CVoz_?})nY(2{RGmV9Rq})pIx?k`bL<}Fs^bc8dXOp?xPj%qgL*t zEI-B^W?_G02u9iKV^&PS&$CK~UbpS#Le5S0(0~<5t(injf+cSi(wqxl+u_F~+FS_h z+o9j$bS7@C5=)FFSw9ye%45evl1x2U;@w~eI{M}|xv}!AvdJ#dmnMPCb-W}n6KRXB ztP?YUk@e&_G{U}g;osJsJUl10e5J=n{@BEEv+va8JYB&)& zSCWk$0Er-z@j0(eC%_*%wiKh#oqb5#yx2I5z$GOtKGJg5_;9ZRB9)iVHaphqNDd!c zj6RsG=kAwJLA=z|&&Emtnx%4{SOyj>z@ReBQXHH6&@h8=0!217_aV8rHHK8=HE{*% z%*xp!59a|d>l%kxx5drPxXFfM07IIzc@?zt9BFj-yy|@p?SQui0K(#m;4r59vbVL> z@HdzlF3k2mD^@E`@Qxrqz4dDSbNGP-EVaGs9UL5O*{O}%xk(C|#J0tsO@Q(?bd160 z)s(zfM4lT66D?5r*$s>&!G(z;pbq74MSATLK2|EE%MW63@=6dhNU+6OW9DkKnphny zJPdBwr|1MQ@tFQY$f_4<`QZ$z=UQZAdzL<>)9MNzmtecst0TbQ;-+$#xo(Zw4WF1= zA<8o3P6RWBIzu<^D5MmAgl^VWPc=XoxU<^3OPXVOO}9sCVGQ$^IoIl3fOeW$OSJ|Q z&CC)*!@ANEf5DInNUsY=KVj+j8{Zk4=;g9=A$rxU4id3kr*h2%li4BSym|SKgvgds zEJJ2;yZ5=CJ<(*0CU6H{SO3j^P|NI)*ONT(yet>>59as8fo1^d-_ccHxc;SOHyt%E zvl055v}nT;YHcA_2O^paPt^$*8?TQf6^^JWpeQwUr`2wold&fl7B z{98Dl{Vey<@1FxZaGSwm;ufzn@xgtRT`)h$+i}-AU);@(aINvT&D(XamtIaokL%&` z)cSK2xrfNlPv_LUR+2|;G~5UFavB+40wC}xU#&}Q_QH?8ZFwm%^d~|Be!C3 zs$Q_|vRNk+98#V9+`i6eE7_K4{LHAP^?|zIaVJiY#0=K{%zGL@B7hb>rEW1FkuWnN zvFn!LF(fz(^vVT0yg|sMGJYh(GEtmf`H zZGx2;XJ5*F6xw|><>K4nJECY?YRg?W)IaLE)@+W)1alEYouRA@RaTbeP;(-nB&M;k z2~M-Iv>fDf`JYXX#}(BV4y=?jY!~=hL?SD}Z?N4*9oC2$(kt%=;mej>(nLiQJ}kpX zUX*Eem)ynpts{JS!FDBJ{7Ar1h`SWliCfo+3qZ-tTrKn76|jX_?HncoE!X|m5nf{P zZ)XDcZQj=4h_hP?ph7VPci0O`&22$GGT^juK4aWRSAOh>Jo&g!%j$P|NSnly_aIrAv*C+>m&$+qd-cbL?7emBfgbDB$z+&oEXI^9C@OHlKC-Ui*d zTW=JXtoZ*6CKB20l6}kb&jC3JcHt8MxL-0jK8zpBY#A7x%(@%xN1gW{7A@s>5nLAnoI$lQp>tX z#x#;?Ce?(@WI+5#%Ed@>QD|Lp>F&At$r;ug1x?ltP}8c5z}_sXU(i1z|vvDvM5$fxa)uK|+Z;IG?- z+-`?_#}2s%5ULXWKn9su_&##^h5Cb+99+v^}6#$PP0K%p0_craGZD|W4NLpP_2a1c(Hau}NI<|fijun57%txUN zrvb|uaodKW(aCCme_a)bSQGl34DB@hKnBE*FzW!Jsd})yN7Q&~P>QK#Uoj2=lI|Ny z)NndNYtVYBN}|`42*e}lQv3*VZaN|Yc;^9OarIHFkc}BawDxSufM}|W*&&)(T@w^O0G~-_=A){O4r+b>Ne*g$aC7#$D+B%45 zS%$|qYQ?cr!{=v+(`x*~42ULj(hhln9nu7ZC*?T78Q3(K{p8J*BW~IeH{*zFIpW%m zxQl?}H9B_VjK=zrZ7h;aiAO2A!t$WfBqn+)Zer z={qM8_3$S@ab2-lVnxiS*P;p9D-qF;y0k)(e32D#IS^dgGZno;il~OaLNhD5Qi|w~ z75Gu^#ZrW^i>le{CFzJrg!j^PgjNe*t(nqqnvB<45r1Muye=J~wdM6dq@KoTnq2RI zc|&?lT8rNZMB0+5Df1?)kT=_fyd?voHRzqzdft^GL{s*?84#_>AIN}cQa+dg(X{=L z9r9rbF;9v$U2X#;#o;tnK9^CCCgfi-AexjfWI(hAeJKN?RrD1=c+NXIylr?ibF_aI zD7NXWvo-0lv*Q2Gs7k|s3lQ4~__o9(&S3pW(Ct~62;zN5s$=$(X20)BNXn&$5_A_J z`CWN;h7ctI@jZapn*GBJF@7ZHM-F0s3{1Yh_h(7bYWh{Wlt>ki@8`43Fb~J-k)nzw z%?U3{BkoVak9|9y_+Ye_5(d>3}21IMnEg2BaEk6nfx9PW!3~kvknRyxa zu?$ICWgiE`=6IiQzH1_VVh<1&%U)|0@-n-SSJ@$Nv_t;J z4tX~q8Cyb{Vcz3}dao1eMkmxwPN?@eq2BL=`am{HbF&X-qcm4L9X?`lldDka zU`oioeET#O25viS8ph8dhqHfO^*_Mncf%c4#CNTT?*Rdg^X`)0X@}fpt>Tjih#y)JKe8fzY(?B>Mf}8y_^B1~GaxLzd%so4&#j1GSP{RpEAoI<$gi!4 z-&he3S`iNcVX@hBu#J{KG|$73;vlcq&#H7yY>wZh*caV-=SJz1aa3x*Q)~QAPot}A zVC(v=6I;3}z0c~ZRjU0cOAY+Jab^V?$6lnlIUC#+o^0>f+1jHO$2Mp0L;;EPoH6s#>;+R+HBas@BT*KsNfL_6|5_ zrPRH}uFGob#ud|LfE}>tC2PghJ;*Bh@JcP^;)zZ_8cfSO>>d0iQYCFY`k;L@^88y5 zDJ?3cz(9wET@JEgU#gq#n5A7xyM$+a!Wn!RM23`!?0=^$pYd&>9c1>U1Vj`PM~G^S z1uF$%ml0KxRZEH3q)hNSYtN<41@V5FqcC4dOkL?-pHhvnCnKapLekbt2Tx4X)~IM{ zAb)Ic(b7~@N`X_P%sD$XUtux@;$XTru^Y9JB4w9W%w!6rlybP(MYxntHwZ$CGYE*W zkS)s;K#~ySH0`G_=>|n*m^nNJ5a;G&vhED?+w8i3iA6osg zeKBeNTo2zPotxjgXU7i53@4-q_U~Uf4l&cX!18%RU8QlSK1}m*BSq)^TBhN03y+m) z+?QYA%Q;DBnopmp^pRTn7@0;_EF6tA!((tL>}fKM<-%8K%47PKIO-4XUjWu$%7g7z zhmx!EW~|DK#HI}9b$(T@)6&v9PNh|xh9}Kdp2e3%r#!vPme;W^>BZ>#Y52!|Yoj(R zS<4-NXy1OmJ0Z07;go*TLs8rBTn5|!0^?oT^N|np{9hx_SkY|poxtPGsJ@D`@ONqT zC=AX4L_K)RNxT z?2|sEF(k@RTHvs=0M;6>fpNeX@6+L$<((*FoR5e+&ObzavW#(#Bl4;o=PDvE=K-$| z=OLevG_GYBciuYCKB>`I4<1Mm_453P`J(Z2r96|5=RtY$UY1dw$Hz9j8GJ94?O9Cv z?;r>7$nhx?yTr?q#>;wyd~Bu)ooSCQ(DLkJ+ELF_*Xtqc8Y%aWkp3f09?MC))}UPj z+RF^u0%$KcXjg;w3WN4i(5^FRSAmA}+prz1mu4YaeK(8&u|XrAXcK)L`gzkbuXO!}q+crO z|0(kyK}?y~BF2lge5SF_7N*~b^i7h7{mXL7yisCjL8C6DAC2_eWSV1A(a+G*-CDYy zk$zSNooj=VnI-2Dz^Hb-0cq+yUxjXxyiZI<#mr&B)cAnMzXJkB>g+C$bQ z=xUwJs{bwE1KW}X_@0De;NksoR>x~Y3cS_t#;v_ zn~QF0ZXuT2Yc4#*$i1>uAIg)#-%{t5vrO0N)e_G!ULK_lvV7pF@RO{v<);6C00030 z|9AnUS9xp{RTzK6UCLFCa@T@bDHJJ)lpYjjXJ>b(?941Pvq%kbR0jddEf7S z@4LUZSy3ta2_ZKAw&e?&=u3!HJmx2L%h#=}=_k@^vQ9HIzU!%8*5$>D)|O3c*R-r^ zDqY+1L_N0gGbfMJd|K+fCJat@hL4wQD}ILY!OD`rHDgx_~~^Mb;vN0282ab>FRmlMH$tk z@uHc2y}qmBEH*(H-jp&j%3bV<>A!q^2BgA}6x0=Ep{S(QBQsk}T8|X&dXQ4jo=^Oj zeI7vQf&)VN(?-luokl;S8oAh7#9n&%p{;LV$FOjRmbSGezGmmVIZ*5&+WMUvKA|bH zOouv!Wl=_Bka&dNFSpJ8bs)`BlRySq2=u<2L;A+&LwCy+2$~@eG6Z}Ui+S{3xPH`i zEJSQ##+5#3(hSbK(M8Htwdn4N${ z%+N_(IsPF5$J2mRrf$Y`rxSDpL;q>(e-QraChJ)ORg@B{@A19xe=b^VOLKG&8Q)Rd zVH~Z)&o&HX&ZOILxDYUZ0lWAzH^pJVpYb9oqU=itCkF(<&akG6gK9eq!r0X>tBoef0hLL3xA zWsImG`s}AqR~D0UQa2bQ=a3K@=LiyeHnJR$8*C#vLR0}uTT=G@;~kB4Gb0m&;29uZ zp&vzUMbDxd$X?TVi!w`;61ia4)he3UZa6>Jc1e`b*(ftQ<)9E3Th|Q$S{k7f+D~Ov zF9iTU{rQA77vFyuvda>g+ruC*pUoGlM+@I+z|trhfhd%evSkOI2s~zT4f?UQdICaG z+Kq~f%0)e>?L-O6V>zQ2DNoIT3^L-4oosCi74f#xuFzDveY6jg}Lcl!{UX*-0^pRB8Z}Vsv9u^aU!SJpu^8x z!I!Mgxrp*TiWl7jktH{n_0iqhd8|l#2a1MRrGfQrDtc@)Fw*~g2XS@P(Ng{rJy*O6 znWM3?{2V+eRpI+&JO&2*3=tb>UR~0@17?vvR2@fcV40!ZqU(0fTLI-IFl{Fd zje*J%HrZn$dD+(5c$(UW`RSWPHr?J$dFwuNB zP4%@78=@*&kzuDKgB!9=mmSs9>#!JjE9u8nSFbP4{gtt}GL~=Oyc*n*_{=@lK-Xel zQ|WGarzhBX@}oR-k-vlYQL%1ci%gytmOP`g7I%EkWKl}It7q&Pgw`(GyGf1DPUPx7 zyF)Vw5nO#H@vhxZHWwh^y5Hq0OSw#+`F;ON?3exLW@ji4r|jmvl~V!DE4rF(d8%QB zxel`1vmZKjuG{y6*E>Jl@i`@&Yf-3k0S1Jicd)B|65k5{BP+2apHrNK_%&FKPkr1 z;TaZ2idq66-sL%#%8r$PcQRJRU)5SWc2YwgcR4o3TU9gGBLs~N#z7IvN1xjbN%x2+ z{F!&@&*Gh9+$X)Qz`-h|z!AV=AT+f}=yvvQs1MBR>%W#9v9q_bx9WEumj0=H+t4)h zxm8zpcfCJ+{761L0zsi$TI{S}S?k`WWdxzEeg|U4^iBuvh+cFcUfM$r`{E-ZCA-nS z`cstOKt+BFBO5E8iwZfPwi@t0 zBIG=#)Mo$YrC$~c;t-YmrS-?k?2w{O+N+>c1i1`F{8Es7oeN_~>V1Nwx z`%IPF37NwDx3stt?P#w*yU1Xv zo{6~*&Da97wx?60iFT1jog9Q|9O@h22Q5#hSK4Q0)*f8aP_A}MYF9dc; zv(8Sk+nkb8KkKe1G-_F^(G|x#l4D`KRehQ?zn2zdc1>ASWfq_+inoKt0}5f18eu%p zY{>?%?DR|ZE&XR83t{fEVR({{>Qg<{8@?R2D9V?4PixgrM=9`{dFmKk79=Ltc_aPh zSSjc5xh^+M!maNTdjiPXD!iBrP~#WJT91^sTWUqp+Gi~i6umT3=_hB5=^k!=1@1fB z%P#hZfv$YRl7#IxUWgk|f%8uu>swj(P*Z2U-8T5Ri;#8Jo(WvEKBR|G8SW$bb=|Tz z5EYnQ?Y#T8pOad*uS}TE{;10`Fe&Tg)QSABwy0i6Uwl>3?jc5$bv8wG{SJEIbl}uG zJv++(nYK0Iem&LW=xka}n2|+Fz@=rXa$@RwR9##jE34U)S=4kj*4c|3_!DhrGr~GL zdlf-b{U0U=_3v$gikDti*3!SQ`jgKuOUmT$a!8E!NCo4^ zqq;laTmO4I|2K|z#*4q|*}X!aIuApM0-6^JPl+!rzg^Z#JX%V0C^_`s0Yu50Hcp^R zZE5vB7MefgkJX~;eQ2ms*{*Y?CR0LlB@qw(qDK_MKTC%a#LMT3(Qq z!PPPhv?jdU&}|IZC)GAC0oAMCJ<<~RvkVq+#OsqHPy>VJ#Qo-U-IMG4=H@UO{ky7` zcLL=TN~&=a;)VFasHO?h`u|!VRtuE`X_K`CE<}oNAL-G<_B9p0{lsUD zrD+(Kc@8e9&B~|DbloIOfm9+Qf#NgmHuBy+6iDd5BO&t)`i_Zzc9)b!6SC=9e_F_;eZ@jxxpcJ#tDinE=(k;=*%bE;0 zF&Swg&hnor8dr(sbfH&=&hoQDH~dB84e{3Hr|O;=*?VMKPd61c4x!)2QoVzHY37tk495 zO6{2K8Ue6*F7T?+;B)2u6JxY*v(UV7$mMDlTZq8m!NDN)KoFv2rW4CKrjE!~YuUX2 zaWG&IOEXHmdEAd?RS-+AboocBH-0AqzbbDM*NU zs8Tk-Lw&e16OR$;*+=5mFaj;~`0v418t;O8=mM`{1YjG~Xr7UsU|J<&$-V{KL>>)F zoMC2|VK#;s{d~S9(A@dFa6RG2ddtauX6;`%dKIw9hID2n} z)OcO~4A>5Hc_VOd)I2b^{vv)}Ocko;E<2eY^h)(YxCspn#aff|=`Nv0&&3=s)$c~$Q{rzg!xnAPa1T40{ALAK#UOa&;)IoGkx~) zCi?Lnv(WV;iEhTX0&PAgustHIjP)5u+b{qlOV1FuMJVxQ`e~w=;pWX%dqeJ7@-9CT zubSl2Y7cQDd;1`V$MM>LZlW^wS(58xYYWF+0xK4g7kc;$@YZ!xCR( zBY3%~r9o(Se7l~YzQ6I?)|%ss(c=otqO*QI3y7ui)RSv8K6NlzbHjkO6IN+F&9`0i zt1tEZ!L`ey_k_@hNb)asPuqVDr=GSKoj~GgtEW(Q@xdvt+wcy5!f4*D19&p~U(xn8 zI8p8^u|`X0iQvEw5;k8H8Km>`yHgBji24XC=>c=QbNV;55zOlY@#ntPNByyCl8;R{ zfO{xjn9jX0p}8SjY24aqdf9$ipd^1Vf4u%FMQ_^G%2vD1t!bnU|Lin-)mZ}M4qSI^ z4!6b50`&3E9+RR4ZAy}X$y6Z~l>I#cquJMblh2xZw2si1^&sN&SFg_JzY5V+KN9)} z(+r49I@m^=@jByfi|2)D2W%XlK>@*&`OwbD&PErh+q`|rq^%GKTQjb+9Ad8D_k>GpNO5eR4Tq=SP2J`oa19s839S3iNIzw)I zWyMa_P~UTO6B21HsWB(U1G*(3*LD)`3C7)2^80M|!mG8feagvwhHF=>;Ho(0RsW}-j zoB%GX(H)?kwph@CU&Q~J>wAqbsrR=%Xlf1O1V;TwaNdZ`qsIpR-5B%Wue|0x|76o^ zxE-d}jB8VaR|s>icPAE->Ln6gobbC&OaanBVl-BUF2H=JpIo{FJ3W$3_}^GqWEWXX zYEHv-8?nH7fP)aK#=@y6zR^W*g8Y31)Zv)*Q+EF>AIon2aqU+>k0`5Ts0fKaLnZ+_*Sx+JnQ_ZQt$jsVPQ9?k z+!`Za=HS<@MwR5u`z%*v#VucNmvXfB^>ixLD+ULp>B~EqU(PWMaZcEfN^LBDJ{Jro zvTl@@FDF@0SB1xUrq4C*Z>jjTaBKIsW#{T70}(IN$wJ{^lhC~$1 zK}J~hW*Zj3iktC^d?4UjsZYxRHR3bUgg8ciuig!XEi3XSrV|e=)_hnM(TopVk_PXLecC#iIg%ZA-!?!@p;n^3CI(U>HL@X2ypW2cz!A zU?ijtW<9M7-lngB`?p(x`_Cci$F897lJs}#V*PC*5R+=v@pNa4e%8-t`oZ)&;Ps0s zlVZZ6CEk=7gZ>WL5-nOD-Wo3#@!S><4E{XlA&f`!oLa_X<@tyu&|a)jXH*pE$VmYR zQwk6&viO6M>@OpQ)3ha1WNp@XW-)mZU@fyTMFsaG`?n%0z02or2;f4vq^iXaktoEs zre_(F-X(=S4rc*RIk(p&{%@5%1P?EkFFB2+&JW4`ev@E@1H@Zib!4QU zS@H2b{3>wL$_u$==qEIXJJFFF@X+ySXf%Kn%f{NWhD|Sp2}8r4s69r#dZaqurK_%C zHCA9rhcO`&hGDjA>fE4u-{QZx%1*fsGqR4VmB>_f69%*K*zy!s@do1tWN<_(o5}G^ z9Mqa?*AFtTr^O{6rGZFLYxQOLboNb~An5|Zj{hND2gU+{#BG3ss&E6%|>gYf|H zRihZm@e)$iK|R7wI3#1fg4bH#d7*Xxj#! zIGBkm+f1$7rS~9aYDUbGl&c6hnEGJh*X=s-0E&nE0j=dV6#>7>jpd6@k5`dchL&f^ z1aV)qQ1Mx*BkWGXaIx3H-3PF3;dYH~CW)wu6^+Uo;I;jjzcWTb1!~|m;O;-@QTPF2 zK_AGb=mX*Yhkg`)AnN~+hD>Qqt1Yp1VQ)g*cT;yl!Mu@aPMFEX=6e3=7+Z_`*bor; z=2WtmsM@#6v|M?TE`% z&6)$@fLl$8Eo4zZ5<<$ClRRM3zk(gSUT-R9Pf3^uXE^zF+<9i9fk8*}l+o7`{{m-4 z?#HZIgQ3oe4oD%rmnq$tp1xxHm!dO8{=rsTLo6A%s<|4)8j01hYdks{uRJnSszCv( z3`w2FrLWWhPri5UYqVSMu0tf^IGXrW5!1)Hfu4tVg7?Z>dcAWSnhkp#vndaem}#v@ zfz*oJ5%hSQU&Z7!V`MNtvVVD)uhrjOYd8<1{$_1sYZu%nZ%$e(j zeR@+Yc|uTu%o=TBjlgMD*=YI~x&Wo50PO^~U8H?vin|<_;e?%h5g0?Ngj=2`>g_CS zKrh`8WrBk$j-!}<2HBIG$Fl2S`^OjI3t5v2_K|DkBP?j-fK7oNmnj)k)Vir;cqtX{sV#x1;s^LoON2*a|YN-yuihX?y~;P zM0uW9g1(yb8lGGTHOPuJBpN$;c6kvI^?oB&IEojRjemGCO6xlS%~Gz9&x$>3u6Z-{0C*>c zHnA-aXa_Qv|LS$`LmV2{QMT+d347L_xPn?;ZQ12V{aRP_yVg#bH^FY9rM+E=p!7ys|&G0E0$WviYxSp;_pw^5Q+Zt2Kd*Hj-^)67VPP4eoPa?2@kWXH%Hq zRl|m^@%Ik^>-V?I7J3Nruee`rx{g03XudK~b2#u@q$=(?oVlw%@2~B6PHZt?2h9aq z=*x>lh{xaVc>?dW?qN_VY}eVsr=>N5Pp!I8n>N-d9S~LN?4z(Pp0&`e%^&nw+hjcH zYGFec`Z}&1*{EC7lJ1*pCdt~nHE*eT0!_^4(CrjDg)uS!17dH5N1c8+^k~-wmsmV2 zbFxS*BIX_kPx%B-q2O_}ivz2Rqfn95J0z9myQggeO*IHCo7MhISzwp$P_90Z0ZtW< z@9;oO(wXL87C1f1P#v_EoI+wn12N}OxNUt2EavP%*I>Ar%qa3A3?cqaa{fEyEF|$c zHJZ_>{od{QM&q8$5Z!{vS&w)@9p$>&O=PbGyse2@YJ1Gq$0C>puOIAVhkDvJC_A2T?Yc;ahemY)Y;BdaEsh7L9FjF*WIzEFu zu#$M-Fx;o@>vHEoWWAV=7DM*_nU4--IQPN2Xa8W;M}4pe|6}$1C&i+N-=r6@5e%Ot zEV3cpt@+J0f*`YmXCcQ|pZ39s94`}F`XXOUk_QMd{3^S%RHh$P{VfmwbYd<>f2F8L z73P(uL|U!aG~>tSTk}ND#fb8ku_kTmAVTvMA;3Id)QI=F&dhveyP8~a{2^5nq$Eb} zT@M%M-CoowrK?rc4WpY?Ho_$I%Y!^pzIX zZWTDQIA3kI)f^%p$p<=Q>2a5>VP_Sh$SPwfx~goS@8pVf@EUb%Ra*}lB1%V!2(sJb z{?u2la@LpEmkDw^&#M*J&K4TAr3=k2SMU5O#WqXW(+M8)Cu;qXbf!|A^yFL}n|e+> z7xBiBo!pKEn>YC7%*fpZWcQ?lZ`TjEcAqt8rO|7)CP24 z>a*?HN__4X`>|mr^k=QKLP5u2 z9ZG`6LbgWQ4_55Rs;f+%;|9ldoIv=1{?r(Q(;C^*V>iFSwtT?&Ou%FvvtExr6Z2LD zb+UtIx?EG)G}Y?-5$2hd8-ja1z`uy{D95|3mr}jxj8Upo36AP;96I{z*gnPy=e*;3lR78iI z9oUHaFFxEUU?=CPuy22oc$aUpb{P9xX)+2u2C$KYoV^yOe@i*wou#GC0uE@KUyPQ% zEbb}WZAyA^!#Tu!jdIuIX(@~0oyCdi_|yBf!ofw2NWnEYKlvzCVIE)%?FbO0)yXW6 zfe}s;4}q_Cm-c9(=R?|Rn|4zmIqAv8`tR3B9$H#_aD3(q55dzIblYN1RW6qJ;*BPG z@v*`^d!~xxJVnJ49uf2cu*jRDq4$ch=txcN;cHkNa%$hz()f=`{+XIApjgqZ9oFDz z4tZr`$9A!kiEOi@yFh2gMuKSzUrXTO(q`$+->R?wXr~)wPEn!LSBN(9DQlSXv!f$@ zIGk`6$}z#5*O0&5n2PwK8bcaC_K&iV1~kR3ZQ1a9UKdO%L+AAi;DR%e_}tY@^N}yk zlG#07YM_Q%iHJGpm}W;V{nG%ivfMfc)1kE!YbQ5xSJAfJ-F&J3 z3oTN_;XyQ!1e#EU_6kFW|=)oL5M<>TLM!+~wY{5q%wt)_mmpP}~o?KFZsod>$1 zo*izyhrO`XB4e`@5$}!#qpZ#rkFwwmQ{d+k37hlJ!*l;mpmx$xs&K;^odIG2$856tPYA17yi76!k#wD?BTIo>;xnCE?^(6`&@vJ0Y;J`{VCeAb~37Lh92%CFM?)ctk zPAP3``<=uE5f&re+(L3vG+!6dgF8A8*UGsi*rkC2;VA@e|-sTsn_@DlzA7# zXj^vo_OY`9-+bI3#pfPOmqhwd1p?%lT-tEDk7!1OfWTD3=M5!4+ooKqz;^;l)P{!S zQ@TZ`rh3Dt?zh{(=dTAB-;WeCbOrE<{ABc~AC=;3Q78;mB=>z0z9o69vP@6N2vpx- zO>Smm_K8wcKk2r7LfLyvugj<`+`$VmMHu^qt|h&KtTl7jMnAjJ!kXs`C7Th%K=u^U zbRaDL8^CZr3C=A;R{E!Q@h)wTmKBO9uIC~n)w4))a`BU@S|0gMy`A{g6Z3u4EBtK~ z;tia}^X|-tjHVt;R-rh?w@g=e0EppTRC?_rjguENJ`U`eZTlM0Fa&`3=iDhhS!cUv6Yz?j;VOFV-$sli|vwhYxrTp=G8s2`oHAlEI0tw0m(-3+CpWMflO22o%5AfVq8E7GoM)`eI^;ZACn|aV8FmOS^Ko(ao_cgp-_u-ZdJYlN1uaG$4#|%SX zP}l&HtICwUyzl=nGx9@w8`n+xI(=Q;6{__qLk!tpS$Wa1Nj69YZ zeqDUI9GcZ|-)ur1uo=`*GjBAT0v_969+_Kk6YctTQa-Y>e-XD0Ct@S%~DS zX{DEjwsB|ErQl|db`F%B3%ZnT$Q7AtNCU+O$E#f`Gv@?TR zNRqIVlL3cX;VClyC^hz13r@;`sLKU>4yW0f{HlFt&Ra3+sW1+81M`Lcfi2hAOkJlR zDep4vJmQp}ITt~1 zn7-BRTAnq^Vm_$FoO}eN55*jfi*-i!-jd|ar1)6&=f0NMx?z%1C-e$3IkMC(kvnZ(ozMO9yww`z=2PEZk@-h?@T3QKF(iMphv=J4^%T);eVOb)PS4E^M ztBVyu#Z(lf15zf;(W*2%Vd*79XfZdS>KvT0pG*@~VW`al$BFVaSI86CzVIR+=lEc!wd)=2(l z7{(&zjkV2XERn~|`P&`qn!280W7H(`?;=$l#0*P=e3m8p8zkF1=A|b3wP*bSX}NH$ zR2FWGnzQ3-h!b;2pChZp%r7ofA!KKm?MYINO^vj#`p8;zOn|&-e_6Tq|}K zx0E71$xd937lOpl=aW2nquOmkKYpx-T8B0q%MnqINh}V^(Rd6l96~=Mh%DXf3@|B4 zEMwzTNhIQt&W<0gs)mkE&i^>1BaQv%V|$)mwFhgJ2cCJg2az0qhi$X{=eqrH@5VNE zJyvzC)bmbDzi}~DBHwsZzEKDJLj1Fr%kBJh)2Wzi2>{q`utS<6#@&DV=@SjbQ*rVF<{dFTzPE zJ%^ZH3C2p|4ZhctmyOdRm4op@ahJQ2bmdj>X00yZhI35c49~(}GvNJ*`S~5K`+0`h zQ-8h#wJX_0d$6^Ozx1+$(DRKx!Fr9#&Is27XQ`6y zvxaY4DGjcOsu30gPXJLh+&NLgjKQ=Rb}*(AN$o_I29aA3k4vLkCV3Mt5Lq`}Goi(J z{v+RoSW-}b(1C8cFpm<5wMGo_Mwlgy6zLvkjy2S92CYfe*M_5#zYcz17>zaf(+P{) z_8|Jj5bOUJZIJ^OQcBnQ{r2I;LiRQc@()SwbO-@jOMmHUX~p01TPhc$r@vfs2=u0o z4(NP-crm{~vaNX9N=|B9Xwc_pSziVRFN$T1iDdm3gwaC+1kO8gwqp5(=0thS$H;Pa zGeYua7qOi{D87;ZP&?tp?e~KdMjSHi!Kt7t_&w5PxI4r5Tlnk!=QtB?&fDy1guSB8Wo7tbxWR``@ej1edVQKx#;1Q=%b6^rB~qFshWH(SgAL`!)Jfb* z8{y1gkC|M=+coh2-rp|5u0&6`6zTxBH#A;62BH_wk#dIyA93ba=D~*@I)$p1xA~fII`dNH)44#g#eF4(~U;$`oHtK934Z$ zUpZV_rITY~2pDRkyij4B%5Q+4`PrtuCShRUtzx}FaNs>R+>>?fYvQsVV} z;G`lFlB*dk?9V2yk(qBQ;F3ISa&mj|dn%{c1M=KQx-t`0eCi=`72b=I>`wM1iA znTHz1^JkO->Bs5oojslp-@h%d@_bb-8`Cf==C4ofPBk-i@M_R6og;|uHuI@kun4s) z*AYF~+AXVWZ$?$KA9n%Fj>Zq)-ndqaSp~m_xt5Ypn4yoVQusKrG7Q;@7S0gZ%$e(! zd)4x?Yn3gS9kVBT)!O4e>QI2uIF!c3gIyX}#)VRfU>Q!W3~Sl>*?HDB?36ThPE#jb z$_07Wi2sHPCzqo!%cA+v8a~N87tM2jT?-9vt>jZKS6dE*x;+G*ID|sEc(jm|l1nm? zg{+^by&zcfJb9{@^AXXlO~pun!XzK@x^wERJJY({Yh^d^mBlj<&Q)-XS$B@gL00BN z<5+%y5G#6P+vQI4t)n24!y3UmkGs23HVJ0$n-8uI@khW-re?#Gm;M77PWu)8;615| z;(d5?a8yZgi4&-_Wqh8@ctd*%;PeoBA0~a`kuw}QX<#fnr(?gMD~fB`O$4tbGqYRt z;(b3Jonc?cV-N2LOQtz?r7JejzM}#Rc&*2_O|`R#Y9DM|mq%>*U~b>OSqYmQVh>{< z)Ci@TPAz2FhQEbBq+EFqQ_M8fFbe;CK4h^IiZ`&==mZ$m?!+ETZ8chPzvJF8aCgW1 zT)46tw$~|dRA(ln?y)pn1=khoKARkRk-8ii%- zK*(hKlyH+Btm($N=yIr(Zw(_)C-bO$dZHVhPu@+q1uEU3_($)T$}w<4aNj3j_Vkqh zFKe5iKL~JQru}~zK-Y1ukwtS*9$l4)__ihEn5`jvL4n#fbAbb24J^$f-#Nc$o|~B@ zyWPI?JomPPje6VEe6p)DJHEBN=m!9_3 zTA{sHoF8U<7T1AZ-rK@T27mc8TC>|uhA9XKwm) z7fxS_Hzn1-lK>n1<8R+G)mH}k&S;yDmHXpj<%OXc7`X$Vm@|NGYUk}4-oK{reKgGT z`TaV;cvn3v%rQ#w+@5T9TWBkp_DXWQ@p14i^vS;~z6Z1`CX`SWo+aM0*WXHByjab~ zF0sZz$;C0*B?9_y-3G*9miE`Pz2S|3@JT}!h5F9cm*Au1iO#9VJ(1(i_oGgFS8vJN zPP1=Mi(GGcPqQDo7IW{HS8~0{F}>K=)CoVfQq2wR0}Xd9b>6vm)rTa*N{#2+mw;07 zof74HdD7dr#mS=gE4l+||Erg0+tHd=mG^=bpfH)G9#}f5ox5dGd-Gq|(0CUc#j_$I z1PTl6X(qi*&cQqtwHRNklZUi)P3j-Qs}Kk&5Xvv8q$>TdIz7y1To=n>Eh6T zPu*OJNKoz|P?L4{WDl9a2U9N_1DcHfJp8fv@C!34OtNUWPx|B&vEI2yN*o9yK?Tn> z$`WLw#{bABvBzRA3$bR<2f`DIxPWG&O=pxNErh8A<;@-_1iN4xl?@=vOTT zGeiGQ`9VT;t7Jid+2;kRCR3HJOop3R8mE%8-2d;D`H#LGBUs}g6eK~Xc9$ws;!9r& zpF7_lp8_$?W*f{HetSpTU-?Hp|N9&zk|g$s4DFq%s#IPr7UPQrq&TgbPZAB~MHxCW znP@#1Wd`;q@;*yyG8J1qXuuRyr9&l7OJj|#0wH0{S+6Uvo?b#lNvW8bWnV9Cwj-7s zZlzR%h{M<}sM6_uy?A|{t+n@fOq{k6C}t>oA@ph4EAY;DitBUTy+4=TlpU;XzUVI& zivxnXdp|ClDIwJ5Fws_=I+egX)~N|Li;v|HE&2>^VU4mJDoV(10W|u#HHbc=TO53@ zLkqYw3A`hkis7;lhCZbYyrYfJ%tZ+6Se@cTL?p(R>Pha=Vbnv>Ofk)ggyP0 zBRHJnLsGYcysV!iIGwYGviu=HJeBoh>I~-ebD$fE1&G^1_|qw0;2q|af$3BQx4P=4!m=l%Cet&gRMhCUS1Kg{#?fb_$_=q4SqRC^!ba$B%4Jx z#3>l|bT&tDF~j54(&4Ys+t8&t|bA@+(tx1!XxMWtl3c{X<*$I$<^oe$iep^a^gxk$y7D zuz87(^#MrB$qvQwFlZQRAYvS()wc~(X4qiH&Ff$Qc9N7qMObI^8N1OBIHKp{Y5fvZ z*#ncP0gK6;+fWJA0fnr6#^}CQ8m*tj@WcnE&8#mkJ3X$e70_uY8AB?c2W+2I;!P93 zbp_fvCNaqOOe%;4m^Pz3n4)t}p+%Oxd8iIRSOH&r$A#8e8dDr7Y@@ z(4>B%@|S^EAa%IKcOQiwZB)RbMt6f`&S0vi#Fm5amsZ$j!*ss7q=t0&*uEZgrQRZ< zmILyZ_r$um1$S(}Jq+HEp>0YXK#x7uYY^$ghj_1!LS74Sssm-EB`tD- zC*}IQVJjTw_u{{*l+-$rNBhZFjNQ^d)8HF^K#4`8b z&7?0e@OIeT|4+9y3X?1&#}AH39#^+A+RyHz0r7#ZwTk^I#!@-)o%TovlKJ9J%UnZP zGtz92=B^-!4fRYc!HwtUq)UheGy_|RmLR13BytG#zku!o!S%q^Mb?|97ATaY;Iqh? zatbDw`NHW{_5#mel{Sb4HW3pM6L90vxV`{#rv5)kUiJdlx4ic)-JZr4CL%9Xqn>sU zj_^YXN%9~UhiGUo#JR5bRF*fDul)-Nf(ln>AV=3A-=Sf6U!7e)x8wvEG9X@g=eYd~ zu-X&rgCs>zk5^_#aE+fb$0Q&lE8&d8-=+91Dirs5m%?9kJz>#)$e!R4fjSbHQ-|b@GO=@DXwWIF|L(;cZ6k9L_VZd~kme80U1du&H?Y0u^Y|oCn0VRc^ zLRMz6pykiI`LM8$C9WS;osVk&3-EOLTcr?^F+99~ofaas7^K_!K8=$z`9c@45+b6VfRbQlm+oT`M3o*v{kqYh$+=@0ROeG+-zR!yZ`tk zjqN9*ZMDj->Yi7ZnnKX!b8OPI%0&V!jV;)+NVu-@rF;ckQvCqAlmpU~$eIl4&;)i2 zeh%<@(PA(!l}!O{b|%US?e*5DxW}nk6W`Fd!Gj21>GCx;)_UKif(p693Xn=ZKJ8IP z81Ai-L}UhCp5z-gFk?0C5N7dPQ?hQTdZ}f;yjf0-|ACNkY!KTF6jy@M7>gZ__U-pg zEHMLu3lg(P+lvlhpF9Gn@FeNdPKz;J|A5lPK zhVxBHo*^zPMUzsHwOYo@*bL)LsBE%0-Gw${DaIs$drd+aN+Hn z0%bH`X=Xpnqs*7Y44d<1Hh&%gcN^Q*JlFY&r6qWot%t&t)YIe$qb{@4mYbT{l2D90 ztH*R8w&;p*kV~GkKh}&4?%(r4MsC#~?3(KjZGC#VuW zJFS$M#p%^!)4G??mLE0y=1cIp8uym=bm*-m>O||Y2bJjU;ry8_EhAK1n{{w{3IXE9 zIadE3nN$kVXe$)wPP^1tb;hedXV#s&*Z6eC-@Phk&7RMJT#LExc)?}+YCp#$*Szj%UMUUKz^hNn0c z^vkn~m&ufB#k1@}GazMr0}Y_-KgqgWAIa$3#TJQ@2MrP(aDbBC&Mrx;ZMZnLwTv9?v{evg#+0%g`@-s7t=?B>?Vyo;x7}W*I)Ff6S05Jh`EapwwF=2 zegXtOf(=GCvvW%!3Ohi2ZyM4-9O22#z-cT3zsU?L7<$OjWqNr1ay*^OZhelQjn$19 zZappha-5KDaL%mt-}R?&sj?iZHV}DJ0+(%h$Om|)9=<9eND*vppO8Ulj6x0mup1n# zZhS>m3i+u6Z-Lv4s*>|wMk*m1j)g^$3#?Ef+s8fqn>A4y-e6!Iwi!Cs`+m(#QqQUq z7Oh1057-i~W)u}{TYk_lvZNSM5u37=8?ItYIvA%3*-O~ecBc=j9U1eyp#KC`;-!&d zfuQWfGC&OKZT?%dHD9xwfmN8?jBra)x@ZPJKM`So6vjiS?1rz?c=jSACUDzAzPSh$ z)p>$-+^TGaj{&%^klinl-7k~fgUN)e#Cv@Vy{2(!rX55 z%?m^yt+6#&+MXv(=Q?|F8v}SaNou=r4P;t)mf3wvuhc@S_gif$Bqqth`KrmD|fm`dGsyh>wrA~-XK+(i9xG#{lfoER)P>e(U4x+p8m=QYq#M3t+ z9WI24CWMS$R8}=?*<_oeh*)}+ep9)AF>0~o8{e49%vRSHi=$jO$mBKYFXJz`8zVO| zG$p(MosO|*Blu1fi6goOvq5PSBXZkz=?@d00)C!RQ2W)WDTBN}VjQ}@AVfu?5$%QX zFJs($NUySf^fnov<>%B=zV%J#;L%-b7u{2<^L8zW^+K#fno;wJis(8WH z|5W{WaKd&`XQo2d#|f#qV^BevoLqb}{JaeV89Y80!&B+Ge~U)HeNVQT6`~Li`vZLm zSh@|JFOjkQ{==~yGJDjlL!LM2TPOD0I~C`Wpp~4YR$M3x;*ug*=r=?-`Z~>N?8-^3 z5=0yuU!CGxC^A`EL-vH9#qzpcsP~7#8A%_PeVN6>icWLl{xs zCHZ%){VsB!Ggr)tnb!r&SqI|`;iA|9TkHFZQ_rU7Q%>SgoKquo_43SV53j$NOxKin zQ92Nt5OsH%74Ap*C#GJCEAAF*JobkPN*d(zFLWbEag!Wan4A4UuE00kjD=tQ(kM&ver zV~Ck=!rRXB>xmZcJ-9Ir!~(c|Jm2y7h4Zm4i>#@=`04$K+UtK>FAP9ir&^QpbTZr( zP`tCsCv*ilc>nzVIqXjA&Ji=5d%GR(cbmRwM04@7b%OtrDN^hbM16Z+9_Fr%ao#|_ z4i(gI)(9qRTp=@rqU`K8Y(#4AMQxr%ZH7btgV|0RD9^YWsVB58L~7BfLv@Y(cz_w_ zOtO#XHdJ{MYq&y&1_8v`zxsg1=H>-iE}1%d8XIShRm8mfa$>C-wlp9DnkSkpphSmx z#$b8$G>p^MK_&uU&{2>3+Tm@Tyd*+CSCw9*Acs|c@_6}#&TQJ*Y4oh8tBWo=bL2&@ ztQR!Vi^Y9BuqfYMy*>H+kv3uLu*>QH3jq-R??yat?Z~>R>fm5q6^K|9fBKvZ?KJ#Q z2E>mrs{x^@8d%;VYCJV8#niH|7)Jm}_YEa#G##NeXpK}Q(Q8Ts;<0ooegru?9T5S% zbAYh8`Z}wS^%+96_H4+2XsV3cAsg+GbM25xK=S)%Q-%=DwC80&v<7Xl*7H0o;(ROO z`5B66#=k&9)@_cT?rFNde*h4UN<6VQvUwQKvW!lw*NS7Oh8Jdt(`x*K42ULj$_{y< z9nu1XC*?T78QL(M{p8KGBW}hKH|vONJK{QyxQl?}H9B_VjK=zrZ7h;ja*-3_4Bg%OxUu-A!ns>AOQB>ftLtab2-le_}=KO0Pu|v|A#g zm%6k*hSUs_0n`iB*J@HIzp?3 zKdqV4Z<>tPTM>U`MZ6&$p|$0WK%}0=XqsH_fVm;PCauLc0+F^PYRbIHD&);}A#cfm zXbpOYwVroo2+@>%e@_NPYw`y&Aexj9WE`$@CkcO@j{(nATl3y}P-ygNgPf0BUs9zbl({$Yj~Ka%q! z2QfbeCSTtNvZQD={VH8bq>9Ji=d;W(55ww_qKYQuw}D6#qCqy=A?Mm5@3TWb1W3NN zw`2&>?DpXdh}NKwWI!~x{1_nIrr$C)vT5y9=4ITi8IrWhJ`RY@@jl^z`J@BpHoH2X zauD+mz~uMCf2Xa8+pUPt0AcaG&t^ch?*3c`MC;4XXF#-0`bRtDpX`t?0Fq)iP1`4; zI0d08dt5p~)AdPK#7-bAmc7<0tslc{ethk8 zYJ5ZXqwv>DdD%BU|IAwBO;*Is5|O>>{XU7vexl@7p1FS?jsminzy$xYvrf&x-hg74btW;zw4*kFALN zt%#pke-S^mBK`*mOYc5l74kDH;^$VxFYJo^(kkTFR>W_thzG5Rhk&ry>^ay*%O9HO zU`TP0SLwco2Xf3MN(?H$^@X7l8x-b(*7dux^IAj(n$ z-#5;zK;zhrG&g61yTVhQt=rl=wc^<3?DtW?f2k{e=Bq6pccGB&9>{$BfDo1>^9rE4`h^FmN06|~oK2dP9_3Z5|dqvL%gf_+v^NG_(Hw@#q)kn0}#F7&k z;`|8p+zd#B@O(SugJ_xI#v38Q*9?>bf!vr&q(I(l{H{+5L`c!pEg^w14oSPL)*OM! zf0f`2ex&n~srzC1K7guf$tqe-UN@{-E8_#%=#SYu;H>?n?nmspET?YVZ@LVz0~Wkw z?KgE-SVgz&uccf((dkEnX=#VOgTF+oq^(CEw2wxff9oNoMWqxN=#a3>3LEyNy6KKt z+U1b4i;vdIkTOd-IK!W&ET8dhp{_#o5NV!xiW-QB3#O+8w4T683e>w$d+UZa&Yf7NPO~ke?<7I z!GZsGB_k4%Hinjy*{-wC3Tbv*PQ83^>2eKx=L8&$TCF}%!#hmq z{5|wP_Ml@Bct`Sh7eAEBj> z*3w5I&G0B3#6AeVr^_^Do9S1ftp4Eq9+oZU!FH=d$yIqXR^>%vQwH-of4?f%X=!O4 zr_w4;!;@w!&*ICXQ=VRC%j;N|^g{H#9scp(JyDyLtfh`I?S^(<>bjPj{BK}9DxL6q zor`XVk81KqApaA{Ggful;&%Z5Jm6KFg@313kHX*_K-9CgKz)kWHGYe%7pKRuHsM)% zk>8@#{SjctkiQi%egrgze`kHSfPN0>pOrH4MGGH8q70=44mk^8t?|b8iE{=1o(|V6 z?|2#Gd_?4N{vqP0$Qb81BCpDEt|Ibs9`FWme)0)P<64F>=dA|qlNycn;DH35qj)$a z?<#(tlxOnuyed!bD>BOS_}GRwgYQMMJqtYAvT2!q zo0fj-5~tZ8s@{dvfAx9D`H<9qot8dliPJ+;K5cm#>SsI+8soh(k0(Jz9@kr<9AzTL zHDD~S=qesD%0DgT{7S|O_qQ^CzJY(cq@OM6N6PqqNmuf*)gkozri@koBtzc&WjSsS z3B7SG=hJtt1pQwm?F$lv>q&v3uR~97M&^~y|0(I0N%~i0fBvJ0Df3#y_<=2-Y3#R! z=^K&0S@N)-Sx%YnmDoAZs0-;wA^kR)=Gav9)3kJ-mab={pP51D8lhxn$$2<1svS2V zO+9IkpCQdy*<+#choroXQal{4*U$g+<^Ue(FQgwF~ zbN}Kq@iufNYDcy|%%@u41_upLFBlo{j<>m2nTQ09$ zFVpqnd)0bbTKYtZ;n?2a$7@jc{;spEZ~yo6LT(RRC1|9AnUS8Hq(RTRF%v!%Ss zqr7WDtQ3kAL`ol%&P*VG5lpZ&K@+2*L?xJ@Ktc@o2+{C^L=8SriHSc9VDx-v=FWCo zXiJ#n&fU4^yXT(wx$BAt!~h}0reC*yNt39ek&4Isq;C1Tl{NiDT20n#X2y3t)yulP zSlQOPdEMI9)y-w=TAymbHh$*haaurY-8o?;t}iz>u9>4 zFw%WsIyaB$lHQnVwwbXl-ST=DcU~Un+S_tgUDrrjW}kRIn8&mFmONE0;j2zE3y!|m zl+k;#>HI!0gpXWZ^9y~EdE{Ob8Gw;*pqAWf~(g5CYwUlnEd7KA` zl|+5z(jUWslv1c}(#n?tdM*@Y^!|OwCC(}-MGotFj_<{PavD~Op@hGBd{%P zCap&bcRxs}XU`}8%f1L8bio0k{AnZRs7{lgQH@+|En+V{`pCAouw!_*LrdG*QeU%k z-W)9U5^ck-ji1pJS*BB+!m=o*Q6L_p_p9ymei=-Ev(zMzfffS28^nD2wP?fWn^=*C zj_ar+e%3NPrC7`$%9MZJIJuT)8E$S9A~Q@V_)i%wrW4&>(^PkcW*KId?U-GFBblL- zxOU=W0#2X-+nBl;)15BR5e)siec&NDqlc7c2^NboLiInf56;bnf^BJz?jfB!i#v=% zam2ZQmLmYmjLVB%5V*2TW9%2NPu>AfO5bqaqed_euOVUyy+628+YE6_X+X2kH&MBV zJN=j)Zz6i|jAp}6s5y2T`=mwG42v9OlB+xDY>Y<{DB*DVdYiQwOH!o#L^@BDC1MP{ z-#&2VI&f0Wd{d~bUSY!v%wBpf55v709QYZ3%h7$~lkJ~hr0IP%v91=of96@65CYA$ogKZ>7h$>`hOUu7|va_*nWn^LyJcGn* z^rN`F`1t~wWh3djMVTeah+Me)I+`Kd4d>^2Dv1(08#P3u1QX(N+xkI3OCxka`>Bk7 z>ZJhSXCR-j_VS1CLv~sJa(fsA=Ck>>^mx&GjaV8@BM^m>QugDZ&45P*7nmQ~Y9=BS zrQN7y+Kpi)0Vw4N?>kWyM-7=ER?xdz{5S`)pg+UEAyP^3%Bh`y4J3jn&ZoK&BM>Kla(WdU zdF~p%WOdF(lX{Li$j39JPrrmJGtO!InAMvf*DW$<_>Z zN+WY0UbJx&NJD7AXpU+ngSv=+)uoKtT~Uot5pf#JJc#-p!l9d^@c`~@& z>U2?2J-r@_fiseROm+2!vfSU0G#9>#9b49bJMx;j#~SHM>uW0A>+bdhGf#eGe>eF% zITr=#&b6@ew6NqEUA4IDb0&*2;$1UyZvi^D?A|8zH9L_j>+BBAAVhF~ZJETo_B`EE zh-Expp|>LP?R+P V$reTS0%hbEMx^ln00002|NrI-EN%b* diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index dcb20ac9ad09486387edf79474a3401c838f740b..430e3f775d1bcefc9a8707e5986ca280d8a31bce 100755 GIT binary patch literal 14731 zcmV;6IdsMm000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*B@z0RR910081Q00000 z0071U00000004_Q00000004La?0s8|q)B#Gw=rhv<+Atnf^Ght-JPBBc6C?Qy<4nt zc2-t*&Q?{{W>$62xHU?$sHGP@QUtOK?#~%^r#EElGoQOCP@!osyz4!b0 z`#%1z;JJGB%E$0i=$xH5TlIdk(~c^Q^G>(_!-)NA@%17T>F4Sn#oPCg`~TtZ_Y!}< zi}&Agf8WI~{|Me!>&e#}T?4ebpVt5x zzRLf81b=rc8Rpdoozvz~bTVikk%GPGTD^T7b^4DQ-Kf_&=|8S_8xf;iat53IUXt}|>9pKVD8@NU`g}oYJT&wqboug*G-#CtrJ4b`FM!Rp>0r{G3KsmFo ztV-jZ^KPTpYdwj2gTp`9IO<2&`W=G}2zk~sh#z&@$4yHOhp8j;p2aEJ27+x+_*!*v z*gNVr&kghCPrHovG>kvHU2iGii1cm4dl)jX;nwi*$B4TO3q(^Ker zbnS7o{|KZ-va_bG>vhzj-jAM0<2ONW6ihPrIwH}cXZGN4!Z}$4#=HZ~<>Gp0!(O#=j2f%LQ1RUaM zd`(r+SIr=LGUytfJ8tyr%~tQmH6sTiBU`P`ublEkr=6P_Y;_miRt@{lTCBS)2WlW$i|v zY?H|_>{w=DXG=zci3H4Ur@gS*IH?a>{pfD1bBKPYIs)>i2>B1K!gV_bb1ru4$X<8HIxNPRguiL50X zEgZSfH7W3<*&kLQvdvOry?1uWCBpblSHKX7E&d6Fj78sr0rhfJebR{r84|KY$?9a*nt^a zA7W(OBq-WUk|?6>+wSxu*tRgR+7QfUJE})t-KpL+*7*%GF&+}(NAYXBrNOzemXTTt zGKmuZ%>a#L!$WAa-SWL&;|zAMrA)rMW4@~YGDcBg4Uz{X{1{8%Ff7q%qh$6EAV$bv`rIy5?KcljM1gNx8K-oJVK!9rP$Z}){vmcR^CZP~zuA8>tP-5_yF}h5mKM)1;CHW%S+WL<3O|(N#EnHLDz#zmw1>C{>9o?!c~Y! zJOKmRzI=*G~4D z?S(St!D-yE3K`V6j_9AceUmFgy_;4&-8?#P9pAJ|6L!GsKHNBOwmC4sLkJ(iukWs^ zSS!E+$q*=4iH%mK5|$C1yvr}+|C?2~pF~!tw>ItCN7*bW^oGECHhrREz*t9+L2}g* zU`9Z91Xn;+&g`RqsCCU+9bQt8>B+&}Kh3qeUm4RYjkA+)q4*E1G^8u`!U*yl^@M_+ zw_Lo#O40*zOq~D?vV~xV*~|6LQX8v{T)a#ez_+8;`C09#Gibw7zFldY)VlpvZILjl zJA3&5$}iy$i|~!xC?o20kL{x6qvmk~i>R0k?3;C2Oqy{?^`K@c&_6l`kd=7 z%ylUeUM?Fhf6$fRoDJVgvCw5ifDN%u#g3R$MQ-Hc$4RkiJ+fHF0D%Lm3s2WQX9b{2 zct{lva7t~uy^9}(d--eY$wd|0h@oic7e1P zVJ{bqyX&&|3$yZv)P}DbAJoG-oxZY?(nJ*tOP;&N{~pKY@J!AjZdb zTyxG7y*DSpoCNTPrhC9#TIM8}bHQ8?=DJ|64(C0>yi>%y#as~7E>+Ghmu{A$D!k*5 z>)qoXoM=Y6chY-STsQxh^+PK@|Oy0$%n+i!dE!{g`@wfJGo`9erN4}vX*UZ( z`@if_s1)Zfa~jO~U@i-DeK6OFFLb{U`b!@3hvQRlDjps0E7&K-6TQX^5NJnpfbf6Z zAH!}#?fj6x`5}MUQ8GW|52s8f4*4_AvNV|~BYHqSWNb)F<0=oy88$E*`4Rc>5FA~@ zHyg(|Y>|Uz$`rAJ^kAV16?)TjAEjNBh<#iIqbQLCN$ zQMYr{Xbqh?;DNNySyenbmruV0mHq^&WIE0QNEB=N;X9{KSqS+~7)yTVk>GhD27l)S znG?h_#GD!C%rIw$xmKL_o3nM1FgWPnxZml+Mm_Up9ipyW`4;|87~uXqn*s;J*u$Cs z6uUmltJTS)OmCL0Bt->;Z4lRc&rN5Bu<+0ifKLv?U;gu_8k z2CZlTM+D%QfyQ~XxD+id-dn?lp~?1DdmL<3Dn-jn3x_zv^?swF#cR|DaN= zl{PIRB+cs5((=aAty{}$t2c71YwIiPOSzR52=&H>O*E|XI-Yz}ER<{Iz5UWo>1T>H z{_Nfj1xVFXHOQg^v1Vy#vEpvAlHV^PxaZr7fTt>tiJbR z6uXlz+$-%CXC*J`=L^2KxqUgMQhu<}ovf-^lt;0Nk4Xfl2)yE<%F5%Kj1Z&SMTfb; z-YK^lIH3$auxxwhU~4Oz#V8dl96xw;VLHsSUQuwZz#?Be*ey^5YWqcd7LLug;nbwg zH!N(*EA0*~k8?`wNbn3RLdR1`Jzw4{Z*Rt!b0UV+#eGQ^S1K2jUfIqUiaW*K{TlR2 z3z+4yjjNe5*nNif1JgLYN)=kyMM1(EzQciR5p8P zu$}zg9<^bmm?)8urxG(x*X>oxuN5I?<%9jbgZqpj;?ct6p6ZNxD-l6x&DW$&W3d$wYyA(2%jV zgCg^HA!5b*#qChU^nJ`v_#&-Nt!-9H_lvu=L~BjW$Lv30sSI$RPv}2c_w;28reA_9 znc29*IZND;)a^wek|PeQz1OI}72R**G5{FCJB_oB+^+LEe6-d}VecT~6%NrWFyiVE z1zaBF3Uii@=}@%033Q4T z8nS4Y$7xUYXa5+G9uhZ+dy~R#z6gOZ5mZQmrh}bZJ(vJJFEN?{p zC+7`B;2F&xG$_AbZ#NcBHjY*rM{65(S6hO3&}<)f9`~Zx_gIiQ{_P439{WP!Zmw-O zS|+*VvdYb1mdh%70-m`nP{y3j5K;QSPBC&^`Jj&+hY$6Pj#+flPTow8?I>_-PI-J5 zVV+29BnDo+{YTWh?3`dfV7+m#-gko*HZWB({dRX7eLC?X1`qkp6tV9G$sV>8D>Z+J zTiFH}4~7yctJ}@PuD>Xa((g{?ej7nNtjm)|&xEhga3gXQ<|ZzKi(nGNE(I9-on2Yx z@wxFbxmac&R!N<;c%y&pMpH4cw3m51o?ICi+05((j&9$qn_CTUa@L`Dp1bL;m$}(8 z^=dYHH_ueo-px#K0VB4mO=3<%@87^pdKTOyC>1V%QW9RkiQ1Al9XDvNOovf^W{i^1 z0zT4e?wG>q5SqQ!Qs4qcG;5B|&arabo3h3J%uMu2!wR?vb&hb=XuUgSbInej6u2ym z%!#H?tG(O-ssUWUh)VJJ{|#hC3;-zx{soBTo`k zz=T?;)#aa_Rey+_THr=p$HumUb3@lMj& zR6J^TIugw;@k7A|3^hMbC0!TeXAdf1LRqiZj(Y9G0d#eDIvUN^lVyS%j*&-tlFsAe z7X;gp1ND>QHRHgn_uWnAQJ zByq(enSCMq*^v`&{?^l}96qfs+C+pyUr@j1YGxZ{aK-tAo%%bt4*V=S!7e7uP2yt1 zV>jEtS%EgDMQuq0mq=?rXmA{gSe#sOHVN^*weBVxSWw>0ro~bb-s%lmcA?XyT3U>c)`O`c)E^xG0v%515CB4?0znW%<0B=YC?vizHjJ7)fm-T zTOnU6C1F4Abl<`c9@Zd=qHm}nRX8pG8z#w>AHt8DOJmTr8V8CFh3woma~zymHgUJw zOf?m;x-wtHwgffoi)-MqMd5j0#3rg4tHPYS8?#jog0rF zfJV&(lKI;;IS8q z&q&{q1tf6IGvG924;SvWczi&Q4kZhN^XRD6!9wRNh3&5#R4cC{Ii47d&m+PWVb5(_ zc+4XTx;UYrAHA|!yk9G9SK3)Qe=<9#T~Ul0wk1so+Sw9gdB--;?0V5cqu>S)Drg?oBP`z#zP1g* zI|a-6kr|IFm5D}J+^vdO7M_4rus&3}AKiVOd970BGD0Jg#Ur;01m3kNNM24ck6N5H z?jG-8ss{2bGtse9v&0~!7o-5rVNaF&<3N$ux){~vuiCNb$J$bZB(s%H+q{CuIAy>+ zj$^7UOlkE5rwp$A82^vhW(iPeh_cl{DGw&EN4NOSIrb@L!__&zfSh~X#==hh987$Q z4yz}(n@3J1Ds8WG#whSPB9OI~_)RyM4pRYdHhZRbE}u8r zgoj>c(2E}7;G4&Fyft`^jB5KB=-3O#K_Z^`4NjtzardFNw7BT{z7eq$(O3!BSOWdA za`0BV-e!HFJ2>iRiEXS9T6`t++O}V5 z!Thuqo++UYb5DxK9NxJrjRLR=&s2+G{Ea4QR(Wk4)iJ6}BDExA<=D$B_>w>7`eLuQ zu>Yvr8Q`Q3t7Bkk_^Z(>`5lLz<`W$zyP4z>(?%!V&Kc~_$F?kd!=sbyFdJyMydT{q z%kK?(j~4F0iOOUdn&%Vvyw?F!FC3glo1OL-`%wXWIXV+Zsuj4bKp-{;uxE}4FyW-7 z5z}F%xeNJ9<&6=VJH`TBEOmTT$X5&b&Eljv*+sjYcel%T^4pVUG)-YUnM(OVG+kyA z)bWV9H~*jd{)C)$Jg{R=C= zv6_+YZCdXzdpQ%`>D?qnS7_Bm;21UGKyJOh$UH%Be_J^793-a z*b6sm><-Qj!5y6wSAr#@op#nwj3+H`nYl4cjn2(%Do*c zx72Tdtu33B)}wQJH%V`_nMGY2YWV2Di4OmP}C*YwWVREvaprV^0i&~-eUtz)w7)u<%+OKDj#{iaOd?B zwp_vZFJecF1;&J^JhtB44G}RmpGlPr5hd3eDeOpEayj-EVU-X2Hu7Qb7J27jmpi70 z^7{};WbcyS93~_*@-XX&tzz6BQL18-%PzJf?Cv8T4>P|ZD~>6IP0In{z0zKB8{3vl z7Gro06J(MRGjDcJR&}o^m7(yj+UzMPlswrhSKO@S$yu&CqjUzT>9^T%1I&crh$4Bb zP_4z3Ct^T8Wc)C>>Xx-9)us)|O6_utrdjZ8t?%2yqmq*4zP zG!n|Wu++NkM|i`N%FPxJee2Lp@B`ZgUzW0=-d;$_X^mrZahT$;<)htOLgbUDIhL2E8s zA42c<3AE<2bxy?NNpjiy1X^?1hEfu`sU7G`(#DJlwV!q5%wk|@x`NYt1PkKl6Fde(v5N01l8HXP~{#fM)UmSycZ;5;%tV_j`kdO&h^{6f7q{|NPWEvPq%aXks1$r9`5D# zowQue;0BUj@u84@Cv_P@kx>tyR)?rX)k{59GCCCXFiUjfb4Eoy99tP;EkEL(4#rXsr$z@;_rL%8=2ZsBn3X8d?UI21RA^Jx3_& zVd%;bDk>>@>UyyaH*eK3FAq^VN~1p$6qSLqqR`b1{j5+lws~py9wZqLS#fEI8KI&x zi8$0Y8(||;E049u42qR}l?YlC*M<;LacCxrte$+(;mg6WpfFrfP5#_8bERA~B(!rJ zN^UiVDq2W2v}G@!hI*v7Hl$}!(N7)2SqF5V0^tkq@5q@Zuc)(MxD zL~AB>+LGfpmtumkdXihGok~b3E52@)i|;ytQDaG44?U(>R#Nq%)k6 zPL|cM{Y9&X9>OdQF?d|{o@@Eg_TD7B}o zG3k)CuGQh8ro`kX*l@keFO_%)vhFY@4!S`czfnM(rS|kY5Hml<9z@nE6WGk!4R8sHW*60#CIfF4@z?x^}$0JQwg(a z4JBdX9iw3w#kN^801Sl5l-{n*U?3E=r)o-^ zP4bqMw8^ed-5Q2g+K+8ES}FO~5H+nfAL{=4u!#e8Y`rEVl@jl)$rR#!QAMaHo0b&3 zcr~!w-lx^EW_es;Yg_3X$E)GI>t=pAm+Vw=D00i^%ng>bO@F9KoL$ zUucj`meQLhG(Z7DB86=hGj-f6O0qRL4WjM69A<0>CE6OEz#!uAYL(&Mj6jsgW%*TI zsIir(ttdEB0b;D(a%Zf8>bA3-dL5ahub2&p_H)g0D0M)jx0n%#5^fDp=;eTjkuxI@ zC3RU&oeqff7&8J*gwF;;$y?U?CJVi&I@CUjYYLv!!*Z^8HO|PnO4>9$fx&vf?LoTQ*=VJt zE^AfW!H}MICK%d-Ar@R3LJP(8Ae3-tot{C`TvSQvqcPSMyf~$_{+PNN`UngSE)GJg^XWk- z(bnMNAau_+JqRV*Sx0MJM6~r`3L6TJpwF`X=?gOngg!7qLlX!rRGqOfHH>VB18!5bj&v?euZ=R=wHc zQDS(d;-^I(X z8A|d$Ht=>KC(Z`SL1T96|H^ysy=MVP>uOaeGf{+WTGu9}_{Vm8$d!?!+f@`Q5qDD^ ziGnBL7b+6_P(ro~GTqKn9plVSj(_jT;fTHHie%}IZDue1ZzN0C{uVNCIeYOa-L<7d zUN2nB)a4}>ul*B*Q)VyzuiHk&|H6qHeet)Lz5EP4qbzr6iP_8lUX1$GW6HpiiBJ}A zF3z}tODJ(=hOP5N@7tBVG6!Lgzkj2+)eQPe}K( z6PDMOtcq5DGb8V3e7X<_^$2m)7s)4J6~6okIXvlnfSXm{KJ`fA39=tP1l1hkKJ@)GjIU?V;M)`8 z@;C#RHu@Y3F;FNAzpT2QcvM5)zlWfYc7d-ZBk&${aQOI}8F@buKKl?mDEkDseU86>#!4>M>QN)DNyRoqQ^Az^PlZDH75Sd7JCG^_i%SGa{;Qn3) z++X~yG%i1pQIBAL1^kdTRgeFa5tmipVn({xyq*j&vT*+U8G84xWaI^i6n;-5ZY=}9 zU&_GmCo|Iheg@9}IV109C(N5w-et#S@kSP%v)b}$#Qk;#O{pi-2g7s2RH@B#4DWXv zPKDDB4;K^IuQ=nrXL41!nglLk)s3q{1&A zev-+lVU;D0i_ux0>Fs2Un*B9pyP96OY%?xW`@l$0)i4*ro3#j=*jTdTh6`i;V<@ZK ze}t`d75KvQ770Jk7;joNNy)8!?vNEbZ|Jy|@w|*<4cAmGFNfPEIN!Zj%14L#>iLf& zGIwi@cXw=TAj8^5$iV6%gDaLPmzHuq<@$O^c>~{Y^Fvg82iJ}8t_R)&+{Mk*yiK(i zMX%&>sVDD8{c^Oleq(L0f8*rME!|jOorb)`%ITg^W5wFGGW?&B zPLkr0u4UqpPM+hDuDbC^H%l0gbd4HnPv~yFFdpfeEA)F*Co-iI3N^7#TobXWN}05& zTAA2XwM=Y^n@p^linkD{9sLC%2V1CP?TJGP0F$`L2<$y*(+lD5J_V`wYM;KU_jBY{z5|2IPRl{eqLwd!*E zvR){*-B4?sand@C-bs>HsyBwTk_=nO9D$~z@xK%rufF+iZc+4yFV)NcbF30>xehd~ zZC!u6pQNow>=@cgvY%R7X|<1+kk%Psj<)|INn2r^aR!(tuCidfo(a5KFF(_W@Q5i}%-3nj*JPxb;7oX}6C8D&Qut>{>Ixf;qpp{2Wj%U( z%cK52()4GArn+04fux}#QxZ4RP;k_BmcqYIQde4h9Cf7?9_Bn!&z_6VgyL)LB)tJB zb$U1{7f;5!BQ%4vaepC6U7^)D>U!CEx?13`3jMVH9>cK#RWDOY9n8$T!^aQKLVG7k zTWRGnJ(?tYcu|%4&xKeqX7j~+9UbWBb&aP~CPR89r4*OXaT&CQ{RrIgWN2MCAN05I zHD)hJGmqh1kEgGzr-P@=MG4Od4>Oez8|C@pJqj}tm$L>kh*2s{MEBuX z%6u=q2V%sfO>(E+ert$^Kyo~v#~(G&qEkfX=hlkT`j|KTtXbsgPP@ou_44{Et2oZ)rMkAO_E;@nB?(|VP5P96I-~RbFb6Lsgz;o#cGf2? ztxEB3aW?}`GsN)Nnu3gXZNA!Qk#DPA{_nhzu1C15(?nEHM0hfXHx4Do7>-17_hPwzaAM%Z+J>m9WV<1 zS?1efuhD4RRH%*kiHXmcs2>B0Rl#EkBZnrr`Vr{~>nEMp!(iRX(I2*c@|FSADRT#u zM#h9dWigFB8iZ}zhxP3h;l`G{PiP*2G{v{m8nJ!Vz zt&31%@TUTMf-x<>8uvZ&D^lPg_oLt@ht@|j0ZY;l?jpY-s`NTdd z2zxre_>1_&0ho`v<%>+`mvhW~;w7KhN3Hm%jQnoE@S~uHn!+{;+q}!Uret|_%xStL zIiBh>z9geqLP=Ob#V0&e*80HFbXjt$*$*S7KWv{377laG$mBT3*L%^|+eZcJr1Q)1 zU_No^4t>|zth zUKB?&T215VX&~0Q!%t zai+3Hf6F1St|WQQD9H&Vw?v4kUOmAJ9;?4!)rR5>}4w=n?cr& z-eN745PQ%q!5mbCP7;Z#7ps_Ab2og#evL)f=~;9op+i?*4Z4YZZnTja!V9;Loc_1n zUSftn4nTIyJOR3DepYxSy??~pyD}&?YSDVs*fn%BqRc-uVv0k`!FG1PNuX+lm?Cqe|+G5r)vsRil*{uC$jX7S`N(A|Tx~?z;V9X5}dQ$50 z{>anInk|X9&Z2Y4xenTZiXPRxAM(OJP9tdv%AyR9hmj8p;3ge|I|HUqWx%u|14d6U zVEPmWVhYsZM?T&z+;R$ZCiHd$J#V^)aYMk^)R|a>X%^*m=&_D(=SdLJb+=ab#6EDc zC$Cw|<@oL=fT$mYh+ueTP8f?aZqVg9V#QQ|Xnco;yk=yJVJ|(m%O?#uEVkU#E5bE#XqL=5wp7NFt$1hJevC%VWHa9Qw(&tuSv zv#p&?w8;IX(bO2z2&D4tSWQYSr^G%7RPC19WWzdH0Ncq#@JEq$gsV)vHDq0a=7M6~ zA=9ykoQEL^HH*mr`+Hd1uv>cdcTr|`DscqCNN%SIy}30aIWf?a>LZepLDZc4h?Hy< zZ=nQUc98}oI{+4FlKJ$$-_NpEB)eB7tg6ZHI}%zNM(=nSYO0c{%tsG5c;XwkY_G#i zp@~k(eDwGwtQPap;~eX{987O@DSt_?9N8siXzv7z#X4}|7T1BxYlfnb1;~FCXH8+Q zAlGVsdQ97n_&i37uw6#vmTF8Vd#=a*$6mNqO|+bk0DLjsIJQ<+T|%!1_3|OFUL5)v zO((0$UyL_hta_pS*rG^?XOgnz_F1#`5sn&&mCeQsrE>iqtFv@!;Sc=j`2&Y2{9Jf7 zInL{Hb>`%jzywV&qWQ;gExAK864kRI^pzK(?{j16PXgma*JS!3TMp0ZH$=p%n|a$qNX%L>_p*+GTFXX zJDCOU5h=YnK{V#(2N!@YZhpuK)H5*^wKEl{mCEltHKv+dwhvzb%U1l^I47=psAP{T z;%~-IUK3cB`c?e+C^ssg^jk|_0#qS04mi@|3|n;8Y*qkFzPIW89B7!~N{k^)y2Q}I z<_)8c)i?h?00030|9AmZk~>QSK@i91VvLE2F*%H{41?#Jv9Q%f1PLN&6Hu_Qu!vxxjfEe;#>UyZ+rSmG13SO@?>trp3Bmxd zp3ZHdgD9G%Dz-%{WsC6}2%z=DE1nCD>U1Ca2{aDX>V`qAHJcGdr*>IcqIoxE9)T#_ z<9WQZ`NvaaFGVADjn+I?ioq=2XRq%b(80K$Q3)e9)u};ES2cq12z2q3(^uIL`VlXK z8VXfastVl%ykTUwnfls3$ElnBb*BhikUKep)zFF)^2`F{@~5Jv0e1lsS0b+eg=%l#6=(OCfl{ShjW4 z2%=A+@dcQ4#oy16gDr=025&m)VXlc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Cz~0RR91001I500000 z0071U00000007H500000004La?0svHWXW~bjBP-S1zx=+$)*Ll{ar@o|7lfIeGHr>%I5hd++!0 z_e1<$!gKlZr4Qq$&^bM8oizHbPCKeL&pO@yk0JI;#n+2Wq@T-w3~#@O+&{qI?+*Qa zAMf9Df8WI~{|M>-zW3hO@bfi5`xLV8wN9H~8Z>+T2%%Q{ew1%FP9A-w*)>3GdwC6z z;miE*599B4b)%Z!{!xT_{RaO31(f(f{Fa;d8z<3Dr{6kk;x+MH{_P)OCC?spTlXLI zqgM*AM!C(++``ggZaK=I^qbvAROob3aYKVEZ*&ITXwYj$o#T|xpY26Q&0gz%JL-2j zC%voDN#}m+FghNz4@tpZbfwWgiaPxV&2H4|9QPkKy3L4DE;)m(elN;z@9sutjc&h< zgtm+;zL2XXN2C2H>J0j4gMM_}I%)Qz<8J2^Ul4m70YG%z={E0oNW?3zwAzO!gCmBI zns0*=(OI{1zuP!9H0}VG*6F}CvMKD<0OLxd*Xta%8vW)`bksQAs5dzHGtI+(bfw=h*np6yJ%jjRr+w72)Nq(OGVfZPqB0O{gThy8 zgM;2-w{>QiFMq$=Y!diYq5C$P7ERNPZaMOXoGLM}wDfMAot?A}8wV%N2)_nGBb4bW zbTzv2u+@J6(jwVu%hvTO>d@#%kEHQiAU6sonR^`+cs2lsSf;q5No$+V(!3X)Hrj*Z z#$kWZ1uYLANj=!;D8)owakL<9!3T{2FzL3wLfW@_{ch`E(C>76*P>|mq}c$#Zu1x% z;%IzDRnb??AbLFL8lF39_8YB}-nA=64n#(7opc^XwZZ9Wqx&c!Ac***_`3`-x%4{_ zRsKDx7l8e7gAj!Ik0Y=L%|Ir;-5A_&_RN=||1N(W5l|{rEJlqDeV@Pn!Jq(HoBfex z?Pi~BlgcpcShBFQB_qK^0%oVvUf60LHwGvD==MqH0R2vF4CId!@*l!~B31f#{=q1k zIY~$X@CY9A+K2FKSh{%9IP0NTpuuFm4*f@y=-K2UizqAe69}5hRBGdTABxCRtxCZ7 z1A=k6bKl0s|G)fU*rQ(_z-}A#>ZgsfXknq-Y#h~lP7TzJarJqa(hCa@yRCjR@#Xk9 zvX*SLaOgr;q`>1=e^i0UmZii-@AQI8gz=rMfFTlF{G$jNi@pZ~>gA~Rs12(GVQbyL zu@k2znw@%J6U4QhcAW z4Kube!pOKzP_&sOQAFFf-RVcLZDC-wA(*Xp)QG;cUAt|p^J`>cJS4&=@oT%K!I`m^ zky;8eiH82m0F7kBLujOUG)3Htmlkhh7Y#q%@x-EF{2)`U^vhjMh>ScIZd8|F@XgkO

k*1i(1 zLPX+uimsx$NswrbdBhYHpguK2Q8Re(a&Dv~WfZ{?!et6~%r_D9jwZf7HxZUxqzLCu zc3bU*3jE+SZdipBYFtJ1FW$J$nW5fwtDdeOo}Cl*`0MD^m%}2u|MRm+}A6D%_7DtJ7OscJ8BMCKP%jU_G5aQ88ewBS;~+ z>ImQw&>g`gP?es2^bhr}nXAJ~;xRorxce74SNH1^dZkHr@+OLZ-%3NWV$Y2r&ru&z z(DRmycUZ&pfE-gNK!e;uFvaZUd}pbR*+x!Y4jI5VqLZ`J`eA3#hNXO?+B~jz`zQ59 z!l-TU;{Qwk5`UP4Z{9!|QKx%kCoLbej+&T6g)^{k=4IhDUSe6-EU zWr=u^v#c*xj*nlw#tQNI2>#}Rc5Xp4v^1=jM=+%+C$nDT2u}9F!HxXU(fgk3=tQ4$ z-MP6gLBfk=!{zt8@|&~adkGf0hzPJD=Bd~b(^cd~E`FR8bL)}CQU(YdSe@Hl_ly;Q zD&ZkjIKU~jx$F(Y(@}#s&RJb%NXGe`|+Iy_X5oEL}B zZi0TKQ_QT_)^(XSJkJQn*(hSoi8Ck8^k#?VqB-Y`;T)>BVE^509ksgS>_4uPyi7Y- z6F5tFUPw~a&1o{H$uzPw=aVF#P>WeTM(vb8If3i?@GUOY85+&LfzQ@qjt|)U)3p<% zy$E}`VBB4myx!Gsz@ z_@hSmsE0)~W0!Yw^{g!2{GWD3;wy*>e(Xs0RhK)Bqv#d}J$6M820MF&ikb)g^T=k0 zP5T_};Oq(<#=%n~deOrcMq8b?v4F|0I%?whgd@9M8wV=vVX=`JAob3ghppolN`AX> zf}=>xxatIlFCYekD6UTmc-a#zf;-BtW9s<<78em;(4;U*qJN*85)zZbY1<_fQIN$y zjD9S;CAciLfbEan4z|66=#7(6le0Wl<}w!okF%pqg5MtF5u7Km-i0|GEFHj4cqk%& z0>AG3g_olW1hyO9YT!tr@mx7sFw(fuh*H`IgN;GwXA$Jl5Duj~IA;j>*p>C;QTk=% zOs>Nqv~RP^q1K$g%xN&^gSjls^}$>xKG*$1=r4H=AkJ68v3XpXU&Tf;9{Dw41VKZa zBZ&Xw{up*UZs(@}&QAfvmXrA@fH;P7=oCP+nwBOrWkiqIM+_cmX-R2m?4n0JHO|x>_xC=;R zsYlZKPyK=%ct}~JJo7iB;yc)hg)umYivW%#YQqCFg1lx&b1GCn%plZf;|2BP(JcI9 zeR(QD8TH+69Cka0&6Dv?hv%h|zS*-1s0o_ezmQI{@#9e>9RaBH7U zfiq?7xz7I_EcYMqH#+w}#n+cc6h`L zHaI-QxuVB|lV|~_3*dx;=2^736fNd%tmJMiuSU3afG4Wpp~?0ody;HaDn-jn3kNv< z^=`AzQ9=UHb z(rB*YEF2aU?cjVf8_g*>dxVdD=K6UEI9BXqscwHS$u<<|7wvH#ni(=)Gm5I0#qQZP>^u z+sdpa_LJSJQAxAAoX8Hv$y~aMCTMr2M`&PD%DLU5(vi(?4udUk*Q>?brP^MxTHnnV zzF6A1T`%6cRjKaTL>#Ykn#;bCgxeVG4^HhnN1+P~$uL(9U$DF4s#twPJOz zRN1j9IS|3&8zEbz9l~kF@t9px+-|;_FPDqudhzw${LWUf>bP;{5-AUb%Jy!ljC$8f zw|DY;`_*E-v}F+?X;zn(mN%9*H<#B|ujN+P)>jsnHa5VC>&r_v(WuJnc=AoLP^njT z_e$HPUo6)7vvWHXAXQJ)AdL>hnx&z|iaW(>ey@n&u5T*>o~b-eXBJ=ItL6)P^}EGt zsa&~T$Hgotb~|6VQ`#wJB`@jc3%;{ezL-)eKiDHrR@E#@pNA|@iLjmmuXw1k^0;9m z#OQX>VeZX$%B>DgsDKYF+uq#2bt|34C>1OmKX`OuI?Q8YQEU*4&dw_?mW6+`OcKBtQ-RSHV4l=Fq+ zc5!E~4!sfsLWbt130$87S4IUZf$np4PS6c7Au4+OS$2Dv^+95;HpTcB_>y6d`7n{k`4&z4}(EinmJj4Sd2w7RKZV zHiOtx_DtkdjmV`swQ}XPdaYc1txlB?^3IH8O!n(QxlpXtyt*QiT#2<%Y#*X0KeB+P z69wu)L&o|xip<}Jh!yV^%b|$b`R1G7I*4Htu-?rv;TypGQd?pq5ovv zllL^3ehI74%$^_mEOEV3w-((Z*HQ`L zlGDU8Z8BV**m_#+=y0IvlB0SmAJNW*fBOOM6^QP1di}Py*lCU8@{GW8G}#al8zxZN zakZA^ji~?VtceIb_-P8<9j!aU5@NDLMP_a9L2vU7s{fSJhMM&Auu*uYfDT=Kiq>~sAuV(^gfOc8rt zknA~4u~PE~xX^8Y@n9&CvRZB(bp0J`lzw-h_uB~KVO<_IdnSB|h8vNiFt>1zTm+LC zb}7Kv@9fG_Pu`7}$%!)iuuAHf#%ui}H=2rprM=9H_2jm}$Yy3QaCqZ-!(51XonD9D zS?;>KndbV5saLDnyMC&&_O7Rb3mCCgZ4z^kdjA?O;j`eTL8)*Kl!oC2oTx2{(@~T5 z%4`_rGh;LiE#M=q=1w@A4WaCug_;{tzk$34;sGEA974=9oJc6 zYx+C0A@zk!NR0&>Fr@4^?wgzYa?6XeA(qdESPHa&k8rmL;}g=@32*@;+Nbz@h=Xxw zBhSxgBhN6XfC;tGNvHEx9q7!4N;WN&gcZl-;L#a28yz1%30#t};<$j_dk zVFg@7;+>?ksd!57Y$VDq@gugW*P3Libj6Ar2QB3Cj_i4~Mr_6g;aa=s_`|pnrmn5u!3r7-iLM(!K=#nrC4$Ygr z2;HpzGE3xaByq*mgnc3V*^v`24cG2e4xd&RZ6dn;r(V>u@+h&e~ zGt(ySLY$dg5zD#xB(^1}VPD)JkIf6u`Xn|{O_>#@?{30;JvsQ2S#``=@>ASYPp35N z%tQMz@90E_=~AZ_uHyq{aGGr%RMo^1b%@t7Ryw#lAC3lH7KVawx9aH`Z&@TjJ6V-l z;OFqsi@^5!q@Cd^Bc7`3qk5p-|HM(su zrDMbx!DBBJpRsF47LdR-&wy^o9`5Qp;mHF%E+|Rq12z%t)!b6^J(8b{f{pgjg;@x_oTy3Z2{FCW9?Tlj7v@K~$s1(!k)3|q#OFJdZ zPF8k`?oc{>!4t!i#sN+TIBwk^aMX_}tKQ)Q2%|}9u3y{bSq*RVzLhQ-&&C@8X&yoc z^dI4vJ0~`=f?r0;n+*sDj1psV9MeV0^3gLN^YTV_7M?9JmUm(UW!H-q8U;73pn~RM zJ;L-2;cMF5;Ix@4ON@b!E7GG9HEDMjoDwrQC-HmR)&b(GBa~h!;$>fon1p@Ed z6eKTQ%;P3!&2o=-;HrT_9>+0N8m6>*!lDe${FwD0vCR^o&gJT{eua4hzgXu68 z@K&p5dgsbnvrTyDWd^L1EyZsKZ~|H?a%h30{C)#CXQ6ASh50v z*c!l|IV8ZLMOqp$9afsVkgrzX7^AskEWpW9$47;Ht&ra;PMecmw99$BT)CMqPn*#+ zh3#ajmDi%#GLx_lYOQi>Z(5k_jLU|<^AuC=?Ys|Rr@4xy-#1&6Wrc*&eM+>~Jbch@ zeOcBA({WTg^XBrzJfnI zDrjJGO(u2Z!d92Lf=foj(P1{L>5b8u+6&QZ(5l|@i7`*?h2s$MO!1T+LW5HGjv-=a zZQGn^59aqTtN_PqM!L(i-eLCA6W!t&WNuL9k-FJ9!3E+TEnNd0rnAej3rcGDP9ReC z&hfDs!g*uC#JH29-=41PvZts)FAd*v4uE(x4mwQUcUfzex)@cq+(Bz5=g6#9JDB?% zK^X2zZoN&bxbUEP_|^pN_hA%GzCXp9IWC_w8xt%zn$E_)ael$!cPe_h3f(KCn019% zlJzK(`*&@@G3JQ9aHGb~;Pe38(K$wLYCN1*A?{OzSWCNv#9OW|)|MUbcH(c#vC?Y~ zn`eDzo}91T8?kar?YCjv&WLu5-(xRSYd6i1b*o8!pd-{XH|rNn?f4s4f&?0AA4xo2 z{O$A1#fdIYb&}V6c^0@r7`iGC2{}Zk+wQ=&D&YFit~&}0+3{$`*5Rx8Cia=MDwv~d zEX6#woA-L&5salTrYvJvkE*0`8+prql23xEgItCsepJb7=LRVfmLgF?%%%s^d)5ng z^4La!I6S6mJ2w2|_zB5uoauV)N_Lw$xsv_XEt{0qqg(WDlG<1{zAM!9z$2kEyH04c zn=V}7+X6;oK;n1|Ok!II4b$@OcKx-|*4`Z(rMeQj*!SC90eNJ4MLJ6JuN`+$mR(`uwcIlFCheS?NM)4iq z@UW)a`JMfI*}Ps#Wl@1SVIw(5I83SlLFFTtO*fST-p8&rV>ovIAk}wll*h*;m`VQ9KV*^divz-y; zs<22RA9=oT^Ys$8T*3G+Vn>Sw#)POmw%*(h5ivH;q)JAJl533wb|fvi9D9o}%ZGg% z`LK73ytBW<9aAIueS{>kcgb&!5)vAD@H%3v7`I21YS`qmgY5`Adx*!w%x}nyV*+8* zazJ>uv|B7=+mgv*49`)5OftgrX7^;(c8gLO3jeCjo`6Ehlf81qt$LoE<*GAIXONnH zn+-R>ObL!ClD7)AdQ5o|mK*vfP<(n~n_bnIN}!&vy-`EW@UX2b(my4tXry@Wjk0TG z3firF&9O-$^(a9jq1+Oddbj-mZ+H^9+2WyZ9oY%KZ@b`&Qa04vOUop23X$j9CY3C6 zZ3D85GA5p5ZL@JU*EZ<}KTQkqrcflNTlz%xG3tB(+C4Jd>#@K~mnc@Ni~cl$WpzFP zO_1OOID;4Zw8wMgkC@MPOG_*C6yPDQ@#Rw-_NJdZKn$_mCt6s*h?QO>%nbD+MBP7iQ62B#{q_<_F5%AjvQlhVS|aQ4K$ae!vq*QRCvZSPl?95$Zz zvOXfx#?os5FQ=V);SB!$HUJzvvR_%H{Jq6D?HlQ~?hIvy<+K7an;TXzS`GnW^dkQ7 zFuL6_!C06y*xPd>O9JC;woOKpFMltmVdtC$JPg}K6P^m58+1-pJLd#t3}^@MLTbIF zL64xg!$`qX!R!QI)}YsHTMXCwF@avupx2)$=v56`@v>&|i>|d5F3n}@Bj~LVx)|ZI zpf#7RkD&Ks09t+s{D(v-d$(b%qqF9dW>gI2^B(5#4r2{74v z>8k4Rm})cGmsHb@=&zDYeZDHwN7u%jMokWnAs%UmbEt|kNzUPSKIm-gcBv8rzCMD_ z6c6MSowAFzsXBn~Rc`_fJf`X+pgV}B>KD@96tJ-Ahh%h3OAx|c!(kbUDXNpDW>qZA z(|%3Kww$q|;Sq*n{@jH~7;Bw%Aol|DV%Ua5ouc^gi^Ht53j~SF! zOVMtZYlX0=+D2y`!ST*LTf)cie_iv>xBBj!=yX^QXa!G7x0ru8tV;KV>S)kkO#1aCwFrS_YQ}MP>3m zLn!KD=*kExK22V1W0|+=n3qS4(UnGj;^IOSl@zZibag{NEfkGyUfQ_>NybA~TpD3U zsOVH8X0guMw@tLi42so!jR;y4*G3RgacC-vte$+(VWNH>i_v0OP#CVLCVys{xmqcb zf|iCWN^UiVDq2W2vSlxxhI*v7Hlk-y(N7%1tOL4_gX)FHJ2UqJK%9Hb_*4gn6r-)! zJmIpEXw9TfTXF#AQZT5rf-r{WP#qr0($lK~>aMLy@m(h{Y5-~Lp~n=dgy`2 zvg)Ruw|eN&$+Ge-Ja6^TLztxz29K*Ac~Y_Gvn3yToU=5-{Cytkp$9`ts&CSK^*q!= zkC>K5=&NVD9>!A=??Bca#?<|~K^(tPK%AxaTR}6|9sR$cO`O=+Vja>x-(fDVQf7gZKAuA zwGpFXWxFdKs1)53FyqH)VXoAbRgDPZ;WXQB<0!IgeNB{K&l}ccn!OKLw8_EZb+t3z zj0;-bYc^l{P>YjKcRMG2Y;3m4Uce96GxEePx3}7U7_IT8dmy|p@5(;KtOB;#V*{OV zh$KsW!=_-+Ieio?Emx!+XUb#|K_(E&rcf>>sRKdWEfh+!n~NaMcoqeI@dU(K+0|={ zcTKd4C+cdrm|_nwXa1f@UZy%IBRfTCEdUXSOaPRmq-biki7cqdRJ>9t4w7zWvdV4Q zuf~`A?IZk;Cy+laMA9om%K_0PI|lL#U@{@^#y|?124RUWzV?{`uqeGAAZ5U1A&9Fy z8w8~w1)8n|3sp0z*jq z*tSXrfPpZb(%Z2a3RLD$Vr^!Fp(IWgF{Qu|J1`pz zWdi3EydheNg_aG561tp%Cor<=C(=SM2a-!yHH}!dUq6%yd%eO;Llf-H+AO^+EgKXi z-5R1mp^|F*c^>LrEN{X3A_VXlnUNh7L6uXbI%B}lN=bLxERiW?yJkwvCV5Lr+GN+K zZVf{#y^bv#t(1Igh?-Vg_jG@K(87T_wq8?`N{M%xGlh7cR}pGw(~^Q0uZFg3X0L{l zHVrNgLJRloAe3;YxdF+zTlch%ni<5h8d0QqOvzQT1z*|3L$71gAca^gRj+-^2t^6H zhA2?TpIMAGikWtcr(IQR+d}tAv$utka1Bn-w;*)aIy(p@-f0sS7KFBQW(T3fEp2Yc zf{TrF^lFS{1y93J3plcg zgI-Ue0S*Ik26jdu$~@Nq1qhqFU6uL&j4+g3r>!11k6f>)j(bJH5&Vhqxdz!}DZObz z0~8=6QrKpZspHmb7Bn~wqU~2XWNZf|+8Um~AmUinD#N{uK$OU(t*bg$V=GZxQE;RJ z#8@xO%~%7~ZKo~jb!3vhA{!9B&NXd8sRJUtMMfY>xHUkbmjfb3PDUU~>e3c7#NN=?qAvh;esRRu@Nw*9ND0L+HJo`cZv1co8f zIY)hR9TB@j4NqW@MtgE19Rbeln$ojd8k#_GwGd^+*O@I(J@2I94Z#qe%?3kBxP~_b zLnJ&K3?*-A^P4R6yy{S|QCw5-q#l-YWmRKF&Q;Q;;Ry`Zqq=oZy4q~CQc{;TtLd{x3qo_#?6pw6 zciO2M7K9dx*+D4bPCGq=q&csW(nn*gD|m5AY5g&CHS`e}8eANNR_C*WP_m}M#X;zv zZ*~w$w9}5(IFF5^4^!Asa0Gpp?N49GBoO+*1Px6fuuyfz!ptzz7c5W)!q(tV;B615 zpScsLuzR_OYb0^FeG?z>@bJv#Ys(9Fqw-z9a9lWghz?t9S^}v z*1~p0O*2f+Yt+8XZ%hY{M}T3)KJJ>cr&_7Y9-sPMkxnhqZymc;l5O$S?usPvustHV zc81u-jvQkM&IBH2gwE zVjoIKxghR#mg*QMJ2}4T$>9oDtSD2P*-J;^j@Y(zO%TVE*$e+GzHrAUdJa>ZqS=cN zxVz%@N)GXhUS#pg876F)z4*WESjL{5PbH%#^<^L$yvYPDW|6Z(diNCVm z#LLR!&8-~QaKk0;$LXLb_?={%s13kT-<>tS>sm{kfF9UrR~%^^|m>ln^@ec|(9Ex_v^r zZ%kQUTe2!z{neDbpYrKKAk-toQC|!{0ju!k+Z3>&Cv>0T!{7O?bb6tbG+e$BviQ)y zPN~P=rD&QblovwsO>@rpxbJ;W@C)hE@at3Vhn^s-;X_cRA?^b|Ou~40!S(ncR9}E- zLl0AM{$=zf_G_Tpe@~(F-ySXu@Bi7S3wi&hj|&<8TS{CSty&?A4+S0Uqb_|XrM!=Z z&pyNs%02C*a&ir13?Mp`}o5-p3N=T}nl zrqSg|#Qkgve*Y;2zmKJ)`~4J}{#Huf4^Ejkt-OnlOXH0+I;XYelZgAB6q-^`CQqH` zZmd$9ha}$ZHjgcf(GCx{9@wuqK)+|dei%P|i?BP3<#ErJ8zRw63CgS$+4kpwRp z?&8{OUe(%*qF3^`F_f3Dem+{-ytcmjD$?U&t;0PiD1b@r#TcKDHe4dob}?FrTq6eE z!w<{&;MNA<%{~0Eh7WG;N}{cEWD_4`KU4TZ=FcthljFmoPpkaod{{%DHtvHLW8Y&@CFOxP=F=|wQV+*@5djmXgv$2Q{=^pAos}<~L zmKx=4*pyXpsDBZ0P{LOuYP74-*1g=DS0mgv-z~pZt#22uMzwoOh^d+Q8qQg=UwtwY zgF7X0M0K}$-vamz{A@viAv(Kw3$L-NlY99vzQ_ z$21@>iCO)j2Kk55AnR|wySXaV_eAjk12sM9od4(vjI(*Ah>*d54dhRwdK$5FzE(L$ z+MEcXW?S|{m>6<=`>Vsm6^d+6;9FnoPz{f}ua2*@r^9)h;4exOEWi2gdQRvUknw;5 z!E+v+oX7AYsH>Ma3FA8AIxR=9NK7dRmrWwB=M0aqufxTY^sfs^m)?ALEy>ksDkQY| z6vTy$udTV33VLHA2LmfSnscqfb+o;Sk1^T`%_eAt6tVtaD9Y&BMd;aOhp7~IFXx%d zlitTjdp=(Z*A0#|X&v9XFMn;Awo>5+PVfJ>+aerY2aFZc8eAZ(3T%NDMsjBuVR3 zLI)pXq!l)tz_yYM8?+ssULW|5$!i1FV91>PXMk%&b`{(zN-xmQH`qA|X?b*J3i{fO zVcShd!6a$j*l}-|v_hB(q?Kf2$7lau=$CFddHVUnE|!p?v3(;4^UAY?>KS~7X-KP= z;0{^0ZUp{MhG{FsPS6Npc&KpmRd}+!*4xsAF%bwH=i6`!JJ<5LZ){b~&=@2U6w4Um zI>!A+!^D*`CJ{Hle@Z0%x{!1QBeZp=n9@{m29k!#d0vhw+6fK?=Oj8FMcWBVL7D)& zeWYKIfTwken*CR)1o1gMi(RaCNb9J41(e|Vx`_0K{y9n7Gz-iP9UN`{#V~C}mL~CS zz|CW%u=Lb=vg(0OLDNIV>^Z5@y>s~(LWM;sIG0SaGjj^}&0*RKr6%yLBr_gJJA@Fv zO<~u6Cv{HuuskMq4LJIyzR1o@la`(XJeF@|oi3*lV>TEO;tb^oH<&5n(x>r5b-rY^ zIxK#?ZNk}sA1uALzcD9V47f}_{O>q$+eCiQru3vIzS5^&Zk4!tYCZ)K3g(`5d3&Q% zd%pwuLUPb7eduiy8?lIyPv(if8z&!kV_N-uXGG&kr}Vs%*pt*!<_3O@mWoL%D@T$} z7{iPruAImAtzqIyA3TY;p*wpXxORx7MImX-v=3GCoHNpqObswv@?{<~p>{~!iE8I_ zzz*ea!2ivkjM_(LMSpF$g=MHVsf9y7_^j!mq1Jp|S~KP-2uQDh8%77UxqnD`sPWT5eOQH{EYKRMR+7O z*%~S`BWL)HS1Aqcyp@TDrrb?zXu9Lr&`|3a*50yo;zz-)$_TPuv$XlWpz6Xr+H+}} zP{&TRO}LA~5`f7MaedUgtI)SL;6ydqwsQ2~_v4Zij2shs&gn;vm`J~$^z>tLu&SN| z#5X*Kd<7e}*0`J)_*q0MMzreOEu)~6gvAs#H<$C6mXXb0DMdS1Ar+-6I+Vmx!*Iv| z8|p^>E3*11XG2O~`M#Pav_wtPP&Ni~Rg6A*o{PEpevkv%MrD@eR9s<$2B^Cs8Oy>e zmbr|~==9}>k-$Q|8X0IC9vW3Cqp)DUlX6PIciB z-CbIb=C;0IJBYSJ47H3$tYUvyDj7N)otgwCM;SU$>>Z~BC8Hb6RsjYc3rgNnKsleH z1H~?RN>JWMA?FQQQ1X@n%4tRiirwdwpuBk?XMR~w@|FZ82Tpzr6i@U7ltME4Gy@z8YzJw#$&ph0zTs*b;<=%cRzE+Jsr&8$qYu6XeL`xc}oH6Jc14=HNuoY zy)_qX5IK9s0+qKUP&q=6E1wIst((OiK$X+AsH0sot1qyP;+fn^&BTa?Z9Q>B^KpQJ_8IFoje(OhjuPO=VH3gq zv<1iI88bnZQ`0_){K}rMHBX!=D5ui0)zu-jNgB&hQxA}^R3XApy!FmDcnt9HWePmTu9>KDdMHoB0BEWnS57DO%yu2pC%L!M1Dgi!)_(r29fk`jrfXQnTm>f0t zDFCy46-u<#k-RjO_2I#$tXqi0LlG?(WPZ*||6)@5mwZ$*|E8n6&mR)|sFiW~orX)x21bMI2*iiG3Og zlm#w&ScV=%kpmE0ObI{c_Uf43Kw%fhD#J2k&alkzDj9P08HL!UGgf?eWeq;lN=B{7 ziNuaP@|q-%9GLe)yowyjSm2*f)iGD9IyafP3z9`{I;xSn!3H##gx8d8!^Ta}MP5Ax zn=U2469u1m+3PYNwd$ji`8S*=!Y2;?4j&bYH2H5^60vGLjmzmTTW{(AT)pKrEuU*l zn@`k{%O|fo9}|`fn+{)_O}c%TObl6jCkTHg>kXBMEZm25oi#XCx2yy{#l0 z)yknrk=xE>ZDK1{;zCXJr4CWcamml1TtB=K$?|>wkd-O}5?w7vecAJJm3XGs!whJE zXROk;awLY48#VQAbTr4TVFU*$v+2{|yH?BupQez7Z_EQi^-~gI_-dNPU}T!cgp4(0 zLdHHbp|r+#R9bXGk_k&Tpuy535@(1g_A(N^J8$-IYu{Op} z072Rqsf#llASXP|nP7!S5OTsJuPLp(=xm@zfTVf^>NV~QB$jp%Y)8a)cx=bYcHnHC zB|M;4JG#Y*Y1~C|1`Sdfmq%6rbv>_JCr!5>)5sDdr}Ts_pS-5!bDgtg zN77^l3J`TaXfgqBN03w|#+zl{Q1j-HaFjP-41RY|C>|#+vIvDzGu$l7O9HgV`Yx^` zyKQ)30wO5PLDYn4ECa=Cs5nM)ilk*+89X>j%WFzaxA zkL%t3NqzCFN5{uKqe91gW@C-{%nFYA%yt>}mI5<8e*u4EGEM+X4j7Yr3aseh(KccV zE#1oO8W=Cs6rP5T1A?0&HOJ@dn6Dyc#J}P**(i+3{Xq=Q#j6Gt)=z#7q(YApB zExEph8FI1dNpt(%x&X(t9_jCtgLhqyNzRh32{k4W8CA_jj!EhJS)wsyL$Ff{{4Ad( z9buRZG&Q^;wM9@5*$~U{%GE^04HEOs7ZtOWToGnzf!D^S2#@rrh0G?ow;a?$N9Hsi zy*1$jUZDr%LkV8(vp&hu)sT{POqq$NgmWxUedmesls3-C@XMUDoGaF{ci`M7!dY^; z>)!`=*f~Qrw-EHv*xrJOPH5mUpQq|fs7s(sWg7njz&5!8V@PJ43HbMrR_S4xm<^qj zs_+;zA9E$GaDGVYmA?2VUO~A+^}>36l4J}8$eCk4i<)6KWy50qJwUA{WE}H(0$8?7 z=u#{h(|Tp+3mFQSK@i91VvLE2F*%H{4VP&U{2ogllCZJ$pVG+SX8w)>xjg7N+yMZfa z2X=n*-+8PI5`+O@HJ#f)2T?RjRUC^}%9hP;Ab{2juXxTis*^qF$Iuv5s~ZNfRveos zI(5p*0?m6Vvj{}t9?zq#^*@#(^AwHLHCp4W6oYBJ&tBg>po39AqY|4qRHp_tJ=F-t zBhbN9PG4n1=!bX)H596;c8SSbz(4BmX+cFxd?0=#~T zCNZeLfH=UQn=z3&-@L$#VJ!L}Bq0HX%&Mvy{ zq&$@OFNNR*W7)%lMi6}pjV-{WOa6WaTx>CvGg#9}j(Jkvexx8g;&q&mQ#Koc=u{)R z7+;@@w12;egb14ud(5WGwjgk&7|M)SOH4$pK`)vm$EtLx06bxI|8lCzbi}M!(S@?E lQcI|XU2aA%tg){szKWlbp@p%N_cohV_nY#b{s900|NjHzvO)j= diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 93bf68dc13ac3182190b72e14a7486669f5293e1..5981e925d3a17f63fcf7afb52924d491d6c0e88a 100755 GIT binary patch delta 8062 zcmb7|c{r5s`}gmev1Ls{h!!)-E+Sc5F{4yNWSr^2<1(WxkW|{PU;6d-nB-O=mgIHtbG0f=#HV zE8&ihIJH&a!LX8BD0*kb-l@Yw&pC02qK&299!M(}T{Hf0&F+f0fvwnms(4G{gUOcn zsH1yL2bGASKE(k8#_&sC-?{rF6}p0_w-0OdcSjo$BSe=`tI2)3+BFA9bNmHGird6P zu0N#MDc}6;@*%I`yNV_@B?B8bA~OC|LV0HKDxB75h*vqIA8|GO=8@G6tKP`B9)I5H z?DERYZ^OKLA*JzgDqQ}yhsou-#yqFWpOlmsthddQ+TNZco1dIyf} z_PC(^q+;(m^4r9m5BXE{xbyIz(hSYjA0s5eqL#ZM*jqO4KP%|vg&GgsxUMDEKR=w3 zOefX24PHdz2YqJzK=NUcmktJJ(4Bw>vi+YKk{AjMAVzo8b^#tJjsxM1s&wx(R417n z4Ov5?Jz$CIU;!jLJ-v5p>`C6UvGc`C2ZkR^4&DM_6&)R}N18>9b+eD`GRtn zVtegE;^K0s2>l~WGErV=fkak;W}(nufT#>K{%U(jqtTKuO+;t_BWha^zTa)|MIsjdi2+Ud*P-B zap&W5Xb7&PzyD*FF3^GG;13W4;WQ0qd|&SrcR#b??-Eri5FDJn9N4~k(l2{5ikuPW zlvhdr@H38azmo1NP3VOOA7kbbouo16XF1CZ5w-zuaDs7$HeNsYJ~($#p>$c;;Y!YE zd)=!y&m$K81k^TO3Ut`n?(bRowv($W9rEN&Qb6s=yc$>QalEg3vqo$@OKId+g`X>~ zUq1xD)Bn6LOYDQM*o-f11e?7Pzv|+glcQ3v+1}Z|V|kHz>y!O~HQy?yjrdc3iImQJ zZ|qt23oUjiwbw;epGZRU@hnWhb+2RE*kZ|6R|lwz7MKLA)M!mwJX{DhRt3sYVlM^i zx?&Q&rKW;G{H664kXq-f@LVzAN|*XPgO76x=_m2g7A*^L*$>VEA!2r^$I3nlvVZiY zyPBxKWPM$DrXBJlhLSh)*{i=d!#37NT5Qv!@?A_8Y4168(R?J|jVlg;&U-Y(8BN^J z>Y_6B8HXtGl#EK9x+4DmJE@5u=hbSXZd!e^f4NaAg>O{_<=>R#Wj9pQ%w5A9zg5~o zY|>fLfS?rzPLM*!^Gz2>l%G>ERNc7dws~##BIAYhDSf?bJ=bPv+5L-k$5pt}H(AL2eC!x36&2KTa-MVHMSt zP4=?!7^EUBAeSr-F+5ys;pJxOF?*fyB8eS!bwSZ)`)=J}ik2UL@Ikr_NpfDIDpdYz zVdoE3pcLt|kI(=QhBDXh3I{8n3lCeIJo5U!4NTNGJQO=;kx4(e)_OwoY&Wy>m2R_X z6|FXLVJ~4BP7`6;5RDWHFQ8g%9bMkVpJm}6(uytS2$Z>*&wXRBwV0gl0YhE$i)8Q)>#f}pLMK+{2H4KV^>A3$mfRwa_P_}4x%+8e}zb%y0J z5wqXUV?@U#pSO{z#rVRE)J7%#Ff`g4s-XrR0`iHhYd`|oE`2qSFomEQGViHMPjN+= zw}~8qs#J15f7m6o2<(G4C=0luz&*dAfGdJ~lsT*_egCJpP7c`(5_TTF4E>-9IzYzK zSth`3vYqIb7~v&c{}A&BZx&vWZHnyV*Re%?Eyw%gWyMG18H?idjhbOFo=Yx*ns<~ zB-AKgIJ~K~FZ7PLZ|=mm7*>giq<`xL-UgDDF!b!`G)Wt;6J`&{(R^!;=y7PGCRhLn zl-lJ6=|N&^GtX6I1<7KAORSwE<@AM)HI+6({gm38?JecL?j&3#$}1MyqGH)|7EUh@ zu00*txUc0mmq+y)Ia>U8Udmx?uvL&5exAdRaetAJd&{Rr$mnx#(A!4RPtqS7hv8nx z-{q>#@do3U3#d;A$ow_on+4Z;xwSDlGL21E8Zpqqf-S?Iv_ z8La$pi=&c)^Z3VNO4d@yN#kQ|@^LlsvO`J~D9&FtY{&9#*Hp&Z**XI~HD%OMom3v^x-W5CkD5 zBvvV~&)Ua?uwIeSpT6fe4^hrH`qNvTXFfExeJF{`zJ%U)%Jm<#suZF7<3^gyS!es` z6@3`p>y6LQwNW8+e8XX}2dB@CtuAoIUH$q$?8z0mPjmgLTilP(H)iG%BShF@$WDk3 zl|055hA6%#pK*yHt=pMI37`Hf)9;Yx=6)+D=l2ZDq450k$^oYn7HhkhBE;-{n5)PJ zp@j;v40KuroC5M6v+e;2rFJ{piIk-7WBLE|N!{^QkMR-3vvy%5M>L-B#N0HNV(A%U z4QL?1HbChy>yE%tKTR-2^qn^8Kdky+R0*s0Vx?vawudIUvu@bY)4a4Cbx}H2UfTHV z!K%lsxZGDYpd88ZCm17WYRqinUqB%#kg5!_zhK>Ev^oq62cv=h;@y`k@mqN79N%z7 zEVt0wxdQvO>siLLV_zpXc)#PPNll@MdBz+Aevw!aKn{{)K`4jQjxbAz3>mf$(hph{ zORj{}okHix63CT&btc890{4uREctr)O7KLwUAEw?__u63+b!J@m)wo`_FMNUiq~T@ z0hhzz93%q8IY2Dk(WXl=Sig-ZBXRcChsu$b$mUF%{!<(qJY68^MnJQkyl`bC(wr=x%)vJ zM4ZHW4_I1r_7hISgZDCz5RLY*1CWaU%ZWU+%N6|Ql=+n07dw5;y!@T?gk^5kV0&gO zW@yOR-I6`|oEM0>C~kA#Ro!M~NHU4_6%e%M>>-T9gN2wUiAM75>qtf6Dk|9qFhrsS zfgguJDTob;wFN|4b9NJY;4}%Q8_`IK4Mi#n@tAr8$VGsrKu8+v2{4872_@v=5y9fj zaN-a@rZim`F)?c}`+IPJ!^`QxvpI6r-(`ZMEl02g8^SM&r(*{gXDsSqOamfg54$E^ z*OuP+1D5t}le&2SkA-^$)wG%><2p3t?4CJ3+0NT|=Xl1zkc&kaKU1GL1jR@pUBv<@ zWCQ+zD`*GE$YHP(=u5N1yAu@Pv;)iyB14JoP8T<2JlTIhS@(?5 z242HKet?1EcoSYCdKEDS$Oh;_HhGdi%Nb3DzE%apfyq>s0&olIV?!83aE+Le#B32f zMg`dbTc{*^@n<=r|3J)ASsH+z6$eLCK_J9*nOr;{`3VpbaCqn-KbB!nuEaZ??VE8?!(dN+K8ekx#HG_2lXtMIzOVA?9i!PLtTluCnz>~mR zgucv)TJi%xG>tV4UYGn%rkGEEwujUIWE|->x>DI^USJMA zwlYE8*y?E1>EMaF*_SG6@7$&~W2jOb$V02S*=FyksB0{ilnKRGovzl8;gNyT?lqD~ z;uTu>-ek&2-RA$(dJsAP-FUF}@R-IqM$ks+n=xaE8%k^*+yI?NLMc|uONt@~X%Ul!E4=N(?C30OOWwuPNj2QNU( zGFV~2GLj=m$VBLyG82g#JJ~p7C!mu+HUp-$!6y(e6008gY2~9rc!l69F@1^IiWnPY z1OI{rDz<$EmqYRs$9DcbKF8vHPTd7%pY;bWqj-)l^4sdyW zO#9$RJZbJM*3_C;Pin)b>Si)F;@_CZQEpt15dnC;g)H!Y_ZGy6UF=IpO-NNbSqT<) z2HgX}dbpFgrp3eiapqdd!*D&KeFLZ5Z` z)K34QIl-W_&KA+NP2%(jjJ{uz@W&4U-a31)Y5D8AuXA;qxz)4-u1O3?A(h;xoY)Bu zR&J~$vRZ*06vv5Bj-c&e$`Ba>Y+a92?gxheM9&?^(B+EXBoD-z8M9s4&E2|#OOvIqwEFzjrqV3x?=x~=qX&!#mGnj%DBkcS zdUIFnQ`MF;@#-1{mWy&xT#Kh+;TrVhr#xTdFmONMcG$m;-4Ls&s%#=!m`P5C8lFO1 z!%Q^5I7mhYOA@d|mdP@&5{-7TuOJo01FFc$5JMYu9IzJ-7C~$>SWkgX6o-$H1`h_9 z(L^Ij_EF@VM3n<7*0&uW+I!vmy5(boQp~I!PvpGy5>0xVQjB&UGcMuL#rw7Zy^AP0 z52?x_ckvlIpzC2jG{Dr{!XX3=&U{9U zfU;GQngUf1$x4D@Zy1Ku1*NTJ6X(4c2(J^45a7H@k3arJj3euo@zS(6^qBSgdg_g8 z?m_z=nb`+8D=g*c9`Wj@{ENM$N<4kmTeLCh%~0k1Iq&~B$^QRRY&&!h^qeMm0ccER z9R+NyIJf_*7J~VmxFO0`K%U3*>pcGJ$+OTc(5h|mjPt@e)#OHjHLc=rs3&|FC=w^K zfJ5=Suk;52+-sxJ8SVvUyiw_uXnaJcR&PvrQW==nT@mx&jMR7f?)dcLZ(kUC+B9Q;}RV^bR$4D30N z#si`xyF-Le2z^n^Lvl8xmBl-lZh#PWjOJov6 z#}O4vS~`#KsIR$7kwLAIW!XeX*F;k;6`Nq0`yRv(}Y!oK8&eB+yDxj$X)_jm(f3= zua&_kz$6O1_zN#UUtc|Q>)Nc?UzEnxj(g>^96r2#S;Z|&4Dcs}Be?QRbya;`=|}@? zaH+MkWRyaad6e8SZF1wag=G)lt8!lxfNqq}0fIjXjNK>JJTd0=W*H9*k$dF(wAvGnc802}uy3^=#pdtee4_Vws|5b7HiusQpo7D99 zH(&Sza>#wa6>IcM*oYcPf*26(%z@3)@Ndx`iiBW9upW~K?h-71U;u`JA^+e-*c<8b zwr=|{r;($;M+fvQ1gionK>ka%Yw%+?zu=4QF23D56SxdbWs!i)Qaii1Tb5+pHUe}8 zY%n*%%F5>kVH6Rp#e6~>5@T*%C=DwJl3~gbha}l2ka-dTugNRW0ZVi#B=;cL09m;J z7C!wm$OeH!C?9hI46Wu9wu`xgI3&xyh|CiWctIA28lFM7LG~(x_aWk$tZKj##nB<~ zoUkxk2_^b z3?0#N&>!lc6ktPSL4Zvp=M2FXK{IEL5smo&YB`Lj<@^(K7uJ&n!$$sQ^)8U$%#$!f z7)N8qSK|37+I=x9Z%05SIYe;45j_Ru9s*r~5R~d>KR$R}_V>2fXuNa5a(uCs% zxvHht9Gt&)Y-RK`Zg12!RyOyeKKFYKFiO|ljUUxF_v>He!To@A`!K~Se=z9;O`6p? zFtpH(w-|Uka&+|J+of9VMCnGWtp#Kxhq4eX)!6r^wsFhTzd62aopY7Grd|~|>;*Us~_OjDlch{^|6t_qnr|*0;_CfhIUr$Dj zG~U9l@!dS4dFk@VVFCN=?Gu$l?zl|jngvP}e%zCBd2{$(YuC5>->n^Y%6&JUF*;vc zd~B6}QzsTGzgTHFVfpyh#f?`tMYk@S4cFlB$ZkF0cYZu1?O9)Xs>SsJW1psn4%;o2YOs0@E22W6y~QjGEQoyZyfv-e?3x$eHN)Hs)I+h=(qu4NVEuW zNAbMsX7}A!xT9%wZU0fDsmIAQ>}I9iZilzM3#47OiVE(K+RZ(oQ2bh{Ok8pZCvGy_ z&CFBOHmE#AZFVc3Nvu;Y@%F2qR5Qh7Z(k5h zWZmgG;oKE)wpLVq!W)lacsw5@XynbW4JKbtr9U@)c48)ZUU}#Up7EBRu#)!V_a`Ou zf$>e5<&FU*?}!+)?UbCY)u}m|QYF#HI?+l#C(CJDd zzr_oWr;>A5!p<0PIhvh|9Z+*>xitLzCTV`paSFN_x7&v-n}Wj6Zz(zzcd1V)k6t=H zHIv*lo}0p=Q96xm=hIh@KK$*jCw5N`T;FoNl;Y!QrK0p@P|T_zPgz$Ut2X$Ni#IC_+?U{4-$|oA?s}%W>6grTShM^q51*N*;cH4gm4W);^L=jB zX;yDIu{T`bvma+~YSDS;pLkN40HRD@yy~Ug%)|K9Bgx(a>tE-5OcZs4im$x(LN>IGjh|Bd%2WTzGUuM8qEK$22c6XfHuwNSeYvQNZ0)S z+ugXNGRn01vqbkBS?8Ho?|h_HJ2)X0_NOa)hO!-cjV()+!^egkYz+n%e72Z&pho0S zrTz8}V~S=e&JSC=UUgY*ROpzxfizhaY7!{$kuJnbVTR8pH zCZp8|6E5jlE&ipv-c*CpDgSiM59QJ4a50QG=MFqwdL67N;;>vcv2+=!@8%(5{4|*~ z{wBz@xv#(H;$WeCh*Mkcl#61!jF(gQ^FBL!))eQs=J>k%(&g@gjd$<9cfYGhXH1}j z^Y1Tle^6}kQ?H&!-XKSe&925#emb)*XB~g(cc`0|N5tYQ5?D6*{o{_2EoIcl2~!!7 zW&QU|`a^~iN@;l-Jt1Yahbg-9K}EE@Z5I=#sg-Zul;w8?+LIO@ZsBg->l^Xq?oIb% zKMLY3My(tN7j{PV_OsoW-I}_8uX;IoB{1Bkg$}fbPlT^G7VdqoTRAy%1SAz&j5_?u zdaMB6fU4t*vG^^G?RuZ6JHA|>d{5q&O_t&I-M&?LrNz70)E(R3N$AQQ`S<0y#;F@e zxaI4~9R|t5A)JC+N0SfZl8lUBcW4b42%~<->3AxN=n#0(b|c*&buoZdZcv7K-=__6-?yIZ|xU1YVLl!qIctQX3>ORq(aDr zmvf)}J$^Oy&xdI)F16fI9t*ViGz}J6<<&a>n0I;7!nfV0aph7}d+W0LiV$a^EH3=( zdRntH{)yix7XBTseEXC1tLD}NA@FY5p(54f`H#90kCGmEya)d{^~nt^30c@m-B`K|JkIk@h=S&vKv{*$c3wz_Q!_PNela7s z&8$+YXj{Fl>v(*3EbjHXJ6T*mg{l%Z+2;2ZyVP!WN<-~i2kFy%Mrw=O<|B8*jNs+F zreTfyl{(7vz3$!!Y7EqP(mmZm`sC+qp`BDzk%x2UD7FcTBn~}|jrH;S-QbzNF=m)? z3xBcjZND~_L~S;t8az|)Q|s$K7iGYCx2;%EEO6g1|D>2jR`|HILwalZrI!*NCR#qn ztq;(H?yzo-_5EHvXFrOq1YduV2_zMHlA=2-PLJ$$9#fomlr!yE!8{G@e_tKtcbDc9 zYf|KSCnY}iwv$De2~JN!)+;yTW;Si5#E z6>IhS)HjgxT= zLD=wpb&;NS4)>NuJ1a>Z-G0^1xbE9-9n&@>kHzkF5n8t9H_y&mSL&ridtbYCaXLy~ z`*9#vUw3Rmr+qW0D)w0A*Tf*Me_}@OJCbO29r2`K_xp?bTQ40#9Vf~{)$RG>QJC2M fAfMFBMDdEm{65|GsXIw!ix*yQZr2(r8$kaDuwRdv delta 8031 zcmaKwX*`tg`}ePzu@oT{vR7s*J85i@QekGSL&%bmkTFCWvUSb3(t<2wt1J~`8H{~~ zEMq9Cgwhy$CWRV=G=$Qh-|zc>aKG;Rbze`;C)age$9bH`@&0_yGX?VaG6%sMf;d$fO_U|d&@6sj>O~*9kTyu7M zz;yfmMm7ZxIE`;yxtuw+P?-~zNBu_qzLMkU_1Jh!FKqrxWS+rocLQAATen`{$V6}V zMEc6t=btzhU7%ctUaZQHe(jZ&E1$9ZTqyq>skhH3!DBgNvox z!@spS>RS4Fs_8Wb`JbE4mw5P6_nh>aPM`C0jX<9C?{HNxNtyiBSy4i|>RgiEt|SSS zfE@3n{kQx4KA0OdMLF?Pi#o}?Ysf;`BS)NGWoE)Fp-8vorn9%bmrwN82)1;&bWcYT zmIAT?;oYC@KOd~0em3WwKWS>dGVtAVdb;gW=o7_}59kCU-BZw4_h-rc5zi<;>G&t* z>HI3ag2P>8FpqJ1kMF1DS3;OlK^y_~(9pET3#*oscQTSAh0{R&5z^5q%$M_lCaP1t zAlJ!kL*O%t=TA~b_|jYb{fbNc3!ILZKinUwzYRwsMm|%w7z{iLJ4j|WO6YOjzXh6) zTB}j#LzXfq2O$rvv0ktkRq8!RQ6}2}cwFf8pa#sX{P;Fd4K>%@eB6#&@-kiMXiW3NM~bnolGpZdeNgu$bRJW#1J}-C^?;o2FK--Wi+}HDDOp#HN=+jR9PXc^R7xbi zSx0&4!QQG-SAdThY%gGn%w7jBTj9e=VSSMu+;O2n(_ELF9|{)8a;JNZiHB@3vgPb-Re$tNuEA@QQ&fP#V3Lh0i zQ>P{`5VI+num-E18A+1jWOHUGIPj)#;Q6mcuQj*rI4P7V5b~JfEa2*hm4J?_Qu_g| z)TKsYT(nVT|5GgkH8o|eyA1vL+ruwQ8q~E0=})vU)GFLu&u8(HAV;;?BjINYHIv zhZROnFpmG=w|UEY@4>5#5@ciOPlE*-j0@d#EM~f>!w2r`BxVm07l=0K4$o{DL6aH? zsj#N*{4^iQI16!=DOPws_{wjvp}pjq&Ap{YPI_-svC}BgG&l-{caI73G9h-=E^XKIg)989j_7nd%{5ouGhQ zf7FDFECp{i?P?3Y8lb*ITGn>gnMqmI9nuBOrLNisJnqG|Y}X5lYoouqf1xf>N8!SU z(V+vE6s-5sJ!N!8cI&?Q*c$;L45QPiAh$O-h*u-2GMJ6rf;pvSIQ-O99T;x5l& zizhLLFW!Dn&VKIb6*@p2G|sHhuRH~ar?IyKohY6?Nd=3)I7K|lNXo~!TP_X18$GII z6Wb9vc9HQT_(*#Jkd*2qK~jMekFr8lkw=z{_qgAAR~RI))Xr_9OjS1+WoQKn5)B#4 zS(14IA!s@8C*8B)NkG_&cafwAC+=e%|3`$VVja0xx73;PHDJ&$gv2TXMh{u?yI55*rANTf4jT=beS}?fD%x*QpAeaQlNR=^&-rcH;SA5c72San zqlCw6B6X3?fV<4=Z4p9)x`pPq!V!J6y9?$7Pc;>d(!=^7Px3n{JIK+iElzPv)V==A zwkBBlA*wo1L1ueF7-V(^Fo4DflDZK@F&2?L48`f8JjFuNC=7u?du%KaoX$Q7$fI~d zBzHJnm$gQ2l;twgMYb=TIv?{kedv&EzcZXwH0ZXu^fU4L!g$qT8G{H4v%PI9RFObK zsDRCi++Y+5(`l+xLc0QDlL9UI33r%iR=6a)O zJE~&Paog@p4V?m#t?+`RNvxX1H6xZKrz1MMAyA(5jg}CR5jx;wZ)fGzWv;0iYbw5Q z6@4Z$3*u^ywS$aX$ZlWV~u0ggv_*AqvR+>E^YIRUYO1u%141~hYA<^wd+MK zLsNMQiAUG-gWtx{9)9iPIE-DBF_RMsb&=|b0vSm?1M^b{<;`7=W#m@( z$5k)_53_J6I*^EJpJM_~Rd*s&Gb%vX)%o7sz4uYdF{&3tAoWk2l& z(lGd)EC6K#=%iAZ!oQrcyP;dU12D;KIFN?o1(LK8NL?12ye7oeL)k!dGAK;omj~7n zgj%apH-N`hcsbHHN3}06S0b17I-;6?eyR0zA}Lq#f(#^Od1ME;<7(hdXS`1OjV)p@EXx?^GCmgBB(&zNgOPC2Y zj5e;>aIe^_3wU)WcN|&YePdR#SZ$J4TXZ_U668dP^6IE8HiWLxnpNXN;; zJ8>A)m_P^-M90-tU;IF`%I>bB8+i_kJdLk6(%dod{cc5DcgE%<+4k;IZLhagLL1Dn zGkf2C)uJ+mzO=yUnyh7V;|}gFRFH608bwR6!5(`II;%lV0JKur;y@FIcYzcJr>nD+ zR6Cj$udmug{)(p=>YZGCCsX{xgOH2LVkBL;ZU>Ie=58`F_f2h8zq>_)D65TZtjv9p zKC$av?}n?EnEH^`jd5Z3_08NDn3#we94g*wVhI-KQgvi6gWrMY`hisB(8iY?R-S?KEUXI6V|*xgI`LZH`XkJT}4pLferD<9;q zdj~4Ze!2%tV|X}{C%j*ttAU#PR~THjgyA4H4CqN?e+Qb-JOU{JK^JC;lN$l<0o2@% zssf6ZK!X$Z7ziO8qGAB8H1;wuf-aR|1(A8;RTY#9paG2q1qabs7pO-Xc7Jp$VPzho z-^uaYtlh)Wl`p%yH-l(#zYqwM^;RayBk0>%7Mr5ya!0<3DC#-<$VHgIp2zCH=#JXl z#F6&{J~QWKKNSIG=u&N#BH2wCr->R952*(!93fXvYy@Ofg^C26)7ZIy1R8Hcl0p!b zSunDjAP#{VlUTS*aTapbDr~n|PoDb-Gr2{(vng~rlXj6SdMeN9(eHyo^kO&rGdxrI zIcN8gc3((lCi^pBhr!E|5ODq;mLAz{7cLZKx_u#^k_mOS!uCR%_fgM4q`^$~5}=L2 zOOgcP{9P<{vfEDFb(E>-LNGecH+UQ^1NQ6QT6)Nsm^2xDl&uw{*k3XbvgEwQg3$AX z?)@q;ySYKA?(I6!=s503>&;l0`}~JO+BRmKWOnHJ-j72edSU+|qB7%niewK|r+~5{ zzVMXd2Yql7TM7LQ?xU^(FSFR4z+*Jtm=pl#Z$AN|Y(#YmDaVC>nPVLx*88cw05g@% z26kh37*ZtyDa(SB*F?C-P&OhuPbo~nU+1yAA=dk-5a1>pX~fz~UX$czrQ@p!Gg%Us zR+teUg!^07?mT&7^mpc3{5?}?M&p}ppwQlf)KCy`gCqCiN+^xM)lBwI(kHT<;*&JW zb>UYCovQH8y zx1l>SokUrKWI3UQ1WF@xlj7rm5E^ey3PteMS$1SOVO(>1{saFZndMj!Rx(JIgW;~s z@E)&-L#yhgy4_PlE<0{?wDT4}aN6x=WRC8}1)7%^(Oge=6mN0CcCvm-{V&?Wa698* zL7BsU&lB5y@Tl0EmA!DJAj^ro2IYpKY_{vrC~L5o1JnSZD2-hVC}Z$3q*XW{#wsH} zg5VCKVz(_spt`|rIti5Hz}G|6hY-IEb~G@L=4k_AC|)ed0FFGy${|O|b8S%F5S<8g z+=x<>aa~I8nY1Chp)=3?tr8eMrW@WUcV=LF)@ zEeHEz;+Gq_f-xgYFg@ckLKk2iAxFt^Ls6PyRppdC;F>k|1B{?TO$M~G*jKh((z}KF z@OcBJmz+Oa9a`Yr-mONhJUkB@Y_zjzfU+KuqqcEvQ8b~d+m!E+1`M_gR<22H0wKX! zY&T%WiYHEDlHH_mj;JxwkQNFDHh2PC4;|H@@*(<}Y)!zx3a>)4ffH3uD5HY5RTWZ# zp$%@>YzSdLbq1(NWlsU_7@iwR9YH?}p*WRfhqs1Gv82duGEbU_{HSa4tWtJH4YY1B zytTP`+!e{m;7nroZ&lx+XuTX5 zA`eU9B2c-)AxV^Q*dPWw4b4%dZdPSim~Le)5QV|Zz~qE6ycpPz-H89g>_4H{3LBoo z3Ihso>iF0E#>V#LQWSuF-^we&YNG4#Ql^&zuE#qEfZxMu%d zZO>e7+{^QqbxHI-oZ*Yx%dCNlt8~w4+`@q!obb7x^zd}HARtrh^h&JhndX6a5mU#! z1CMR$M!I|!cdCZ%k~6S8IP7dO*hRANLJZLfMtSp!B7Q*8i!GFuF&x5E37_z0pNi$)#>a4qQ~_2c98?4>Qt zZyMSF$KSMm`&Fam#)fn1e5nsp;e;_w6%TnF5j7?ll1$+Mt~S^RsL5d}h=e$&vtt1X zE4&mb15Pw!Jtw=#;v!L|0$b1rTy3#g^6!3GVMq3+*lANcfxHxU4S>P$LP`E``VQ8F z>X~of{LKjIQ|KB`r}xEG{G*=3@re_(tG{}0yP0~37>I7!ZmD@ALd!lCk3BeVl&Qo5 z7TuvXd?Uc~j10)){NCKClKA5Z*%4coGC9FJP+#^_AONPZn}IM4k4UPABPCc0k81d@~w0YlK|I2%1JB_|{SyfkA=r)#CmB)?Sq_Bicwh@)SIO*o;2FgN zcFC%=7;-=OTjky}V$pIbX84FHeq8{Ncu;jc47VBq#lc)Dy1G?z01uF zgeBOW>A0@!e)-*|!;3dP7CiNzG&Aqh418~e0dG~P^}zWo_9(D~=3NFVQFv!k2b{0P zswF>C#+^j9Ko`gqX~6X~RvTubO^t&{XRt+qUKD;msSwUTz)B;#Dd1kGi>yp6n3AwVgOy#p9=b@^+;J4NcEB|O$h9qgz~)exrN_{rbK zKGiqy15n5Cyh-Z_-&P;LaS+9Wkk;Y!16x?%$?ZuOS*ih>-NbNWs4-ZG3%Vn%#+Wrs zZj|SSqiBLvNtExvS9R)Hh<*mU1sK5KO@Z}*7gNPy-fzHVZKrzZ;JiwFQ~D<9ZK;Nn zTTVUw&)z4oe-Tu9$eI=Y6tFJX>38e>fDPb+!RwJU;Y39il02+{n@JbJ57yT&hs7=b z$)YgSNa^vC=LJLJC>+7B0iLn3V?ysfG&V5v+k&1lvY1*o8&9td|9kcGloBp5cn%B7 zKs-{gCl9X^B87o#G$cobItDD0+0OufG~SNXf*?wtxQv>E zhE!2{gbf|A>%i5)>E>vI9C9rC=_y+k|bz&7JCOat4&PA^BfZt^!>a8=>V_&8~2cXJshub80RwF?a@UM>%_ag|en>YIl( z`ITZxP3HU}q$4#WhV9z_wn z^?n^_cmIYi?O2wU3qBz*^FD+2ORVt68NN~8(~&B=>~t-`v+F*wny8qj?!M^lvzos~ zD2q59G%Gpx%Jq&4p6+!qP|0;&^43&^*5uT=7|<`mZ1c^WA9*o;^;gu`M<;dp(^k26 zX_kd;H>>+Kk$b6k0Hsv62+)Pvtp7u_gz$-%KLE@*nceX3Q9F3|*fOe)l4V|Fch@b8~u7?i{CE$v5y~kg!}oBx&-W z-7UrZyD#vsca~g~%eAOGjR3X6B|^Dz7d+H)J$>1e~V!j_{M-IH2;SDbGI{o9Mu8GblHRxjfKaqGgM?Ln%>EW z?HhfnCFflCjd_opS$}Q4aVc4Ob}_bWb&`;L)YSa(-^rrCtC3A{DbEUY=#&=}U4-wg z{(O&HdPPQF<%t~IN513c%PD0S+cxL8cKVpK?E9p@n#x7y1S#4?Nsv<=IwCR0S@qL# z5oBM2B_aa+yMuzb>S)NcQX?r&C^p2yGgAACZVI^JI^=v4S^<368r4{rhzzI?1M z4L83vm@gQspIaJbt&+V#XDsFzI&8kZm_!dK9w32Ju^O3k`U4yb;{*%+OG&)DHA}Oe zU}mmH;IT^cO!Qm)m)w;Rp5BOceiYcDS`WnI>izr9+#Ws z*Lj#(_&dE8>>9Q$b^Wu(x12toT+GB?U3T^XrU&{Wgq^QeVBo*_bBZNvuEbD5P7{OGQ{~rr{3s48&z|$35_Cp)5~*To-rKB-NaOI z`1Mkh$xMX@A9-bQG~A2tmNGqTYq?lQPxBqNB}x~bwKx@AM_;N;u$&onFbN_mtuA$p zUV5wEJ7eVK70RD+soJ^rq_;#~Rb5``M&+8^Z=a7dD-ZvUT6Yl~21FJ2pEjyA(H@sc zS2_2x-^BDFeHXiAy;NE6iVEKvSC~ErDw}ovEtgZ~)6RF-5~}Or`8(XiBX1^TQVnlU$nIWvr6E)t6)+uIy*diC_JFvXl*_);y35o>V-zx`G$f^KYuz5oK>Lw1ar* z^25h{Kd&OA1&G(^%*aoxY3=S0gTRDgt5+zCseJV-ard9kTIFfiS*j#`XB$UR@89-$ zO@+A)$c}V7T#*pRn*8yzM%FFPEEU3Nmw#aCmr+vLngI)X+(dv4#3F z^`)<8%<^rb!`ct}PpB@PL{ue5%)QMhaDrMyX#062_4uj~TF=V1ln}3Wz5&0^>bnh# zIh}j9VLF#dztxJzzjWs)t~b~wZWJpVn?8J8W_fX{HPx7H!hFPiXcjE$pXRbGdBH)_ zim2j`j3!g}O?K$qloXbj>DZMC^@6~nA`>u(@f&PCvQJsrz z(1P$w-cQ}+!OMz;OoQY}`90v*1-_{JWSLG+na#I&dOTlm_v3EkALE~E*|;a&dL?b! z^e!_e;`?Hdyk+l)oo8tNsxO?AlH|Havd!{dm%4W&Ggd|pR9vYm@4Gy5>29`9SJU(F z8SiTe64H+%auvD;q+QFLUwxz%M7wC@d@y!vDGfR7 zXx!>AktY*>&YY8VcJ0cD_&M`~a|3SkhD;-4w$+1*42O-L%=gvm1s+4Pe|qVx;V+GC za%*b%mzN?9>|5|*mpiL3J0)q(!&XWYd1!lP(@?>c*=MxyviZoKK*cfo6^#ue@Tqg{ zndb6H#tbt4J^sGhc$@QQ6yit{&EjQ~f<+{_o3Zc`nd4CLvHN|E+%xjY-7ZJIa*bq5 wUxLd#tuhaOa7X+JhwbG%;TbqG=Y6Gmfqz}n40e3z$`v3p{ diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index 4e2bc72..bdb1a86 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Mon Sep 02 01:36:55 2019 +Creation_Time = Mon Sep 02 20:55:35 2019 diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index a6ac49c..c620b16 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,3 +1,3 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567402890980 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567402890996 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402891543 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:41:31 2019 " "Processing ended: Mon Sep 02 01:41:31 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402891543 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402891543 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402891543 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567402891543 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567472153965 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567472153981 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567472154481 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 20:55:54 2019 " "Processing ended: Mon Sep 02 20:55:54 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567472154481 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567472154481 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567472154481 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567472154481 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 8f44a73..e83cf75 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -3,7 +3,7 @@ C7M => CASr.CLK C7M => RASr.CLK C7M => ASel.CLK C7M => RAMSELreg.CLK -C7M => IOBank0.CLK +C7M => FullIOEN.CLK C7M => Bank[0].CLK C7M => Bank[1].CLK C7M => Bank[2].CLK diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 58250f96d8d633e906f5f8c1d820d66a0939451a..569828609abb141c98fd46e08b1f91221887e731 100755 GIT binary patch delta 2272 zcmV<62p{*`5#temtPcSI00000c%1E8TXWmE6@KST|AS`srG2m$cM^|`MceGklqyR0 zx-T4DV@(vvt4rEUcK>_9izO%$y|SH4(|Y0z55NQ96Atj5%kUp-u~@B_oiy!WbRs9K z;My#flliOz@hLckoj2>rRCf?Y9l(Bu=w}2V?&N0iVWLcbXCTzO4(#NA@7x&KN%Ump zMh2J%gi#js&(F92v+B=D=hsE~=$Q{@iUQF5yB_9ORsZ#6BY@!Kq?4~4yiRW-7h>_z zEw{Q`c1}*pH_1#N?5{^$Uv_)V>xuD)?7#S_V`i(#>SHjye_yEidbTnP*STEH7vil6 zwEX>I7!BiptJA;2zn!X?J<#)0M~S5=CbOlPE!`e`Fy#dE^+K7#PNv46`gEmj0H_cE zA{$9X5nxJiLbyO2F@q)IEC}5M>!n!~_hKR58#k`=DTv3TesLBLhquMqWt?Vtxux6A zV4M$w^djpIlSb>!`20K>=GC_QFDlJuV15>Nll}RBs_xwxYt4gmZnb-ZtKuf@jxGZd z3}UxQ$tW2XMc!@!%1)tA2Of8|UsQ?CW%s^GYYaj(cObb~etF z?jw5nk$Qvva8!&3-LkKe&-z(bg1t^hw=Ltk$#r^`6zB0(+Pe*|;$K4mK;H7hJOet_ zY#jH0{#>!>CD}!}r_rI_QaS67ii>!Z6oYYoS-gqQekqN4px2u@8x=_wta|q5*R{ zu1;NlU=5L0TR|}dI3fr`$+=>jS%VCAW)N?ub19|}gG!%SMPUd838`>;7665<4jBq1 z1{%X11}Fz8s;n`>-G7UOWI$ppj>y1+shLda;SG(pCM5y7D)m~g@wz@#$ed!ZKd zVrhO`o0&2}#p!yN*_)qunAy=Dv!f?4`@`;)%pQeIONkH_&Ws_Z%nElB2r&`j04sf% z*|)42Jwk`WWH3zfBpbywb!NFE;Dcng&$Ds2O|PFNMVbx9qaw{qvdc$tHVSTklQ#aU zNHQHHy);W&nb~n*dX@guF~=Zr2x*JjFu6!`*O_#SxFn-)>xBKmsGjh=KYV1;5@1P3 zXZgla!8R)TDm?roS5P9oxvhQaVUYRgwwDCwaej!QD{#An+8o7VF}rIS@FN5fpA-MW zEZ5UjSW|c1V19%84dOSj-#~tU1Nx1|ZD_F)BJ^bD$<&jHCu2`Wo(w(Nn_pJ*!&+vZ zOg))+GWKNT$*`8yWaf2N3!y{q?WqpSog_7H7~Vk2Ikpf(=MY&KF{Bwl5w=oN0WmI} z&+=|!Yj&q9WT6XY9dT<}jj}3=m~o6KD3UsmAMeF-X>FxVkOq-O1ZzxKLTE!otY**% z1O+gX+h97Mzbh%W_8fpig*l-dVdoA(D76i(w9vT`Wi+eYVB`LFy&BUNL&zgTEY!*% z8ENaHZYzZq#+qZ?NV6q}T?pQDA^1oa^03pDll}!Af3>=|&sU=jZ<^2c-(8F z#v}Z|*NexWs2Ga-OAsb z2Me{F$+e4K>nI-!Wfcx3W5%gd8F822GdC}HX+CvP{xPhxo%1mBkUi!h$1;xxw<=2j z!70|xe+FTwID$xH1#n2TYa=RKg4f3b*UW`#4Y{<%c1K>+y>!r-xj6{S$qZ~Q{?ZMl zdmBpsZ#J~Kt)XSfBdj@Ye)EEZ}cPw^CJWZpPxpxJwQIs zZ%%>Z`JEH-LY{wGn0XA%G%@kvBN*--!SGm)d5E>EBd9_pNF)hhiogg0V0eTjmLWu( zqwqNd(@h5M?ICXY-m!`oN_dfk7f5(?Q21vb97N&Gt%*bsiLu)S8;US45~?*I2HY~1JF$Zx!y-**Te?Jzt7?Vex<65PQA zcR;}%RB#6t+`$ERfWh7Mc7VYhV67EH?#a=Y%6$FQ7x}p_@|WI8kbNA`2LgR0(1!wj zEYJr7eKc@q9Ul$!(X^F3xmTf#Jo!bXe^9>`ilE;BZ52}=2lRnJ9|`oKKpzYA!9X7k z+*!v*1AR2FHQWEHnk@wTUvz-is_kpl_61ejuUDx38pYYyg6z`^vX9r6;DN8ij{Z#S zOI4TJa-7%dl3!hF4zt=8En90&$dh49Eed%u^yDA5Mg{jji-E^}7xTqx8?E{Je{+f( zuL;g`5*#nD@Un{M7UMh@;^#GfTH_b&yv6;T#!qSdjK)uB{CvhwXZ&o&PiFjF=2?Zp z`j#BNO#7kcCeobF-%eC9zb`+YeuxX5M&Sac{zaBnL6iIY&ezE>?e#A-Pr@ u9_pOYMj`8G2bB;7)t22&%t9>GUHQ59r{MQT?O1-+ICb){>c2I{$sZMG_Q=ZrRQ zH!{FHAdIr0e{r$HU z+;XeCW$Wy$c#_QY(f(@0%~hvYKb{zW$l-&ZT4uJItUd&n!)O>^yY_N5Ux+s*(DKi! z@BC-*_j5IWvqySFCMuub7q8y>7{udIKX)4WFlqNM)9$ESz4|Aos>IUdOEX)# zeR^+-mFDY(GP#{hP4H$BEyTO?_kmO)fdo;7A(56z3uFXoWTmB+X$*qMjhxI@W-$}f z-NNq0@@}zS+{-WGv_Br@z3z2)RBn2OD#`leVLQoxv#WU6O)tGChj^?0{y~5DGVKqO z{3aQ8d;QDpitT=yjfUg)C@W{(JTANA)IsU@2Q?lF0N5&|{q(33qM+QeyNPk8Qg?;1 zJ_di5eeZ-?%-ufzu{JYhg3^L|XUFONyIjrJ#ReTWCOj}E+!(X8&}7zRBX8>Sm9_z( zLI8+=Y$O#$fGNQV;R12Q43>zqAaoO~mu8XQ3uj#8#%+#BJ01>i^Y&HTO|$KOmz}{l z8*a9_(t0(%xJZW8&iW5Z&3a(gjyuWWd}a6kjFslmId|H_ojm ze>lp=gHF*`%I=h<1=ySJ=(b^8C%Ngm>v0iZcYC+Nb^KfCj%wEMVx9q=YC4X4f32AJ zlJv6J)96@lp`7+d`DHvx^1(Q}%3sCpUkhU%>GfuIHz!Gh>^dHfnl>bU<&5bre!Dk+ zEap3|<#serlh?)F&I+5l`_oo&mA>?43=uT2+m-D zgFkTni|Suk|AOjQP3P+EMtqx_StX0@1fNPORMWMtZm64Gy}MWQ*>ZL6`U7hmg=#A( zh5$zdVJJCQj5BMH!Ojfg&2%os6kNBe-41pja6;96rps>{;L!rb#W4Olv#Q;T> zHAcAmZ;_A;NK7cUOh~B+lB61-jhbsy>O-If<06t$Qw}s^VaQD=9N*gzha3cAy4oy| zFINk(n*6&Qhz-<|AYg%DCW;~fDWaAbYa!88R@@}C&X;Rhtwe|jv1AZLP#^(+Fr~y= zDwLvbJVEuVymQP{L35C>i4@1e$`B*KF=2=sY9)Y>GFA_8TPtSO?()z&RfDauTp4ba zDe!=ZP^zgGG|;x#oKhE92tx=N4V5Oym@pzZv;-4QI0KlR%Ix(k9%puR!0hM|%)Z&Z zg4v^xX(7*!Kq^oI{jS^zBQsGV&c6>OrSufoHRas>s_*SD1~Jq|K|8{PJj;3CeB zF?0!TpHSD*PRD~>b!K@>0Ez40>MG-TO5d}q32lB(c zST3zCwF%N7vWQ@fNeFF-h}8@lfuI0JavMzN^S1@XR-OZJs4yp#BkbHE2&J~6%W$A` zBg$x2y20B0?Rzz*EryUshFGYTK{C?TMcq~kD~vU#xRH8Gj=K;FeBeUxi7sTb)1{M6 z1s#7iy0_0G7TP_)dC! zCq2HC--u6Z?!$q+&*$q^Ex*~x-<$_?wVcVdi(acJ9}8s_4kcs8sZ$wom)|otFL!A^ zby5B)th1Z*IP;JL<{_stj|aCbO8~(s*3N$hVW>EQNMi+XNVIDsDjR~=hXdEtg=#gq zG{tr&Uetqh(3!bD2+PR~>@5D=4W$PgO8;**w7#u{$aW&#iDW0@orrcK+=)BugjE5eZ6){w8*hS!;|69224<(Gdz)aSu&&EMIWUlfRx2)!Sou*5Qih;tM^gpN^rve+oFBP_z3fuE5Y|;JyUWJYO zyc_wA=kxm>!J|EfN1)jg>_LKinBX2LxQ7bv!Ge3Z;2tozzuq1&xCgAUV#qx?`cj#% zpZX#{_eK8FdkM0S1NuOqj|BQqppOOmV4#l%?ycjafj*k1k|*~nl#wSts}z6g*Fq8W z8=$FT>f?Yu5a=U;J{0IyEZIr24`PhLkdQEw$S6f6B-wYyjF5>5 zWoPWe*o}3@7&G(ndcVKd=P&sF__@z@-RHWV*K@hgc|Oj0oacStrw<)ERLp<);2wM+ z*AKL{M^La=fWM5Ix{9ibn#}bOFW*NpSJkh|sA>V#t^%*Bs;SFZc?5-exqHamb#?cV zQIoMUm9g<~lQDke_4Ht*9{R|^G=~liDgQ?uS~~h)?XLP?9d>xdk$+g_!2@=WTGwx^ ztilnVQ|Z69Ghe2TrW+)_#bBSFK4N~qMM|AZQv>4Veb4Pn-WNygHBn;4^**WQt3uhP z*yqQOeI2`Yw?1~Aj;r+SazfB1D(NsBW1ge)9iGjYr*)6|;1R_z+REDi_Q1h)M^RC+ z7Dgo1&7!C-9v{1D&B+r$&{WVB>5XT|G9|ZxiMp@cYJUqa2ig{vxVH59*ekY*37i&8~!$(+4g0uMLTCzL%uvQFdAeG!4OvB_yhd zSjM}A%4T$mfNoI6{I$KnDtT|QhaS>P-^|S?JoD`8p*#IG@(cRn?75Nf6G34PdqEY3 ztF7bE-5*DO+#_%y1Vt4%XTIC#2{y=sZuB$$;h&LIeh&T~%`+q`^$l={NgRqwyu zS&ylQFX<9yZ%+M)fWCFnU-_k97Q$#hR6q?*nkr51OHm%lI?jBr5h$ba2of6Bz+39J zP34I=yZnCE)|+9lYEjN?;{7!PF0a8(bbN`N!M%pm)=HHMI6q2dJ$fs$bBDf|?@#oI zOw+BOs|tLKOPM>2PCeftJ1GQ{niy^bSS+{NeGOX)JXx?o`yAC#^%Xoe=8b8Ku@*1C z{Qe6$&SN<>+L#E#C53j|(>>twvR9QK2I%xCi07yuHu+m2c(Pj)zct9SIahGRxd4Siqz z9?hlzI7(Y!t`t zj^o&*s~eQ=0Uech7c{3rA3BGv!9fbYjJJO0+~)sX={9ojewOrYBZ&`g#_hAOyin! z!1{GTke^1)r#o6Tl&<*+qAaxi$Mjyb9LXtjT=)9;L84Xu=Q(Tb*N|RwCJB0zUUjKo>}s0RE4DTbRddKHepAuIa!6j4oJH^r^M< z@&_A5-8D>d+Qb=qKj{bUpTSq^pS{U@s`}#X@`9~4H`o<& zB!W6=FJCSUr!)nx$GT+&bZo@9H%Ol^{+bb6p7kN{)~NN}3WJ|l$McD_zObQX`I@p_ z1%wCUn@59Gp94&elaa(9e%aDOM5O=Ook_m!P=2N3u&VakHS-Vib)2nX=QgqnSNpia zYib+pY+o(EG2=2A<{Er%wfAoqhkVB4$-TjbPi7sg*yRbCgXa4z%_YFv$<{AyM(z5 z;O;s39JLb##;o^58?d{W1&O@uz>d!~>fU;dXYBtSeCq3e-63OpJ>#FAkbs-J<`}d3 zzWM@UFJN;$E-8YCSUqs^G`MKAuX#amH2F4i_rKM9pRj#eUWQ)#aAxhJ1H<9h~R3csy77 z>9}#q?x)a=ZLASj0v!CK6SBe^=>=OCL`Z?IIktRQs5-QfZT1Xn9P)F1{8p*g#98X~ zpYOcW2DfUSvQG;(qhOV1?N^{|U$@9W2l$YdT-4?#JvfV+`}N1y5ZKkEEsI9)!&CAb z(X{~vwhs&+iQ9XNXJ=`C1Y!Ep=JUS-bVR>@z0e`N^=vz&8q>1pAQ!J(eEGC_9IInd_!E(OO`9*Zpjf7dSV zV?`lflhss#fXnEI0Sk{x4Oc(>&P?m&lCbsCcHFp8W;W%pK0vo#N6PQ>73Zx9{HH4bXk(efV(vv>d#Trjg2$#iO$xLfiD$q-y&_r4`QlTy7@{ty^r@r?q6N{*!EIG!u|{UcX$cM<=?* z{6X4DImdt^BMbq^8*!{G*~m{V#^z#i)4L$5Z`)>9e%|t5>--r(AFV>Ajm1IViGcJ= zWZ7&lg)T~FmBB9mYcB`VAXJoQ!hq#sT*k1$f_YtLA8g^W>?t!#8eG_>NOh# z6qLqBcdph`X^n{3(F}{}(VHJCt}(tq?}%%xKaCWR4ruv)d;Feq+NjCNL7)v|^LK+a zKJVgGG^}K7+3HzVNv*Mq-t91c&`NULl+)Og$84}rj$^6h&%+YEHYZ1(jCPIUt|Ip< zLf2?r<1m0oz?q58igP@$qb1LjJ{nB5By6uwpc`AGm;9xUh57F-ENV zDe(<$RJ%d|I30_&2Fc`(=Fdg*sA;QQjbw~bbCT%n+DJqVy2wDeq2=vqz&WcoRLH}; z^3a6>dNX`5yt%VF^r5y3L7;c*WPBm{*!a5r&)MK|+BE2dlJz3))@Xrn>xFY2VOs`v zUwq81dHX^$_?aC+I{LA-N?UUlmLR^{BHhin65HJ~QXjv3;Q@TDuj!7J8+XZ4PjCQN z9Ue9O7*alxf5iT+-C#yO+qXUH*i(|d+Y;!EK*Q1F+uRAQi<8hL(e;O&i&@i$E{QLQ zNE_FeC7WEc^(x4>uSrr_X)uO;iS)mLq&Es^*z69hfYXML6v21zN$o7`fO!DZuQjG){8tARcL@UtA%88=IE-~(nJ+10R1Z@$2cjknYwGoUoy17khEWQaTNa|3!YJC2?+SL$vau$O>C`an9Fk5uC4(h%Z0?gH?)nP1CKflvbPSQx~dQNK^{6P@NP=AqPPi_4)!mB2%sKgXL%4D0 zM!zIC?@Ni@ZaYY#c;I)TQm}@2{LWD!eBRjLPQT_Zc2^;GGCBWMV$`qNnEm8NqrX~^ z7k*OFh_{>)FofGh;K{kvC8ai9(s9Bh~d#{MtR*+mfikIxV|aD zJNCc~+dYAgD74>NE+jZl`fyf2ir*3%OTM@V2WKK5{l>D7A`&9(M)TRK;R*Z4Z1>7R z8Qb%ndb2#1;TK*zC%1Zk&avJGcD@+SC9r&R@y0196YWgb8QTBM^bS3VwS`ns zT3xjNHr`ri1P({Q9Oy@L5c$g`hRK5i3wD=Tr3cSc=_a|k=9RVA>MZ%%-1SXmc7yBr z5#xUQAAcxfg@*6BL8&>WTW?+1x-zg5wJIP3$Uno*bNQU+p`M#n%|2W!Z3<9T5w8t$O-MkMKnI0c5_`Wm zgBo>#vsVOEI!I-By!Nk=}3>e6rp zo?zPUgjb4z!|=ByqK{EZ6Rr3+A7}kWX|?s#6)0U`o+Gf-1 z+4Y6ln3315MhnC){F<<&*ow?ad~Hd~z@X8#+ui$R^~Zs2$K>U;UKA?Bz83HX`|XEB z()*{Qq>05l0lDO;$W!!v-VA0?sIz0qHhrBcJ|)Rl{nRSh6c!QIbZ+KiN$-9b!iv1! za?8lsNf^y`D+OYPp3IC&H$mkx^-EarW2h$hbJ4+6$c-m6vbO;^$YMU_J^-med5U8O z<%|s&WsEb%TgdFsifHh2F@HFK;8n*ndE^Pkfu2Ut9N#Dqf$rbo>fzj|-L9#lto)S+ zNAjT;i`j@ztLoE&T=p|@8wuxg{lez*{$`9dloB2ToCU{tKR5${ZPKjV9>|scTvpsk zD32U`TOSXEzn6nXr(FWmht;)Er`?!_3Q=KpXC zM4=d19ZVz)T;BTku|6a05^%nG(4X7MBDN_>6_1V1ZGxGfjEbA9A@do?k3gN zpbqt7^f-?X02ebj1Mi0Rf@n$c1P^<1FUvEbzphOW<%Sv=xn;2Cc$xmYMAF~9y$J}D z9(F~guWWR2W$!FpuO}n}FfYrbs_22g#}O}rp49o;PR<{q*L-ob4YEqo69+9$b{wwV zt_zNtX0?!SuKdO4+?En8sx1ym0eK1PynZM|&y4IrgLX1g-E+ z{~6(BrbHPbs8L&o%K=lcb z;pO~zx}zArq@gO5;wI)ZY)6oi-FgcWH7ll=FZ z`qkJPDg*xOd2_hQvmN$87h@$G_lHlknOt`Gc4t%_l3Xv~~;`wMVvZ z^UZ7dRssvdXIBO`mFmm>sku zhxgS^>;oLEW6%!$4lL!zI(6>)+d2<9yCYPcws+TTBNJQEOo_dWBH-jL1Iao^T|jx% z=0;1n!@WeNE;Ns62CfYULp{%QMoOyA<}*W*Va1MH?7SS}@iB5Qyqr8E#TBJ@$qa5z1I4<{>pfdp5D;ko~^P%-go{9zjG5^8%oBalUNa6tvkA z+BZZj^OfeAl|yf_Q!(NIc;S!#RYDe96+A2P@1csbbJvHzCwjKCGQJq}NW&T4+$iiR zf}8=Ld;l8j@GwReCQb4V8b9OW>(oSd|{&68N^4~>=HiIxS|B{<7Oa^>y)_%9o5;=3XqI9OcFNwk*?J>L`Vpg`D?a80Ab-GBu39HW7B&05n^3OfY`Os z0s=v%uS-W73`-jp9H~7AN(S!CQC2Kv;dq6Htk!aasx_5Z*`8#>P5HTa{e+EzgE8h& z62k~{y1ou!%Pc0BDt|;`FvdRHljb@iCB$=nkbKbsUs|ab-*}Q{3R-Hu6Go}EnXU)z zELCO_X0r9wv(Et=VeFKRea)#a20{Ax!=Yi+%j9sK><)f{B%vgB>YMBP%?1Tp(0(BH zIm@EZ>CFL}RMqlK+fo)nQb)bDyCHI|J&Ac0X`+&^R9$kz*k8Nv2+%I_FdJKPzz0O~FX?4jla@R}DqbAGDEblj z{e!sEH$qVioR{(kI1?!%|i= znTF0Lh!v+Ng6%OVE2_j07U^3n4j>gjPYdmr0Ng<0-8*&S+DX^Mcxd-nN ze1_?|jHv#DOE>~Mx2x!An28z1m(xB75u%7TQ_!RlCE7(pnx~{qn*0w&PlU&6287#_+pTpr2J~;c zpW|7MamV(j6T_}dUv$z?NyaS4hW)K`z^=0s@k#KI^G+v^tSL9YSrZilHjI^ry%jRS zgLybL)@}UMtxz8Dc8a~r@Et!+fGkQl}$W|7bVrb*;9$Y_!6Zt_Eo?Y%_YRh+(fK!eG6 zpV-~LI*0v0{gt`POiA0T`9VY1;FCVS0N#RH>X(hkn0gXsBkJM|HThXdx%0m6)WrQ} zHMSG)7>U0wD_ZYJoiHD0RPSh=;29{O04@bZ+Bg55fE=cmA3>32>Ubw;qTGO0OJ_DV zn!HQ2%d8FEXvy5L%AAK?0&HRPalf~OEH>ZQDNFXaW;>srt(SrTh`}kuIR>#|Q_A{@ zGio*a^Zu4vbG7Qpr}f0CUrGAt*MWtvFzfY6Qh0H494L_q00I|xLC1822G4&764XNK z&uh(kn2t%Uqr-aw3(9`~F{Ds23ef&oJz*5X-pZL0z)Jwlh0QQB=}V)s$$bGljH1AL z(A=(yAKT6oC|Zy}2Cd%4#+Of#sW)9Fa`%bTVmzaGk3d8Vo)g_w0NW9(q#HJ~?j9zD zmHa4|I31eHI>N)uArIf9yBHDvbrp|1#Acc7E+^iF5`zu18>)BD@WZGfutW`kd|rXfyDLaB5kNIq#=0NG)ImvPR6!uV{-ReFYuYu7Wm$G*&iPRunbAxP#27$jWAm0ozuc7o1r_on+ATX~+8d z@O7{$CaX0Sb0ttP!8rnw(^J`cwVsexpSrZr@U8JFN2n@2>|=qn4Fz?8Q3$a{SvwT7XoGv<39nw%mZ%vkg|PpYAGw7K09EFo19180c*CY)I!hX1<-mK^Vu zfea$;W7E7%Ke@H}w!>W5C-$8Y|JgX&ENrko$j-UOzAL!w3M)(3Vu&cUQyMQyG2u0l zbbEh~NwREy}%9>UKbX`S5YU=3!n7n#Lstb-he#Pqyn z^Uh^#qgmtV%bfNZJ51vV)arSbBcuDqFSFsvCNVazf3oNdc%a$@g)xG@pA0}gNFWZx z(mVe3HA77f#meKvclCy;g`{jawER8b%#c-LCOk)K@QuAnc6o3As5`zSf9xSbj}O&g z-O3+Q{M=n?WBhfc8WE=3qTkRkRE;=vkWBPvsEXic`(YNJkReb{YHj(W=g-s7qLjY@ z{~KF?<-n`H`8yL#LJ)+oFB=!GI_Z57v6%r@qwcsnUZpis5|V_7qUkt>b<1tsX?eUb z@7AisHQeGPGI=hmeXhhFcq1a}*c?0byan6=b4G|Hus-~*vp4kj zq_{(IQ$lm8IHG#4VjLX9D2&_dbrM*kn7$uY9LJ}Mq5{n4q(iXzQZtkH=lq`{`ZvU# zGNt7JJKp?{mlm@->Kf{XHcAf}85qbX#>#1HM&MtIXV%O^mFRDq%bZ~c6-9psRDZ?! zj7mXTKL(SFr=hMRQ}9N)27w@ly#s$N6zpPJ)tsu3#F<@0Lr%O!w(XzWHB`pgojUGJ zMFnUTVK1Id%$SdZTa1m|{!U26uv9sohfNSXE^N#ZRCu@yG~w*Qa*>&4tL?N8732O+ z%@fEYh=Y>%fFL+u3;xYgYyU#7>OYVpU_Y+0^vbSU$ex?c5Zwt67f~G}1dpk)hUWh| z21Yn9jOu(S@k*CM*Liw+{+2?oq8OBtl|Lf2`*g8I=TVWsPyabIv~29UUdA+BqH@O# zaZ{zKFi_aW{S;!ciWToe9UeL%}=%@gv@&J#8fcNqs3W{3QT*~V;E1{tE8LI=%~ z9{m3THG6D?xUqQzV0g4nJG9Qh0EGKQ?3(^XOs|t~E`=0@&vxcba1h)m_P|u8k!IaP zL_hP&swK6c%Elg@g36s3TEE@|oX*4mkDz*1=NM6$7@M7NNK7)v`qmnTQA$S|;W-4Y@^yaIe`;?INn`R{l&h z#*phmdFkh;vK?cXJX28>N9ebwOm{rk6}Y@WZwingPu7K^i+~Idc2GyuY4mdj!s8V_ z;*vsL`TjuNSjZcy$9luhtK^Pa9;Sp+a85V=)N){Q?3OAdMpk+yz#-1AlON8@lxX|c zc`3w=mQdz<1)G(Iyi#Cba?yI7ZyX3^){zQ5Hv@7KlEM_9GWn1$JAJinpbEJBW8UDC zm8vT!6L5cl3W?*&m~$txU&dw3T)6N9x;eq)xsLvO0q9GB{eQO1c% zNnw<2RO*L?)vLjd=&U2zrSS&10$J<*-l16ip@_*0(5M+^d9}RsW;RSAomm9xp6{EG zZ=$RooQ+CtzVX0I3JJlk%jqwJLas*!lQ)A5@kXUN-LC87bvE;6RrH6SDcs$9F%3*O-hNavZyT zVy2ZgP7RWV=+-XYxSnR3qac9vJ%22R8;C#dYYQ3K+{w#lfy*M+WKCGT7crwyUL!hvsB69|C{B!lri(xeh;*(0`O$| z-=5ir0Y@Nxbb~91;i2_<@g4BN=4Xr60Bgi`gj5Vr8~0+1s^#mpgO59_1d6(=OdoK0 zUPv#ATz9Q2vU9F1M5yd@pN^9lP?MFC6I)D<7Fhk2K?geaoFas}kSgxX-m2T4EW{ql z+zu!Xiw9zMK8_zi0Y3KFW%yFiMThXQ%4kFYw?A-d_|kvy`LzpHbU>kranQvTmf^uq z)*MdQ;pg|ee1t7e<@ntzuD;`4WF;u|<$k#3K9_#tsh;YP$M429q^Dv#upby*)Gh+zTZ`VUKQA&&NS1MSa7c%+J3ku&KVj0jFQv2;Q*lfhdh^zrE4%s81mc!yWf< z7-w(o_CvI!I7^|xzE`OTe#O-EA$QvKkNk5-E5#Ij%pNWNzOc$Ef7+UT>{YmkK|44;O&fl+9_?Cbx^jJ-Js*ssm zIOuLZ6`{{bF#o`-J0LFfM8C8o%rC<{@J#2&3BN1YQ(}iZH#%mhqL29YQZX$9lSOf93K!iu z#h1E-bH8HdeAoJBW`d|Ik0Wbc2x*AtXa!2_{G({AJ1UcdKdWfHv941Y%| zyVq2ScBy-aR()RF+uN-8uIZMOeDIiKEgHGa^oQd42~{)hNw(8C~Y zy+upxc&3@<+49`Got8-| z;IU=~X!oAd%V%EtGAA*C^a#J5)}CAZcjKFrG6SDU3@j_*KmYky&E0Z2?c?tAq-_7= zL0jVSN=s}=b;#a{pkEX|K91hY$7G@8X3eA6P^y8Piy>c#1T()bqcURD+O6+A?2l?t o@RiZa?~NM!K8*SA1apsyF-tjNCbE1I;Agz{C8$V~4hqsmq)C$=YNUo< z1f(P+KqyiJ2@oJ8xt#Oe`#0QQzU(Kn@?_8KvfBHunPEG3?py^E-PzIitf`zyBYPiT zXHSpYlF||nB_waF_&K}T-IkJ;y8RFYl#~KWJ(QHbZD8-??`&&-Ti4pw^|s_~1NGa+ z_BOYl+d02EyK*G%{Mj&P0|NgO=Qb|>kEBWdj|`;S%3*>_7@J~AkKfwBIaukIQ}_g~ za4G*fr>B2H2Q829{o@0@(J7NZP>9yloeX+l#my!bc}eXVKtNrb_1XgvU6~N{9No2K)6GmB~8&&eLyjv;L8V?_qCmj$5$pGX`@1;o*}y zsrp3QfYs6WO4f3kWlC=nf990WE1Q$$1pCl;SqiVHUixA=T-VC;S9$I@T2YrJa*pmN!@Z`PXGqW`wl zDyFq>$F@5bYiCWi{K^0J{I3rF*AM>x#X>A-6U3qFvXXU{IvPJ8E7y=V&CP>*cw$3y zrnfiE?I&bD=8rO1UDY;`G**9nIQmWALI&sw=zp+Y@fb4|*rE&bsP=D(pQlh!2d+Fk z*fH+}iwfadK9_0gtCPCg+2EU^jH1yk0ghZj@X44|gLr0fh2E5($~K&L2ngqtY|ynty%t=9=J2?%{TQMcXkPcZ ze!~0f`+&Ji`z_22FV6n?_1@h)o86KJAzj5;QH8^$Zu^nrFHJ}#bYVpm6+ttX91p-I zN-WUc$kJCQy2c61%k#1vJb73-#QK#64sqJbR^U~iWB9>}TNox1|9g?l_0J#}@K+&3 zu?G0wuWaTT>0&yYALw>R`7;%luE?da!|3$FM$~5YVz7{=%Sj;q12aQ^WKKdj|F|2v zwaXh`>jt-vau59KcC^XYu*gy)P+6JN*Z+k794MsGEwIiZ%l{6`pja(C2o0eFhei1k zo<@hG#*E%07oR+4sgv-$`f$F`QYg$l+rvf8^Uk*Koh4+CK)}117PTsyeLO>m@}{Q0 zSmXDq8_O7x3$yK7fn~;WCn60oIl@^U?qV3Fy*nTGQ{Cq(-M#ZX=G~iqxUU+tSa{}E zOoM_V1}9$SdS7LVJVyMmboS!~`xlOTx2R_)_r_ul36FA~d8KKgRzC1>x7VQKW_yIp zJl1@<=J)1l{s)Z>JdlyiU^6AuwAMdvbCpl#xqIN5Z0(m5k6P8HrL+6i>NX&A1XL^h`Cja zl3F}er0$2y7ECmv4!8ZRu{KXn5kFO~daSqoTHmf$fRX6G9&De)_dayjkqxqJM4}1B z38;7ose;y^-d?}fE8~%E!+*7ME!5s;r^jb32~|<+b@zHn?KcmDsY#R5A=j*CT$0*d z9ZjERcSx%yyrD6wZIAp4Rp%&bpE0%F(E%pe1UKCFSIF)-Dt!7=RC*f{%pHNMaU?ep zKK7M?Ia&f=7K+Hpo3x2Mo=Y&RKeibIE4eA;-RmZM_-Ce7i*M!DMEK&WEBuzlrSP*> zfA#WaCWKbvanNr+x73MIBaz40=-38#TA+pE#L79xUhi39o}#7m?R<^4@$0#nT98`7 zxdsZkhPRPobjvBz2DSddV_o1o-=J9I?{TSKl)uMgkBM;Axtpc*S^k!JCYltW z&SUeL^qGlb2GM|orKIlY1!uEA*W7`8mRJ*S&##|^LC!6&C$0zZbAg^qPHeohOFi)Z z#*>1XS+P*}DH&y&+ju;_?j1a3F)c?bqW~QrJ=1SR~ zeRiI=*h7%wA09wOHxyx$yZ_CpzDtk~RWI^QRLwMoAvL>+qpMk@T8*qB@3dC0QAtS? z^zUxK*{2{bx%sTs3QmKc(wjH@c15f2sksHczHua^Xm5p?iu~ge915Zwnq&{S|7i@} zT<$P4L483rv~Bpb=2XR2ny6{otK{dTn~?ck>%!0&IHbuk*wf>VN9zFbPOPhYD{?z1 zIc7|%x-2`3NA_;U>ozer>3oN^Ngp&QVdm3JQI5eWlXb&)XTMrc5+zK|N4&d8gaXGZ z%AW79YX^0uYE-eZX)k?y``Je+{-V2sdw7m!gF^jKr` zDrzQkWZibC>8kr(el+z<m`KCOBtbwD)XPdUv`QOYv&K=4yk6V6dU}BPiTE>M_RBsxDM@s390CZ4Yn$zysmzf z-_TYy7;?3rxs3Obu>a<#^ANYf00=QbtvqUTh&(ly2R7SeUNY(6nLgY?&bGF>Y!87_ z-SML~z~vH6Hho9i>hLY&z2GFZXyd)tHFAlbPR6AAvngM={47FoJj->*=9-9BW) zZ{xCnJG7W&-jcqqiaHEy@*~&H-a;qTA%4_eF>zrEz|~)n+3ZO+;8^?nV$5PI6oe)C z|EhrS$M_NBe)2@orDrsoppvJyzHo=nV2J9uKQpinS?<$fNZW&?WDu&yuf&V?Vd#}}CUa<50l8qC}3h5)C+xx*uD`ZS0BG98_$NJlyAtRJ4 zYV*t<;G~l|6Q>IG8!2CmmV0DVTA!x$u$&puvSkQ)RWV;mNnL0m9 zo4pg5@iV?2e4@LoQ8wUiu=e%CiwQHZp(MV<^(qJRpdL^0>>3fpv?|lBSW4n{+25#p zYek;^rl&SM>YF%F?{v#d?~B2Gh1ryNBX$SCV2b4+a_wiT>j|OGO?CK?x1mUf&aBMU zZP0r4r)>K~4cFR%Nm-dxS#L1h5lz`Fe-d3 z=dTkDTf4x>(edrQO==x=Qlug&Lt`JL-Q{Q#&>i)#<_olh}^y-c7i+{E5WVn0y zdjv}7U-#}XENyg~^^qvUz_@c*u{$Q+sYLVHPjbC~;k5tPi^Z}frlwJbxTXeC4(x95 zHwDExz}G!)jK-E=_m;bKTHUrmYn#5!MczKwx8h2X8V-<~cZHkbKKx5&y%&E$#h-x4 z_4m*dU*1Yea~FK@+;-F6{+wlde6@>3)3dgBE85@t1g@-c$yafqZ>0B&Tlc!=-w%I( zpslW?NQ2pRlV)C&kE^J*BgQToyuWhORWIw26wmglWY*1`*ABk)lL>!vEsx4@$$n3v zmLfZi2TJZsoii4bnQsaAxaOOMpMDuSAC#v6_CZw3@?O5@;(mg%f6tOTNM3UUcDR(T^uR zti^s5Y&qL~NfsmfK1;11*n3?{(zf6(AX65?7kl~so>JY=EW=myIDGsktn^>aEIsf>R0F3@{R4XsmM4z_xQT{GP_-0Gkg5=k=owe_GEhZ^~iZU z-BpW+0^(vWWp!+x9u-R}y?xn>@$+qE4uLGDD0FKyIBGFIJpMSX{E>>Ut8iHL? z%^z7u`sXCO3z(j8I0vljJ~5>iTA{%ceVyD7Wc<^|(=ptmcZx=26#F73f(){L&5r~KBn zrm?#MTiLUajJUk;grW)HOS|Wy0Bs={Pcs!M|DiRPJsupcRr1#KU^4Qy(*`?mM z$IUj5Q=`{<1`4C*Jr>AKE@YE=eOHHVx7|82Ep@0MQfe4?JAlo|JZ;)PGmrUP2B0Or zFXY|E%NM|h@~9o&*Jh8xT9hXCy2I!)EFFBWK;qLqMEAb8xtkeFWXPvU_#U{TW19W{ z7QkW)1AA#2na@x^NWn4BB%G`GVoTy-vHTDz@oyDOcUWc%jF-MWTP6#n93SToRaM*} z>h_-^4ec<#PHPv0iM7u%jEzvxUENjd6zI6_>dh%Byv!%)2Rm5MeImgngTy}&5^V?b zxlb%R>yiEh{cdLk{sc9xAHwqtoYqzLr~_+&BcmE+_LzYC1{ZAOTZJE3MwcBedEN&*@UqRZ2cBU~~#{ShCN^fY&WN9VAv zNJwXJj2jL4<#7$j>tvsn2;4)`cp7hV4Av#Ay`&Kh296;-1D}{QCO~%7BD;2fxgn^xX8p+byToI0Q&C9?h2r5;2fvADk}ON5jgj?gkW7oKxpyq77r>Xrd){I{!GA z&QHG&d9ysyT$cygCR2C+g2ae>i`>Q9HWN~I7N>}VX3)3ogVkT(IzA|A$e;gcEl8J^ ztg(MZ-~QY{GNX#Dy^pgHVLr*^&63How3Og!CiG=zaWk_CwQgriFlO)6(Psx^N+(R#7k~DI%keg=c|Y4%ZNY$R0d82 z$7cKacX`5PUi)rsG}EhhG-9#pDY^+y`4G=JMYAvVLUhj0Srv$ z2cCy|_P5&Kmkw{$t2oYhu*@$|=*YJDJLq&C>i&&FH;@3iH4ukj!3tor(lh!C1%*l3 z`9oa>u47c2{*bk?kt6f9oI_g0eLl&dVmr{`p+q!+#u3K+|f$MRH4?&jX}J+4akqhWF~8V4Ug^qKR>c>hjc| z2JzK_M`tsXA^B3|25w7l!xEALc+OUH%HvGy&sS~TWY?NG1_%?^BBgLuh8tItJlP4~ z1*B}(qCRUNS?U`hf}00|M86d1=EKb;eA}Bj{C9ujIf;`A)aSTE%CHK*K_P`?SFCB?AWfAjWWka3Qb?mweMe6c`3UAJ3U<*$Ximf{e}_6GR(;EE zmoGefO>XX+;YQ)W^!< zRHm6F#^PW9iM-X90N`&3KAi%oJ>{=eq;HOf8WT$qcY&R1IReNzu-U8>zf~+GJKdj@G zKs2O_$Wm_>Pab}^h5mKIy)E;GSx`n=p$lfTAgS(2(N znAoW2x|~6|>pP^#{MV=-$E=y!n?I=9lICo1MeOcOq;K3uwk#87 ze-vPnl5ctqLi57kCx^wNs{;Yx4MO81;98fIgfA!ivrNC`bxv$-$Gbwlg?-og2WY88 zV2leW**LZ=o=M8Lc_|dNS73xul0grq6LLq%Qa~+=ciQ^oK-b+|#X{s# z1r@>s(!s@8ibyQyMFL1JPM&NUF4N(F7Qc{tUP0ES)tk-lL-*OLkEa>IC&dd^^Wvtg z2GK--Ln4pVGGID!Q^u+ka7kjz$t3cKZLRE4x>q;!o|ogBLx|q)1@bPwfSy~3ugJ@rAehW6G*jm^v1lIu5_B%k(wH9LpQGDr9IUIy`l?#Q4{jG0qD}P%H z8*>mCsT$>Pyc_}c<&!Jv#4>%j1#K74cg~)U!NHRBo`yQu)XZb1_>}yQCZ(!J194g@ z&f}K{lZt7NgV9M8gt(;_pwF|koN{$s;+ciN6ijA&PpRcdq2Zd+#$~MfUa*$^DOV$$ z7Vz|RWPORyr*i5-#Mgh`D^OtJ1QD;QReV~-3U{`_Yg0j24Sor*?wD{UoIJ<)G6jup zCxrcYOJ?Zr+|j3+QdY@2?v#Pe9%&h@o|IDd6iU^p!*5en29VxUzxOORzRNPFr=E52 z7n|dE$K2pUbz3yVA4Xy*_{>Ern>}^ykdz?SHKudnnv3ly)q%pje5m%uYk-~U4vLl| zt(snmh4ZkibH>vkSYVuyAhES9-x_QC1`eSo4YDJdod_7wjC(pb&N`IAhhRUBdurR0 z3otdfG!s1b12k$?RcqlVzPJ{tH7{;n{;syQMzBd3yn5!mSa&+ogPsu|(S}gxP=Vhj zV%xExmD0PXp@qxU9+ddl8>aPoB-|j?n$)@RXX&!a&mulBB8$U6%myw9Y5*mSb#V1b z`a}fZxr(|9%Vo$!ceXS+>Y{fcvolRb4ADEb>{v zm%7Fr4hx`js=<>c|F4#pvg4~hFK_QG&-iHOX4b@HiTi@z`*EktwBKX~}W#%SXTo zbGk%0pu6f4(l0N20Mhtg-fppKYWx$%lTp?W@v+>X`RJC%1Dca8uA=&s%-kP$EX=92 zPi5#|n*H8pdvI$H>-b8nt+>LLX?a~ZzYVmLn|kl>d%3b(40Oi6VN})5(0_Ywyx2L@tzD!()plmMN(E3)&__yCc!{ z3HkctB;X!+^AloWUyw|%7;rzi<{wD(yZo&F*CsWSg4F6;%I^`;l%!PROglnx1h^)nbMex%$Y4q!%Mp>Wj! zY~y{{F*$PqNQwmt|Cv3y$h&7-zW9le*H+E|tmfWpqI54?AwZ2t-T1EbPcFBI7=E#z z#7Np7X|HZA_NV?zT?dv zR2@V9ASg^6t%dWD!Fj+TfD=ragLBI^CQ34d8755EDgOXx#p8H4%} z*IB|m)5I_<{iG}vB_8q;`O~X_vDi=<@K#xeHy`cm8%Io|&Z&YPJQK#UZPdxeIVaw3 zRYZ-Hzb%1-Ka!9EFX@L1{y!mX!JGa}ST_EOxwC~LFhk~86XWTy5^=+&} z`J}DP@7+{)Ue!V!ymY?mwDo7HB8t&lAU(`%rZy6x#s;2gytdl34ZN@UvhFH4H^8^b zYwm;mmaW*ZBk_UwTPd}_~|P<@&$M2a zxz@ZOFoPyT&zSY(vNMQtbv63++ko6Tel}%&>L%^DU%_1x&TsnRPI{8a}zoMA@U=?AHjr zvR5GCO1PCE52@YT`653jxH@m>l@``Lx?kk2O$7dV!r_=3E-r-MnJ2bZgK61bGb3%! zH!he9!1k^CMF+!g@Oafj&0@nD+AAExgKI{G!>x`sY=)0==6a1{C$RL#R}nMBol-Co zes=X&J4F=&6@G2aF~EO?tlhzSwC|oAS_-67J+&bl^?36k+UyhyuQ_5M@*3NF<>y(* znEPlV6S(&yE!9g$bOEl@ZUK*N0Vf={l;TL7hbd=g96C|W=%PXceuspfi58hM+lH6! zwxV@BGTMq^IvtQLaqdXHAYmZ8}&3qapb8Q(@RlfRi#mcn7NvJ~5m`>2NH&Z-A#WuCuhsfC*KXRQV?t z`joutaaydvqgYI$tHfd-;`#`IHG3~GGYqRqz}qakg@lOFtc1zyoT$d2UP9vG0<7o_ z0AWsO=jzvRwdAjxc)#b?tE+LWiJ*jcQkcZImw#R*L<2~-6M+kGSgQ`PnjS5F6Fi4Y zkHA4Q=8eCPu6G8BIIOrH&y_&EzMaM2SaCb? zXfcsbE&WWtE?#H3S(TzMD69n2`*P1od%LFMkK+C;dD~yeGLq!8-Q*I4Zg}77_;SrO zrDe9~Zbc(C^piujKzky0StEnSNZK69J3@Y;X=DAjD){p_>XGUSRX`L|L$QsejG<%3I}-SFzeBf7<-kueRX?T*0y(%+%+ zY0h!8jNU~GJ4H}FoZ@wKo%xpPk0*cIs(yxvwjpn939`E60>?aLz;hKJYW-uqom(QB zzt7vCOHTQ64YO=OG5$oddW^SYlmp#<9-;L6BEJ6AuH+R@oWh zT6|R<)(~bESY^l5oGNVtFQfErf#Gm!Vaz;SP)7qiXyDab?3`@@-dpZA7p>-SlLk*S#BF zeZi?-qoND#Lg*?d>UL!)^7M5`!KEk+H!sW!VHT9Dk~6&kvOilr*~M$Kft6Y|Zte;jE z?H3zjrrppxs;@GvO77%ke!^IABh;Ifijy!nX%FxeN*`io-H0XaQl8cs8| zJ!6eB+b=NxF2b0(5(N3<4hZ?FO?JVZ2YlM^4e@fCZWjTB$Sj5jW_x{PwLxnw|O=#=~XStmF#dF&KAF^y7Jo6H#xnE{ZFMh(o?^at(OGSN?G0d zYy4d>{qYBL@BDB5r@6Uz}3l%-cYhsN)&^~U_<{dQzh>;~8pc!=6!oD8UTb>sE3#ka*aMX{<77sEU zQc|5rj$pk!7+mA5Il~x|2#^7vmUMLR$iRm$pcRkmdK2f4rh4fW#n)vNS4c~s+*CrZ zy_(V;{zNVu^GUMo4@^7a7tiSR9lqaBhDsk*EiiA%TM@5itpf1FQx+sz`FT~uhEW@0 zZ{@t_m`9wFQuAv7bd;ETodqfb4u;i9KsOuk8lPdmfHKrSy*;O|d0ihsN(x3_)gf15 zHC2-yGsf(kM}?P+c@So8yG%iexzW;ogZP{h*3GIycOU0bpgehDCZC<~27X+Lg}0`$ zj*)9q0`gbos|pdaC5!d6vs-9Uftrq36QyKuUP04-`wggBc-dzLK)C^?c{`ldW$Wzg z#gVS+zn#2ln8mQn1hXiI>is&iPmpY*Gq#!VYfDtY^8>45QPT%Om<)LT&%#zfJUaZl zdxwz|>)d--%#{5{W-w-u%CM*o+uZ?=8fy@CWh;r;yy$)na*n{vg_B{Jcey-@G$F5ewGr(Yf>pn|<8E$Q$J9wX4jWK1vz8cBi^wO zVe3K>QzTZF>!5@|6Ec-`am*E87FQ2EY||hjZsw+`7uU_Zc*$0n@=u?|Mx8mc$NZtU zLe==-0dMvm_Eol$fJF?_m2z9hbqj^g2isyHuDKgZqDESjKMQ@~+!hs9-YNj@b^c2Tz8;Iv z^B#8|8D3$ajgN{@JkGbt5OxbLss7(I>CtjXc_{#!A+Ze$^OB_hCE)NvArm_)1!FIZSEqb9noL+G_oNsH z!L-{#&$#c|R?c>0T$WCLJ4gDVC@wY~Hg{(@e3LA9hqXkuOKU|rj;AG;n7gtmjE~dC zoA5uB_!Z1r6ma#7N41qLl(6<{a1pCp)p6H*>7zBW!wkIX9HB}a>5l4{^`(4QM(^V^ zyPlxpQ_FiS?SYAJX#aF5p0KSME&NrTTyVm2^HQR@dHr66qtL8}Jt1;`&f+{g9P%H7 zFfQYSiS!pFXy4dL%_QP(Lv)UWd*i3rPEWZl9koR)9FIb;6LNppbK5p_9)!y+$=Mzj z&b$?bRfm=>1=5a&Q;z4(a&2hVYM#hKNh3G!fl+wblt$ZBpJ0`XS5{7F;okKvTzkvE zsb%8dX$vqeUiHWNW$8hp>+zezCtB-%o33hYmUl8Dvwk#k$@Kk2u5D-_Rb z;$QLg1Q~a*akk`Q4L1AKaVb`#Ri8p5vpzC`PbB+zVh5$)oSJqjGI>$7*C6Pv3;@-{kU$%Zp)xBhP?sNktx}3fHH7gxQn_3}F410uu;V*I}+}$S?oy zp}z1?_^diP(hV?FXkW%q;*NFQ`!|#yF|9H_Ev)DZYzOyRd<)G78 z7}{&R7wBe|ZhSZ7Ca!mbaDPr2$J4?%t-Qeo?E-0_;+wli{NhA42=Bp2*!PoX?GM|- z%74l_W!TIxf|qkDk7wz5p*{Fi4T|G(o2BnMMRbG2J6yOXJ&A{QGKX@Vl`|UuB3W|r z0t_fB-R)_B3{y6_^<|vTt9!*T6Jqqs8oT)@@70+Io3Ln>&_$rnUSCt@Kcr+h1bbxm zN*=w#kep#|%KQgeG>-cz=Fk(=zO5<$?|zL<(#MLCgw+;3$S2J%ttrp5IH~l(5|#Tk zD0LMJc$u7$t|#QP8&nq(jx5WeeBdSJuyFGIiS%CKZO^x|=vNkJ?9#%iF;O*0%cJEg z^*!#`{EQas^HRK@q(~T`vmSWw>OMd==tK8yw_i4EdKb!1H4Q8LjTK#1TU@#_UoSY- zZ#1US?&K=jy~VSWD3rKnE)0!hnEb1N_^pVcFHLT2(f4F#3B~W&km}sZyGYL$&)d95 zTe}IB=43kV6^p=t{R2$kN>`cVq1q{&48&}Vyw=%j6r>~ZSHa2$t|S#cVebm?UJTXS zY1*d23gJAB&D*OP*ES2`=sP*Bqd~0wTO;ut0uuOZs}F|dJrmE(yZqW~dyly85pAvW zt3w=}m5wP8hRNVjifT2AW@8$sEK?yfC79j$)H4f+gV(w@iV^G*AmG-|`tLCEFpmhU$_W$csnN90 zT2CzQxnZ!oiVqts%Z@AM7@F2^oE8Fj!q$ARss@*{tF}z_1($ABt*eHp5E9t`Ufhu)eD+^nr0O!)c&h`X6r z)_0-OJz%oMuMnQZAQRLi(fVS>I&PMo@D}7S%G4~pY0U`r3g#;g?WztGj~m2_4dv9< z{9+jdWHbryNoR@?^CLZo{rVP`ME(`bQoA6^Z+vg=*TbJwq^*K#Ryc7M*u@tM1W zm9g-meQK+l)okLMfQd)NQDZ?rqJpZ^Z%11_h+cRul6&>?%^UJ416Ka;uY&Y0(k`$q zH8qTA)@H3<2zi*%D2+I7I}QZeKD>xKxBCm*^np?0>(H&$AOP`NeP zA|AncUhwH-MblHtdrf5}f>Nf0Ztsj5D-3&*TV0p`p@!$AW1oYLWqoXiOQ{hKtC zo1N)`-nTO89W!%lctM}{W5SD1Rb$8Dp2EjQ9$%3kcl>XtKX%c}U0B7CuIkCu3uPzx zM~((RGBtg!DIK$I7XkW(|Gw{4L%2!lI0ptWKt4X#dl=8qy(qPlTU7x&y5&qaa4nEc zTHC82C}+j^26tVlDzOimHHBKIO5c9RTo7|5!ikviecC Lb7dRwpD+F&$g!1u diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index a6d6b1d0ad102fa339d6b93cc9f87fcdeb97ad03..397eca29c1ed0c6ffa4f5048a27c3ddff7624a17 100755 GIT binary patch literal 20882 zcmZsC1CS;`x8<}sZFf)Gw)<p%DmOtkd04EO?$W|oHdj7*I9^sICYjC73j z3{3b6Ms`kS21fXDdIlEw4EPEX_$o&F_`-%}4&N;Y4}rhC`SGJ);=jxfS%m+#vA_S@ zx&WPfLV4hbCOEGdwp0H{cE>0``}V+p{ zoR3UFs}r(ZYw<+NAJJ;V<}@=Ox;#;fqDU5oYNivmOxtjLTzo7awO*^)!-3WVt#7s9nNlM3nRhV#C^-ij#rTDT`%4Kl z6N{ONqPBRADS_~JvpJ_3JH>cRVLX0>l3cFwBDor?T#RFaUUv(qXQW&s^&4) zaXKs{PqX9`F4sag*yDX(B;mTR0Fr=|D22@Qqu%42E; z8!H8whP3#`>LwrH3FXf$pEID`e-6m`o>5JmcdqRQz5?riSdHx^-EqgK*SmDy);%j_ zs9_{hXsSz%)8+r-45e`HnkK|h=%KhNkXZ(;76=9ngMvm*EvK4Y&8O$v3mgcB zwg#*@MeFpd@iuQsq0s}Z@;?XuuQMUmfF(~>Pj%M_%e*#a)23ob+Q_N?)6qNHc6zzJ z@Lj1T#jImSvhquNjky7H$#tcMBAWzxHT%NfFr#9-Iq5JtggCkCRMK-85h{k_!<2ALE3EQB~Uxp;EEI z%Z3aDOY{S4M$?9NlM6m}a|gK56gW*3{R*GJZgAav*FRGkru(mvb=%ebIMVBwm}#ff z2y@UPD^vnlm#<<(%WgpoyLZH*d*iFe zD~aNI=I!yOPL&?@vf&lG?Cq9D~gSS3^6qge7;O?sZ~(- zyHl{`IrJyL3TL!TM={>qzGt!29ZW`futVX^j%*U=Z#YNe4C*`?_(bmgua0~w9ESKi z{E?~F5;B1G$yxCmB;bw0fdJ2HC>g>}(pxXJv2cq1!{(=dd(_h0K1t#?$*Z5*I-8VS zSg`^qtaH%ifCp76KqM=4gq@QByb0fktch(IU|vXk8DQ;=Csn;B-ji3wh7zKS3gGV! z74LW*8xwE>?Xeg8@68(RL`CCvti2JS9bz8aQ>EicNP|Ir-E&CH)GsHPO`6oeFUZq{ zm5C5D=GlmG1epd#<#O%i9# zf>65VMH&a5w6et(GFApA>M{Csz(9Ey*Lf`HyoayyH#Jb#0JCzNWCSx(u$Upqkp-=r z(~`JzfEa87>v>i237z#DyEl_duLBdYfs{02-y?{PRbiw6sX%&puc3^s8GFtMC~fATst_Gaf>_;&r@f zE5|B}dP}vNk-_Ce4T`bio!K`k2py2FbjbdG?6kA>S?-biQf1?Ju+(*jE6Z&_ePkQM zVnXd(3wEqi`E>7UeT6mj0x@kvx#nGf!KxB8s&=qh#vvOr3Pw0uCDHC;)KgUflNj(( zsq~Sw-S_FbXd5YTb?VvHzUTqfh`5M$FoswdpS6&FZG}1L@Cs!OcZ`mA#5OCgob1veOIRV;60ORB=kK2}K(~>Tbl~ zRkCX>I1Obpd9Op*bNyU%@BN%2o5AHqLExbN3{~Z7ND&{ruv_>=DHJ`}iq5nN)Slu> z;rP8r3Lzh^$&MNC@ou$A>~3)?-s3U~2lS0cYGJA^6e7p#`C#XaSAQDy;%?mM3u3P8 z*a)J|3u}jO2`?R_<9A|_SEkOWB32IZXOiIS-Mg;4*NuqF`@8;FmXjCdv;Q4eR^{|t z(#O$?bj1(ox3kBQy)N<3A%dJqO>I|~pQA_X+N6s*QlUq4VRuxE7`+%Z76?(LPf@j2 zx(C(Xc+dx%$g-J*9@nQf7FkOc{IWR5j~U*0CTT}_J2j#akI)B;JKp-3VZ5}J@NV-$6P1I4h^Rf=w%slx%-mWrFUEF}rDe_B zix}&kYfsmx4wvG9Lf?+Gd!Ef&$ackhypuYd?1QubSv66!OFNr?RorX4nlV432a*;< zFF!wy@*m!4FacRgTNjv4BfA=tMm;a|ms!F7T9Y~fH#Ws=AAE*AG{Bj9$V!w&PS{q@Nbl~x$M2qhf~l;ajmk=pk*^d?3|sbfkxVO+$blI zv|kjT1)7tduLb2<5uUsRgZ5r;*5EK)qiNpBcm882OXzI;P8pan$WWj5FHuX|7B=l# z^Hb?~nuTIJzWUFLIVv*#?dYv{u9?JNL)S>W!V7exDgPS2;6?1&=YF)h^1RJl&F6?9 ziow!;l`sxU)})WseTl4P(%mD6v&8iBCPB$s-(-@#>Kv%S5zOOn9(FWZG|^aZB);V$gt?;pDxA4siY1+ZG&%KL3Ri+p6Li8NqgdH z%!PJ?c6j`y$0)27;uV4-TI=-5kQg`E|CNI<_%FJqi21uq{_}H=D3~^CKA+P_UlXva z3xOEHRczz(LA|N&0zO#nn;O<5(c3g#mhf6%YZt6AJ=F0If&jk=lP0y@z6OOnBD1MS z3MX-VHxQ)&?a<18d3PNMq{%kEdl7`ubI*eUV~@rb2y%s$uLVi5M7a!_l!k-}cs)MJ zfm_Dt_j}iumZ`eHvZnwhPSeO<3raH~vbpq^t)p}Qg2CZy!y#Gbjy+bN!KfKb6h#pQ zcVT+sbuu+t~2nz97m}>3`ZWw^oQy8z=P>`GTq7cmrxk?le|C% zlN{u_;~el{f6?q`=;~XBpV-4ckB~`{U~FH9`##RCxnTBz6L+>#(ma6(L_?ZzJ| zkIYSz^@YYeShH*nBe=^(`Eec4G$PeQS07K5)Khr^;W>C!)r?WlG>3m(0vB8rO&M1D zsm?P+1JUXf+j}$r5gh|m=NaF`oql_7s$<}5I%SZsVP;%hFN7R~sN8B!+4cq5$zB9m z7Xf4==3b}=njlNelzu<#`C8Cxpc+ zOo|KhhC=&>$Z&7^MC_Jk7hj5I3D+h(&q=$X^n^%`vS0R2&f_a{3?|k?=?#$_Z=8qc zQ)44NvUsbhQ+gaYBWP;TzF5$I$bw4SLKCJdz zuB$n?m0z}g9z^fZW8tZCF>t3YodcO@OWNpTUG2~Qk~)-h_T(QFH}mK=RvTX|<_iW+ zn#cg={&iuUGCXQmSwNyPnNYt!+7g+l$)Pe6OLc^$Zg-%`FG(BzU@yRSv~HE)c(87P zYQSiwb3}HXTF>)qRVKmH zzR~74g01?8w*PSx|IqF~?))Fx|3>4`P)bgX6GUb8$?{00=azY*iX82nM{XG!ZF?2d zL66Y0*C2Q7_X-{OrQWp2ua@L8Iu(r*YILC!`le(xYOqtptlOnDM)ksW=KfyR~VO9g#V<1BAwb z#4jdG8BMnWnSf&R@BLCI3b6;R#4{~80huJKsjFt_edY3r z1g||EURxq?Zc9-TDf4ix%kszq z2w?K1?>|52&3+OpT6NL76ukCO3Ey<4iT7uz39w#i;n}P;^=i`=`LN^kaoTs$x)5CS zM0tdHkPt8i0b`ZH*L`t8yZz{dZsXnt)7-HEw!V1@{KwiENVbVf>H;G3rP(#j*uhh2 zNAF@jg!?LqG?PpZmHWm?B$Ru@gl@Akocrd}xT?d2EBL1W4ZH1e_hNks`O12CHM|L1 zD$~WgZ&AB_BmOj$t#a%}NzMBg_0qwKcKH-@rpI0_H-Bfwk?2+M^0Fvv_vBNaGq0VZ z?$JlBpXMc1z7c!Au2b=Vj zb`EY{zt4;?nB$^|lx}jyNdtKH*PPh%ClIi!QGJ$x6(jBop0 z95X?So^S!+m+lNbyEWh%7T0FrRJ@6?7ZpGwkBdK+H?e*cX%L-a|5)Rwv&_z5ldR6* z`YycTRF6`@gAkJ+pNHiGYcysX5&GWBC`>ro8I1#xdpRK3)XtDJIhN^9>~#^kcoA7U zi){%J(2ej5`B!;VEz=8{$CsMNxY*1cg6m6Tz~Q8OcvThq7wy!|wNP~1HNG^NEA>5Z ztmhMfl`Gi=ZwF^%0PK;@#b-6Vx-2oC^7eqkMEL%-5K>J*lm*OFz6PeS6p@Ehw}{g* zCO39ac8PLg&IH6jne|J6#?K}`^s*aLcjh9~vYrtjhto@|HIc#daTz6-q~%5O_O^gv zVykVGi6I=Tn)e}y9nLVEsay&WcGmfM*e;N{~zn`lub%aF>tWhEPP`qL6H@D@$XchycE0!;4K8f(l^ z#)tcXYnQAxnURpz*H)35upXgA=pb7g!vozatac37(h=Vj|37N%n_6P{rfB|tQ!l-V zzG;iQ!36CP2-8peHcIjpzx@-!jDDUT1-9#u*3O9Ax^z*-2lu@}aqp6f&-N+H2h(aVvD0I;eMN{3?WDS1IfjXR?{BM*MaD4=0ha(8sK{&P2Qq<>So8hWE z>#effHch=R%woju5Tl3DzxlaohZsuF2Ny8F^oAS*?-o2?=^(TF2M|-MJY8P@R9;d6 zxIK&55i>oQ3M4573oyue9&edwg^BpQVtRPDcLoR!j? zlU*Iq*8u-E#BBTPxP$b^!WOEDr6pi(RkPPBf_A4-Imt$&PO`aTgF|5~%VtHv|0&4p zAw${uB?=^(0R-K9Il5@fCKeJbi6iq<@p>Sy$lL~$G1zY`eX|Y#!C3}Ro8=Gku@Ef1 zii2}p>s8-3PLK)KeT&c(^cXK$tpJC1ui0X_;M9e7uicWq`h47sc&A9>*nO5UWESD9 z&HmfA1{Ipt-tB58DaZ!GT6Vy^-`xg|(X#x^j(o|UxTA=N9Rf3`od$T8;_+TNey+kM^Kc3W`m8h{3{@p+ch;Ls+gHBj6}Ym9 zsv0jGxh+eO(A1l^Gq%7R#D_fT>wdehjR9xxPAe%=SiLb{`KLqgI}+3%;w$?H2u&0e zxIgS?OA9K{&G$P8Jqj)6Km}!f3Xq=_1l&Spec|n5X z1jCIcbKBdv;{s`*%32Av@XAq7J0_IzA)*8XqWNzE`3FRRKZxNE{CW`29P9ck%RBC- zS7y#vS#SLvI4Ws4TFdw-A+GX#w$?(e7))bhDq)|ruh@NFE8`J?UGATgfT1vR+T_m; z6y?QAFPZHF)6@xa5Tn-*U;}4pXaroc@N|Qh0InGtMPJ z&Pl;&4DGH)<;r{Mzi^L^ym>h417l#hK6R9u(Nn)Y8*CKyRS$j-7nAJu#V9M$K0L>< z7t~qJu>Q=GwhV^7Y!Rb=XheBMEy8W4D2ncLbDg{yrC{JRUeX=Mtq`F;?}k}xZ)|2Y z0$>DKdMW+}PqNoibV}7O@_Wh&Lw37iHHdGTdw-yKh0B()vL85zR4a1aKai~JLvWa7 zW!D7!jaF%d@-_(L$@Fh^ZH*&P`KkcE@<%0mjY=~j<&ipID>`;%Je_r7)AAypBR;dC z>iXH(Lj+%Q69KO_X~v~>NtKji1=rL`yK3)}RR*8%*@0>gN1M>!_)?RsQr(Zh=5TMp zHl(EZ0|&iJf)t++neru3JGVj%$99w`v&XX*-907R=js@kIezcYv|VK)9POzXwFDeI zwkbA5_-av=*h7qvsDlJD!21`k!dZ*)q$@`@6jU)5j^{G>O?)jbIYEFW)0BW~5+HKy4aUu^j)AUd3 zvNzR^eOEUTNv_K>2eTaGGj#3C3QlU`ZboEt%@ENwA1EG+3>LIjW~iM_xCNpqN#Jy# zx5mxp=pUVY<&c=bC;_N6^p**mv(axF%5K=H-P<1MU!Cw~?f3>Z1KytoBkXVw)R8W) z@-G6@xcG-I#scRVEML%=2PA*Q*mwmqsjg+;x#^hEwi===x{)M*%7_;1KCTnq?ORbq z3@exVo(ahEHhV#b#>29~wsYN+btYp&tvUV4>MsL}Yja|gNw;crl@2)nFHsHJ_vpRI zM1zSKX!{fH3m0gt9h1QwSG;J>U!}O6u#l{J%ozX5t^Zt;_^B)T3ytC(!LEv*hmK{K z7aODT%22b?8w@XYTQqnDhMOxR*Z_SLH>&n2_dIEt(v_*(J=$3J^D3rG?9kiyU8CWB zD#kl4@eA`-#r!3U@C#o3lWh1aFR=^xrD^P)rT9wh*bdR51?8aw_~s=Z@)1^R}Uzzb;iiD)zAnX-QgKd4#N+m1PU((5oE9u!YC0qs>)rYbRFHd^W5-yH}N+jtA z{$XHp!VPdy9UN;54r>d@wgI~{!8^vu(CB?sG(fq~JLG=P@D)OgSG%x_ZVnIRO1$`Z z%w;yhEi>d^CAt&xgd?5@mVuDB9pdGPaA<>6m>b6IcD8T})E4cvLgbYl=gp=%i*+Zi zum5^nNaw)OzZT{VW@2TkMg(h)C;EoOn7&c%Q#zmHz>PTS@dI=<3fYu5Vmd%^_y7cU zt4(x$-VVq%hGw@HE)Wl8FFP3muFmy`J(oY#Cqzy54L5zj17~jT7JQu--Q?LoYazzc zKzrdK&?_(;s%DBDLmg$sE?AN6+j09ZrXxA}z9(C)y@If>f6@^(I!t-|&jZ|0=%=Ma z;P!-Lh<4hO;3e~97?0AucHz9UChG>WHk`|&;%lSOqxU=qy_996MEsB78dM$TMOTKY zSAMIQI3LP)4w{b4V+n8rOZyjoYnZ2a#chvr;Koi59@Gia!k-UL zp`Kr$B3jiKVWLm_{E>}or=C0S1ly=m_l3h-cV0>E`f-|FpGDu4HGq&Bq|Mr*vu{U(} ztTf$)TK)p|Wsdy0n(#?+L6vFv4hPLqWkY?vz3GWEbo1pseN09K-VZn=W#it?7TT*BeS48NX?u`yqfr7S|0qV(?am6}KSWhVGCZ9@rC>X9EW-zY7Ihrpu zUINhNrI)6NFP{|v<`8p1l6WCvrKOp|{RA&YImr`yr=RY1{Cyl7F=Y1FdO;hVzw=u^ z{4W^|0mdeFr+*+NSRvN!hpF=H47Oy!;RA+O;y7Sn(5u@gFp!P6hEfMq;!F3W@ws-j>xCCZ4D1 z%5^Y!mz6p;y^R%f6_paaTh$1@NSs z)aBxD8SD-!w5%_Cwl3PNanc>ey(U&2I>q6qPvr3)*wUKgAWF~tYFJ&72lGu6mz?ev z?r2pqYAGq)n?sjr(#7d3c5a{mos*?-P+Y}K1KF@D^;0mhwsatwDv^@BeS?8uA19(&5|K3@nY?T%6QG+AHQH%~+@o6|2E(72 z81_T~BZ7#yzgVCp#?iuIgs=5}`y^*a8o95Xd)D9dEW^NAz z*^MWE?sLu`1i7Hz&#u0k=}1>9Rum6gX3#ovW+ma+)q{s^w8yxb3OTG3Msm}ifJnRa zV7df|3Rv2seRSH7P6c!PkA0L7!}g}EGj2H2W6*PhjQ8c9^@W+jPjB#Be&P{^oZHc@ z0Xk9brVR8^IGx}_Rk*Y>*IO{#&rd&_)iEwTAljfqPM>yoZj)B7dt3peXFEwjb3 z2=%;$a@m`wSD|K$=b!E4Xj2Dwo?*stN-wx6L#)>}b^o8DPY0x=%y4*7*Rvc&1@ z^;yl_n;bh$RQ-Ue!9528h0~HH$peYDDux9GiU*m6x3;#ovxH9x>$272`@04oxovoi zSr=`vxi;goxjM2x8JV2g?T-@#xxuuCuND_hd5fiu8W*1>%HHm!3mfK5lAaqpJQJD5 z8%=(@dH&Zdl8e)p(if(U+%MF!u{yLYXB{68og#TFb2{dakBjCX73v-9T4qb74WCFa z_k1s-LZ{9G!XS_m<=Jg*+GVV6V+Ead9rAQa?ryDX7n!f~in3)@Rc*O2CaI{`EPqQb zxQd**1y)X*G&Ulf>a?y(5+#+y$`Y|ZJ`_4WIxdt8lr9!^c8hEXv`V66KQGJooTZr- zg0)I3I!ivye{INBbYr)Y0TuFI7U%acMDs3E{5OFS;r(JNLDf4`=lPxHcmZfoKbH}1l z`bnj$D@9k4)nFx>*IA)SV>EVUCHoL>QQ+oWQ51P;l_a6C;8eG$QNmJMV7$OK`z@IG zC=i+WShX2PId?uJxigyh+Rj3RhhW6-OFVQ7IXq25zQG%6c)H1?bXK948|cr5-79cW z(%HF*=9aUmVAelg>E3v6`ehQyL`C_;y0&1~(p9yvLCaR8o*<%A7opanOEA!(k!}Q#T=*(kVdq@2k$!4@->4oT-@&r*M^XJFLfL!a z>$f{g#Wa8bZ!{60lve8e#L{ZJdrAA0AX%X7t<&(^YCA9R;{(;ZMd-rNMs3bJ53N0Q zRA)3p0W73}F(=BsIMGv~{z`)OO@4K{ldQwito{Q#_vc8f%f%aQYV=|!*|p{l62AYj zM%0x%aZ4=iZ27=lXeGv$gxX=k&_jx~tDLi@lk$qkS;LMAUjBn%@)uWc~ZPb#5f=tKkx#VZL-D2|KSS>YI;Z4dZb3&TK?Ww1vK5zaB$}ozBTGBY9=~1Rt z%_r1zvYqA#(QIKYGq1th37r!lPSaYwYh2~ggukCot zCYxm}i^!UA_l#25o<1~olcmzoK>&rluhERWTRWr{WQ+q136jQ`^$(V{nP&ENB|i_n zBr549XwO*^9n~jSITy?rUfCs$)v;HCzL1WB4c8o3JIi0u1WCQ?hiqBT-!M9ZLrF{U zJ!Ys3tkI2T=>xR_x=;W);LtFySngw^Ja1GS*D$UO*?1;cLS{s!xFqwM*5pO*a4??2UJQt#WJ z0Zxvybx4g8{71?T&()%v(_W>250%*1Zzs_1_!7KGdq2Spaxrj%tu?Zb^Uiz5DmqkT z{fH`Zs&hi>?3aGCx7hdPJEqRD#6$lVPl~ga1b+o53;j24t@VDBF}TRgkw$HaR+rCM zohjU{i4t7?v?fvm-hlYo@_14zLt&dss9l17UF>P zbN}{W^Xsl5D|E|nOV>j?bkpHG2z!jazz;4W2d%rku?JeeBO)5_xQTM6%kNfW`0zFh z7SSutd*DfS1rYZkb>Qn&_$qy{RIT?lgZ}*qG1-caP&bzUm#joxKZ~on9=u_{5-1{oCcd!StCu=zw>v7Jm)aNGb=Pg51 z?{{a(;+T;ysf#S@7mo{rS>EU@%dNmYYvM;){I6d@pW=&C`CqdXM`Rtf?{6EDwIBRn z#A{!2bUKz_^eZMcXIWM6{uNy%pD&41O90YOKHjU9y11)NUgtx=&3dOjoCzrCrjT6< zf*>^>|9Pr$ZODh}FGQki?xF27M8S|Pt~o>o=_ynsX3Td=IDb0k!CpLXlWWA9#$?oR zg3Pm#dr*s$Y_tLhm<>2YG6{f=qt~yX9x`%d=v?yHFOPnKV}eC$2^)QysJY_r^(SVQ zF*&Qyl1t?IA#;yj10y8>e}j`PR4I)YO_ACee>T+&;jIz*g1Dn_%)4_mLXG(ZDCN0C zg)oW%!2+uAtqv>$UhinJoL531L9m<`r4pD53C55iq&{gb2wL6r3%)+`IY|B|gS4j~ zd)AKwEkR(S=N_eq4|st{P=)VdQk+)Q1z+C;2+r1~^feauL=q`8P5N zv;o)K6V)&IvLfenpw(+3u5S6UV&`;#*KZ)MmhrpW20T(uPliuxL#>JhII);cg--tg zyNHLpP{_`}$=Lv3ts|;m<9AmMcr=^N#LV#ouV+DAWy!9HoUR1Bn1{UJoDL$YKjX&^ zoBs2|ie8`%v0e#rRXY2pkSUx0p@?ad&*KcF)h|=L@aaWACyf9nM$LBC=NVZkv^e0$76Jh<6Un7ZtJDaJAi0K#Ts$syR%yebw^rFvWDC7n6GypS)2fcoc zsNTf?@dnZgrJe_Il_XmWHzxyrRWsmGe)>_w)SchmEFeghwlzt})Sa)5S)dK0K1tXV z-S_bp@njjfHTby51l*ZS zzdhy5&qXWnhBy5uY+BjZ$v%Mf$EqXZYDsnnoq#*8>5`~vU-kw<&dy%aWd!0XzG;(? zDXpoBs3}qQ238IaM7^xAX_1eURX`&ab2|L{+}O+Q{x-7yQ8~trsrZeWewqG*5ec5H zTYVy%Fw~GR)Qx#Wh&MB#zCavQ)b!s+&iAnFJL3uc+}O42I{$4)qln#bPcI9wm;!=+ z0R*pK6;1>Jv;lwf@+t;~zIrEySoxEGR6!vd=SH;AhN)tbZ1XqSux-EX>h)gb1z?QH z6Ks#-TSjFaseI`D#)K%bZ3aQV3@XbD(?Sx)A(ozsq(sC1`J8UitmB2Kl!3QElE#bq z&MFboa!^@3Jtd?z-ieF-n*kZOH^d_Mk)6@JM%4lyR?k8)D!H$}uv1lK@RcMe1S*2S z<^j`b3HSGvqW=!;L*B73&WPAwF-rt3Hh720V$X=`YZt0(Yum z{*fH#^}-O=C1SL!smlwA5=GPrBh;TmV+HGV+h&QUCvXxugrGMQ@z&R%Wc_F>)}QAMme5jadp|j z7oD&-BciG>xGZAiSu&o)NjZwf%z#lpAQ@r+T+T9Nz_4HSe(@90Cdg**AGxEQBZ&e> zXQM3|7L2YRFtjHSGg|YkHe+rC;G?$hm6!@?x!?!6!+-0zMl>4)@O!Rpl2O|e(Ip%j z#E08JK1vpOm9`bMVCy?aq7|%iO#G|3vNB&MA7dmcu4|^Md+);PvZ>u4WB+{qm1VVQwd={vg zghkd*P0d0@nHo9{>i`NjmUyrTg^EDLy)20dCUL3)kTN@Yh4PFb-x;3chHjUA{)V2x ze%fjVkoJqVi@SBnhMd^`fNCvd6G9J*${%s)AIl3-H5n3lEL(O0?P`d6yagh7bWkkM z>tC{PP1S7r$i2J^&M#cdq$qS_71frOM- z?xJHp;vye<{l+C;ewGcyaZ9%NEhD;9S;}t+S6+B(Nm+U+2XAiy|Er%SwXUs`XPK;2 z2mD}!a6{i1{`8T=dGjqeQaUND@X$+*GL@E&C(H|R&X>23x@uELyMiUlI(()&T@>Up z=k+^DPYlPeu224EKd_mTK}XFLM)XMcF@1HY;EwpL20=yrP;Jc;zOL|49qw;biB*zA zS}F?*(Ks5@82!IGD{nln$C9BAJ(WeP4=$!h32KnTcnsa#qcU-lXs`QzUEsb#O~e;L zCc4ibjx4-lL4>d9yFnDw--hC*N62$U_&aK&%|NCzTQz-+{`Ek!9je<*QABrV0%$I3 zUasn??;j!fcR&K+Q2=t!eE$L6J=Z`N`c~qkX2_Ii5lQ*AshN^WUH+9zh)*3!dDif_ z4uR1Bb4Bj|S)v=L?IybV+}oH$^f)Y8VK3=CD?dqy{B-ei8{CO_lJn)cg$koHU@B&Z z#-?V&Q!VFL9j2kK{{C+PjC}Yc%Ou#Brhw@MAM?&5+eufl#eq~mLoO1D^0xqs#Bn5& zn}?r@1^(O^1Yw5<{>&HzaEFJYwzZHKP3%?p{@Vw)w~ANxO1dwBV%v)=2LG%j;xzCA zhFqPT|)4D~smuTxe60S{wL6zuU<+;_x7UYBLl8lyZ^ zlq2B`pNj$z!3Uf~fPcQs6CQA7C72qs5+$hNm+1DAouUme%}avEIzI4UbbZM-``$&r zvlt2rN&w8;e5A(AE}BvMK2l}yQ&?vhM?zrBge}4XTD;s)zuplUCmHe~RNe zpAp0%)hVI)6-Wq7%ZNcE5(2UtViA~Cko;ug1F~Vj_^%MfLrgjANper76GI9GH3(DT ztU~`oR2TkRej+gvJmnWqLtOs(FRh9+NE9`+gM+8`PsHfl<#$#UmH@qPt)52$FjV1< zZ>WtIeJoz&{*Xt~%`@AZE1cg6{+O!+9|v;Hzl2gZtz?CFk+56iIqSUfHxRKyPuaK^ zOp>T6RQpKvI#&<73*C6mR52~=TyQ42X$~No<18OEb_G6|_mLtcza4~W;3{ZSUxOOQ zveUVvVyA01)ns^zaa@w$*na>N2v>2yCjbf?%`BAWN?GXiB{DFyZ@2pvbFVj4#3#9S zmGx_~Gt`^AWC%Zt7&ug|S>&ZXWK$wq0)kgCLvEEw83Gq#YWtfxs; z3XfPmM6>>$l^YjzlZ@`|AQ9WyM9R0ZhLUe?0;O2j@I$$z;fHn$?_lt_ynJQ#CVxfo zrk8j2rFhHsiTAk`weq=z_``?9JCZF!mFe=nY;03_Bi3OX`%LP?DF_v>lT+2ElO=mo zc1Pi51sJFrFX}%->iUUZ+M%OjYxviI(Xc3{=BB2>x5>WyPO7bJq1So>oJ=ND4LhtG zkq(l?it{e?fLNa-R8^YLi44&_Hl#zmUmdB2E_AsHaplHxM(T`uL5vo65JEAs0vk^? z+&*y-6@dj1Nt50rzyy2y2}`v9iX6sg!36ECValy15p%zsPIyuyE<9^c7nVO%h$#dQdVoUU_n?doZe&}G|W-*d$kkzJV@Xu zySxlH45eYs(CmD6`+n?>&>lJ}s!6HkBNYTANRSWAN+}i(Dyr%0GmOgPag9{|{$MvE zEj3m$m?#}gP2QGTeG2tr$z`;XtKMO>du_k4ct77MCgvBqp7cZ7(z_J?Cd+dBjhFc8`|w=MnsL&*F;xNj1~qZ3Z<1I62>QQey4Pt=asK6XRL^@ z#2J9Evct#}%-Y=^y zjB0Nv*P1H}<{29;Xj7Fvs+(C z`Jc{F>is+8;VzP;JeHTOI7D^(&%TgnMg&oRgN^0qRo|J)o|=X;Q4t8%ze25wb-UKx zddIW87-a`T!SpShB?@$sKO4&F1tSxpXKE%=l>|nHfb>%{Z$1cX`t~s?`YVlE$6vYm z1xCqUkL09%#Bfc5xDgTFIZ7Basf`TN@!rOxz4&T>eWVx@OabqcAGg}-H(TiDT6nlT z49@u8RL0CJ5CF_CxEXa-c>#@B?!6YGixro>gVFTAd%NO#2CN=Je}bF^fe!{F;rj(l zVQB1JLm9@s?NCj^d6$}gbociU7w%Ms?%c%qUc(le)j%IZ5b@GC_rMMgrP+rJ9%Wq! z@4d}%2TkFBDYrf7A+BGCJjU0rEL7`WrYS`D5fV0LHgkb|H; zu8%z$U{{ij~$RX(xi&Sjz&>i1y8x7hw{at=}`Nhf^e;Rst zpuy~W!HH<1iRiqEXoDY!QTDL#eS)4fh zOCN-HBXTYap>tM3ZIA#x_RdA}eMgag)I)P^>!9`?Sl2qtTPLRd4C7(<@#w7-f-Z>f z?layY>Y{mpdpp22SAIrSNp|gjA={)Mj}=rlSbQtn`p-;q>`(bw)nC9rJ{cW4u?N75 zT8GFD@esHjGs2>a$wS_J^~OT=#QJ_?W3NL?u1jpoH7H0{+-N7pM*e{FuF-&2m;97a zMq2?|KzL$>X^}0B{)&ImwyGyJ;1#pdmxL09a|6G*f_QF3q;0fo+voBJGLrSKwR~7zN4> ziPs+v#Wc9C+to`HQz7$-93`UdZE;!G)!!AbeI()YZ#fMfT<|XA!R4dv{MTEeQ|7nW z!2FEm@qH1FKIwsUB!54tG2FA74&>}>hq!~1pFx1jp7ny0{dv9pq;v8L8tRi?THXwY z))h6G7(Qje;)q`d=~>ZYFcDfe@({}LjE*z_l*qTjv{H5xdQw=JY;_ zOj#KrJ^SfLYC%;jz5u3cf@L28i>jdgji&AK%DvEL$wX{ZGWX)SXz`Efc^qvXPA zsPEDTz78*S(Z2L!a#DkZp5ZS!Lp$Dlo~;yYIjSsZ`38GfMmH1qoXIu(kBn)=)O&9h zLV&4|$8g9qJpy>zhJMicpCFH4(dXdaeboDH#=}qJ(Of_|lszzv!vVdr(PJX-D3Gfa z@)G|mEfCW0T_OKoLNe~d{J{+QlNs`7GvppXvaP=_U5L86=WAyMU*X$Z$@M59D}bPo za=PJG0)n|Ne$l36+{*+wLROMsdL`pNfN)r6-HW(ix)^YZ@SqfkE6Wk_RX?7}p1p3z zbW1xSf}~A^PXvl5p-p(=W^`=zBpfUJ9GQzk8J+|zXT(iwhDImL{ry!{AYx7EDJj}% z_<xeLR0nN^d3;dsX-~Gl77WF1W2-PC{e@72(3Y@r7BsyHj*sGRkb+IbCVGP zz&Q;FgR74kg{(~xqP1sT3Pe+7%nVs?hMaDOOaPMIKO0hnXr|qi0?`_@*;vo>jEFOg zi07v$q8WdSgp6)XUGBXA5ROVbu{X4F5YMsKqYmA7$H6mV{jL_QhIv`BTm@6zWuTQQ?Yw;U_NIE5I%Dl-a{n}$bINBh@+Vw+ApNs}I06@M$GDh>Z_KujaxI}#IH!McH< z@1|h_i1R(EPPCsi`~5&dqL&_8O+N-CyDRTV5u&MbCm^O~|2##ErpqrZ#QYMNY<+*5 zCPl01PsviWCh_1N1B&5%z5lCAC4W+B&@A=jEA z*8{?B`pqLl8`eywUdG*EmUJT^Cda$U0&}wk<`%O$w_1q#6foKSaGMcvyAkneAPk=O z88hUwX2|Evkk6YTUobNii zVnp0+MBD>}!Dgpm8!dZio`xaCL0+z&Sn8TsAHGYmFSzs0jnc>9C{>fS@nqPZVf1U1eOooocS= zWI$+R+%O-1#_+m9{I>d#rbj3_o+8c-P|rz$1PI49Lq36)McjBjB)D4R6CjWq3JH*p zM1I#N0b(JgfWXen!gQ-b4z)}gZQG1FwnBFi^WhYAj!^9*rl+GzsfqqoZ;^i zP7~p6p{@EULUwc*k<{b@5txnVkA=6#YmGf;?w6TBNs&r8)4eXCn#i7vg_I;!B2o?* z<>S?Sh&Ia^w}MF2_-?cPY%Saw+!sX#ytv1{GvSF$3jNDh6<4xP$uBCoO}(TVe@2 zRPiCb?+I=mr!_rUA*$~5lF&+*4ONoSf*pQ-diwe-n0kFXFLqr^P5!qq9+gh`&CUh4!*!bcA;`Z8 zdB&QNZ114KP*a@41IZR5X_^>V(`dGI{F$Zyu_z82UKXAO&-fhyCg!}3)-a-+8k&vi_rFf_VNhrV$d#&&@KWE>s!Aate0)PM$&FZnzUCT zzBYmz0qwd7Z4GGGM`)`-yCFh56|@^Q8tsUBT-LP`Weu5rx0Zg#BB$9Os^0n3^*PA- zq||>@OP{vL=>aL9wtOn;XWRgd@m`t7)1V@cYc5faG7;lCFqBtx6%R$qZf4Vz)|(FPA?p%!Q@tMmAJ`Tw!1u)5s_CHXW1Xf#+{gHIxINbVS@q+5=46!@Wg#D0 z5SuTCP33-8qJC=LV7=Ujv#DS1gC)w#;^+2UR=HlL>&5r0^|GY&<0OXT{6HVCMBN9v z&Z52p-_P^4`Tqw10RR7Z0i;)XY!p=(f5TnMRqnGE#7dz^L8SDcFgrWDJ7s5PnVCgu zh@(=VU{WC12nk9EL4q+66k>=+Fu~FUO^k{Xm0$t_kr?oZNBDz84IZe(#6Jv2@cVr; zZ?@Y)Tf!u7-p>1e?|a|q`wyudW8`uK3N#<5zQAey*K$ zG~G`a=^ikBFpue??wD$}nXxV1^12syZXW0A+j3T2*GO7sk9at4=Zt zj=tBB(YvzgydE%wk6c~z4BP5n>&fB(NwxO8vy~<>iUzhnY^39w>co9hwbZ2UfF^p; z7$Np5LR|ZH&M!2Hi8KIL`7l4@`G!StW|21yGm|E2@KlQV^uymcnLmfq8@nvkB%GD7 zeKQ%H0P=j1q4(bUm-pgpG!0-8929sN-HPj$X6P=6{8TaTQ|5v!K8Mi&-f*>)ZmM~l z`-tU4edWSmgMpM%sBY5AmjZfLixPVOIq(8!m6Rffbv?)TVmS>T5Q7MR`Pw_JG>I`Z z*e(%o;Nz;Nf-26@=*6NQo>_xH6GLfWgPnob{H3~vRq-7ec?wH1eP!DT`iMBYDckV^ z3qsrW#;)NIDQy{302Y$75m_6n{M3rEH22$oy$zqzaukJz*T5EG6kdurNQa+ZJ3)sG z6KFtKRF%%22VRs?O&TYf=+~P&%g3cRE0aG4$Woz6ap1F0!5_P(=x$dLP>Z z|L3B`wlqigkn!!s9m3H%^lZ~1fMrtVg*OOXe5Nw?^VcVCgR`akxHO=QFb=PQWKJPI zxK!N;af@j{v(SA}M}Wuum>q8*dhm>7!;h;vatiyTMbr$793-2oJ7|84N1YhM5%u*J zYa^DVNcp*TjwmrPlHPCcyL1&esb;<@G*+*m?geHqJ(q{!b`B2wjOGNm_Q}?h-_!Sa z8qhQ8*x5j2F2q40R7Qz%qR)Evbj3}YrEV}r&LJT*&JiSbZ(unfH`qpUgs1|Rwz%|% zC)*qARz@ZU!P8H?Mn8&Li=Ia{kiDkk7G);KPr=TsmDncF&$V3=C3H5*j7~Wy#Ko4i z{eYH6=z#W98P!Vxz)xR3VfDoi--qn7MCSG|2+U{kh3fIb_v*1Uf(A^%Z9ul{pc8?| z46Z>xwN#BqC`!4hxTsvzgW67%pgfi_dZF^nY{(!p-ZQ8t21unmLi8qtoA9Cl@g}^fs zMtDhqSiSjd<>-$e0Vw4NuS8LyM-3S-meIRX{5%W$(89S=1eYfz#VaSa|I?QUq9~v0 zMvOq5!09#M&~sPtC988TqI{3yMfX5t$qiuqaH%>@2IC zkFPk5B_4%|=DTUCueI0^RnhVceYtuvxFPFw*-<^c7K?$ml738e^}3SWUm1%lW7)P% ztH2$J&)j4627$pC)j!Nqdatyzn%9{vF==pOr92&Jfou)cYV$zQ9`_{rtcnr z)-Kz-NsZ4=4zk<5A3AidJNJXvGe6w*ImMjhFMYb>;Jc(5Y-GAg-_w_Qe$+*R s?hDQNZ$y^OdUp2ys77E*2eeYcm1K0|-)vDNGf+l;bk&b@0zX71dT z`9wUGYasyv0ad{O|Fn)jAK@RbYV6=>Zfirx#6r(V&qOHfY;I*l$jrh_$jHvX#LU3V z$izaZWbEK#ZfHy>uWx8c$V8|lNvLLQKqzWt?({Qqdu8N?3b{dmF@>b&_d_u?w@e5Otc|KqD&4{!z_*9TXkcTYuW0rXe(cKjU72I?n_&obPI}G~5 zlD-?$(rN?p9w1{H=MiXqZXgrypUp7OpTkn_La967cb^GAG?iG^kBePhxG$}HM4Cuj zOiWFiZ7f-&29q6U(m>2D-lxs8SR0&=H)8y#(nXOL!{vhb*TjL(-FsDfS- z)8}s7tB&wrA{82B^gUArYyxB(Y=g?hDJfC6tiaXwr?=@I{x|f-ojaP69z?XCK4~G)ZJ~+}=?oSK|8B zL-UC8A}YOHrs0Cv6meNA?)B25v z20x?$c^Rn=808|#Ht*>+mZ7@UPFk?uSv! z76hE~hxadWB|PsGHJQfb!^s?nbjwWTiAtKeje_R>^B_gwk}!$rlyvf%fA!S;&eyyZ zVx{noUK3&D!l{11|4*;~0*BVXx*}Smw(c#}i`D-#&-*k;rqm-~vXfdX#z}{wSf$&n z5@0r5jN8CVSK~H6E~(iK4$r!dXZCia)k8~X;UE0)E$pWAs4b31UX$j!lsD*X>d)#~ zGDn&9P|2*4T{NV4bGiZ%d*cIg8ME2onvH!!#d6I!^Uh=@&>y?TOj`|ZwsNNi$bIlH zM6lbU@-2dc|4p!?&|l0k(g`=jU0?%!%l$+vq!* zZocQaXB;c*5xT>XmcR?sqW6&%GE`OnQxjx0IH&I!Aj4gy8TYhZR=UD#PQ7U^N`}kC zT~qhAFe$0g3kKJ$nP>K4s>Me`a}flF>s$R-O+_|L*}#J}%eA}hhSC*i>(lS&)<%Bm zf;x!#hH+*0WOAv#l!vrRfRxAoy#fD!zcsBZjJEqs%Cko(AEL#ytbpPDQ(6PyxN)!n zgnw&^Ash20uWq0-k_^mmcVW9{d9t|e3+qd+= zX>Iy{H~M54WD2dtkic(ya{#_i`#jSn_T{7CB|q=OiztVJ)Azi&p)Ng>gTJdZ;>B4+ zg;e0zPoU6yvJlCg1Pd5JRYB^37WY0VAxBGdC{23f&C9!{~%ff0WTOW<3 zC^nyzBe+uvgca~pAP9Y-XN}cj{$MJ7nR{U;z88oC6Xn>qJXHKP3P4KqLOD*K+3V3+ zzR%1}mzT@XenPh~K+Fja0`~T~`}fpW5;sIav=&*v4}PgXss!mHspvyKe>{wKd#9An zKVjxP1k?F4NP3(5?i<1ErRPtpw3ST|WOZTsYHC8R|4{iseo~?JllVZ`2ky z#MIXwMsY0?S4`V{+cJ7T!rY9JGx%T*IgcO1dKd@E+9%glMsX`mKy+Fu@{H7+fcb@J zVEzVk+Q{Y|aw=wld0^<4d>^{A5Ssc0=XNS4{f%wj9C|vyw#ADVmLwoR7rhrZ-55W^ zPSZ)1<1@ni1neDa9#tg|f0;2sr;R&=Ms+CsPn;6f9RMu;c=vAJ~>6Yxica8Eo{r(Fk z?3MYgwklrw5+rLkiKvGOMKKD=>xiQtNI90kYKhz#U4j1{-8+=OP{s@ot?`sq&Uw5z zFBr-&r@6>0XC7p&H>e$@G6v%}W}m4KA8JWU8o_Z9b0$(46e%bggyzr-rHwi|sn?%t z|2{cU@H4}ubRQ<=SUWCadb`q=xZL4!bErYK&hAdP!in5%GE%YAL~=Hj1Aq zdjq@n%lCFRIOiQAmQyJPIJ=iv=Lz+&=#|p^YxEicd>kLC|}g0(c6{aWS+B-%_Xu$x*-$&j&WxF2;KT|KU@s#w(PSt?KC+gIK?s%i2pA~TXc-l<0mBM+v&U63gP zflQWH8JQh`_uD#FIAKHr92_;=7`4q>3?(7P1*DQ0r~@@Jq0CH}uJ!!3#1$&1FEjFR zMMy2KbcA>CORaqO^ASn@XR)WiPdf>2GlFWN>)5y5B*>a?yUCo*NWoOoTPknv`68g+?9wjD9dBb@Z|=Sp|GJ&8 zFUy^%2Jc`v2GhyJNcH)?I~i2xktS`6w4^QeD^Wr%Iil&E7UYBU_| z`}Jew{=(3KprV6ws6Qb`j+0(p$_50WcC5HhI^>8w{&otsgZ1jS$U)1Am}1u8$t- zlRiBxzta8-__=zLA#K3Sx7-Lr{P<5sO<#_BgyCy!qMSCy7wOyArP7sDn7|p-cY12m zpCMi8xB{UUj$mA4a+@Td1*oI^kDkED2l+mun}LPU{3xC9<9dkssCWG47G6wQ?A<5! z|5ik);=Dfw9Z%+1ViYX&61OD|w_6}DyWt!M#L8lzzb5_#NIdNSql|01V6Kd3#%b+)TJ;3+O+ab z#-(=sa@T=I8PhZOM6+J^mzgb%h@4Wv&wCZ&A>LMmsc9RnE^`Bm%i8L6;nGz#C^VX7 zw#|lWXTv4DlnN|rZKv@=P0bs$EN7D807scYb-V6xOqgjdB*Q*;3Ikfs=igD_$2nnj zJGiJ!=$WC!e?r?%N&woZ9+@KF_tl1I{m_=+vG+&?BGGTFVVHQlvft3MtG^-nyZYm5 zatlJdz&}|JXikcW^n@7TUZJ_oN7ZJZ*(?_Cjs-M5ln4)IjM#Q(c! z?M6jA*Lzkjog?#wA}~FnZBt8dJq&uQsI(NZ8haS0-xC!Td*&b$CKte8Y*vnx;xGOOtyM^*Sf?SQ zrODK#m#xtDBp$SOMw|i?jXP?`PvC_>SzSjk7+yp`L*E)0je8Pn4Eh|VbXLD!LUpyp z4;s&;%qTb-T=|5ti;U(%@2BUzL4AL*WAIxhbx1JN%$UTkPH7+s<#|a3;swR&el%nc z(k~aR{W33j5w@fm{lTI;F4#=Ip6rBl@zT(R~ZLWfZB9%9!d?&d$&S0qqF(kqba{-S?P4}R&lVKAAFt4d`PX*L*! zU5i*_Hli^ZkE@cBf_GX04h&vB(KFoFj=~^tHQYzpw}hb=bZ^z*;WyBuIScgj{B^Dt ztJ2)E?ij2&G9-Xmu@Kzq7C_ZXE!sjLGHtPv*;LJSuH*RRJhgU`-q2$?ZL*RHs^bWc zet57`f?!R5REry9#DZ>=I~+hTK~(V4+%!Xba0Zs6Xmv$45!8x^O*yy!2&T5*6T^#v zPv7IG^7U-UWyBfv^Pf>Rrkcv)DXgKCjFU;m$?*)H{JpHBn{dC7mFb|K*2GddSHyqf zP!jmc557g($QXQOKE7f{QquuVTTH4tpu%iS#SV_H4Z1p)EM?%0t0)8Js(HK}`l=~{ zDXqEYUc^miIUD}8ZfC?{G>l2X$xy5@_huSicj-#TsiTRc!pY8|E4Y6Airv*H37&+s zX#A@AKhXfqPsHx_6B)An2m4P1%JU!0{U>S=mQ32Tz8|H=D^5(L?pQxTQi-XiZq+?r zzWUA6oVO{0txFu6<5P<9`*FrpI0tTMw_?+t>#V4b3-*$gJ#;s1_=s`vp<{sapX}L0 zbvEGw=o)H`notMn^El_6EP9hnuktK2a6+KY!}IonGx<6XyUaR}%>{!TDbd{D)SU9MS zja8?a&IN0|b*j2XDR&-8a=#8Eoa+E904`yGjG9#dRw#oVZM|aWfb)3t-nvcx7>pTp z3YoJ2+JJ zNq%|%BqPjI(ay#PiE|-Qd_J>WoaF$eR|1qDLtyxp0H0{W`A^$)F27JO;jd+^k-$F= zHzF|J;eSz!lfc!_jie)V@2s{RQiz6dYm!yyW_OdsKdN!cFkj7 z$t-W{%{stcx0E&Z#s={F#mf8S5bAZQZ?ao%1OavM7-7DU|FwWMe1@P{!t0AuW^a?1 zuF-dCYK7RV?`sI6Eb`lK9;HRM!`b$i>-i?))p8rrMwP8dtJX@0T}w9Ht_>be|K=N8 zP=~YSubC^AX_j#ss1y$Lc5Z*aj&+^h%}e^7D;Eqq*AAH0j!p25%`1>>Yu8k6Sl&DP zd-jQww{qg%_A>pA>lCtVNPRSrTbn;jS67Z0*UO^*FLn(pI-MBsms1CksAROO60X9%QNDC4nO)Wg|w9VNsQuj)q=o$P_iocolZhV{-Fq3O2 zYW^^P8#alkI66!dRxe~F4H_=922JD$B&?pa;|5J?HsV(=MDt>bSi))U=}nZkbrT!NRBPY?&TlhQgMD@? zgKTvw>&J42uso|p45hd(Y^OClH{zEzA7I#MBCz0NWjhQg>=yiCl6it+P0kaicmQVS44b9N~Qd&M}QnQp?Pg<-wq{{zkZKqJgQka^S(^y@!Rm!0v> zu3{$!aqBmbHvzaF*%C6FW+~@#?iM|2XX>aZ#Sl`ba_KLz8;Andm`#8LW(H~c+?BNT zdYv(~HuXu(1)c(2ihY3p`!RQ5KaGezmnunpcB_w0 zx*}MJekVOoY(as}8N%P!@^IJSq}n(TB$ncbZvzs}|Keux*!L^T92?bDr$=H{^gJn1m3_MpCA7Cj2> zE*RU(G0UF5bg(?HN4dYZJo8AvOy*Vt5hQm7fivokpf4K}5R6^!M7rG!2<}M}aB3M4 zB)3hj`ox@TU>Y3;RviW01b1 zc8W-wKgQ`MZZB6qNAIIs8Ct|D1v|>k?XwZn-JDimwK|H@K&KBexbF zj1O%nERw->%#D+Z6MDaUp0ygmMSmX3e{g9qF_Dp}d0bBHw+FD!mE77q6p@ZMntuzA z#qVj;rLAX3Y8P-XAm`_}(lpgyVds=6C>uRBN&`ZU+kc+(&5c`xUI&?u9oGn5w zuWy^ruJt#)JG5}F0IH^P& z;R`c=n99}YRdjJ(-@|l)kk(fB@Z7t-cC4;&+7YxT?zbOYLx9ryONE^TVOgUa$l}{C z{Pt#2_%@X(!pkR0zV1HA!08iU(!|c#X5yB6{X&iYpb!_ypMzSzJN@Avp%y7LkF~rn zKb%0@1WS4e%K7ncWH1K!{TE2UPEP2ma>HcG0`W$ECVF6A`^-h}Z3GR@oAGZZ$(6s8 z_()M|U(Z}V2#HJ{-ow0&(AFMN%jDBKCK>e?_Q-Cri*D|UR(F6q4xuig~5`$QV3p%LP_)XA;xJAN?(O_%u6She%*tL1Ak`TGvb*^ z&e)CsFw~G^>7a%WE66&pvIfNcuV*9-iv+D%1oZ?vE;M>eb?|Ocu9T#vJR)1G?1m3i zBA*acC_>GBSHR55XZHwAylQg$AZsM&Ho$|No8dr80kS7pKC5s@&SJ&h0R0@z?pLpJ zOGfW+O>Nc8+S27a--@;fS02Aaw4KEN1kl7VzUV`TyOBe@$Lq%WEUkWL>gEd&T;vpv zJ$m zt4sSCk}tPn#x|Fs>cPD+sng#7ro*_tROh>MW9a3owLOWBgvw2z5sm=@*B6b1#hKT_ zcJv*QycR^k_=;n59~n<;%?Ymo5A}q1~D(-y%l19gj*cMo?o}yL|?9h zh$$y>F%a`>4Ads+pT!HgF_g!i9xu0m5n?$lZo-J0Pn#HNK>M2 z{99k*VlBn5VD_pIi<5p&Vg@wvip`r)W3NX9Oq)%|Pz*lUm%uxYJd z+d^;Q%%9eUp2lxl;skUHuEgF^(!NT?0zg|O1@R0!W~N;ElFLv)w7e?|DKfibN?K3>)q>t+|Jwdn8)JMR<||lp{i12)IV~ zKu0bRdLOX{Li_fA!7QDB8}|?xed^+`OgPJMRZqCfOo2Xte<KNeFnWiAizK@*`-W#;3Pn_tYNt=vv(w!{Rm)F`Q6_ln7eOIGCNil2PtpItZQPE5( zn;6Td;U8X|hYs9Azal8>eN_klY?Bpr3^y0qv@!4%2v%6p8#$NXBb&>_%*8gyOwPENxU;`474e%uevHB3g2baCEjrVcBFb2AX znSIwX;w_{QW0NqTRBE%?M3qP*4B)Pz&QA`NA1ACGJMI$6+E?NJ$^<2ww^| z!q|#5&Z=A?YXqK`OP9_zNBjb2-i~^K33Inyb&OlK{UVlw5dd7lC*J=R$3+Mc_m~-u z<;c#AeL)FZy1hvoy@%(*PY=CSdO8ZW!G}`ubf52MJ*4KgmF<1k;dSOn?l&1y1O0~G zksJJ}M+rW^OWTY{BjkTsz5md+|A#$$%&+6V<}m&sG~z+nDnlgt~z* zfnl$?pUz~=4C#Umu3to`D5Q&iwg*d6+_S^gn)0w ze3(PVU4CJ`@m~YYuQ0l%>Ewdz!hc1!Uwo_iJs0WRwU>Ki`7xZ<$GG~rCJaxc^kkMF z>Nr!{Z))r~)asb6z9;4C{GFxzg#K{jyBeZ0ru9Yh;b9rqjjqSTGOiI?dy1-G$&X_2 z5>EW(#k6pi$b2@MvR4S1yv5MQxGXcK7wK$6u=Lmjs=N{!GQYqLr#r z>X;S5@^%L-2K|_#$`qomL|5TIWC(E*{OGgk>1+HPT@4H7wjvGQ^a<+!zM`rOl&x4h zy*x3iv!^?1YsoM1jO0rYGrX9vuGS`vm14PWd}ekoO{?E_FU55&Ct@y5t*C_r{fLk%f!^93sl~E>%`{7(fV6+)*Qd5p-Lh-Qt2Rq0I}K zZ|F2MDeexf5r`z3{~RV2Pxf5sXtg#zz1Wdx(9jG~_427kp!{qKx>Bz&ug!bTka)O}B99-5mCPG8lPs!O82J`TRo+ZB1HHWi zs{ntidUSHC;qHpJ)psgJ%sO)e+AeuC9eHF|c{v=T+`*Vn*ZsYci^ghHO|(7(tNc$-*M|QZ zH}~|c;aYKMF?y9~*usaXk_&(y(x8;JyoW>7GByQtcdIt=Z|YUSDr+^V7Hye5ICDoY zPf8c?G4{?F4TI9`$SkuQXYw?lp^vNeEQHujx@bmocgz1We>{8G*^&PK>sz8@x$^!X z<{-+}{`Mk!+(y?K&8X(RTC|0qidES%3-_pLT)VZRhhxRTy^v(lG?#O->jvJ}0q01Q zYCh=%xJ1V-RkD{r_>4Z9 zw9>2Ew1IzE%E;>W*#5iy*Ee|EAR3Z7wk!-(h0wwY;Db*UBvV)$WxIZdlswxwD5Jjv_zGb`;+lZN>t8xEb5ZHZ!9 znX5_0XVZ~W7qb>Zv{M~B#!Xxw*58RIyb(9O_x-M5Q=r4G)UKFY4+O1$XIr3CUu&nQ znpJbcwruCoU$QXekB?1|7J*4`leCG5LQR=0ew+~FYWhd;UoK5doa)!g=PI0TzK*#) z?CW}EaEm+(3(=}gx@!F@laib+{ox!d_YgFJOKER^^$crOM^NR>WoKN{Q*|p+O-%p| zd6te2#`5ze47@E(-kv21+s58aYvY0?%W64Q&ukT0CRH^o>w4BL7E7u{e0-}4+!g8) z2nfV&?HoTZHZszUb~kJ0`gzlny@I|tU9%R8#Uc&o^GT*svG97b=~@a+zRgNCs*sQX z@2s;6=?->2#`Qkh?KB%_%}d$-26wN8cQsA~0%C~_97q$3q_y!2rxNy`vsno%JJU>) zq*=hUT8XfXeK}jDLUY2K>Gf!6TMfFT#gdig;n?2O1NUq$jR3pXx)_VZ5;mYj;_bjh zGiohVG>>hyXsT7^(ZI!`Ua@R)&XVNOV2l2$NwS8(DmN(-?9|LK#h+RXL33%L*TBNV z!nwI^Bd4Z$nKtcI#mBjcUm04HzYK{{6w05-;9bnMaE6n5HQ?V-!!2K>3)C46Jw=|~{en-@)Q0^^^{*wG@I2)HZchoOr-lO3O?(K73z z|F{UO^a;EFuBj#Y9@`n2h?Q7ntFfkiw~+mY^zOsv&G|M$^v*0|Fm};OUV2W%dO=l^ z(77AGxRgr4YTRWhdo(`9x{gfytv5K4@YtTHR73k7FHn=oW^!9kI}@Yf)y!>u$etD8 z@lD-CQ129B6wy>EXM)veIFtPQ`?r_0d-nm7xt2z9PTl7N21kxW4M&9@I<;rs$0B=$ z4h$8{TT;f>82?K|YK^XD>Gi7Y_~adi)~hgJzRJ7aX_KJcX*~oqYlfX4eI7&TyB=cZ zSOCi_h5O!%q=xncp^ojk0vZX&ncUfc2egf@{`G?*FjX$F?Rr~j#AvxwJk8x0<{r3WS3>M2m;>w^{Q#w}ox|Jbw3$}j6fFg-5^dr2&gdxVu!$(PX1)F|UfNbj zXT8n&!t2ik0ZZp~@K@iyiTqO+exbM70mVeXqqBwypt4cw6s1V+x1%^0{`jqYOsE6z z4S$>iJ>_x0|F79`SLMP;bQJpE=3VO6yTu_Z)72`dO8EvsB;vjC4FQ4UUV1={aL_=G zWp(D0H)m>;QltueBEWmz`FXGUU(jT3)C_@f68NXIA1q+Y(nDjb3*x=x!cciLtx z4Z-PF=^7Fs;6d-}3Gt<?lr{AlE^0oMLmX z#odj@<#Ci$^lY7-?Y>XMIb1X`Ni$LLQ+elGvXVy2<$1C#SJUU;*`jry)fF%I=4qS- zcjNbVxTm+l3M%HKy9f6%`um;3hUd#zr5R&*V9w&YxJ53?oI&ef-ZKuH}=0 zGd0C;in=&I=luB^twm$&o@6r_+!|BL+Vk(sxuP}t%UWBRm(W@fm)EH^!VCNcbBs8E zwsyTZ$XZ?2)AUtFqucI%HWWlalK)ut{m-Fj^4D)*6CzHk-*B`J?< z<}&xiuNDfxsDtbKX7()2bPu!h$L~Aah8qfNtdyLMNYhuvce%nI8G&xI#rxMEiQ}8- z6G6l32>JIcZS2J}fBMo-wjl3@bQI1f{Vj{pY!Y2y4hYBu$Kh4J*-P#3a`TF*D=0%_Y+^szS~Q_d6-H+ zkC?qzyMe^p3j5c_x}2@_G{V)#vHFXit+~LJphVgBk#F-8LnE(+uj^CYDZ!W=K~Cg| zfOXw<2JL4;b&kyUq0)3L(BbQ|R*Lbv^`~!c3PY3c>P5riBZKnS*YdXNx9|jp1p$t4 zp`INZ`%_l~7YU&q$*8HYwEfU80}6^1(Tn4gFfbup4F{w%CDOI@Y)GFF z#M@Cq0wm<U6um{^U4m? zwL=xEbfPr-hpF;h`hCjTm92tVEyQ=!G%$q&y68Sy^P#&X8D&Qi2ep4=(7NQo2$7Pn zLP~t1q=$gn^8!F4yFy?zeI}AQ>pkAQ zneX%ZM(}jiWf6SbbFkHQPx(*;cFjDiYcZ<}3=oC5Jj~UxnxzM~P7&$=Yjh8MVxFC5 zF{=T!&JgmXGh2rPSPHJ|&+5VfWCNe5XLYS+tHCb40$sq(Ffbd{0$lQhI&d2k-~f-g za9EA80Y^BE>w=!uLhqPnGfZX#ke6!^moBr}#($&%5P)Q$3ym28tC^)>$5w6@EPw(Qpy$`zm-`80h64xa z5L`E!-2w)fLtI|wX4%YcK?ATLE(wG(n9Xb#*tXqVBYD$8*9LnvQ%$*8!2^U%i2NXeE8qDh2%+iBgqCs31Vg0c zAufeybdQQrTUJN-WD{>E0#5 zIZR4g(M0^U6(RmhhJsg<1#o_YE_4l-KJ=TcWxpwK=g~O&1*jpzBRhi-|NXbJIJS`3hB*gc3h3Hv1i zoAFh-=z;Z%{ZEI$vQ;v20|9f7b)BO83X@6gn&HKUnWqZRL zijsp2pz>ZyCA9w-$of=<_o8LLeAuT+xRs2>m%6ke-pI_jX(4~z>Y(^XkG2j?Gb0hO zBIU3lg`2}29c-tE9U3ffq(@ApJ@wv;-Y%05Ya6ty(}xX)z4@&r)3@&u zF9_Zj&km{cY*<4NRU2$1ps30rPP1wtSIJ0YwC+-Dt-V-ybOM5+1k!243p@-YGUEOb zlJZhA`5}wY5|Q);M4#2t^?+^+1Pf%l-e7>q&Wqn-1c4FNC4$kTmbdN&LCd0+;Yv}| z_3PszFPg51fIJ#dLirCpCGhR|5##!8)*9rLX1A8skuGf+HycX~OXWA9V7RlVdR$Pn z@HUp~Ag6GrN~hXsd+sBz051M?AN4x>%yD9pWe(?1(xD<>pz|~$y10tBK$hmoe24OM z{UQ>oJqd#pC$j$?Py`d8J3%|W81Hca?G1MO3mjES8#%=~(ug!BDICWHxd1tXl{mX91(W)E9sAfS%9EjC z<_5L^ppf<%M;8j$BHyzxBa`m5Oc>=pP#V>Vc(}+h%uwTa!a<58q+twd=N`OJI6R8d zAvH97VRvZCMwFr9{9g$_Ov$i*6Qt}69%nk>{jk@3qOZ_=jllq#a>QrY%AN7G{j*gs zCPc)YbqCwxM{O272+YYtiy@~Q)j;}=6{E>jzu@(yq)+gi37|E7?4B1gVvL3EH^{tQ zJ2vXzyGRFEu5s`eKLrM1DOp>rEXLGoP0|nir}c@dkA>(My3jRSacWw|=xOO57I??KgLMF=0^@GHdM%Su{_OlTS+{7=@_z_`VBCS^8KWRu>e;chB ztn4#0PwZ3#Q5?}r!|26zh#KQwq$jy>K3w$jGyDkfB?&0KfAskCkpCxm3PlK_Fq>c% z%8+Mc(Jej;@t{}xeCp5*-Tday?&fRVMx#dizb>!-FSY)EuD6oML1NpFU7y`TZ?j9* zPE8w|bGTai7i{I^pE}7P`NtrBJqV41WG%^uh#h(o>bTtXD({e z2bYV_!aOcE9qXWg9pbgrUx?pA=jSW>L4gZXkBgU)@~H^iN)3L6RrUa~S!hI4x_HDURpk65 zN#Vutctqzs!V^AXsE}fk5}fpyOdB(9hxWYF(bNA0@K>B{+|26pt;G4nOQ}IR^lgkD z7RTn;A_liIakN@A56z$3>g?f&QU%u->lFRL76xaHcm=Eu#G*gkPMCqeiy3Ex7%_~w zV&SPwiCxU|0@ENB|4!Zp%!7l4$q8=rL|YS zO5g0P;zN4H){6yok3|%c@({cTDp-u0P^sC1hInN$lBM~e2X-Ap^r|??QwdUr z`Jg}RUhW^Gkp|IqfNA+3hg(LbLI={Wt_uOd^giI>R=Du_e(qPXVZtAw^i zRWr;`$J`>W#v7HsQhQMFNag#Ewu2)(8IkkD3n=`lD?L4ilzzXd? z6elN_9S0fzHn?OuUMb*O{#mU$tPxEguOZOlSV9#^4>sx#1@3!A(gq&sb;JXkB1Z?XP`Lsm!-BAgo;d@5h=% z5;JsVtt$@!%1i1Kmzju3>k~xdWzpVk*2*jr)tb#7`I+2xyUO}{)mM^L zf2y&5#@<0M9WO4ym0X!~a(NGo5nttM%tBd-ZL*$9LwvDSVPi(5_9FfWi?(;Ea}RiwB_1ywmUa3AeI|b&(yr$j<)(t z)vo&QLmzQl!%cq-`0t)-ICYY!AjHOnS(G;Djz28ene+!fyo6Jvi&E<)#KGYVqL&e$ z-KPk&xBAQM$9n`wQ3P@ z0gB(wg}D&EvBryxle!SDu7z%!r$2~w8Qpa##O6N@R0<*StHu206y)TW-DoA}S8n33 zGzq&^;mcl`^fOb`O$H7Ra!XkyN&$)Ao?2N8{>Z?)yFPt1llh8u3R=$mZY2J~2_xyN zv+**xoK4@_y=^)lS%$U7WNBA!*kkC^Kb_y!tT-GAWo+|EQ(};!YkF8EicN`Q+s|OF z7>t(#8f51aK*GeA03{h(ZIZ6h$tfT!^bmG~T>=X`U~(Bv0P4$0q1socA~}BH{2K}j z5`TU20@PHMa3f=L{p+ON-l5UetlrhYX=#{E1f|O`EmYXcY}HeFu-3=G3+*XrC8kPi zDKeT!KR?m;#fYiL_)x|MQkbc!U_27 z%P$XLR(>))py=4SbzVa{LWDnhu~j(hjBL#|11|@)M^JSK+#QYfJVyQSX^kT6Kn|!n zPW7%{`yr1w91k(lQCZ{uEfxk|`|XoVO>^|vddw}-WEp;xta7|Hlmq6XSlN1syAS!W z3_t?|ppmgv1$`XSQFyUbRs&|mb>H=z6Uqury6TB}D%xeI`OcGmc`x?6w00WFNDTEN z(-5TJ;;lmwoFlq$Hu5dX4V&~vp?LKRnlq99wR92FDXF`8;d85*#m4kNAsBYxI09AyV=m4;(_u^R1b zIq{AfXjIQd@Z+uz5$0+=39o`)Z&w-lX!TYps2cl%H`jwEM-G;Kq zajSkDHa=|Br_mmfqT*KdoCqGHFLadXY3LeJ=sJ-YfG8IH_r^36ccY0FvnjhWt`zf9K{-uT(d~FK`5?eDDM)ydpe#2F5%0>T0QR^y-^aL12y4D zK0dAXl(F)4aUEQRCr31V)WU&20>1!Kw zB)nVmRHx(ZRlG1n04Uf{?g{uk7HEe-?ATM@%D&vQO85z=Ph=(hS6M_rD+XqBCT0jN zZj*iABj=tfP-uCViAnUceWDYl-HVjA;;iN!MLn}2q_W7y3jKjknlL{_P3R`UuXW^i zVE9x>?!WjKe(qtQM|tq60?xg>lfaIgjP(3YK_pk=VjBZ^_OU?lzV6u%;)x5^4SeC- z;7z~W8}S?x@$N8r4(j~uh{rcnd~kuRWS^KG!UcKZ!Vci)XWO@;&&gL};bXHn*}3O= zxSFX=_b!@fJR29u)*I!TV7R8?Us-q#$P|0P>ou`mt{_bI;{7YnYn$y8(Nt}CDV%K)`oTrG=r&+}J`&Qf5)zeI}lL{q+ci1qcW=ELZl`oPWB#uybW~cwi@gTwBs>$Gf0V_yvEpQLVa%0 zh)@gbYq_!mfDLnzc_+a_)Kl4aZvl$Gj%z6dSnUyma?zX_z+)@CtI;X|gY|->5hRjvS&PQ!h3&~Z> zRw4CI7FAPalyaI7^HiZ`?2L)vhxoXL_+9R7_s&Mux{LHw{T8KJJ$qofst(xGQCx9l zgGupC;ci>sz>%hVDlY<6WMv9Sf22~ULyyJOi{u6#GgrGlXf>pX%T@)j>2VcqI<9CU z_7>FHzZV(!Dl}KH%FR{?9{&K97qIYo|65@RQj!ol3nGCw-GEU%g2=H>bK9IPr22KZOVnoIoP*Ey<*$pjkNt{529=Hg>Xs#hPgpiC^J+ z2r^rG%q(`JWO@9GrQxZfmrCSuR$@#T(AJosy0&+;$|wMqNYiuKSddj^_%iO%Wt7Tg zl$7xGvw=t?%mkpsLZ}(Yu5s?3jb@*YcKZP<%DSIJPh`n%LjSA_^h=EFY87XVGs;ur z55=;DOgP=2nNh@&rI!>eM45x1hgO)U5d0U$q52MN70z_`y$Jw`V?Qjzd9Vr39#3o| zt@joeufzV2;pb?x=^@mDhdGPg-Yg>%H>&-J5`#l;=B3}RR+u70R`H`dG7{evIqTfR zCOOjM&A*)1>Q&l0Vwb{|d?)}F(wvBnW}bNWY6K_2FZ?wYv@XGj(h#}4v?Ogx(T5@E z56Wj`s{U2crHjXTr{ARg|4#rw4!`lcI31zY!dGji^qVH*)mFr7tccg9Beb@>4hY*a z<|+rw)#){9Exrbbv_qn%%u|wW(hkOW-d~I*A3%St_xycUsFd*Ef-!wL|Vf9qzY1~Kbl5PRS z=6JU{U_R=Axy`Q5#~j3b9GLumxZR4l!;1I>5Ejq-q#g3FcF3pfkbkp7K5d7529SKa zJsQRNh@-5C$5;{Dfv{Ni6049)?LuB@hg@Tayu}WAHy{~XLfSm_9w*d$olx&{LS5&C zdcPCu15T(9W~2Pb6xU~?v_tRH;3F0{*@be*%DH=G-ip|5MZ6LSUg}yqhR6K)*y+^x zy6juwuafezFMR&3wZ?0$i0dRGd(-><5|MpJ$4x+BO2|Hay8{OXZab_S#p95p*-uw} z3%LAl__h`C9V_CyKtSWXtK{FaL%wgV=PoPa2Uf%nt%x645kIyfequ%Z)Qb3-6>+x} z@pCKU7goeCfw1)MudG6TZAJXXiukQvk>6Q`{K1O&qZM(F6>%>R7Mnc>+i3Yi^JEMu z4)SXKgi7z^`uJ6fJ<*kSZj?R-ze??ywdOM$&EDRjjjJ|JZs@J_KfSkBsSctnHSl@k z%nCG)ok(+YHn=K0)!DqIyFkA;H%Slmda=SV)1q&v>s-3dBK534xst3Dd2NIn*K2 zXb1Pc@lYH`!^_ASK5XxR6Ze(6H`#S@aH)e2GPJKc;NUZzLyKfq@PQyEqKB5|Zu=rCmz9gsZFr%o+YV5s}$= z{8)T@yw=)t_I}wGDJfDZN4nRgRAcPPI7mrT#gMYkAg{8wXrJkFNLIAP?sX1!dA+?G z&#_ljN`Z}1hW){37YCPG?vTYlh@`dHUd#TPxzn^Ybh*qvD>z6gA?dS17k1eNNsq&? zQLEJlYIugJzi~pf(ckQ=)CLBsm0B~po1I@<_=eVh??&J9;#aF`JR;TWdFt^lh1m{K zfW|@!CjJB!&?aW6TFJ!3glA-aK>g{HjzQoZv4kC{_?X`JMi-B>njXVvfi(I;@w^VL zo@`%8nvd(@d!*ZT?A*S2vtx#%>Nk7$&OZV%(>Pu5c|l#Jaiu;?^L8Ue=k;2Zey~i# z{pR^{PSSCWex7%qsr19N^pRTn2rc~}nZ5_SPmyWx`)2xbl-2LqJI}Jk{ITuor{t=< z8LRRlu_=RjonMvfw6wI2Q)v~a;YqWVXYpmxDNir6<#nt}dLjDW0sr`7Pt;~5YpG+5 zgV4@PUDtAx|22$9r4!!Sx#)JdS(85k`L`m^Sk-BZ-wFKlfLC!A{#{x<3WIfksAqM7 z`V_Bg{6<+X7QnGK;eL9N-=x)j6R=~*e*`gJ1RBG$z8gS48T3y|nNL{Z`4|#qC@pZv zSpdfx@02}at-yEc@R;R2R>oM9h&3@P8yeG$}Ozadd zNg6Ng5%RI2Ds-kjdO^#xi)lwaPhGEqtld)X%aDGVCXeN$U1HF7gLbJwn+NUX25lE; zuP|sAgLaugy9hL_Z^L%5UbgW@N&6_$q+N;lCIdGH+RX-SHE16;XsbZ`h(S9Mv|BV9 z?TC7O*0lm<(=z>5E&Zk?PP0E$y$h-9Q;_o^ss9=+eex2ghopSk@;KDbcp5auyJa4C zgNi)PxkNe2M2z#mSYFXpJYtmJF6I1Q#tQe(GJl$Zf4iigB0glfn-NpyC5Z8o zEuU%ZxrOO#kiJgxu%B5@neUU>InbyJ=|>>_R+;A5RP^Jtbf1>4XQZE=LFX|-$;^^- zKVVclu11=A(jLD?nz6FSLgNofdF!Qo&h3;BJBa%BBF~N2cLaIT1pN;=dH}v?0lw!w zBdAN&-BnD5aNO}e5pPFVvZM`4-u+}txgWLY_E&QP`&aI5rPd+$w^GaUe1rRUdF851 z*MnPiif)UaAh8^~`})UcyIE(Rj#unQ{r0sz%KEzZ!T%2c0RR7Z0i;)Zh!s^BKa;Or z*Vp=fZmZem>YA&W>ppzUojdpLtaoOvGjq)iX)0G;4OYdL6f6-jF@m&|kRBM=x?n+6 zmQ-S3sUV>}kitKN3VT>d&>x|OeZOz!%-z}5-4zGUoIB_Ho$q|_?_5_rDEbN^HvYEd zE1E%TtN7Mb3k?94~*)gVzdt$2DX4bZJ%j;R(IWf*P zx8r!!$q*{00 z*+!EXMFZO(F)~R_b&|fRT54K%Koj@S7%uiHLR|lD&d)T7i8KIL`7l50`G!StW|21y zGn*l5@H{N$(+_{sWd0mZZ|t&ElW9jUPnipH_#8$9c*E7|byJOT?kiRh_0@}i4hB+6p}J`+E(P?QFG}hC$H0r6 zRZ@yP*7Y3UOXM}I5Q7MR<@&pAG>I`Z*e(%o;FGGSf-26@xQ9hOGP4$eCWg|$20II{ z`O9<-tKtF~c`{2feO3EO`iMBY^|s>$7KFAPja|(lQr0@A5G*8TBeFJD`Kb|OY3_gE zMms*Gu!Zh{UOCeVPes487O54eh`=Pbihip4adO#1iD6CTYn+}uV)W|&a$ zpE5*DCAz)3vGyd*GR!R7F*^Z=F+(SH_4p?Q97hATF?BPcJDs3I8TwCKzk_f_Hz~~$ zEE1)J>T`TAoSP2?+tM7}Lppa9cQA+I(6h~l0hSpTi(L@7vP@y@mv2nm4o^zoaNeUv zFb=PQVlllxyj;@+aZ6}Gv(PtDxraObgq>_8dhm>3!;h;zdJ6lbMbs>d9AuKKJLqhT zM-dp!;quK^YZI2FNby8EN0h~4B)#9+fB714Qq8z2R93HW;fu^(dM<|HUJVZXjN<6N z{^_aDKk0k|?3G zQA0FJFd;6ruImr9G(sn|U!PUIdI0$8$0w}0^w9^9UDm(+9tMH=Ouj8WQS^QTmPXJB zM4_aV{Wxed;8D&6=Ev6R@d!m}H>w;eSL~p06D6p94={R>^6YHLAT!=GsNn@jWqO3@ zLkP(a;m+|DqVA7S?H%~=#V$lljS#rV$=IWIV_0c<*0cx%X(T3T=MvOZLq25@2CZ6J z&7dnu2)Hi-tf1VGDkW^nvk;MF#Fb+eoCY#ovZ_Os%hMf2XEj@{eGOfrS{ZI0!x7hR zZGj*1=To=$jFb`HKp<8hK3h5V!^Z$hIl}u+RK-z4#);+ht`a}Z!anq8_%}o7XuRbqQm3l~*BDM3Tl*kD@^H z-3*n_I&6rFWJQ*3o(^ueI$cy$Pp`vb;Ec4NP+fgtY5s3Wh6`W$_RXuo9eK^%6Ag5w z^);35b$5G$8IvE`-$nio&P74Gb1kfx7M47tvle%K&O}j4ysM}0DM06z-P@$TW~cIH zo!y}sgb1!J6L{C|XPOHUPu=fw6`QN@ncw%X#D3XcZgqx|<&50Cw{i-gv6`ycmZut4 zn93k8?b#2V8q=No!RehJ?)scboWn1Fw)400000004La>{Ux|)G!d<1q31> z1Q!m7!<kuuLl6KO;9*vAA%7@$CggV;`VqFCva zVVEmQo%7C&_Yoc~9u0;4hTetck}^i=`6gw_Swbe90*; z{-6)x=6cj$uiG{rLj>`2ctt0$=WERyx+mlW8^A%qF)5lG!98LJCtD}OkypEq!>KI0 zoHU+O4tv5dQ~g2RneUT=@#clRiSyC$^^-6RV-I+*2E2^xYq%4IeXn+FuC^fjDz0q~ KegU(F0}}z<@q{7( delta 829 zcmV-D1H$~A37H9yTYriJ00000006K700000005Z;00000004La>{UyT(=ZTj0f7hz z!G#0jFee^TcS%}a%ZaiA1fr;HS3FM0O`WWfV@G}f;R1h?zrhXhSU$(;wugliH;5E@ zY|nf%^LfVJ-{0T=iNDu<{eCZjSh4!G;k7nyxv@U>k6`u#R)624^+#A;#L`$X*;rxe z63rUr_IQwIzN(mM*6o+_2?#CLH{gW-?@j=Iymf`}&Oq4Z)(KV8L*`3vmqDzL{ZE*E zMIhl{-}o>8Qw-ci#hgaQPk6~BJ=IR+{4fIgU(gBLx^9dp_Z(f>zDn8FR<wS_`Hc(%#kHQ6VI^R2W@h2df3a0TtTtQipCy zt_YP&zXhUbnFr`u>iWM$jHbFCI4ve8A_(L9YY(Gvuyz;H72bDJAc2W1+U zhV$Tju;hJ$qs7s1deG6kvRqR}l#y>%*HYwcE;(UrJ+Kw#0Yd{y-U+t{YN1w%nCk#J zFh|Yu@PBbBBJnmcs{vShR7fm}WS!c0bdhsQ6;r-ox$_2G&YNW(;n0E7E+riofm_r8 z^N7TV4}p7Ooe^{Iw6U8+Q^^?|8eX76I*yaI6c18s11-F1Yi_|E6Vh%b{oYx0!>)E{ zj*8_*=UmFwg<6DG39m@hsD)bXeO_Ixzke}6n}6?2E&*od^Bi?icu5;H!D-HTP3e%* z?9TP<&hQ4I-L`_yUhe|_XC9^REj@Zfqv6I}IC{>FMHRFfy%a+>+Dtbyy5|Lm8;$^V z^IA5%*0$|zN*T=_(+fVQ`?1!dVR%Az&;S97p0cXD5yB((aOd{UcoNmlW7yU8hU9O# zq%r%-3D^8VJ(xdWm5|*Fc@p!<_~orMO=l7CTnl&@*T=AvrNgLp>OgHp_))Cg9Q^{b Hi31Y>Q9O@u diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index 550ceb5d3f868e6a08742fcc1de636fee73d2558..ff6cb22e1d9b1cb5138c451d544b3e891a7cc9e2 100755 GIT binary patch literal 16497 zcmZ8|V{~P~(spc4Y)y<4Ol;e>ZTrNYSQBGn+n89BiEUda_M3a}THlXv?XG%yb+4|j zjqa{p)r}4Y23Cy-{>5FtE|D+PFn4jac61mSw2@7~O3~~tq>M^iHvp@z2b$F%) z8~BG&b zx1-!w-mNVc;E`vV?`2EC=g0f&wQL>#w_bKr`eB^`N%DKh$dx87ei8II) zg91#(uoD+RBhyDkDAAGPWL>7*)m4^u*Q5PZOS>%&{)L%tkep2AsQ#*Y`kHDUJ>{Gz zZzpPxPj;!87WYtki=+V<+&+(>tD4Je!PZa5|opIhk zVsqlL+wm9H-NkJ)2s9ZK88RR};yi{rt(w#B_-PPVW0Ei=w`VZjv-M`N0UK+W)ut19 zK}U5NWe8#N|K=ZZAz@qcsZ9ce$`lQ(=FXEu}%vS(` zRdpkRDZrmkGu_tsw!OXu=T?Hdm;S|Ed3rs6l-hli8=Zu%q6RU_4s>L*F0lMj9TThl zH_JJ@#a1@y$IV95)j-W>rn9e1QZlw%@0LS=OW>150WjX<^zpP=8f2Mo%v1lV!*Z^d zMetY-Iz@HO#u$h0ci`M#;&$n6+re>V+o7wE^PGP7Os?ro;u1GMb?-MdZqRSFaQ|C` zvi0_DE0XT6HdXDlD3Mn0*Rt(StYDT`qW|v`^+Rd7NbstDY&D&Q=a!#02t9a@WYP^# ztQDpbHjW@|K@-Hz9=5#>g`|e|c{=mZ_1f_T0(IiMb|JR(tm1aNv1MXRRcRN(-R;2E zC80I1F#k(C`kM?Zfa`)M$4jU$#gSoj1n*4xuG^uvy6M*MINcPDgZGEuwB$Vwz1Kqb zTE5oZe=95R-uocCdW+Vv$r{LO8x0K2pL?!v{f{ln0Mfb9c^f56`dQygV+gwi`O~LL zeB|W?<$*KVLCLSX+@3B3{xq)MhB4KKI^vLWr%n@+H*B9%_IXrG3MeI?Pc>a3<#~zo z$MM+#v(^#LuNXsF#i06h9jhDjVIe$9mV=|GX-az16U^6|>`#+n9BvWJk@So>MdV(* zJnz~{{a&&?-#x)S!EmpipOH&!B;2CodDPdy)urfX9958iQ;XlEZPETc=X1aPlO>;X z*>k+T%Gy-tAS(N7*z@i3rzRg=yUq*e)`Qrf*{2MsE>vTT8bSV0`v3z(XZBBgb0PHr zV@fY2{i+4Ow}{=F2CT&;O2*>6D3PH)Q8D{LXSKY4Ue%3kP7WgX)X#`we|kN(&)0;GmrfIuRneTD z=S=F0Z=d3y!Nru#aT-;gi%)$Oo&`D|vUpju3Ng_|O@QCUx{YQ@Z>==x`*TNN8ZA;K zZ)LIa-|L24Au-m`EmQ_wWS`?}BWDSY zs;Xo4!S!00hZYW&)cY4Uu$N|A+I&`bbq~SeXSC}Qj8;yS=Sr6diErzp@HeGP!E=UwPvi3+VnfWE=jy;hRCEq|l75|W- z(Dg3?H0)UVCE5$_#n^phv)$dJtW}ewtfw8uIsKVI=gaE&-S)Hy=A_?X>4`~jg#XSl zLXOQY{qIk0jp;YicYt|+jmE?NI~l)XB5gyElzd{fD62RJ@)+g{(|1*~-wASwj3TVi zKVX6DKktWP5&=Ajg#|?w`(kx!;VJVh=?J- zbF`!0f8lJwaCs+KgKz(cy$IF&9QF{Y2{7d)Tk2&Y-9N9%&ds~DjrEjp_s*=OkB;#N zxqbfe-h&iX_9JvCft6g8V5z^&A|{MoptOTp8(glSaql0lU-Tl7^S@w5ZW{Q3&uKEj zY9DF3JMX?aaLZ`h1g=CLd(WjUdj)T0G(&51W{t&QgXS1#=; z$(0Y#*;8HXzPI%Z52iH}SgVSq4Jch-V=_|=p_LOtwaMd@9g#$#PNH@BktmlK5@i-m zDEnPCkiza!x@0s_j1?N`ySRL!Do#1A%OUx%7Kd1ZdQ8>zz~W!K|H`mb*SSMY#%mw> zqhiV0kpy{sn1CsH_SR`C@VP@yj7}Hf_3{J$o4HdKOI4y5jVKZIt1P2^o!VWP_X(ih z3xY-%yp=25;nAKEW{C&EaFzyC)}M**3C2jrF;6)m6PO;729Rt& z!SQ9!dU=gP_aY3M%eB!VpX|c$4EMKx-G~oc598pK;2D~wSokFhXMPn}4db8{UKSUr zC(q%my|?OA`%|3$QrEbjBO`sVzJ!C#Zc=gbegDBNS~Bb)1>ZBeTzUp&NI=H$vBb={2K9}FN3y$}!a4)6}1qh+wsGaBKOYG<4z+7V(oI5c7^`SZOd@8@GJ zK5o>ky8HXSzS3w{h2g*4V3w-%kHBQVPWk$I;WPIZn;wcJIZgYG7FU}Iho9IsZFQ8g z;VHaX3|KHtMq_|a*)V-JCEV$|7=%vCeCGTq8ah25$T096d1d1%Butn)74fhMi?0e_ zdOAIUuZnjQWn;%WkxoUd$^Leo;_#E+5R{huiadLfB+#gXBjMW%SzAt@#x?Yh1r>jA z#+npB{!R)AdN6?2PzX021TALzl~Gy=>O;5KZs1Q|+r#E#ZE2%Km5BKoN2UL+n=1hh zsYAyxx>hWH{K}9mJGzThTOf{SpWjanHu0{O!`MfYKNR6*=Z`irU$GrSH2?&V@h~lyXUD~30!a`zDyTVMD=GE5a3H64KHgL0k`}JeU^)29a zzWs9cXr5fz;5eFX;r3lU)LY~@0)6Gy4)ta@j>feVIDo^`;2r*vlfOr(CH`IR@)7mnsciAli;b_@)RAl{O}BgoS`zo z@eqSA)bt=mbvM0Wx}Zq~r~c^ypE~}4?U^zj=sNi=^@8#0tF*M7l5S0RTbT}W)go&A zA$Bc0XwF+3YpSmE3x!2;20)qE8q(-Kct2&0iC*i16BlhGkDJLKkfJf>siA7d3g zdQ(YW;xmFV%oDsZ%x#?4#(1QhoAM2U;ljhT>|_OpUO&*d{!M9G62O5mNPNv@EUwL! zAG^986-z;hfIhahmgBKt{AB9x*HNy@1y{G_5w0%@ohA9Mz`T6A!ew^mX3qCkD`%fP zkFxoIa$AFQH=Pp8F$c*FaQv~0cOa7iLxEa(T(qPP{Tl9-8*B8T_R zM_UR+48}i;ug#sWbGe>oxx73HyXa9iwc#zhPC909>v;f&_4gM0^$Q%#{p=cw4QCri zg=gJIg#%TKX=-befO+ec!`wk;T_l0O_#w3|5y}R2rMBkg8|izRV!LMq)-$;;8ajhZ zoO9$oJvrDn#))aqz4Q&hPVzGx#ftDI!H2|Mj;p%U$!+82tiAy{&X9-&dl=NpRU~4K zMm)YM6E-icZj0+Wo5}5k=5e5eB<0Sj#o#n4+O<O-lkv0j4Jn?u}e^DZ5{I|M-K|ce&xt2l_Rmr?7zoLlNv$v;w;O$T%d6#WFXW-p?Vp1Md4}Q339lYy7b(S2=0vqo^@{ zkN7f?0czm=CR^Pm-wH?>UQ&b0t~Dv)VgiauE5K=>jTwb#uQ|XU9DJZu_%_=ks8TniqNdw*BV>_E*Tw5c%?QUPBU|0)UaY}N4y-Gv zK862QDlOBM6Wzv~)TI!u_ZyRkTjb&`n<4OWsvLpLZr1p1Wb)g}Jx?TZXPgWt)a04a z8ZK=QZ?gyw!aNzX_7n;H7NM_QSa^JR$ia8bEmALRIA?~;3cbJ-a<4#3Ko>2zc*!Y4 z0BYPvqZSo0sL>AuZA4~8rnl*c4v~Io1>GLf%;;bbk6zU$tl!yG2pC0(Le9#Rw$rMP zB-ZZ|;wbWRW8($rd7uWla*_M7<$*<+Y=@92Bl&GoLVA%%czevE(kq zeS(w3gv?uyG}(qEAVb;>6ZZNkEnth*-?zNY+UoT#MkD(0LliCq7?tSvsf-li=lLI| zoOC$7b!*~SXJH3jB_U#`I2_PymfHhm-He^e;p^bUD46pP%k(Rk^NTA8bUVhnbC#f{ zE%Nv)rr1-u*QS0YSGK_NJo)jTON-9>c?55dt+Y2pKIB=rZ)b<*Pt^PBW9~}C2?YyP zXldyJ7rpXLU#M9JaBAjbBE*hd=G;Ixt3DKtOMl#HZH`vC0UC^nf|fhh?81BeyPTO?Ve2wf_p{n}=^BtwNf%Cw6 z*ele1b+9ieHY98MXj@E%@AUD98dwSLE=S3{&ZMl0yg6#hW+~||Y5FaRM;tqa^k9M{ zU+bN=3joyxqQ@GsPDz@_n5bBT#~NjOW?+)6eLHKQzq^NZb5+@=mvJ)l`XK$^+#KVI zo$t!xA~?01k8FU2ZXmC410#Tkf+EqyT-32#!6{F0wC}R%o^QlNrq}Ff`SDN5RI71b zgK?WM!y4#tzbpCnn6V`=pc(b)xMb(q8l2VfFtyGMIY8m5`t4_bbXRtx9%yT{Rfm|4@#j^Ky+9%`Txo`3z{>`V zVxQTa4yCE)#}f9B=3$83gz>XpS%YvhANmh|bU#kxsrqvdb&Y77qY(`JTp^Z7uh~`% zki&4U2YLIa`5UJs!4j`yXs)LBz9AIS#4v_C&?n5cOhxKjkN&GU(`<9r|P9hVjiHV#`+zn1Pbzfm&Au zc!{H5!Z8kje8?Ng{U~??>{;H<7jMBcIDE={AipZ*)$5TsxphG_b>|bs^LwleXW%Mc zvhRo#S))#TUXe1Xj@aE*=_-shbvH%i6`SiNl?W(jk&nsi^}Qbsn7H$c17&m?9p9!0 zh38N*f!o)ou+t^&#k;*Li1=6gCnJU5J>)+D{&P%+psKY%{6>LOP| zF%5vP*ENKHEuSrT?AC~S`v)Elzk4GFnli`X;XfWT20~N@11@oUnbrUJ(b{3Xm@?`B zTCrJvAFBUKRd8hfW-zgkEp#+bj9J$B4>9CT>b;)ov7|?WV!0Q(aa;q`xdCQOtkv46 zfF*Z5p7w*?@@k@FUQY!B@8JjgIaQ(!`bLOk>P~h!y`8FU?bX!1F(&tgo4b8)uLZEv zq@pxx$E-h=e0rKAk{PVKa`E>>cU{AY{FT2z>g0Yue>FM_G>)FRyFO1uhd{}G&mH6x z+f0>lomYLNf-Fy|@25U@&1#cY7nC>5q{z*lI*Ke^8Bzs_clePDC|d3}*?qex;x=83 z`7?BY>b#=ON8`9nQ)-p-?ol@|r6LkU_j5p&s!YvsTW$re@#xe&d>N7C*z)+6J6Ku} zkT;d2V5RK{O6xxkxFPJa2eT^wckIGeRMZpvU7Cc5X2g+Z8ZWc#dA)P9Se_x=hj;@^ zV&Vg}YmAZmg@X6GgTDQSN!4HZVSYbSl1YIkPq5pabY_jMxw+KW`)Is4i?cR+-LG#S zgvfNf$+Obj?s+@EIL6ooqHKAE*?iDc6Ta_4YIna~k$Fm+)MRKk8F^4m_K#n&yq8R> z-tCQysGZy0-wQ3m{x^b-*lLV?M8$vJ)Ipd3z|i(n~e2_;$TFk6ty={$1J2}T91?7Zuef`&EfDli@sw3W@O^TIkvuEf zTMK-q1RI`>4|mlr&i7U!+DNHXk4I9Le0P zuVmE5Fot!E9^u@F@6XlHeJ1rX<>6O)WoRCa&KOneTb_&SR=gOlYNul_Gw3c0MTz|2SXib>gGh1c{#V|1XA?1CoUZh||=n92DvXtdUy&b(j zXB~30Er%vjT!&nGcrx6*-ObDPY&jMGQA|uIr7zV8WTDE3)XpwXJZ-np-d^q=ovLbm z-_%ZZA=QIqNu2r5%$|=zvct}9~TAIs1`Xb)~H_{u8PhPXS$9b z>SeJ^-$Bb8{+B5&;slm(*2q)&O<)H2IpV&=s#%&`?c-csjNS?=wFOY-0dh$z5(9c8 zK3RS+jyS%wkK9&kVtI?Pd%Hoj^e>Z+$>&BDyFRpE{Mo-DvS`GSb${$3%)T6#{>W4cmbP^xri;PRS`)t?J?Hsl7epZ8Cp3d#Hh;lxt?I&-469aeg-w z7&fSDWI8n8*yOG}xZO&}CIPrli8p0Yzk}{(8z#Cy+#!GWR%K_FX7frH_lMHoWleG{ zJ)VpH*0K~K-jJ^iWE7iUx26Kp##00cYNDo==C4!J^~d>|Zq2&nQeMPgUS3psrFvv- zp2kg=3oT_o!0Vz=Dl52)A0#g`>#(Ew>UoUQ}Y1=z`OwY*DmbljH0*FmXv#25WuSP9sgsE zmg7LM8UA^=N4@yQ9OOu$J04);`s9D3t|w^z?DuhdK=A7K;{W^>E?e(691ueM`LqxC z>$lf?Ci8B4dbK_<+gtK>@TJAs^H~2~eAFihl=WsSvlf3s0-(1Sr0UiC*vWN#(=_`Q}TY#Z){61mUN#+YgcoEb8i_hBO{Jq7GW;(_QeHYlRDQ^`tHct3!T>d zic|#c6)sqdz1kf8te&G#2#rFPQKXA)QUa5q8>xMrb?$yCl}#k*Kssrl4s(2$vntT5 z=Np|}{j5Yxiz!tkrHqRPSC3APuEewgwpe2Ehk4{mo#f=D^PI#r}TR3UTb z3;!W!H?zA>K|_s^mP8qYUN}t|{|dcYonFhRo6MG%)91%$J(sj#JYbN5P5oOE({#`F zG>`DBW`qZam7$xJtk7$cC@*3YfVb%=&?7?hm2q0&U|J*i!8)P^qG^h&$zWRGY&tFE zfjgoFsVTt4ia-CoQB)UUwU?{OVfrIo)EC|=V1L>GVU?F?btu1kpuisX;sV7U*2>Y< zYA(Nfp+FyE)sUx2ditZkz#iseif9#k`r~hbJ@kc61PAnLC*dk|{(HG-=huBXTj2yf zjG)*PSUt(fGA$Ad@d+#zyhAjVay4}dz3NJC!i@UHi}Ip2J@PccPj4Pg4-0kvE2xIN zct^2^YC`2{BAXW2pUy>H#URWIfXIq>vw949@QQd~n^thK+Je4tM!7i3_aB;hwL5+< z5#_~e3UIaRg_R5u!Dm{*>2%Lv zeguPP^--92xZoYP={>GXJi)UnD=?_OYjS^ z2oFvxN#3Scp#iUiSWeBdJ~9eSn0$yGF7YufgvMP1&Es~a9U`V3%ycESGx4`}9Az{e zg=J&)Q{5-LZBHFy3>k-!O2ob_x>&z&%TH=(8Cjx#VRlMuR#(t?2w3A>Wqng*|F8;g zl0e5_xFLErPBbi2ZcDWKv3LEYeCwzD8WV`%mN4G1@64Nbd-`~=9siPG^v2~ zO1(khhCA}5IuTp0Rq?k`cA~A~zv&OabfG*EH{XY^|31qKL_!P5{BmU-R*m|E9(vwm9GXg~;sY)k>))G z&0}dMa6{S2tPh^_YvT0ce2*TqOJ{k&;E1O_6gK&-LGP&e`Zl@16*Sc}O`s?OJfO@>wttX6uLA=-9^QVU` z|1Q7b=bdFV98h0M_hqHo@R_N43T_llS2V#r2AIznFx|I0Cb|846*CE4Ln~<7mi1ha+=59kAGod6UwfI55%qbheh3(be&G#4*ku)V|}c(Omye7L+5wY zki-7mV-n952*EuhSQczC^logJfyOU zFmF-qsOsXd&_})4~Z(<*T1)vcmZn5E_?nBik%kfzIVP)$&7@41EWc=*&#>_4`}?Y(n;(xM!~N2PU%i|0%FKMsF-ilmh4I2iKV5Y|m%Yw_ zhy!vPbJkb1Mr0T5oaj*Y`_%AC)aT#+OfD+e#=|=vrjXM0xD~EB(BmpF;ED0xmYbQS zBwfG4|Fm^J{@V91VBkMoP~urPWXtq}=1#XG4Xdz4jk+!Vn9c28N2_YYrh ztiqdt{#(eiu4i@uE0eFC6jU&oxc@K1h0Bt!xLD@PF{>ld=*XvZ7?e(QvrLgB=~V4{ zcGyVmsn69-Q=d+Gw>rE=LUmg$)89+Zm1VdW5{3==Gk__(KCFquqvsb6nAhJJ_^$|S z4q$kb%B?-rA-!&%@~$n^98cJWo%Ns|oi;vJ%-arX+*EVZMG{!++SSi(kU?}Su3!BX zP3=eTSohm1A=U0-{$bitfOm^LiP<+T$AUD8Ny#=;HYz0{D#41tnv|HAC^JZ4X->0O z`_oJ>E%E1)7i~$cCWGnObl(ouO%3|IjL6AfQGmXj53s}ia4{lI+Uu0ICIz+=!i29eL+;TQH2uPIleN~$m~aK zT=6>rk?bocPuhc{8^gucvDRuYi{pu#p>3DyYl26@bTNEY_{m4m?J#WkkEmvDey#=$ zlDVt7kl)xM%t5RyEixfcb6U210qaMEs9YnzwMV5skiQIqz9w!n!PVJ5F*{p7o6c%P ze;Ey_#9nt>4GH&bc2f6j@l2l0@`Ia9gx9iWf?*Ei$M*RGl~boU8y`|t?r@9Kk!d+* zyv(T5pNsaHAq5#_tUoZc7&a=#PGa1-pWfi(U11s}q?0L@&pU`h*a>f?EC4k>%r>rt1jK9ssS!I;dy_h&k{fq5)w`tbQ{waq1HvK(7wYsv+ zJ$sp%*+0Si_s>|Odm~ld5TZ!wLXP=h4XcSKp0hPxed*7(s-JCLKbLhS^pqv^tkJb6 z7-s&JBf67ud*j-kP^39jvHxV5*ku)qiML1G)2NB)b6y;PYuAn-pVo-)KdKm(>A(~R z+|foG9IIh=Pgm0T6zD47iS!m8hsBA{Ao9ojN=K?<0UsiEwWGU*F~y0{ko-+sk~f1n zH)$1pV!lj&H2mQ#`#p!pVRCoB9$k`Rq}0O^cfddnS^GVC4UpI6qFG}vrLqX5wV0sf z6=O51_qb}iTU%bRUm{N)t|cH`nWxYEF=Lm{5V&clmVVsuOEjhXK&hYRaIw$@pWZww zZirsCm%qW_H;}m(dx4;+o>R)S_ITTGu@>$ARD9tjS9!n()89Rn2D#$C3kEuaDWaLq z|K~tKk4`6EOJJyx&2O18^bpNdZUogc^04yzg#P*ACC1j@Gz%=?ciPfZ zi!Lm>gd@ZG=^yliVHEU1UY$O!e#4eTP=2sYC ztMN|+2LrX0gcuNSZ4!EYg3az>*kdw+O9~I=lN9g`qq4g@&6K?H(y!83lL$rd?q#NS-N7>{XZ z!0OLSVw$KisI|BA5eqBxrRgES<;>~`vgl9+H%+{m7$CxZB-!W!w*dQ?%cm@l8NktU z;HWilv=OWvFfFUB7l^R%Q)h+%M{0tUhs3vr%a@e*EkPeR>L7HA=oV%OXP|M44zlS@ z;PeXXngQ%D0Y_OXiZw>|>xELd1;d=0bKv3DMo#ZVpG$nQPPjVg2CeXxA7997L81m1 z5nV6|FE%}!fPFULs9^e;w0y>AF`+To*wF-fnq@ECpz}5^9ua{9vin^u%GZ}=Baft^ zNh@%nqEnov;|D&nS7->)XQ8)p&)Ul1#K0KymQYGNI(-Zi!;a#Y1?ILXEgazu&6a+bfEOKX5^J*ti{RE0b|=g5JF+gQ^&mTBTMp$($-cbATWb} z;uw2x+tE4F>KyDe1^EvH$SCymYq4YT>1o>=l4uXoG^E-O)xz2k`c9e4;a>i!&tJLl zraIqg2J#E73nN);qFA5|MWWI8al?kwE6L6BFut(CFOdalG_mZgFR?F5K7<0}_nyDT z_x+?N>~zJ|GLm3Gz;n^hSF)!PEf;c8w=Pq+_rZ>P45D|_kfZvYYCHmcL6wz~#aHn? z42#?vC=!8~Gin302`3=K0HP@#$1rOb9MXtvJZjwZ4u)xnWdULqZkgM|)o`O-H2WE4 zJyPh&7}FOXK`7mMzIm41}q%M$@`M`r?$DdpciFeRcU6_%oVtb;1!S?&DnKDlct#+ z5x({(_KQvLG$#bP1>`EAx}e~evG*V;02dO7@#m>BS8FR)Pcf!#1ob(W1mrKcZy>l2 z3mip#Pk03{kI#7rlBI0ltnYytcb=U8It&&*@%YaCksLKbFYR6_Aqj1i3-)v5`1gB) z>083yTS77zdaEl^Q#j0stxrhli#h)!s&@wo2uZML0F`?Mm3s))yM)B0g2W}01jHb? z?UKrizS(S^Ms$7kS4slH0giq?h<>c1KDU#A5WmE$ zj-fttb-rEDI60UCr?71OiU@v|aiAW1| z8Sw+c3M^Da&B8JWJM;JZpSt})CpK;cgvHQpZ0KEVNZ18w$KqiM2ugkkt~V?uTCIoA za21Vih!?5e1W9#-=imJ$jN(xK5JJjHArTQo z@-m@$`jK8jZC`8RNGpm747BhhJr#q;Cs4nVQNNHW-iRtdgc2zqRDe{$jhrh+rY*so zVbF%KiWa3tUJ`HIA^k%tVPRi*hhdlI-Li>*rivOZYMl>my$NjZ30rrfK*fxPs#)h+ zB{y(Pgr|Ph=)|!;t|-vap@!hNek|iyeII2mA5{q z0?G2gL#c&USPhxrszRn-%>6e}pXo@u&U}_0sCrol~_p zVn40oL(6I|DJK*rXzNjOzd`W8=lcW*V!@?3m^GQ+Z%+pnlcxLO8!>m)uZ7onjn&F*rh=%LZ^_RG?&ogLp!~D z3t0k(?)=uqM%Q&I$WWQjx50* z8bUu4pd3@Bp0uKS{zaE?8k-*_X^O662x~8$@|Hp(X15(rob_rwg?-*=-_Hl7V^ zrJ{1;uWJBpLUGYMs@wX3b;5gKWL zi)bjUfU6X;O`&yf=Fnmir|1LgSQ%=Qxj@z)>o}eM;cychc+>)8IM$lggf33s+JHSyBgEQU^LTPhrwa zk!`QIxP`XUegn*}@PU(w6)u6*fz2|Hmo?boE*R35h}zaMlPcV8Tb6ma)TLTv@d||? zlSw*G{aU4YyvVPJ4n0P|EjbfxFokb%fhG;Q{)(zqO%i=-Br&Hb$!G32$6VzwgGmo8Mx;f0vA$K-Pwa3~3G0z{IF>P};Mgq3#S&dqscw}` zqMeciD=k46)S!xV3&Pz8kEgMa>* zMQj`yDbEOYZ@YdUWogR#5^&f)wNyHJ%3q?8wUK3Ug%r5tAF0Ps~#R z&J6`%cjRlzF&I?rip=vt7liCr?R@kNds~lr3d6Yx+)6O?3FA8!e_M_58jbP7g^Qoc zjWKU}De*?h#3b-unx)Jk+B*Yj(mrI+U+K~KBLH(YdKWfcbtimyd34T}sz~yVkM%yX z<1YWzq>j>WJasu2L&TV(&gx~SYKC#@*V(_$Gtu)(tGK%1B%ODF*bHs2+Xylk9;3(C z6hFoSd|b*&KnQ~S9SBkgxAPAeLQ3gFya-j_^sjQdt80{snvFhr1E)vYj08Lu|45N+ zR&P3f&A6sO=c&rTA_*lOaF)n@n3TWpd+wtO)&1#0q@1m(LN%_NXQ~kJ39~}mN6g|C#q+uv*ju8yF!Z)hqJBt`D|blcai`a)3xe))y}x_`Uo zqWW<@z4t%2cBiJ4B|^Y5!u3M^XogWCMv67W?=@?z5@o>sC^Gvs3P7}PjDS<0@fOog zBnWjT7>Qqb9LeftKdMd78J0?Yt8D*HvIuy3rX(#oFq~+DurL+xb^#ByH*0Hw=sxxu{FiY5<@q zJga)6ySUNqCeE2_tkYvBz0`2f&ELJD&(Gh+(?mm5{hjgqj0z?K))1~`re1!R1>D61CJmG6De*wcY@0H{^vLm4 zcMYGAERFLVsa0YThs1>%5_#ZJ_*Lf}vVfs&Hrg_7iUdF3&0tb@10TQ_Rd_*yYh8^W zd|cqlv7>(2_KjNRQjFUyGFFb~Vr@&5;Q-13f+UjiTxsa&9JqWnMJVt`@RrDtvm&GD zIiI{|u*3B+SAVT#@(GNQ(%b0sqnp}j>Ic5lcovddroBw5H)5K?Ek4KGQ?cFJ*BPX+ zZo3wqNtl{BNnL9Xl1yNg3!O_UM zJ|17ovwM#`z3duS&f+U8pLNe0T@M}4!HRc}DFH!JZd7-knBUtYxvB-DZO!BHI-B5B zbImi=r$UpYJ%#}o&l#|-FG&#YnRDltZ}nVj0OvIT#^9>*I>7#923HO*G zSMae(e;R&mFHct)A6$swmR6*H)+)l`m?I&|Uw9t6|LrfQTN_kWnYyk?_D&~Dd@JqS zEZT|Rw8Ztb=cRkGKp%SbinmEK_<<+l0b$x{Ga)s^M|+q6>q4VQ+Lz*ile((f4XWU#`D#W;CWnX&E5BGjrHn}J*TePu79eI9PvK&D&HN^ zzp&T@fxIDf#;QHBekZ(gi@d4I{ng2PprVD(CxmV5HfPdjyQ(S#0^}EHtb* zHdG!V>C0s`jO902PGgO|Ut@UpD5}-ut1>)PJ#iuAwUW#8p2X)-Om;tv$UTy%fEH<=&*x}KfM_odd${+x>$E3D z&F`WcRD>A!e)?D3jz_CV*gmUi`sO&pzl!K;3#NK{kH$-X=FqKlFtX)U#;J2<)hs>~ z?#0F=wq$oS+2iE>t{q^#Izbp{@yri!47`M_Zk+MlKJzXS`274jQTh4l1zx0SZHJ|f z*)u>@Y-esL!x)(=k{B#?B4WJR<$WdZ-N?*_T22-x6BaBT1cv@C1uYoLgq&s&H{B>W z4C;L+IEkhY3Ywf{8zee_`i;Gf^czONFY=k&oDAODT4nE^iW+P>gxPZb+^P#6-Iy$tv>;b%HuEp2^mN#pQncK# zl?Kl7>74z8rtjy}N@@Gs|g{ZNKCcWf?_g9B+a>+Es;BDg(&~YTV z*h3lOn?K~JW#_Lm0Ect&MgwLagu;&J4Qk0w8sjK`-Mk=1oBInMKT6Uc_iT}njnD}( zz2Y1w-DTO_P{n|!zaFh!g;DYbtic?;bR;+zApX4%x)k=f+?=A37GqYVw;&V;=H3@( zZw4TBjA~OBkDBstA-{YSemMa1$W$ox(sFzez;>QK(oGj^fR{S$oMMvID zVIe#)Xz6bS-;6PS424kxTO z#ZHBT>voyMW^Jrp!3goj3x@|%tcR7ht}daK$7a%FWVe`Zr|X90kD-rqHHtchmpNP- z;?hUk3s>Mpl{5_`dVgSd{J_a@x~PY#xK4k)KFL;L@bLHgpPN_ut8J)$W(b3+6mX)_ z+BApXy!%!YKW8x!)8>_tgR{+_#^G3EX_7y@Z_T(TS*^M(1~pT#BfZUk{gQF>HFtft z&NQ#nYO(bIPh%G4biWu>hlnc$r_buhnBHKT-a&fr?EVy{an2evgU60?5xM8DM>S4D zrCXcT+mKZ8!1knB$~HdIL4{&=x z+$X@Ry#~6C6@Qum zwkGRIu>4~5j{K0HzhCgco=&Eyt2*vxU=#EgN8X5Gg1p(cymM)Qz3{RW)}e(;D&sZy z9EjJy`if6S@vlh684W{s<%lgrLOEQB8=z1j}dJRCXIgc}l0Uu`ixL=Le| z0-2`jAeH)!<3KyK%w#ZiPo3!4idCO*p?Lh@tfsIr)1JssG`%fAENjGhkf^@p59;%6 zx#g?Gc;qEIS|uQ_n(%YS6s(wVrke5+R!Cv{RF49f8PnE%Bdc-bCk8Dr6muCedO@3=Sx3$4-z8C_Wl7Pt zWX|*KPQr0nqeTS*d`PSidCvy-3uL>*Zqy@MdB3;mUH3C#d@)E3cXj$nEa(^$7E=%P zMbb2ys4%Tv)n8UY>BTRrfymBS)h^4lC)eL9=MEI_?_n6iH)UYq7v=~iZa+C1^zi6g z9Sz_wrTh+atqQYj^UK}*JJ&G&LOd%IcZ_X~y_RWt)`Y3&hfz))R}AUrv1zAZT&t`rI(H>y``ss$FuF1>!=P_Z%#``Db7i~cPIZueWlozNAG5XY5}()Z84!6 sIGMNdTc(YOO?)!nx%fBdR(=P2B0$aCsi&-}lWdvP#@g2|uXRaKppRSA{e7UY?xodFN>8Ul|2 z@!sbZMOAfIn{5ue;Rd003?Jz1Cu}$(J|rz@%ZUVJxUswVFMQBDZARas#9Xz{gF`I@ z=bnZ#RR{e@kO?H;b1yUDO<$pW0g~cPw@W?CCV$MS#^dwx`ACTKH-I+oQE^H6a4J&l ziRZtypbkexnIk?kw~QAjqWqm;pJjL`y(Vs@hDfHup*MJlgEk3@CxqU2Wu_?KvuUwg zcY~f7{kOi|gZn0ug}Ba78m0Cwdt&CMDeAm*Q(BKAa4{xe3p!lG#$iUNx9R!AXf}U; zb1cr)TvB-yvZt)K7M;~PXS`0fPTU$KA=qUta;&@;-e(-`tSr_HyCxx29+r3G9c5R< z2W`uJ0WZ|e%k-FTKGET7jR197pnf7Z8!Y!pJquj{xNFbd)$l=W2_Ea72Ch*-aWyV# zo&>LgHb7e-tr3>-%lR0$`5fn}eayvt`0>nZwcI{B<*Md1yNpUSaUxe>2IiPl>H=C2 z&N1hi7`JuY>YSw){e|EEm*uK%QHPGTXiy9I#;?0|-&I@fGFIG7hML9)R@I8Ffto88Ta6uwh%uBnfVeza;uZlo{cQ2O_|<{ znI^$~CK^A{d718Sc;pKfXCnF-t-`6?mSI$d*2FTu5wxdG$7Irm3I0Qx%;DI&4*Nt9 zoj>~*nl_$HXy9GGQsE2T`wTi+hd~XjVob>~OTdsp}L3bRg4nV-2UpPYP7%efE#q`Lm@Z61K-SqCyn7L<1Rnc)$)7g$Wt=2MlyRo;OemCYP;3)PAO0K7|@iFS} zzww4SpdY*H)cP(x3v+@&gA4*o$7qG;%I4Pxl4J1~G<>)s6pae_ZO3U~}h zpJS|+0meWy6-CYYTMO>u3r?1DNB$_ea=8W@JJKI4d!6xxg&oTuqIxNpCl=>n)E6Bo zSuuwfW`Hai^nalGOlV?|e@=P2`Fu8>FjTB{g62dqQ~q_h;q%r%__YFno9N|dk?vc& zKPsw=Z{OazcT&_wJ=|NSm34N$FmEWRTE!x1Cv56gHMD!{1Ul*XJQ@LVv-+rF5({i= z$)8G@>~qJSVk2H`uVTN!>DN!@s)~4A4JLgw+&%LL2ch$$MN56F!%UYdCR!0j6g~x7 zKGhrfnb)5pULri`u4F%(TUO^hQFN+{w9Bl&o39DHol0L75`v@{u$BGe5%8EXH%EF& z4*C-B$fEv!yf81^RU88jSZ?gtE&vw3b)RLQV~7ZM1b3Ad^2ZA69W*zYepML(WDF`b zV}R;_)SG4uk3Qd4DK-8bX!}usEM*_1|AsVq-^YmnStsY6L+cgvZs|A7eZ8#uBt z`a|WUhsFr^WH&m1t@6^gufhdb!GQe zWgfrspB$ijnEp<{JkHoksQTbz44uX7 zEeuo+G+IRG-x8{UWzlMEP(d{o=#Ul*M0m3~9GuO}Dbj{Hj)D!zJO`D*9~ zI^+^UN8Un3aUiWXDn8!iFSM-XEVoCjY=DCJ&vda)tyku^wAuKO>2r~>Y!R-;RH@*t zloM!c#iSaB*~z*=anjDG4N82eQMrv^HdCzbQW9*~`hZ*SnojOCQDb zvUcv^_BD!x=SK#-D+0Lv14kBq#%{{UsNCI`e#vrrBa*eztcQ?gXq@c01@sJW9iwxH zFe_5qVfo2s+Sn)+fD`0g&!{D6dq!t1m&Z^z$3Dp)UiP;38D3#-y<_RqrWIxY6=&;v zW#U0>7N<)n=vyIEr+ZJ6|IDl+*rgR?*xjkn%){~UUC@x!AS!1T zsccZlf0(qN8y?TNoz4gzPYV5eOfVUM%IPM>3O=g~wv@$YM3QC-gHuncvWC@S3d0kT zD7*gHds5FNdh%C7LSZD~eu++Ag?XR}vlg6QdsUZd`Qq|b)_sI~jr$^zpb9GlxBFs5 zMhSuGJG*zmCNbzU-W=}IhtzS1tM$mB&7W6RrzcZa9G2o!A9o zc#P7+P@>~mQVJM8ZO6g<4+T_rS-}E{r8%0*oIv3Oev&%JSuj*@S9Y&3Jru>$1(_o> zcZHt;&uJQ*9w0D$ZzJ7<_zq#_`P5lqZY|RAO9Vmit$!Nxt%Ok@#%&#NKi&x2QwP*< zy;xU-{qX5(6X%NdQ188l1Bmh^Ffs1EL`{Ae#mt}qq|)yk7mZp3omI$<4& z4DJ~~WpBM2dJThZf!Ko^_^-9Bx-PNa4!ZkCu)C5K{Nvday6J2xoeUP0Rz`zTTccXp zb?twL-K*4pLkZbO0sT-E2YXlchQ(aWsGPt@jwrsckQfA>uw$hyHbeINf2sLzMm5n6 zQSuC7YMJdRZ?)Qfuh$B~$Txgo7qm)4YnM6X;6>&1_)#gw4JO`(L@!-Lq|2iuP$2 z3s0p!rVI2DSNbxbn>Y@Ap`3*=LQu0MmgpCc7kFdVc(XEI5lML#r|q1YlDRMi?j`R^ zVQ|G?TbSLOiwb(BGC=r#GkU#@d^D4YSh!vto6Y>`AUi3h0pz#c$7K&H(4q9!w}7GB zJ!;_$&OT~3zwxVb3fWh)?(}N!Mr6qbjAqCCNT(hw=j9e%@T-P>5_o+^<4KAr_>fN?IkTvJ`HxIF-QOQ>Ek9Z)_nH%G7*Zh3f(eJWsKXmRvo2; zFhixQWSu0-;J>Cx?9`Mt^Iw>=qi0Y@h2j^6F;`!x(`I}pYk)A5F3rKjvW`&`_EN}! zG$o<(B0Uv$M8`@h^Qxe9K7{R;g6xG6xNZ{Yrm~J{M1R*TNj-AT6Y@#^6-St9feVX0 zF@Zo9OBUS4T63s)VJR-nY~Dkf8Z0^~2Hj1Svjo=?<%OId99h_fDtBd)s(60LCCUvg zJFQCDfWf;#>@_$ANj}+c+JsuH(o2nB0RO$FJB-%sjP^F!0c?>ZClc13@eRATZFF19 z_>#luX@S{I0=J_NW6@pFsq9ik&0yZJVs6@S#LhT~rY@IJwQW&U)nifgS*@OyvN^** zPQCv2B`l!Bx-*9z$Iup`l-E>t5W3jOFggc2tiiF^&9_p+nowt7>gDUkGjs4sO!pWd zVfg6|w#tyl{b&_%NissW>A#Xa_-V}%_O50R^IWxwdacnywN+=X(rz$P>e%Nkx97i- zH8^FH`WYK7J$Mus)+AzMYxf4^`o;tJ`ME1F{T;7Xo+I8@x0}wT zldDRy-rrpF`8_r2gs{3*v^!s=y6gR81{@It=7B&Hj1 z=O0Ifluy6*7V~_ejB+>Y#WkC>1POmQ+{YU|lSiI(laq!q{v6}`?j4yBU<&+UyxaSR z^rxTUtt9x*Z93U)Oh5%;Ij|(SKb;`8BRlYuy){@e?`*sOAQZ2=mhXhP7TQ`5MV`#B z{rZ{`O<&iui=`x6-(E8S!0{D})xedR_}U3H4R8Ruy!jP4)nhaU(eFP%3ww$&gM5iG z`$Kd4u|3Rx2-wcEd(au$Qq(vam-LnXIeNNoU~CS{LGo+XB6+EaVEEatWQ*61uh_WGxWYUZ@3$CEX>QsNXy5qv^heQhaFkqd(ie{Z%2@ zzfuFnzqu2dx`nNp^5RccsZzKVlHutt482a{7=Di=*WF3DfK@2=;SzHt>GtTCa6iW9 zC4kUVWtf{k>DgasW8Bl9k=xu~*)ffSh<>upk&3$~-RjyroQn4KBfdd@3~Ea3o?5_| zdR}=kY^m$n=nnVc@aB!tvSNcFXOB*6!+pV|$8*kNNJ|KPGvvzIE6LzjY?ZuQl_Owe zK+L&BE3(zOYyrf*kEdF09bSf%0!Fhxi+VNr$^V9w031iQAt$}l96%m|ntUg^x_#$` zJ)JK?5qO;>hNkeU?VQr16AZzh&eu_BynCvD-Yk|TunP^ELJ^Fc;72O_?de%qjcvcb zxN%ShBN1G|m@jY=h@b@9P{w~zaD?D|6-gq4<}ARNZX03=A^78vhWjHJe+FHKA%u87 zBjia+hf{`6A*hoO zwVv$v=`tlKh-uS{jXdgCg9H2}Ajra(-JO`q7q6Y#g%UpGDz6?dRy#y zSjffCdmFBC7Pc-t^NmbGte>lWb_OfW)vPfCd0H>)-~+j!tVUS2pEE#5KO*bc4a(X< ze5P9u)3y)cjdRnBWfgc0CB4ua-!Tv#U0r8!@Gf%!Znlu>UH{0}jg`xDI4W*}nyKQw zWSG|Q1ADT{ow0Gw=M#(t+j^B{7Z#vQn+gxn^Fu_-FR={}prEq71tqh-ieH1S(B@W; zxHrf*Z11&%7OYM5^|PwkU+$9qjiI;^kj{~FFJo+T&7SBX7yvDY=q?ol$GN9>EoAQb zVB41rN9YfEDN*P5M+^^RjJ6vRTA}VkYP<%SX6+Z!lLA0`xZ#KB5zCu|o?Z^=`+ktf zW^Xp$d=P}Z``qy7oVQUS7YhbPwNofj)5ShWZ@5nj&M^jo!?H0IID0tlW>bDI&%P-H zJbOhiVv}@hC`sP*{%gGBhacn9ds?9z!_7o|oYE!rbJ1T>RQ-ZtcNtEoIA`VjfhZmv z5tvgwD%$OBsiQhH_-r?eF>z6b?p{3p4MaTb4jtBKfxl^6Mgkb8Lb})%>1epgNCxtl zw)a`XM4t#j-{UJzN+48x6EaP9O0n}T2YW3C9T7A-&1NP%$1+wW?(9kfzu6I9T#GiC zcV!du%f{En>evxtT~Q6}rKeCgaRvA1vg%`j=0armn0ZHurQDaJS?Z?;j7F7OTUoph zU&#B>>It&Lrl1THX@tXozzYhc!jHnKN@!-A!NqFo11Xv&*ftJ!1bH0B`a=3WSe^t# zxo%R-O3Ky!b98SHV}yoL7UB{;V4s>%CaxU*_EBwIM{H&PIYEy2U};7G1BAiN2*BsPW2q zUTu8s?DdKZcc*$TyT^*vRn@VZ>l}~Wiq&@6j2;Ul!Xw3IS_6%MBpNLugJQLoTQ_R2 z_sZ*7ZlBR7(n4#Q9g8&aYqUpU-tTt;ei2dI{#ah>O}7V6Iojx2BgbLd$gSA>+*Y(^ zO*6(BWD5UGe6#N$N|6RSsn_e0SElcY8Oou(*odEAIVtE}Khm)e3XYIEx-kwM!ncxX z4wRWPJ+sEDd-6&^M82D%I)c_${(S0>7~SkKLDGYb+Iq&=h4BPhUA69v#IM(GW}gXw zwZ7UXDU;ZlmrmvV))7rwX38E-*xTe~aal(CVcqPC7`s3nfFj>L^)>xN?X|YE;@+f+ zo5EV9@c9PjqF|a_Z?>j`+fZLw-~#qxi}~SETv{vVb-5xw(|FzNky&XS7oPl_qRWhx>tjAzJiWx^d)Z;Y?*RZ@qQstrLy@Nz7IEljotn*St*`yHYsQHw4Mzm4S>GeADRQ;1H>#m^mNu54ZecB#Kqc%_|>!vo)XgRCwK2z-- zM!%bPpPp5;fAo?c@rv)V4?rw9iA!Z^$WIj5ezpjEeo&j^XwFJ+P91^)AXjcEC3_eb z%q4fX{nrHKUY6*Z))>0bcbU-qsq%_Qj}E-NcPylQ0+ht`8|EtCsvXptQ`KLQGj~ng z{$p24H&X9BrS)*vt*^hLqf2;`%%f0vAYn~7lC&;u+Isa1iZl(J`m)ClH(MXv)ko}= z!;Legc6g{KJ?!KOWMp-aeb^5@GZi|bE`7I5UvFqr>RwMy&RJ-_;UNPW{OJVxYK2}e zFDBo3@_p%cOLkM|+J+?lW2&Y8SKq)90lN1V=Dkn56sM~h--qNMRTnph+lzgjA0v+j zCski|=5G>X0Zy-OUud@M4YoYQGhb5A`6sBY1i1#Z0UmRJFB}H!kp=7VJ7mDuFZi!2+@mqIF_6#N z7njt4!q=Z*`sQEnnhS%IwCU&R z$Nra4!p(NrXWOjD9mdJrzqjo2Z`}|2@AD_GILyA+q%*V4VHT96w+sl3RFmUq>S6vs zLUkP)tzquksBt9BvMuEnu2AnL*XVIAZ+T+jDaBnqIt#D(nPi%n&3fE@QL2=#HA$PH zlrt0|Tne(XFrQjEMf#jb^tO`y9FdANp9Yy<2NKgO=v83PRD*OPsbpqK;U!>q__QkJ zZ>{#x;|;RVLaAhGKFPGs(v)g6zQha0=_Z-8hvBgNOgsCOm;SEG-I4YhQpObjZDCA^Gl-Rtm;M07gw#zTX9n(y(kGV?AcN>Q zh9lDfc!jn}g8gkm?p#VBN8P$ywhuoRnpQvFEPiNuIJ)_X)~8&hO?K8WjoPJZCx6O^ zoJQ=NnrpJYX@1rWea?bWp{TZz;@Cb@*qfe2>};(=NEvV#s_a86hu8%qYR~HCGi~Q> zfBp~KN^iD4MNzvQHA%&%U8USmC`cJgz;E@}%^_r`N&S7gtXa^$V#Mv zzw0Mt71GU@);IV{WLe(*)n*kE!PkJE!6eCSPRZ2$@s7jxcjLO!hnp{?j@BGFv; z`GR%>(GsB3{9CYVq3~B=Yn|D{Q2lEr`W3>TE0YC(gpCK&EZ=pScLUM2nxXFD>n-~rt_5SBXy)M?E&IT(I?%6(=JU)J{2@06(65B%;TtRw z0$cON-svncVHTZkeBt5Nl!Gy`XrLh_B`1@!_nx7W!smb64XUy4L1ia49RN}6=jTM` z%o`83Baz;$hvSIWu}>1GY+1>|fdTVNeiA_?^1g=dZd#X#%D@UMajh?2o1k#E6&mj0 zeocAV7U{L?*ru(s1IKJ+Dp7cc$h{QF{a6(S$gFcC{F__*8RQ1DyYk+x`?qb+-_jY| zJ^BVDo{2>k+ac$HzPh-VIJ-`-G{V7mBpjzaxiwSR&)_)6G4EQjBi(jb68px_AO}2i z5=xB?JP}Qc%_P_iT|j!(q;Ah4B3A(y*lcmr*LcInvbz!d9=Rb>e*u|=raUG8eR68j z#czZbq;~DpD*^f@Xo6NPXX`T9J)+Hjy1rWuB=zN4k84=$``$QCZE@pnItiPR4jZ9c zksldx8T9;q`F3mVR|yWAk-FJaZWv48Nj6G=bu+61Z2D%r<`HymESHD~y8=z38FA;? z3$DR4cA47dj%yH%zNT%lHkDBnb{TusIXNpA_d}=-s7bazx+qf<;|;gA36!(6$AX}3 zDS>beE1|J2e;!?tc8_Df3AV<+?i<6kZXUP2QIk(0t(F88z5^z;(!kq+`kkh5B7V$EK$>8LN2|4LWI581wyK-&p}i_@6X@ zi(ekb2?Ek;``Hc_yXa#4M4`oyypUfI%8nYF#tg|SiLM|x{{CmU2k@mGdcQY%xo~(LO;gJWV?sDzo`yH}`{y6}o2NDnTVjd-*^RY!rgK*sRNkszp$>cEoPX zEZl8F`GqhpK_-_*{6`KWRC|KvIirgqC-ybN=ih&3A+X2dDIIegJBx2v=3{Z(Y~Uff zW(?+AdMbkAYNwK|CIh^0AY3w77~|GY{}d1i;eoorEi);O3H~3`KN|@=JJ6xzk({Ne zJyHJL0vXMF8_t*4E744$QMp>p?SE9dG-e@oocvoG;QOx5yh~5E74oTg5qg`7Xra=Kd`#Qaxti<;44rMK zvTY`A8sbm1#wd!%e3djzev*Zbq0}6^ZB&xs46Jh5wN@Lxa!X?Kta@-iASJgzt(?4? znA{wkQhZca405-jMJ4@CLuQp;LVCqkJNon#DWgg4>ew#aZ4(dXK0=t|c5P0&XnDbs zGwpq;Q!A5&dtppI))_m|KyT<=Et8IWQAjq{smqIxeRiSoG(R{J1F->!Gr?S|wS_1# z!4ppyHb>7vEyC1I7x04rDxoW$U@wMBfeA+9v{frEMwFT-O9j(Ym6|vE0Zpm-k{{5O znop&K?t}p!s78{ir@hj#*V<^?YHW7sFtgfqo?7fZN-Oc3YD$ZLW*6T~MX-W|#*6N^ z#=N;O;NB1@m#m(-3)&Mq&+sQ8z+^%UhE8cX_60|lof)-!Y6oy%x5Y~=CQ7}emyFqh z9lRxeT8e<9G{h~mT*&F2sw@gef3VpsGEW{f15X^VE*{>AlO+Hu)+Ffun-Q~7frPCP z`S~voay_!lswI$H8Ys5n&QsPdvxmi%`^~_<)V3RIf*iueL z&rfdtmr@MML3TDTC&lfllKiA7y$D};2L)J4xx44ApPZdkKX+qGvc zgI5?Y&y(`rIs868mf9aNwGKsgFM(0nRPm!CQ%hG?w)=rJ<@?TIlq#$%iVSl2*m^nh z4_3xST&%@~S%|qL_oa;%&%H2wPOipUWw2IHAxna;z_Ft&H|&-k7*$e6fky?vx;Q z|0sR$E4AiJB)w}DlK$zI5ubJFi!HirM;D*Gp-IiT(I)3zXbpE42Nb|lK4r|bRB&K+ zak6q_i!FS{7M|LRE4eZZ>2t9>C14YuEi0Lzr{mf@$+uPFSH^6i{i2}bqI z3;dp$4{LSu9}_&J56zYN8pwV~MJzRy1f0Ivv7~HC3~A}22(k(mh13F#oIGJFIz^&% z2#RD$5A^WR)?|i!u_}83m@*dUqK7|{!5Pkq%S@GPg78d5Y(1*lS@92Dp&nVJYhdxv z_*JsHtECcp z;94*OjDZt%gjQA%3IN5;ZPw9ZE)E$Ie>!&D0R>UT%kLI z*}7%C3v(Qb*^ejLk1GYLVw^rw(hGK2i`SIL!4``lrY7)d2)Sz_~{ktgL#~ZcAk7ATpp^MY&)OsKG1%Uf3Ri8fw}F+6QukOJI$_4zcSY z5s86>z%c9k0E(>g z;fKSFFOK@nd^swVy_q*eR(#Oz3daT(d5B~W#lJzEqd^U75bDH*Kw$4IblXEA@#o+| zm7eGuGVxQXo~-E8E^eXK{CkirB+h(O@J#W=nUPn5h1Ncvb~x*HzW;WRofd9=M|tc; zUUt~*aiL+<(V%F(?DXj?HILIbW>l>ag3wpg#mU6g)zB(n(=x#2Ptw%@+|@(S4&+$e z4ig8a!8A1DHU=>^!6`Ii40?2E+lTxCkZy#0vB}&Nri@s{$rO+QdJQRLuw5zyI zd`x^y9VSLzcIFKmQDJhf%e3%0{SH+2ZA4$wDKay-#1O2)B0Cb9QFI0@n+qFwTC*XY zHC!!b-Hp;)IfisgUzq8IR9aBt@_bJ>$|0%(xH@PvP5jAQZARP-PhZ0fcmf-E+!%V> z>b~Xm0JPa)hreMRPs@tose9rzFe?q%aC--XSbv?H)qUUQzz5QS@b+SEK)4ZKr2okL z;>SKkY@DDoZNhP`Rhh#Hbd-yp{hhHR-XyS8h6Q;7It1I!`;0eO-PlavOA=UJ79F*a z4OEfmVE`n$ru!sZ(P~VzH!~p2;0D`+i^znd=x+rFpJ)6+_uJP3>O~Lepw8F$lh7HD zZl8$b@Wg(cVJp#~lc>*G#Q!Rs7evzS($)jE04(Ap4;X4w&S#So0}iIGC&(#ZmRCi( zQk!jK4D^B45Lf)>BK-0s9QSWHE=**5Zc!f-C!_WP4(}Y@#jE`|>{jB?Rw6scp(Dp3 z6vtth{dmk)BFT1Q(N-cx7m-94(HKy0Uo_bixIGc|=>ZIxW5JZ^ArqqL(U0_a+plT9i9_Lfo0VZF!;_C*oSiDz7@6`!@UW75j04 zE~4-K@DBlwLnV&GguuUbg~-#Tdwh`!EF5PT6yj3{X%Zb zDwR$M3Q|5G5q(=^;6q=1iIbBWg3r#dUeXnb4&xU_3gClmBmP;C$7lE%J$#IF|N1Wv zg1pio%?%Ky{rg{r=fCpWL3y1Zz4zfk-~-f>{@EU~*iMl|IlOp4dDY@L*W)-x;y6wd{l;9ol;Z$vnm~)fM%}7MMJAM#UsPLqn~1xUdod03;iQbj?fl-T?~jV< z?f!~M&HplQJ%!lp69WFgq{G&1A1Aa(#t?FJQ?qp<#&_Q-tcT%-ZJq{{R*N@|mx#yJ zQ3VZaPhv?rD{A_Dty|b_bsPVBJfBHyfnO$e!^Vvxk<+rM)(@iiH7AeH&T+qV$R){T zss{wcAb&UcIXIB?+RkIz9AFCv0F|M+(F<(4KjsjBZ=l)YhdT#;iDM5R#se0SfoMSX zly>k!_(J*vOFfwQr9`oBB0n6!i9`T7xYxw9l{nY&^Eit;`*&SnI{?2r=6=0$5weO2 z5Qck*Jc@iq z5%cg4;@c$h1)OgY7_!Z@ui$B=J={%JmfWK>Q|^&PtY0ANSUB>EK`bLovtpLAnhofP zatiXLf-A8+$RN^rh&+*qJ<*W;cqC5a+Aps4Usylu_aEI7PU|F^%JJ5}63y8c?H;uo zcZSB&Rp#FIp(C_SZ8xcNkYcztJ9B`?3QCdcou#|3+e$>UAFr?Od|aIB#s}9*E*ZfQr8?3D08?b1haVSeM?R z6mwn2I)9N<7Ja2Y2bUkt&W()8iS$4tF*f_DHJ4)&1}*f!Ac+OO;}dKzWzz68+|j9? zsDF|sj1Cta%BYGC!vyc_6paM-%E!4kD$7e~5A*E?Ge&(CkRcRLs$&TH=^psip(IRA zKH>qQysfmQRyamEpZ?Pu)T<1_1oO|0;}Bnv^91kGU_&6o?kb#X7dYCC``YuT>Ph`W zq^*(wc;6aWASt5~>#_$wvhR>aAiF;*gvGZ@fZn#D!^TO@BKB}8&hOKACs?A&Wjomo+xHJ@%MJ3LMIUikh0xXoIMdo z2N6dXQ5(>p*_#=tpGk~*O5Uj72Hlyupl*;yHsrB8!Ze<}3;zMpaZ|r4U?^99_#`KC z4sgaM!lq>+}TjT${f-=6}70;@tBHDyFA;pz2=a^w2rdc!LxEW1`RC zNVp=n9_drAs!oyk70t(uiRtI_webmnfiHu0W z1`(Kp0?f>TEyGbYOL*?GqHHSNu)>7vY@yW~N@V&pZK5Y6ZhR8P;i&<4>jHt7HY%bvXXr4FDzS2Ix#N$S9~VVHo7+$zPtw;5qHrx&*BoB zidi6uiPa))*x?G0?-Ja&ujX{=vs;shRT>uiC6fCsC!P;6Zqmb&2v!r(soC z_VAqZemJLgVRN*jttkI33O4V1e;pwyF6A-3hfFF&?rOQL&g&Z2o=ThA>Iwc?jPe#u z^WXFs!ZWWIHxKvOOhA-H#<4pAV1ci#tg6Rlr#t>>7T=G9r?2(aL@U5|fRG=VXMVHK zSjfcE@HWMyAR7Y~mOua^ch2W-VLEBV1XKjH4tP`2CCpPcFef8OtOM5HbR7rF6Wa74 z1+6Cr8+2&+K0LQz^fviJ_av^yzUV{^WdvM9l2nP_dqiXj?ws6TzJ}1N%9q$}o$asbzkDAo+jr(g zS&~@@)cZ2kI2`$1R@1b<3I12%g7ri9j5;vjGz$U#%hvz4uOf=d>=!)I87{a`oQ zm)bRqV6$tan}VwT^@?Y0L!Lne)4fmqTcl`n@Cujx{^l!uku2T~-*x%}ilcCxV5TZS zrh&KVlST2HJYwcu>>x`#NN|fI;zVxXR%`!fCpvu;b|& zH~~;UYHbkyT~Q!m#F&fdeVeS~;1><>_*SdHpn$-_^PCmLZNus$fN&4M{RZ~w?&Sz6 z>)j3XCp^_Dnbshjd2&XGSL0FgX~i&I(fQxaJKem24Sa)Wu(Q8jzQ`K{RIix;C}SC$ zQJ+T639m(*>aQ7)(I)F{;t%r(+vHX`JbwscjziqLgo^mLfQGmVGJIMa16oqXI;tk- zxI(>bPx?wo`=S%f+Od7>(vLDF-wcQ#Z%m`9P&CL&N%`oHOz!m8ev0~o)Q>PW6^@zg zdO#ZK)0`QE-jGG`AEdgL3-#bObZ9rw=Xb0Z=s~XP=r*#2x~2>Dps;5t7M&FtraXTa zZ^yG*rJDpah2a2Qsi4~-S+;35hyC%}D5L|rQV$Uq5LS@YYf5U9E)_5>7GNB)T8&ub zLDKUOn*Fu-B}C%4h+2lD%^9O1#W?*?Jzi^Qd>7 zS{5W)tpUT%Mhg)Um>uYt@B3)+b8}U$>pRV7c*t{4YTwobDI@)`h!kdCDstZjKfCz8 zzdeDAHEe8A)lhpuQMD(lx(Q0?BP9#gf+f#F2DjI{npE8Jr>BW+B8!v@43!B5L&3;G z34=1AV3(@@-yUa3q0H3 z$*4>-(kW%^*%|R`4|F-O<8lG4uq~aU%z1(HUN}truCmJK(T3-G)89e&XgnkFGmPYS z4m&?fTkl#`StClcz9O^Wk+p?`+xjZcldIU0dWGQVAjd6k@Mjcn0EIE(=*xXak*!9C z805k`-ps4ZMzkRJRN=U!RSdD<*8vjvh*LRrA?s{1=QAz=E>_scpa& zXkcPQh#mq8GKX$1ol!}zOS;$;=$X%kethc!1~+_Bn}r0y@Rv8R2I#yhy$(UFI3>6% zON$cxe7zs}_RH_qY?7KvEY^*0>^Zq1ylEY=-=OPNpF-9a7p_re80U`a+NroPhVd<_ ze9WA~=ug8Qqhp9!5#Ym2ctp(|TU2Syi1D$bO1urQ$R}E_;w{x ziDPDJKc$I6|NG-?N1rQzG!Ym|s9(MD==S21Ci{s*a@0c={ew_GCCnB-5u+C85kYpL zf-0!u`b2hHMZ6J9F!SSCrwo~KD$?-*lh}C&85YbxrH!YE(rH_By;sORG@g=KO|^ zbRi%K5On&4 zMOq<&{Gv8N;10I8zOTkYf+$JKNJ*6u-i3XYO<-J;D_L=EXA2E{C+UZAtXHM763PpU zv=-LoQCbSSk}i#z!7JCzb3{#DzC3+{af`|lY4_q4+fs6&c_ZQ{z-}xnd%R5!G=3~= zN=4Zuqo*wxH>1=+xKUG+@PH52dQ0SipIh2SU|OzYYn-lla{Hb{Yldr7 zVIKC=e0}>Ri46xT1<%p)hkn0OK4W|35tEu0+@u0h>Cc-z`lJPmePpz0+%JRL`C!=c zz!;ooQ5JfL+4&Dr4>1|yud;dRusZLtG_`TOhpcuAodKub$-Q&8Itp5z`@ z81S>}mT`1Tqe+(Nmi7}DWLn^E9P?|Mk@3Xs&A?vsl7mM1YehFfFeL6M_bac~p6X}4 zT4O~t{_o9!)OLf`be-ISjFcpuCxD!orI4H&-O@oPRw;9G&CaLda>n%zg8S}=74(2B1D?ej;u6xa+JTba(AGV?_kSDhFcP#bDN>U+6jtlfJEZM0Mz QWlue$@zf{5e?CP20|Jh-lmGw# diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index cd33b3e91d6c080591db390a62b9b9016d58fb0c..e74b2662bbc77bf1df4d270569c06d4e6a630b6b 100755 GIT binary patch literal 16420 zcmY+r18^nZ6DS-fn`DEHoosB|wl}tIZ=7tfv2EMNjj`d4ZQH!T%kTeQeN|sgHRkj= zJu?SA)7@uK!N9<(5x~E&%hw_DMb*umU924JiJ4g$m>8IeMck}xO^I1pS%{f97@1iZ zS(unviB-*<-K|W_h?R^?Y>1hORb_}Z%#4Y}O|4wNT1rSke5nBg3+MhH0UJmDzm!bq z|58uzbKh@S25P=n@^v-gRW!RS0dT**rT-&Kyl?*fnVwEY+W05^8){*6qG^B>ix6gD z1yu8bwQqzD*2%5@R-><)4LEckv=W`YT{DBYP5CNKURz!P6@=H;mDVNq)A~WyT`qaz zM0f7XH2;$apy%Li>Wc1Z-R|?_9YoZ}*!O7pwir``Z}*)bW_}=V_>F4E^9^a>isTvq z4ljQ+sr9{955yay8&S_pw`(d#;@bV~{_~jP%t? zKW`wiJ#pXdn1FS2cHPu{F=yD_R+@E^yM?^}RVvuvPb8_qJZfCx#AvzY;KzCyJX|ZU zOF!t2f%Y`W(K8Girh@2bYu7Ri84Zhz%tmO*2lULl>GgT+)xh*MQ@$-585p$FnOX;U zpFt<`QVy;PRcOEg!nA(h+4uklg#=&@T`KKuZT{CvXfN>puSSTMu#LZ+Uz%`82dQ3! ziM`&%Io@T9>`J5SzCaJlm=g2*1VOSplEcNGJ8}wITT`z|{h-iKj!c;FHfiBQ%}*>; zx7LI>lU5<=f=eMq=`Muh`mozu%cX+-PHiNkWk1NCb3z4S=1-MmIcf%vBMR0s#j&|! zvW4eB^}9_Em1=sk3=g-Tf|FaSGDx;3syB)2+Rr=@GN>8u#En`d>W}XMtAM?Ey#Bg+fX3D_zyirQGQEpTsnu;2PzqYp)HtPbw zkLt*1oQX{LtQH&D1h$)vrYq5!&kT2OnFKUU*PbnVe-=p}R;3)AXE0T ze>1jw%~Uk#m)AiU_tduo2%}cK+cW%jgZ1mk_H@T&%@N-1k51_&1L>>+mIt1l8K#vQ zokkvWl`wn1zYby;uS+r&zO$p~407kq*TcE;U88`Rdzw2kia{`SBLtf2Qy-mSPw1^C zP#l>S1kM68X*1_b6;XYN-d4K19;Nh(u5~ku$fd^7X*3P;vu4N3VlKTZwC>rE zBpIL!+K{sve2jat`VMiY{*q-pS~J_cLSSO#Eu~I*SMO--&$W`z8LimPRP;LZsnLAw zxLvqeNUqgOck^+36}cl*cvD;lZw@a7-xDhBR3bm6k~>K z|CW>7wSSQn`{K}Vq>#%8`Ml!yDw)w7NZQ%{45ZINld|(1OGpJffORawyGOxq#S*#i zN&2?t%3#pKLGN3hpN?L?uJW-*TW~+jZDXTq0hUTH=j8V4mh9-(Jk(r8Uvt^gmI7*j zPDi*9J$aV0kSdWf_yUbDP?RbGlU&B2OILsH)-XM_3bQifm8*P{>v5#@SZmR{MbA~s zVYd(%7*1#c71r6xrZ>Fz2bMq&)ziN^WiYlV;|-50aYV)M*kY54t};o4HX9+r*>y%+ zG^3tmMlsH^;}{fKumGe+Ont!psH0~`)pJiGZJ%)rOxLELe`X3EA$IeNx}U+4w-&dP z;yb!RO&``!F)+9WoE3C?7c6rv9XE1g)|W{;zH&9+7oOngZ#eWyG zj<6+2pl+~|Dj9VrN&kr#Vj5wKnn0b$eZ6O1#b|Gei+gg`3Mpi&`KdxnpAgmba0ZiN zpKBdf&6*Is180|AA1J>zrH^Sw(pPA_8x6$~HLr;B7h^XMAbdRh6Z^kj<{e&Xku0=W zx#wyVkua^|Hf^p<5^tdz!dNLn*D%dg(T$ImaT0H#`6{SF*AVKYDL)()mN<#PHx`>u zaoed>J3kq9eH!J^88gj?oAGU<64@$o7pZYjbhqd}$OeryiOju+#GapTQP-v zI~KmUGe3DA-4SCEkD*AQc4mr~i#Pde3h(&mLf{`dcY;(&KwwcBwe#sucJV1)sJRw9 zC(;z-2)sIU)peX^;|Sg`CApUeKxrK_S?ac=fHl6%JB_Y$-z!*43=BXv=@nw&k7jjntc8_ zz_u3|H5D>~5bUCf3TODHv3G{7qhmaz>WNwcCxS~~;dXX5imzfpx{9_w(mJ@@KxxhpCR zZw&US`D{kllaEpI^`Yy^nxqo3PEECNcdj1lE^=6StYwX_9qP_-SSYS#(SC6l$S!j_}T?U(jnl=Bs?IUHP0y1uGAm5w_j;Tq_vy5q#HaExH1-j-ouDUjWh=Oyo7GMtbZyL73F$k_ zPeG$)+H)lQ{~O_O4%E&%QW=!)hC8t`JUb67$#O(4qbAw1UoEX|g}-33Y*NZ>9lZW4 zm8Vx;*@TEy!2xo}en|(vq-^Iuk}v3Qsgl9FMgERGrg$Zd1uztgnRz4diot*nVaL&e9!E8E0a}0BsK)&&1h>Wy%6f)k~bvviX zef%gQ-y%;PXr#nlZ5-a!dd;mb%Rl{P`FoageNB&}5N~bMpV#i?zQm{W3*YS8GctjM?R~D?oLUgL|8B#6l)(4tr7Sv^xzSOI$GA*S z77@ogDML7r9nFh57~2m~QYMHA1QoN^DY|J_svZ%n3@T@oD;qOgQT0ychLnYJciTU+3QT`0loz)AbbB^Q;Wlp8QgB zPV9mgb8IyyX>4^%AZkth?*zRo0W7^Mek=m>=9Z#Wd%t{zagHal&=uorqeX0=X8x$# zApY!1nlk`?56cgOEvd-I`PSK=06;qC&BH}gIC5mu#AkN=!y1lOkEaTI(R+O?daGBM znga}S#4NlTfn81KA zkY4Y=JHz&lAJ(;F4}xdg7Bt`b6@>nUM;p%?*R4}u>)6R-m5A}cgySV@)sJamO$Qa9 zG}SC#h=HLnwRrSgdX%t8WCUz#0UbmnEFw&RiciZm9)my?v-Hi%PlvYWItI0fr>SwG zLF^h*N%^rwDNZ$ zYB(EknZVX>bslr1al1Fe54F|3CaFVMF2B?Q*SvSN7U68&xX=&OmuyjW^rXdYn|mUc zPcI9@t1l5EmQT}lP<8mJo9YoMZmg5yB2>5QGQObV3p&1FOm+Ko74#Ql2r5#CM5McK zX$)$pJ^}yoyA;wBOz+MnSlcR|y)76%=0rt+(M(mZ(asm#&`mAdK;p`dtvLSEk4&|! zsK8s%s-^nP#b-|tK`;~zPoi0a0wbcu<%QrHzKs}N8@0SJCW4^>&g!ax{#y&ZgBQM= z4{GulWeMV}w_x;n#D&)FTOCpjtVqta3AoPs5l+ywPdKN(xMvq7RCPaN4TDCg)Krx| zMv%HYl=!!eYWo6YRQa}!Fiv!aVpwi*SJJL6VlnqiKsO4E>OAo@@Thy&shw*_cye<2 z$Nc86Xo(0U>nvL#o5#y#W~Lv9?iu}@_#r&v8^*X0rIwqxI1vZ3_9At|E5gy6y}>&C zgxgikxX@^L;*}tD5kw6nZbtO+BPr23{ON0oNffHgB2P67xHJv8DI|Cm4hHwNwNjCN z8n(+QB;iOnf(s&qq1%-p6uR!v@p}@b#m3*hns8YMiHu+f!U#LJevv3`u9CE)1XXrU zHnQP{-;qvNi!r)|)un8g=jDtCti|t-ccB|dh6*!RymlJA;j#u$cXXbiq|hOW-olNy z?+BFPn6L9=s9*%fQHOdZxeBnK_a(k6EyEe1Fe3Jw$lYoMqaY2#q_z*8 zQHtO+l;VhB-^)hrgJO-j_B$iLP-FsI*hdbQcW`qdtr7bVV{e*|O7gpVGpWkvvZj^D z#Y`!LQoCWQiH-O$@ zn2k%Vr>-!y>56+MFpu*_do+3OwOPJ=?}?$=&LV@5Wy&4@&)58%shVVy;BMN^>Epqm z_?4t@)YPWI8NOj87a7AlrEFqjq%J{gVUpVJbV{1UC2&*j++w;2&1hA0iW=KstMj#@@&Iwg8(d+e#8igX=4 zyw>;uHT|1dB9!B`ElI7BO_ii6wPVPlb$@9ct!3M(e$cLkeJX_rE(&vo@irGTKX_nz z#sag#X=@N(&#w=*l#GsWkB#-p>3u1F2xx_Ae@JfQ@kwi2Zb4pucL-C}d6ghTYHr{> zup0LKa}5kfeR)C|yy09ykj^7sKCo{ur35Hz)gx zeH(t1A|QErAgs{KX$Ou;BRx`GI4?sv3C{PsjGGM0r%|(w^VhHrugq0zu@)NOt-#}V z1$m|&BtSRMwHcrl=uZJ@DisGC*Wus(FM)~5+Q)B>*3Ie3(jb8_}kZ?qfrxBgzdKR6Q|p5pw=3_4&elwhU!`2yy`{k*xG4i@QY zU6JxI$?dVdC5V5Zj(CxryzOt z3a6jtZk`payr84y1El5Ly2{PgIdt^X_PvqvkIs_MRDjhR@R`JJRdkLB-+GVO1Xr;B z<|as%an&uKN6wR>cy3Z)0&;{z=-!==Hm7GSQsIV|nmDZsI@J`&Y=2B{GiE?Nz;mhaW<30;aMmByHPvn; z)Xz9;^E5sSNnGQhaKFfCdut+CE2ZG=P&b37P;|#jYs=8;Yph}&Nz_}c*gKiJyM_m! zCzqyI+~f$H_G}A{QKU2*JRv*-t$Xp4dKWMqAPC;uW+`HRP{vr?rDDK-OOfJZbO`T) zOg=2L*pr7*p*9Jb?mOae60Pt%>}HeX+sc_noz9B1Sc!CfS^u3gHEOj&wkqu+QN83A zDNC^XqtP>KqgPpBh6i+R7?xZ72SN=yOhZ_ye6u&b0o7cw=M1qPO8}_YapVG(=vTp? zOP&pE*leW_nfctca$5rfs$|ccm`h1_LrW(J%o@aOY38xADc`koHppgbB0kKw(MF}b z6L}`;IPXik?-u`Z-Xkb}g*gBB&nQ+uOG&{0_nA;ZskgI^$5KRbWs2QOgSGLv7aHvp zZ>`Om*ilLjec~EL%Pmdn^5vO7$Uqf(;VxmXh2DZ@N2gV{WxpYtS3!Z$Yn@cxpKOKZ zBhoX@gUvT6ZoXg3tDp+jZj)1!^xs0%YXnQylcU?_8x?P@>zGa25XGL;lB?4 z_?x&Nc^t~E30G@m#Ssj%boKjZRM+(CUMxMwnJ0&M8{#W&UULh0{nI>U6=8gzoFPOn zigs24pG83iXJf-%wM+9oRS4EnDj%wuWqUN<)QuZm6&WH8h_IJq)?Hm7eJuMxe5k=X^QeEMVYhwF$&VV9T5U1 z#l@|dS-u`Qng40GL{@VezEw5QoJbn4sYpE;%VFrbF>BvYGkd)G$K%Prk$IcD`X{%C z;I$h1}Z2W0u&7S-0xx$torDcA_i|XiQb;R{lWRa1bjQX>j{`-s;7M`0| zLyc#Ja%rO_+Bo%r0=j29B?#cpV3(-&Ua(_A$g`j7RofL`sL z@uF(Ha_*?Ml&hz1v8-06lreX8wb_c}v`xWW4Mz*c%f+|-YL(s~8?X}hk5@m@^bHko z11mn88E%w5vTA#qWP;lh?rCK#!inDU{Si!F$I7_i@3)=65`H#cGDs4P8RAcQT8jOy z)-Hc5PQ|IlU9+)vV{U`&iH@!gmie3JOvU7=XO$r{W`|sd{F!#M-`9so~bMcvEmAq0?I6Usgb^?cr|K9l8%t)_3lZ8}rN<4J`4~(EhmGzI zTF{XDS`A=jPe<3pLQmgoV{t`miSYC?y83G%mhAPj0WV2Q_AjdknTlqD=(bj^gohcm zQ%i1k9SjaNCwgs4I!SsW(@56XHk9ZLv!Ftc581%}WO^z&Tes9o8eKs^>AU+6w6^mzfA=rUG8%{`DW3ZzrIz2a2 zrTtV;u5ZJ6YAV(%>TRYEk-yi6NbTpz>-5OgU9DBFMj=OH(&v0igP;6|mtC{LfIr?) z$y+H0$<}gf2OB`{quNyVYXu|qFajdZO6hW^{Q*8Exr#lExmz~WDbvpmy?aEtZz1Up zZyxgH{_^kHzEZDCNj+U3nLhl2T4^85HwOBCiT-L>X{q( zR8V{wzlyyX%B_8yo8&xjm=+WOMZev?rgMML7eAQneRa|sA&6{YmVW&|)Rb9wX>M zgk&Y7$Yc)fCW1L(I^~4M{+or&c_ z9dtO69Q>r=-rjckuQn?<1-&e^PsCISFAqud{$A-Ci=Zu;esDqvmza)S| zPiQ43R&6O0G3jOR9P?A0Db}@u13D|E?Ql+%B@`9i%VzqF=sirEgw-$L^687!2`5RP z_v-ZbdU!k`3E9f;Lbf>oS6-=Tbd0>LEd|!=o!pKF2O1b@M9?7E%31ie|204WD@r14BR{bGC*BqBiX@PUO8Iw3VU~o zWah@GLcWo1}r87r-gVi)sATm%R6K(N3@? zK_|;K;Qf;$@~rI)h+H@4v|f7f>rLk?ydaj;79N<4)|4eBs+e~ zCGI98puj#5M!2&tzZ<2AlAGfbii7A9cnN#)7wN(xFMAsZKv+e?U!4{PRTMt813~g( z?msO*4}pGYO}zN4Cwab;`Pne5O9ZRsdGDQ~-bj{Pj+UhXk3>ipD|s6yKn0i!L4sB3 zyp3bvV&EfcSPM*3EH|6a5Ow!Tel^U6dRR)(qjcD#50Jsl(gEtiIqVSz7~^ufr$0ZE zNU-`O%sZCo0q*p;j~d1f#JG(C0xk=5(B*Eqgl=qEZ0!BlhH5sqq`d4L5Vr^V)p zSRIAdZ8R66Foo3ADkX>frY43LL4eEhJ$zR-d|_M)f&P;lfMyY!5@ZCOKkahSHh}Rv zNVaXx%&S}0vvyoXiPr$`sjUQScdwHH0wLb3)?oW*jR&fMBWj2}LL|hCK74Hc{HFOXgqZ{eC|Nf@M?&&ur4HjNRXHsnKw z)um6Ib=80_qjSvQD9mH9^4xE$ZznultC!MWrk^&8X^IXP0!PD^CxS*hN>z7l8%vd= zw(foZ-9O28@W8eRI&g6R>Uxqe8XNQ|?Xg69>H8VeQzO}R!24cXCCT@=?%!EVc(du? zbJ!?82%?h}Y`v`9Dt<^mp$b~Y4XV<)hqQYcKq?;~{1GdIOId5HO0}HvJN|)%)+wS! z)D|{BaV>hTEsjPvCIh4SscnIY_M%v6C1l)XuZE!Uhif#@Co?lSjwdNg7f#oI2VO(^ zUBPdp5AUtO(NShGny8j93H6N-L2OyuuV38S){CBFmp{z{3l$nOGk{g9-b{qqYZ47U z<<&t5opWP3w3~p{NoKMM{SPMGh%~FQCOjwD7eAcZe<2(qHJp&N%raM7h|=k})ho?O zg0q`V^U5mH7sE}qoZ>f;O(hV*(1_~4{qxI!S*|!3uhg3r^Xn7hiR`3QiirQ6K3#QR zuQAqxQ@ACr{jTVHDfH`lRIGs`>rnTIY0$g_F4?Rj>vMu(Q-oX5(Se-{=czu3dZob+;A{a#&1ywjKVI;H) z6KHR94cgPK#eAnC4!l_1xhj6EboArZH_*o1fpkSMP+#K9=+Me=?#`d8St*lstTj@CJytDUqw{^PgZ z9szRV3nuxFEZo%L(z9Xy5ZGQWf`u(fwY;ps7y=mI;n1JOlBr_Gp-l?cjz0{kbra;S zoqrB+gss_G4(d^wobns9wkW6#Id z-+#yzR@c8d)w---;m4YikiKx5kJv(~9>%>}%EF@6SJ(d|Xkoag)*=!AXtC46S#Vkm zn0LaoI`vFV8L^=`FI=?4-{6}+Q-PH&7v-)v36wj)&L}4mW$iPyq{v~>PPIY`H7^(g z${E(XR#KKQ%pYvuQSwdUOyH8wSli?L3!j#f_$|Q_m>Dm3Y)*#Oh4asoiWCQS#`Dja z*Q%#mmRj;L!pN)o6S55yX|W;^Q^c!W+>QJ9mND8EyDj#1_A>$U_QtGrH3fZjg-ZrX zMn=k7D$1ue)0B?sQ>qgxLf&R_sh8CsMLu@lQ~)hd)CM|1NwxICV@oN->8r+e_XZ6f z#*&NZtTKz(R-L`B=ER~j!e-Trc@3d9QoHW1Nc5q9TCvjNC9HLo$^NERouJC(v4o^w zqywT7%EzhFTcQ%E$En2icH5V;9@Sd@LXz4hatnTsii$x@x3ma8lIrw!{=s;~1W75m zNT1P*$p|M~eMv{^o`Tvr7Ct@}zF{_aU^a+hHkiY*JI1n06FI7F{?}bTrxxMgzX3~G zqI%cDYLX}|%v2I>A6p&lR%d?1m_|a0(McZkz?R?W0vhbvv&B@u^A(lvMM?{fL-fUG z5H=zTuwF658C~fj^pCX$yNdj)zfnD=6(**0Vs~;i@!-lczekm%*-NO(F%IZ+b3Vpu z{XCgxw!x%~jpoAT=4iLrqk1&99zD%nb~+h6=yb6YO=x(OW!7O_rOp74Y_>QW+8VC0 z@5D`K^Ly{TJ|vte`fCnp27Yf|$RnOQgkNw@ANE6nxfL^cPLo>Jl}=yl^&Hh@EQGmAgvq!~`GdadCCB4w?)~ z6;Dkj2D__bLOD$vi(0Na484Ry!F0w(J|#{&lDufq@LPGah6uz&b+i?AamzPpDZ6c| ztq^gaY6sWp=7vRn+~z8dewJU=iC@sl`YTOO*zCOGp?q;!O@|w&q9uj(ml95=&3Ku2 zf_5WYSxdQPWy->EG$zKczvIE^?n|pRliK=cp@?cWT@r4vY7e)0r&@&Q_v673#$IU} z!EXx$WR;XuW0MCr zOcA^F`^G}YNsFO3@hqrCdb?P6pnz@0@|FYSHxY%PYC;#qN70;%u&muMKG?Wdh;IBL z=rX0rGK_Agz$V0kyZWPuM%acqMa+G>3}TjF`ekk*JhF-&mqsT*3WjztJ&JD6&^*5MqpN6Sm7g3y9sboLp!`NtKf#{7yIEPV12v^oedl zbbbn0Jl{X$z7g|3cQj_(vK#_OJHe^~Z~)bVa&e!HF-j<+J((DZK8~mdkEUTB3DA-~ zwr#XK;xD9-p6{Wh*r5-Fq&D>wd~8fzEszMJHLdqIR{@dZrzU&VJAg&Y+>%ajA3RF9 zCx~snoh67ru~yX%(b3SQ|v-xVaP& zRC}w~qSxd_a5Ip~mOkw?B9B^MlzJQsM>GIGrh^ch5POS{iBEuK(MDW^;je?!OHuSQ z$@6Wh;#e9{kV6Fd2&>o#j|4|l?H1GK3@48x65i(OO#JtOWIO9%12zGp!xNe7oyIo5 zUGaLvqhXdVt`s9xx&hf<$Ey41UM2zo0Uk$~j=Gx<8H-PQzVSoNMt#h2CRB&{6iou1 zJ`_O)T`&ek!5BrnSK{Z{d`h324N+tW1L6DfK1o!55qD6?`Hkq~?}si3xUHgNm5>v= z=*Od1wzF*(Lr?J3BYr>18uX*H) zMr_QH_dbh@&$o1|!|)=>yv+$2)pPpyVPo{6Dct)l?&^hP>q+$TLDX+M>?*9s!Jx)uGHMSj`x8{K*)5quK$1OHM_^8(%zX8_UP z43o9;5R0Udd;1B`<$j+n%Nx3Qf?Tx6)x!^mKAdw34q5`+t{pxMi@W$%5!Nk22p%N5 zglp@CFgfFAsOfCnmX1#eZ6o3s3E>nO7<^h(sU{jw6F2uBw{@v^-P$s^Zb?*@B@@Vj!h zo@`2Pf~qkAA3c;SgwVr>9}f!M#a zeD~Be?_zIjKecv+uUp-`Xx%=A4|l_OGr@@aRVkei3#mdB$C*k>$8ND(!Kt&VbSBO( zo611IIvf8Rz%9d!+k8)UIU)=Q^&dA*y2w>k zU7V0pV>%nSXCpPP-i&1<+nkHIKRloi?XU-Bw%fZG_@6-m4CQdLub}Smo~NGz+v0DK zD1^Bir(r&0$S=6W56~YS2BWQSaSkAoEyzD5X|Se_ach9s!rz14LO=&SSz3$H9;LX9 zGbZF`lAc>p!h2Cdn4(Qk>@S2Fi>I!G1vFZp-<7(tm<@1n@F!L7>&8OBB@D&Yrl!;vz$eEH~IYw#-i4<`d1gGEd&77#+keD ztOXV-2VK>2nIFMb2=TOk`E&Qv0JY6z^s2un$E!{KRmErVmZeUHOy2ViR%xx>kmf0huW&d^?gsC>rMshSO3fV_{wp=tDo{Q zLqZ$p{4e!&=)H!hVFlSMornb-j`ARFyD8MW@~Ug)NBA0U_!?$-CgNZ=RyZ#aS5Bb` zdre0j78Lv%QWiF@1W2bHw*^U`Frb|YY@iiofwMyvy`S&WJe?$=6Wv(raWpn^`9l2s z#(Jd^Di3s6oPeg z=qy%oA(!x}6~h#;Pk#VsBjiJi0of`2FY}`B10RY>GgrUQTwZsp!aj=yONIjglCEc`YAOp4sO|3wCE`rXbJn zD=ECLid?zu0jZ*rtWLhJN;2p?_XKu>0SfbD7KBH+GclAoZ;omg6dJ4TQhD|RG!PD} z+*?KwK9ulb!o~i-(Q@+}{T?u{12XD~!+Vd*e2a^P=r?%x4(+YY+J?x8VL=z&z-p ze6&Uq|4N*1GIII*pOMDe2Y9b+gHfValB;Xck5%LsZy}nLKUGtgkiupDa3XqWe>yne z-1JaRgN4hG)e2SiBF-w67t6eGjR(;vG>C~BtS|Lfj>L6O#NS9zy5`y00!H4RmroAk zcTRP7YE^D47<~Y1l{eOmyV@aT`u6|@l8US2wr_B*-xf+8a{GIG&BAv4_>fi%-dz{` z!8HuNe_n|Dj6^;&pwzWp(!A*Tjc(}kf4vL0?tTKU#Mi&Ve!Y>;`B*okjJqTHgTDHM zie2HkzflDt`&B!iyhGmBBcH$KNWfN{!S4{hWAV4uNYBwoPi(l@>6}=zrq>cL%nWoq zucHQXS>EBrqgoX{BF(R5U&;4OhUa_$zB&UywE{8f7Kvn0u&hw0y z&=_&^a5A*SF!8#v3EW0qge&E0&p+Vy4_khWvQK&Y$`FIA;KIFi5$m?{U@0LK0l{~C z_e5+ZyYHy51x{-N*j>yn<^6OZL{5RhO@|c_Nc>CCNyh^&7;cvY*>^tMotsx!Q#}{e zMPkwDT$aMm?P`3F@LwkfUyHT#j&eWotM-ajUGAm3QGZrlqq6f%%$c4ezNAI~?s zeL+pgK$Qg8$Ez(5{71P$@Y1e-LZvGut{JU{Cv3GwtkDKzEt71QYRe<$m2+;6gTUs}5@CZlGRI8Pl6<+Dw zZ-Y?E$y9?O4e?Sw-f0h86VchZ`X|-!*iU`au`094N5X9H!=sf&_O1P`z{fJXegq+l zb>tx3VfGZx7Wuj@Q>o&Ghd7k2ReS-VCrBXD|)`-UFhXj$-VJyE-hLPIm!rQ zy{kW*rVlU8JJX|umTUDH4{#~?;~V*1dt_qL`-Aa)?sDmQ?8Ap}QT&2!G%RKkEE5bl zk)?6Z1yAYcCeo)<>=T<4LtIwgW7^zblZlcm$a+DCJQ|jv?N#N;=x_$ z?^+GGO*(YA58D!0`Kfopgd>**Mf>eO=U8X^-8DaZVq(oav7Ys7##poOKSS`lys3-j zbbZs3?~@W@#eW;IzR!SbXB+4Wu8uT4(2RDd{PX=jTU)=+oZGa-(?l!moh|yc$K{H* ziB<>%8TM!m)V~7iL*q{2H8?9Vj(ZjsYy`1cIrM)}z;c4EO5(5b00mBgi$Raf|I35u zu)U)GRyp1E>=G~DGAaJFPMNDhuJ+*R5P;<7qyoWK-)l8y*;BvVADjTE-!Xx0Jt_oy zNG48EF6!~IeDxzZ?w6W#4}j@^J@Wrvl2>ikKUGJLc%FOI?y+cIm971A3v6DtR7N~1 zx&;Jg;-7?*1rd2nLVbZfR1-&Pgh$SGv_?@bJ!%9tI-hzojOzEb6??IUis`jOgp6N* za16iNrTD?lF3}J!GTu?&;f7>GM7PBGvCUp7TeV2b3vS4G=$x2goE)hExj8J;)tm_- zqZmfk;!3jbN2cQs^+6^bAiSim2N?m7>sT1kAHX}8L^q{}6pxkNr&8;;5ix#)CGV-V zc8=hXoE9^Ul@Ml%@-drZ)~lw$!QU<%Vp`+uo zx(V-+{<@3FhpT8H{}Kmv≻^;UWG~p zh1&NzEjxQ7yW!5z9J8DxMkXXkI*=IkM-oa9l<`lhL2RNvD1>jXlH$@yhCvYV*w;6r z1IRzvpM}UMKA6M{j(Ax;TGk-wjS_f0j&iPYyf=?(XsyKrNd`l=T71OOe80uZlLtrH zLw6)|2D>UuvRRj)c>+$4`1Pwc=Ubd6Pg_d0f7q<%XocIoO)%`T#V&TZ^vlQ?m|On# z<=5Pb*~B-hebLgT5I#4wBZ z(B00@oMz60a_bWzH&!o4#5Z%vo^1J}haeK4{gVubW%X%oMNC-fie{{JJGQ?wY<2Ib zq^YyNI5E;Hip^cI*k;0prRoh(cV9hR{7R&g=D>6h1|UX|5hHgeemdZmB$8S`&quu6 zlP+_m3rYgy@(ut?cs)=#)=Nz<)qtiW7NO?Cn;4i>11%1+4C42b2L@rhu4{DkU7qG zeO3s{2oI=67CAZcj_!)~9kxjpc)B(APO$N`{7iuRE)Dp|T)>OmhTN$=*0E#g$HwL- zaZ{K4a6MF{Sdfyh;(72V!i=#_MkQ#pWoj4cz?kJ+_sl53OvF=*MIkM#oD44O`HA^4 zl5@P3l)nhXMIYZEHDD8`DR+g;xYR1N4v!0@b2iY8-nk*RD$V#yC{Vb3y>}LqJuF~_ zx?mJ9YQ?u9zGuYu2YVd#VN%_OG^I$&NzDjf#jYM({K^Aa_p&$PSK~4|O{GS|wYWJW zzs8>(b$Fz=s2!-bkAE%GyTnqc@@YqF8W4}W4~l9)A>QM^_iP0!<(YMsKEpyU4# zPQ({BzJf`CbPl$oll((tWJOEUjl zWg$?1$bH}?Bt^17Y)T@1h6*;Mt;y;FEUy@~BQLnB@Kp=!`DB{13UEII8>fc}=qH2; ze4ztO@F~Cgp`}R7!inb>C0($bLu@l=+W=!TPbrAei#N*J%5;?mI%JaS_!La;e;%~2 z#0i^sIVcIj$IG}y1+mqfxuFYMGt?WcPtFV6??JB zU?{%I`-`og24}Ig`262wuhgf@=acKgLPOt=Zlx}n^uqdvQ$9W_wVh7gUm|^Gb()G- zY8aC4d1o?26*ZL{ciqU?k25ItGts<9H37Fj(i&MqhlR+;OL$j0E@4_mOG59^gJX%b zJNzJ}f-QS1YOKxL3JjImn9ViR=#8a|Ea@Jo<(hiOm3{37L)#+MdC!yNJb4#R)JtD~ zlVXZGm<1J`o*`QtbQ}|O}6&i1} zW17cfrtlS3VtV*0h>(SQY}|K4qVhC!XU;#LyXIdu^0Eb^7{R69)oe_+q}+FntI{#d zS@;Xq{Q#MLwy)qsOj37x8BC%JMMl(^>j11Zm1}~}@6W>+vd^a8>zX|L+Rj`koDzE8 zPNqb?rC66o?^yzC8J~jNBL8e)W!zzGnlMA2l{<>{yv1ishX*iv!%Tq5fckNBRvz5u#2UQ2@x|3GZ7;@0~0d?Gb0lV zk+P|itEI6ik%FPIH4zh$vNVypsS%NwiRGW~oh^b(rM~tS~i9B>GM$FY5j@! z%4zd1>maNBVzblhiT)kc25Hd#wVal~Kp0)p*O;)lex8wdc&?@4v}iz+^Y-D_uO z2uY5&rjX5XJM`~c?3cv*VZWwtPnG~_yvK8@-YLJr9lA+zv@AhljJz$#g*z5vT~?%u zbTi8F7aoL@GD&Lxr>q^-$-ZcJ%ip&|-GmOK`}u#hs`(K3DKR}4ZnOI(ANGZ&2_G&$ z5!UPl+i?XhefcK0WJh!G9`05O94zgTCJZDqbiL2d?e%E@fxz(y0M>x_Lj)2(p**X!EFd9t8aR{(82N4 z(<^TT_xy*zBcXASSP3k68JGAR&N{S@$dEipC)R5&3*^=ovl|`9wQAX8$}j@5jfytk zaR^zN42%q_noozi{(sLI_niMfRKuSCG-|MqYll_uukKY%USEgK#6K=A!0YZ7p}xQ8pW{e9k)zRxhZeqSmYT z@-{wAkZvXgKlm3*w`7vYMg{zXPX;b&YUSf-oIk)+^=?s`&~kN%&H;blsVUZ`?VktN zWm~8-(LURMFX&5U570YdFXF_NZh#eObsN-u5UbPX}65gKU8 zqcJRe4C7h&8iACT{MwMewB(837i}oA>JFVM8m+dm$M{Tj)uoVH(XnzYq91(>cYY8~ zoYaw?6bpN(bDJcSdG*gpk&Y8lyyx4@0=Ipu*KDjDFeibheqAR=B5=R@wNZxoaih>pb{7c(ql(t8f_)s=}$bzi8(lWr(QnK zU#H)sJ~gdA;4*h{e6oBRhYUYQyDzYq-xa^}raet`DNS_OC6}`AS#NfaxebNC>G7`> zP!nE3H1ApaQg%~z*^#m6f5Huh15ZZ1*U-8azNBvh4KcjmJhS+Vsa_9?(&~!YJV<2b zofQvt##oM=#dyxf)Q8p8yIf5dZx&9hIjXC?o98P$Xsw)e^QWd<$Mw{M8zxh#>+j~+ z0Hu3t*>@A}8aBRf0s8bAL60>YuVnR_@A6z@c`vF}gJFiRLO47&JlN@}nLjZ`C-~)L zIF1XXV&pTyv89`0A~xNM?G`s^M9fi7}_Jwz`eM zT+`f7QBBF~mK672{s_O=wjN_i;Rc0#{whR@G5)7z*NA%CXo=~%6Gw-jh!G9v88n$v z+Bz|*su6OsR+6=Z{V*GqIGQ@u?*cQjR$2r#`0oC?GjBZ zO5|{2A(#DPC{Sw6XinheYd>237cK(Vdrn=2?F?Lah&gK7GGlNx@R6Nd)qhXRKQxnb z=eO|=E?^7}@_SerI2;UYJD?K+(@S&znJUVjzZV4$8M*wx?Pcfc;*`#;aqM9G2c_)G zBm4J)F>)|+Su<5_kje3s`(USa62}$w? z3FS)-p>w5tGsfS{Hq4q2w(`DY91|owshC`>a|G_v4=(gBaBe@V(;{o*G!)$A#hmM&({g7 z4Skm*)&{{$g2t8~ZqN}9?=|Jjs+dfE(`qFzB+wF*nApW>49v3MMwnpLHA1xD9m`bq z0wz4)nb6>VF~8#aMW=49AI@!-sQRG-6XKJ`(+5gXiN3BUAU73LT8pa`z`*=?!ri+h z4g=T4F066gh|(8O9f|zY+cvOf8xF?M>z~1te%AIM*l-;4WhNvgJDG3Y#>lpErG!&4 zhMrNNfrmp&$FT$_@T6x@!CUlV`#uUNFS8*8fy#B4)Og{*g1<)O^+C=lV9l(cV?4@=7z#3| zsx0$-H`vft-08EVgg?d%hkXmcSoJBh_&K#e%_ZB7%e@>>259;rHTJ8$)A1VDZ`&10 zyBBjtMdksrp+%|7FIagD6Zxyq8`Mzen~D5pgiN8KBvN|sru5sn?0QA&2kAT*wE~-} zI9-CPb$uMmX~p%|g~NBwLUC#k^zGBV;nw!g!guEu2#$VF{ydWVhU_<6pCb!;OElgUwnC(L>FIQ50WHwU+(2mfErKqhEcRB*r zCBXc&DW6dACj|kqK+i-{nn{uo3yyu{HjZb-8iIKR#WK?lx958)Ps)4o4HpWd%dt$hgtN#pwE>lz0KmlITV6J`c&y+>5PB52YeOQ& z?`mQ5tcGfAeNWr0(G;+EBj457T$WnLx3Zg(R%cTQ-=ZPDrv&QZQa&DuEuRwDH)D^FFnr1Jd(_w1@kur4 zJnp#bkB^t=>7pvNCd|#o1m%p4w*@x3!J;J^9Jnxch*uY_)&OxwHfnS9zsyoe3pfX8 zvV=$plDhPT%-!eavJgar@-|Ja9U6)x&sbC`nx+E3;Qdr22L;GdKH@a~){!YkiG$_L zg~?<<@BgCmB0VN?NWxYufGnkS-dFD7A{6I?7P!0<=%BKK4zZF^71pFb!fv2gn}MnG z82XVDoAII0r$W*)XGsRsqHt2GV>(OKf<;XWM|D@`EXKA%yCda?j3sTROj|9c&Yd51 zhT;1$F`+_Pi^)4g;?Xw_O!20Q9w?--Xp`gmpex52zn$$`#fd`zpNN zn{W z?BWm;Ez!o=*D!?+iqI^wSADIYl3{ty#mRIdc@I-;_YBwaU)HOd*1!_S97$JK=Fc0$ z*rJau49#xc*oW}q-y*AoZ<3DVt{M+k?iv^0IQYiKHx|Az`HjJEbbh1J%H5^1E?ZGi zs->frkrf7lcl`>C;L;5g>8afh_@>*_|3SaK1Hint>h53g+#4AlT%#^ts}F<6H4Ap` z)=zXt?6Vpl0CEkswlnB>Yhak}J{Z}zJlFV*4x9yTINTWSq=znrx9sfp<0zBsF)Rm% zBb_O++-!PqO~pQdLp$y2;}5#Z$B#b!9+%k%igkJMkq!vdff&|a>3xJKApiVO5>j~{ zM-3e8RzY3>DF~?fNrd5^4&ueZ1|~&duk$qu%j>G?Ju0q>(b7qgB@@}B1{6`WbD8{E zyO5|dp!U`tQhCWAwtU)^6H=Mgicz}|FN`~GfLhJk4c;GBRh?TJ zin28=mBjP7-eR%}crv3qn|}Ka_8@qd2!7?AJ3~-ChP||KSU)FG@qSKyV|q8DlRf9E z@ie{jCquJ}Do345HKivfFE@S|{;(W0u|^d#l(1&Yx$7ZvFHR&w@bbc#aO#1`_mc6C z8@i_udK(4o25x}apO7<87Xw9;_r2D{jtq5Z^=}U+@Uv!+FP+%^LZqXUx^K^i*a92i z=+a6lTG#ZtkKEAki&Fa7&O^jI#G>!uqb2uR)-y&~l%vlJTG-_1vKP*fQ4HZ0-e;46 zz$YAyV17MwkIhe&~?vhDd zcZdAp`jkesd;_C=3y*Q3keyj#^FX5)Neh^yer&xkY%tSsbo&i>&^xeROChGQra?Wg zIh7Ed&>^`gVhc}OD{lu9^zmT`;hKA#surN2=Jc(c0kK08gIBUTj26taT_%jb`K1M9 z>)%GYOQjzu6+J?HUS?vxF&NnFHfBkN{Z?Xsj(2ryhzIl2S3GvgykIi&QFhLr870uc zvv)#_w)1fn;k}K1h4~{{i85WkL{LKUC!q}X6f@;vJtK>MH|m5jM85j$MUz@F1}Dag zKtQb7l|#*if9(xKhI-)7J69EF!dtNpG2*OqBcMWju%v&4k{QPAwIUsOgm_P;IXr=j zO_EWxtjDzOjU=p#fBzUl`uclY`pDgTG2-l**oIIDmnVz#f2da z>r#K-Sr~J%bb>rQD^8dl-F_HUvYmd1(S!2XDs1$JMFq{~-`wSwF2;gwQN+3vqt?PB zVicP8UJhNZzM_*c^A;L&uR&$UAbKY-TlP1i9E(OO2KY%8TyK3b0Vdc?dGu4}%=2)a zOx4FMD7`AlQMv;X|8bs;JtUQ7KKPAuhJ&PAySS56*n{fZVJsVNNzFjl{)c9TRMi$F zwS+J9<6TD5X4axj@t*PaI`k{+n3CD8nRF(Qomh6qnE@e5Ja4QIjKelw$eB6QM;4J| z=Vgg;Iv-fnH*Hy6xUC*K9zdY4y!cBk8ozXQ(Ru(M%Bu6p#-kAZ5wt7fG|4=95yI;> zXJQ{e!z-`}1TL{4eo@h?F#Zta_xci^5#9Wh)sCJjw*7_sd{;MpBYD6}(8>Kt()oOs zW?3cp@4ES<&nbGO%la8@y$14My;+Li%Shr_fsx)W(=(5x!Guk#qh*eiqT=P6=@rIx zpTg!2F0Lz$xmVK8-k;a{kD%EyD%zLUMK}ut)mP-Mw@m3K%((&e9IsKI=wpsWNxV0_ED_G!`*^hONKM4Sf+F z^?S2EbKk4?%A`HFEr5p6nVXzwdq0{bEQsIs`H*_2f&K%fQM`yyU5SNleMu{ZI2SIH{qK-+^4pDy1<=NaaohYB9!oi-NkCA!m7-9JNZES}RE zEry^3ozqSD@%8`;)f&MLtXjhjDW&IE7tAd8ouo+#igtq9aq7^0nypaJsj3*CxRCh( zO%Ii(r$f^xC!Nu4NloNd%>y1|3X9S)gEX?KcV>>UDQkDlHR{#W#4Gl+?Az@13TC`X zcS%*KIa<{rH=a?duhGffGs^2p=nz2Z?c|@kwTHJq55d>;*`+eHSIO#Ll_V@-nZn38S#mJUSPT&)dZDiLs|aB_CDS!!skFT>9G= zu}zxP-5L z^4G>PPPkI#Rb>q2c{NprxkNr)z}~o1_IzR~Jwy55@srK}TD?zLKT6%!m9!aiQPr2( z`MlJt{_aLHTda0@P3y?3_PH?Tc8nb)VI%z(kPhLWCdP0>@ppG)$D{=6pk*HJaCYog z>(QkD6w-AiQ)pXPre848)^ReJ-(t$ADsE&y$yeH{5iCo1^-OiB`p})AlB}){<;%CQ zqB&t)si>d$Tc4k-$y!;LZ}C8NMOu6H;4KP^Fzhq+_si+I&62q)v!?u(IQFwl9PZ%` zC#00EC4VU`64;x?QteUnf+*$87i&SsE?pl)7WxR|-}tA| z*;~`5f*>zDjWwjG%mYXEWSiQh{bQBZP(a?)6nEFkNIHV{sR_HsO)U@Z13xZveVcBN zg1l)*IIB#?$zFY+s>cB!6@ll2`DkfbGZ|Aqxb0EF4ar_NtK0j=b@3!!#-m>GkvrA7 z^!zP=&ZR3$#>1tn%6iVl!@1Num2NlpA|W$(J?$wpuUw&5qe7Rp{{=9ZDZUO)8Jk8Nd@t?gH0`|U$qkX+^ zpQgR{x{+oozYFD_m*%%D-wJ%?UdGS5N7X;pK2HeIz77sJUes$=3hFLGSl=k-e6!S7 z0kVMBcOSm3=jx94otMEU#hI_*FVn(Lj+eQGErPEt=%2p@Z$G_>AbhyY4y*O!}QzcBf{(tae&8L2G^%c;e+vhcfYd0 z!Q;z$HqXm616_CRm9BH$dl6vlqr3SC_bWNszU_toOIH2U=1ySw@$Fuo!*}|n+Fc&T z>yPo*Skt0}+1jU%F8@-09b4^gJF2Dtd3T2|;xK1^cAMkbuG? zQ<4byhsXu1q~Uhjpt@%S8lvB^!mTou4NS7B{P+W*N_F(>XgUE-JAWFU=`fD)q#M`6 zGua~uY1OsMP}i*k^@FLy%@l(z1Kg34=u~_p)PKR!)(b0`{5EcUp>q=CpnvmMOx-6zrE_-$E|C4KxpfXWiV9v0>Lmt3{tXd? ze21$`H^%E!8ZYBbneN&sIaJZ)b^?b}o&(cc^)HzZAsp}S*QO64!0gXS+%pt)pR1&4 zOce8J7E=$8FOJLS@E569Rqs13&1uv>0gw8K7o;;?hcmw+F4TaoU>5pr7SmuCxQG|i zLT?!&JlGA|c&j8c{8uxtf^PvL+Ayn__*x7WUneu3f^Tsm+90dR_*xtm`i>U5IUnUB z+Tg2OJPmR=os+qpFb&Pz4fR4^;Ua7BtLgZwKW9Fta^?IVeeqY}guH+v+HkAgoDD8H zAN3;INUH)|4G1~9Co}8;kFG#hD2uP-nJI`1LcCSY96r>eFxQF?f}3Ybpeuug1a||E zVEb5XGwSW;#f+NYqaKhQboC8?)iOu-VrEG2Ek*tXd#lrw^;jPxBPAa zeB_xybh7A%ypTe?7|y{zp1B0QNRQey7rwGZtORec=W5s!dTSE#g0k3hw2+6sXh*!@ zoas86iGsX%L%gue`RK{z!)W+&wHWq$6al)jS}buj6z1q&%|Hpg1&W+OUx))2!7kzv zFSv3x&SySh8{qI)%Z0p3McVNihPfVYSmuZK@K@!9cm{GmU>gQ<$zt3}MBd08fLsmw zey%MdZ(J7g4i;|yk66G*+?l9vHsDbd_=q-x=xWgog&^Wj@I=4g<0pqUFrp#DsUplO zV;H8l;YF>SR(N!BaX=7x8~g%E z$ZtQD;(bw-hem4^Ic#h+`H?8OrxgPd?&w;-*I0X_Pxq>B!tIB~{8S|OXt-|Qm9DVA zX%(_VQoP#?!=9YLMB)t*2BQ7o^b(xi>ICMc66Ot&re~712JtiD842JZ%`TfPUD2%XSlbe!^jRH6+=CvxJ6Fu6UjTqh}DwNJK;Cv;avOp!!3fjG-7q`#uzj60Ve>r= zrL}AF1t~=pazQcDG=#+cgUr1|9nES%a9S+EmFDdXP1@_C z-FyDkQPz9^oS%}`e8kPI8@-5pn6#szPr0?8B%Ue9e46?BsYB+c^h)PJ1>aI8u4h82&>qPwBql>y_J5IK+Nl zqLj-{;&Oy&AFImI9$BN%;uK(evZf<5uBl*T`?^y&*o*>+9i)u`8`6iR+7eqjgHy9j z%5J+1O*KHjuI?Z$7b5=s3^RFpG@@Fx5-ov!2&zGSq0xt{uJ3dVip#FNS-k8(ghH@E6Mr z&ig0lM!6UtqNssYuCZ2Acd`L3tyGM@27~u&s6`dD4!pW@fhc=P1&R)FTowuv*-0}4?{?A*@PNL z-}!$bG;le1R;HN&!T-nf{6zt`$_;KaC#f`HeH#KdC2+q1jpiltfKe}Fui&w~XksZo zBzugD*IM#^_|3dUNwzIjxAEVv1fM^_BYf!*>Vg{7+`9bW%6Sii1{P1NrZ5fc(b{x` zn`Jb%Q9X5ptI18YA}7w5Lnfjk2hNvaCZcpb;P793rfx`%o=tovFkKqiZI)prN}TR| z(gRFh`eq_dg3Ts4Sd}3}SOytcmC3G-=c5g$eLu2DjVZE#a=^n`0cCh{bYL zkSlr&%SpsUNP81hP-PX#dJ|MpWevZH8mg=#S+7bSX$mzuM7ua?Z|xt0M&pwntKnJy zg{VUCa(o$RHJ&8IiYaaGg{`z>75)Nz(mzyS<%a*8hN~f|WiJjEPcAin^|7*;5QZUF zARor0i7~*jm)nni%iI$>a+d|l4s}Zt)}zR#iah4iVaN_Nk+a)1x#Y_K3qpUvWj|&f zGv4squZ?2$=ujQqbD@4z99N61#y)W??Jt~<#ap-+EOLUMoR7{;HDG2%lTTZ;P5Yah zTJp_n&~EHDDw~%(UH`x!ID$8ejI&_lkYnCu8vw`_wt|G2mhO5(sRqkZY|GP*Zr-h@cWXA;wdQ} zGb#Q}hgpWtz$M`idUWP0YJs=y52daq2r7N;fP`u~p|PbTq62H07+Kp|cBp9{-wU%1 zbT%;#@l|?8T^tihGRh4r1ZfT(kyC#aCMzt|tYR9cxu z7Pe`5iI&oe$?isM#UCbX1G!91qZ!%3Lqo&jvJLgU&IKDyj=%KW4WWKVRh*&w)9E2| zS6<4ZwU32tm<~Xi4rDPK*<(8zV%Jtjw3I}+*NK_X)r)F#WR=2>j`q9D;Me}yt3-*= z{FzLwK(hNf1D6 z77jnW0Mqj)69cx66<8SG;j{P!!Vo=TWt6z0tUx|xQMw}f+<1-pROAO*k|eiFU)rGQ zusB|1#&V0#0_S5}Z1skB?`pEmcw=Nl!5YJ0g@Adu1r+V#Bi+&F?;mVyi6i+p<3RDH z`%rQ@MqEm`9Cq9VvNqa7WK(;t1jew|#*&O7Y0W(2n=vJOor8 z#>{(jKO=%pGf(o8fG`9(W>l(H^wjJQYs()wDIo!Z1UiSGHkbYUq|pb zVKHp9mKmiW^9b`!TJSnqW$l&&Ks?-|7TqfUAd+jmzDsg#(_hpn~< zYC$Q!EISXbfUoQVZSF*xz?JlK;68+}1LUJ)#@~hY_AqX>x?==hh@r=mwD9ABAc}|Y z=zRySyhJvHGHUQg>8ElD{|+#cJoZpfG@(x~ zfhBmG=oEOcQVr@z$tgZOB%#%h|6%-V92l$}FS4K-CSQ=CR$BPj<<$6d7fG-NTivyD zf({N(W{6i{e-xFOw`t61f-D;>q}q!g7AvYCHQwobQ3$w|l}}c1CdwDA1txlnB>+Ka zi!xQ7;Lp(aoB>4Qcp-Y;jHj5naiPwR`2rsyrd$pS?TuO#9e&=P#ptiRiOL^yTuhP2$j6d*ET0m)ZCs{mmBMWY(a460FJ1 zh_15mQAmxqd?|%KOf%3sEeirC(0k!rD0$FEqI=+cD0#`Exi>;N*Ua?csF7D3 zVkth-=r~*9VKIF04aLTN5~XqAic2E-BJtM2;uOQ=ua%M`WD*~<*DEyHeU9JKtlUba zLhQo?ABzqzhm9W4kn=zd0oCeu=8D zO*Qbxue}-Zl03XE5)iZT2)RLZm-h_{>%X|OAh&wLoiE^wu@{GM8|l@CJsAh0;mrNV z=d^B41PCGY!R`YYy5Nq5wrTwN*`6(OBu3b~V=e$AFM50oP<S6qo!6^pGO0N>}4UQ!8fodxzW0V50_F=^2-4T`z0+QVP zJ(T?IxMv;VExN!yr9eSH64xpc*AS9N8R0Ffz`lmSKBvI`31EcfJ!TKISAR0@0JS@& z5HKPw81DK4_;Oh9v?M?hZWgPgXq#>`&u1SDq4iU zzll5UIoLNkhT@r6;+a_fUL*=45MS}2>^2_6z_Dz2Cg0B;3V9HtV9{bY>|f}?-wzR) zU?^yA^5CS!KNsM=f_!`Da}W)zI$EKiX++yfb9!8KHF( z=_QcR=`W#EKA}@Q;jNV5srGR3yRhA(2>%7pdkE=;mgr#-F!k+4{H`G+8SV}Odbpnh z^cU6NW9-5E(l48BH){?n?v^xfoky=ghO%5QUe=~d`!_C@+#$ppfWj*3PobT|hY1?c z^6;SeKNLE zx(6&}ppoUZ-y){QcZB>V;st0PV>kurkED|p)W60Z34q<2JO{afYAU0 z1~xXe(HDixLz4!F5*{RzTIb7d5HMoqCAr|F5Mgl{c%L#V*OqK@^y)S1*!{JNru^cm z3aHL19;~kmc3M~Z57oMUX8{u=7RNz$2C4K~^|^VQu-t&M@V|fWdK#D>bP_nIZSF1( z5V;nvspb%bZHZcouA?y48DY`*7eA>#ZC^pP6_C)LT-}gto{4o#hr0_P6J&4f1HD&~ zUf78qAU~t@M{EHj-;PEeG?(fS6dP~9DSM}c;KrHgxcPEw4Ey$qtl*G)!Ur%y_!0B= z5tHdR@8zrBi0tAzt*ysb+gIE?_CQH|=a&;xWi>nr4dJ7EQy@3W*#qq!qN23xNw#O` zSEIS48}2UJh~*G=@ed<#rTKC3sm|I9t~+Gss?5Q0cEGJdEx__|AsHT`7R7sQop< z5hUhzSs@Q<97WAPNm}{T%C4^JjsEfU(p#v*X-Odpzj)yyG|L*-#BRYsW=vuGks&%FM8Ppf@n6H*hwNQd-9%_1T1H z2r`O0hO+4QEKcM-mqG)^XivRlqWNYhWDY!g=^Y z4p83$X^7Nft4sYfAlnv^UP1!rWy9>D3cB)J3WcLpa~MhCWKDe?`wdn*OsM6&rq2TroHk?;oYrG(HalhBEeNT*1jjg_(0M()6JefT4eA2fvF+76~? z9m}tE)P9Nre83wv<<+xgCH%Po^9GxUH}*+WVBZ-qLI)T*`iNn8Cf0{2*tC}ybmiNH zVb~4T8(=5m&FY+>*~b77S3inuo&!7PkUSWOFl2<)%%8f5=HLD*5W)!S;s?GOAfJi| zl_06-EA53@mnklmyuc5HP{`7Y^P4QJch>cXw+w{4vmtlPvoQw_zdtXZq}BdA)!wO& zxh-Sx?%F87k!IMn3N6vQ|I86p+4|>*k9>?;CcniW>F+;|nE%%u&#C71q>K<$T_53V zPwag;yagOaL&q)godPhDsmJH$fg;N9q2q zgAOcqy}E&IT?&8h#B|KwiV<)RTE7x|9}Rc!319vZIBY&W#H{5r$BQ8s7TICl8=8ra zHh!m0<(f)!r9H?s5c7qE@ri&t+@pYxdfeMM1?QyQ82Z@FQU;ZZ>}dcqLLOzKOhp?S zkImFt&;;{eUsnTr@w?N@MwRqSfC3Y^!mQHHfGhbZm;v4S`1etZ|1h0v(6XryD)X4o zy7XfE1E$mmY4$raS##A&pCd}RqO5qd`HyQDy36_Hqf;t{Z~25lV>w zK2jg2`&e^P?QkY-O{+4ae-uF#2UC$eL^9~!SFMQ9{|st{j{5(YCKK>6c5gV zZmPXu+hqcEGJ;A%r+vjSMb_wfRg7n=_+QdUPn<0p-xzyWgFEr1f4=c>%tWu%2#6M&RhQt9s;tt zuP;ARzMm)RJ8mS$Jw+@p#@Gw;qpHJ~dI!Vjy4bu;FREQ~G%{)Lqov z2P+<3#<;j~Sy7$L?8@iL-yft~(f7qDJl&c0@45FAkDsf$?Js&ibdlbaUuQCl&fTrA zBHp$+j^PpnZcf+k_3)glExJA~diK~VN>|l)d`(Uiv1BO%2|D)KHL`aRHhiT{2SbH^ zLuaud5923Yw)^uz40rbvR9{W*lwPUl5JJ8Frn;++G4pE07%s~!;ah{NOZ&h3c7zCe zmb13QAdjHDedCu2sh(2KLX9Ub20kfUzvGU0<=zwEgSF?{@nXk7yBO9f{F!L7aG~~p zd5VN~emfZo6MSnc0_tOX>kEfRczyh?clwI4`oqJ_9T?sWaR;ftU$=;$uS}$=(A3Gy z$oR+(jBoUoWqtqnsU2Z1$v-mLc7oN>$2u{Hy(-BN+)1@h?cmWN~1dD8n0l>^&#aTAVi3w~BZ6{BH3n%6s7-{TbMXz@72Iv)*KQ_r#$GSIF zA1*!TP(x(}aF&jx+rEB%@67x9atAF?x3<9$L(hVQr8`jFj8!C&6!Lrs&`E7`;d@b8juF}%C3cL9q3q`LbXvM>RAPsh{th$&8cwHA-_bNp9 z(AuqYWk5cxK>5*I&mX&hleo>4vLr+8BF4_m!OZ0CbqDRKbr+XO*4Kf%9f;{R?c05; z#iJ-S!Kg0vhQUl5?rtRbe-c#+d>hPOOWcEq{wmfCTx$kK#s=Gu!}s3e2fv3nT1MG+ zh$0{UGKJwQV70$obYvSCB{CvUoiJ`adT#`Bvh=3686NrL@J81JUGLau2n$H`;ku=+ zgJh&OdW*pQ7Q6*B>wgm*FNeNf(!dHC-1h6K4@yNTDucrey`M+9svpV&`@{nUziBH! z4D3bAfUdz1^trfw$PGzQa!_Z9SIWtP(}dmZM(UE)Br6Q5tvY(v<6f)@MgVUy=1uea#Fu+}{IE6yQ>QeDu)JpP6Ux%=c*hOY=B%xVbBwCpd^_zN3)- zL6xS-glY}C!G&kZ7g2eCnw||TS@TB(^CM};)^W;{jJg~cwjVNt4O%*qe)wYKE3~?a zy9vZ$jXtA`Jocy=i0SQ?eYSKjt?tE7Ch9(eAxYKXdP~7(H4NP89$H-+t*Czp8ba`y z=aKPCpq!vkt3$}*TAUj>zhGX~B}R!=b`VcQQE82+1Rn%i2{Unl0j6b9*Nlm6VLTDk zgV%7j63Ddq8xLS(q)L12& z$LS^Wc|0EtiBw>G?&9$~6!U}v;co?EEpjB#vn_qSRl@G*MtDKvOWKo>Eu>;$(oj2$ z(P=9d?%i(JRuuG7Z1F`JvZsk`S7eW~&OhKEr1k};HNq~_v+dsUP$9BltV@ayHG%|9 z${d7(6o+bXa;80QbS@|uWj1E8YDI*PQNxIu?7>Iay4?>e_#|2Y|{8oEpOE)1=C&veDu}{x=J= zF$0)7)I$WlPnh{pUhVx(JVsxx)d3V7@n+vp$)f(sflL{y!#2`50zL8U8u*w=CSw}1*$MMB|=qFL(1c~x}(G--7)al`?w)1YoY%# z+UTLUJ`rupxJ1G!&@Hkg?3WX=HsTfc;~t((6D8U!hbT35Wya=>`440bvA9$5h#1b; z+4B1l8IJ4ZiYZ6Y7lMKC>ljHnVv&mID>a6lum-mrix5?alQpfId$R$_FJ)&pNVoZn zZk2&SYZ%9Qbv1oaBx%*-yq`%5`^*WIz^AgZd>*J?TAvYoAm)h@5X)*nvu>ia$>nPd zqXE87nRS=H!utb?6d~B36qv51fa%C4k)pfcf<;XWzNd(kC-|_zn=nnjj*KyilWtQ5 z$sq&E!F(A?t^=Qu`(k++cBt}>DOlX6-sy8ky&vTvw?0Sbka@3)=vL;%mrF)0VCIYT z($0wqH@jh7%bFWRHGNz)$d~O*CQ&4(ozx6qk@kt6!<$&w>{gQ z=FBI#e7_Vn`|Dy`dYxfyymCqber#m&&AOO`RZ&@W{JzC5xHQ6~-c8_-wXE9}bh$mC z<;aY zkVugZp@-f=2@sNx-}AoDIqzTJ?%BC>@7y-CcW3U-*<~anBP*vRzbd`2&c|2qrNdiq zXHO39U0kMiT?p)4ix_fT1fpL7(l)qO7oddGu`7EmwC-VUZhAjPviqT z{~B7SM{`3`lM!>&bVG)+f5x3CBJy4~h4(1}Kc4=AFGZu4xf8!Fzmw{G5PdWL0nbm_ zxx9C=Za+O`NFYW5oW1?;Hr9sS@(NeTSVnrL0P1Y{cMOxO)*8ou2e4{U#-e3_e8kGc ztFS%dVl~R<&4R-~PTQUPoNq}y>xuzryU^dE>MJXB%`|dAMyqS3)_`XSIfLP|ufxMO z_qex?zNLOz|Fjr!-nNj4_4*WfgoW)vP zb+pmOQ-ZIKPsetqx4hn$&YF`Bh}y%Ne}_UY z;hvXny7vy=H!qcK5SrXM7V!+FhofogBc;`{oRG`{&v7ego20(whXwK%D!UkYqTG#*8q+xU92$5k-RAA1= zOTpP>UWA6j*1c2n&1=@)2v+ISTxr~#)`|?Fa;tP@ncBI->LMB}`TV!R;Vq2ki3hWc zO$@u-pU@|lTirfqI`0vJrR<|$SvFf~zbLtTQ0?k*SWa^^mZj|evP}1(+WlUr05#<+ zpG|+k5dg~4e6Rt~7D26X0L<1mA9yM`nrUw(Y<=ETkG4~(e^Yt79g|AGo#-1)V^{C? z9%8iRCiKfq{Ijw32a zNUo`%cIt=CJXmu8y|hgEBnIR=#BT1~+_bm(gsAnmwDXxj4s@j#4z;lyxEJ;tRTj7t zm$JtbZL5@)jX!~hgv?9QVnM!#WHf;i56&znommZTaEt)F?vGBzf5f1U`Sg(489+gi1ylyi(>>2XY`v~s=tG$GF))7_B;b!ww7{y_#Ai&?s5ksIyw zRHnR6z0SC`JHFFTbFN3g-mP%jshu)Lb4##<(IopR2jFho#!PN6<-ebyF?x0DCmqMV zshfweCzd_$jX7+_?iq8W{#h0t%unHA!^HlM;(I8VF`+!QCb=ReB-5QTBl|g_>eZiY zb_Q3)?aGRm39qmoAs3Ys4MWh;I-BZ_L7HgO$gpPhdF!!zrEh=P$Y-&7biSaTi84>n z0n0vfHs)e!7!mD#qxaCnJzv;seoZiGzv6h^C?-+Tp*X9=ZNyzA_;BP z&f&oR;6~+y?nQsYZFucnth<(xB1U4p1)05 z8!KJfD3wNQB6pg-9&b8$eNl7{v&^{v0y)J;ZPw#UBPdKyx_p3i=q+$+qD zvNHjOybN4f>2frX6{e1t* zy9RR}Il4coSQ|2L#NPYIh%mG44D=_%(mnbY@_oMZPpyQ1Yjx<`V0OI%W&t*Kp{EG7 z7~IRgR!5y%B~D_>j~Ai1`-(!VDN8cr5SsGee0({4@eNCwUS4F~Y}1>0^!AKNEw>s8 zeRM;Fzk=F8mB2Tm7FnuY>q^x3V=w6_h`uSDl7~md@!mnky>e~tJy+Ki+<9Hno0Zr0 z!C~)|tMI@;#H6&@OhB6~>6U(`my%xQUuv3i@~P5q047s}y5_DBLYlNf;mDru?zQ~( z_pDX|Dg)1-HoPT-ay!;Jc*MVD^CwD6$EUW(KB0f|W&hL1)1oFy>n4xaWYqIBR+o6S z_7ouh(VG_inGGH+b`_In#mqTGB?#-6FiIrm1hyr$q^?E_Wy%F9ojAX)*k5>=duA9MX8dunT8-sOpK*5fCob$_X% z*@W{6h0nlcB0!p*o3SRJ9|Z42u97nM`r-2i1wXPo#9z#_ynpQ~lg{&~_Rv~vIKJ(v zTxNby4P-Z&rBr_wF)eo^SMx+$(cR*g37A!aD}*OxjS!-<;+J%3c;VqJaoK+V9^g55sg5v-N=9O z?>P+V*$#Sqe3b4?Csg!JHyX&!Ix36wH#H4zO|p+W8%!DY0TZ%2UVQhtptjYG5@v-$9h|W zveV9AIWVLy?W!YPn5gF}O!-R>O8@_g`SG&LXUUTjJ7IqgdiUzkgQwRw(>K_2L7Gnz zO!NVXV0_2KBzVPff6JjvPCRgE(iufq)?eyA5~9%0R{Lcw%`kMI0vOo$d@$e(WUr&H z@g-n*IL$v+i}p*VYo^4XKpNMH`>^N(~Yc0zNgr?=}}50HPa}=`vkWqB?WF` z@4ggKJY))EYu$S(-P8|EfYV+zJ?&0Gdm zw{B0*29WwH^}m^j39=b`MOWn-?(@YU+HYy)D>>T%%^Uf) z8(;Rg8?qY5VhW`DpxI?c*rhjib`7faf&S^8g6REz^afJosoz38$c^o1G2>na81Ii30P%Xm%Y(y>$#&O+b*q|Ruk?X>z| zjq~w5DYiCfD_rDQv0QMo*VClKcR>1&b7}6zPT=b{jHKmc)v0-LTy0Q0q*UD1L7G&L}Ex8tKpTgiG^!gJ{YWzizwV3)-0vYwusy?@JY1!Og{bHMRQ!ZUMNf&NwdzyUdkIl z-V?q$iQN1Y&iGsDxforq@VA)f!hAeNnc(8=yMRrz8bQv82X_kkMjluc>mLu86~Ane zFRvZ$#l5cmGxQ`vPT!0+yjn=&!`vK(A1W?Y4WY}+3OoOU~( zsyB8`$UHd#X%s(tq9gDr@`e11dwDN46XDQPR8d~cI8f+b`S+KF119Cs?g~>{;7`9| z6!{e%(z1rFyYVuuQ|GQe?HZ;E1D)!e8!h z)r!Sk`mTh3Nq{!#SbBrViQ)D#_?1!OP2y-F_AlMVmF z{VvA`x~L|iy75*_u=@_**!Okr=O&_)Zc?8XTi&V47tFjV+DO&$79OJ=5m>%A7h$^k zW>Zq<$yx75Gkl6W0!AM%Rnj&}kk3H}9kp5X;`!!PT*D?GeAzxKX?v`OTUM`Q4G6f$ zzQ0X1RJrUV9V$LyDks14)3Y-N0uHSrW1?dtjaHTHQ)Q|Fjl%35?&&&?trqEo)hTD? zN`0*o!MO(idZ|VlJ{cxdZ^iT^Iq)#$nfl?%)>Et@mvGUS5B6pKbp=Qn)?$R6ZnhIO z_LK_<^_N;T7B#|$c9)_a<#Cm=Pu0xG!rn5gGqk3d%@XVyvDNW0?11%%C5~I0I-X;? zUSNxY^sLt?^J$~is%h^;#{{9;b!GJW+Jt4jq6|TF$e4|xcCEI$^aS`e&v$@!AeMh@ z)#zWzqCaIK!sEp;OFJMfJOPf6`J8m0N$fd+WVK@??EWV&)$Z(VV#Lf~a)iJ}Z-0AG zdV7A-yN{snb=@FZQprckjE)#a_FNJmlCsA*S4Ak)0x5LDT}kU`2;X`_?T(^{{ZeHI zGkm?Q9`?gWsJR*qX0TfPXd5Q=!>;w@<~bB@ow^3@Q61tKAqucta)+>zO@`Z+a;dOe zR6GH-^edpwPgqs!Jk3qU_7nDD1^Vl}7qY-3j@%wVp* z#gw=8acePGi}0StMFGQ*>e}$5kEFmKo{^HS19X&!m5viAS6s;^?n%C~E8ixrM1#=w z4sGCBn}ipw^u_0Y7oWIBI;}^Kixj!CHvG-mT6XGfZ%E}QdEfK=v2;R_rur|T2S!Oq zy^YVMByG|Vj3Qt|*NMFy?S9utb_=l3YoxLTkPo5yaO5PAl^}e7p`Ds|hY6ocMfyWS zKu5s-T_?7`gVkLpmi%hhVh>|&7{%m}kzTvwjh+(&^S&pcP@)&K#pGU`!(6L6^H;&l z@1Fa`rPecxbTe%NC@jr|V^g^?1jEH@2KKiW-yt#AzL&zpl#^UT+;%|9gNbtaTm4xi z7yNH|6pS)dbGB^_8=`i^*euTPgBT(_#)m35O`t3H>U{a^EV9pJM#+Se>cd<6>?pN3 z-LLM9X&2~vG~wdgx$x0s6H_SCQa#sr7%E|~1pEHos7Q(NvX22Y07VzLbCJF*O^a>UOnwA5z}*GN3Q;zyI2gt7=y-6H}-L9-tQ!iQ%trnaXgrpECV0sC_0 zt&8cN{uiqTtL<7F7%_5NJCU^TB<-1}rXV5@4aJ#wg(PM%DT9_o6{M(4^2-lhyFU%d zKkHhJIaB(E#sxduh9#}z2)6Fro|R9EXbH<@-gG~bX7ZJHop6fp+U|CcDKqu87j25~ z{}>-LwqE!CPMj|~cg;n&3lQ`E@!^&qpRSOk0LWLUfIiBu+y5|1H!i5#?Eq`xUcUuM z!vhUH85;etVd3|eb{9iYAZ#HqUyRiAG%Ec3`ZS2eHSmZ;-R>x8Ns`&mHQ!0n3mlUj z6jz3%g!dG&yhTBeyA+;-aS0we$;*|453ae z$QRB~TEtL)u+LL!!cb%=-fw)>B#K&Lz{5HgEEA|mM>GqbDeh|xi7Lw+OiX>GuFZfa z3x~La?`=hNJOMi2@VFaqY`Hx1S}lI8F2dH`uATW;F11W9`J|0dxgYND)HATFzNQmB z&Wt}x8+9g=SSepGxG-*2&JBla*DA{cQ!(-<{y}WS8JEF5fa#giU9|Va0~J#F%IWhA z-s#l6r(OmxH>~@`k?VF6eI%NFWOuzFg*x-iN#o_pq9YiWtr4x=<)U}}-cZzCdDqYi zEmCmPC`Qn#zw)F3t(M!J2vef{55Xp+6P3`O~u%WvATLuJ<5FEFFb-g za`oLT`-KGIG)fZ!f32frs>f))qS-BIBaJ`!zo_Z*dfFB-*y6%Pn)o};xr;9YI$XU= z*27^Flf4Z(XGqhNsXu(`gh(qO$2=-Alaf-jZ6r71YEn=MKfpF8Vv>aga!GoMc4ZQR z5o;KRtvmGXQUI~K(E4|wCw1s=`OD@*B#yK_w_a;4(cOon^aYG~I0^Hs9d?a$XF)|G zob+}dl=ch8if1rfAeruiHu2qn_YT|th>7p;+lu?*ZjqH(+5uDSMY?^IYRIm3I@as) z>2Y8#8)*y6dk@e6WMIneEe1!kc9A~Cafz!;QoQWi+qbj*EsgI?@*YqPJ86Hj#7Ivl zm)A-m(G8Y(_z?_~^@5AAkzd{@|7=>$czeL~_Dl+|FXE|{GkN}X2JVJOtC>Hzu*+87 zeNo7B9Z>@>I}y3pCVWULAL)%ldCCxTnZr=Gnz)eWTYq>&_@vH^epINu*cN}hqM|?4 z%V9Zor=B1OKC8TrYyg!;sJtR`ko`u`lUw_>dkxL?mNdoe{BBVFu_lQ7Ddm=_Pxi0J^N^P6PGL(JD8TK(!S%b_i*VYS?>_^XMB9yY0w z^!-5blGP$}=||d>gT#G`oxp&9M6vOz&oF|ez171LaktyeF565$_0(LqjVF10>V8a8 zojnXcyG#$Vma%6t;Du#l%XvokC(H)I*W9^)_)nb`o#rpzQ}Oc+H!51dYV{{H|>e!quiaUFVh8t0xZg(Mb5>raGvPA6e!xNM8m@FSkNa&gz6)iXPcO1hwf5&py( z$kUEYTB6A;UX`aGrj$s(V-Xc*du?OXq8XAtieAY!lj;P$4MT!Dzm%CEW4eM2T}yX8WaMlStDN93!QlofYk~#E&a??Y?tX(%Il9}gB5FNM{6Pt+N z=J<=niO~;{UTA>oa}hOSJ=L9|s#;vgCMfBXu$5Wg2aC{NS-%gyN?9u-zh|kAo0U-B zVhQiTu0W(G3;-~%oLN=`b(rxn_9|%u_m9ow7_r^a%EEk0t;`)}l8o3@YSh+kFRPe^ z9}CcRp=OS8WqbW$u<;2R1w&p_wA>W{lN9d;u)&ee_YcIQaGDHMd-HT?iVqm^K2A~F ze;smS5$YDU5>e2^gCn`SV3zAgUYPE03EoexLsm6Hm2Y);q2*k*a71bVa_%GREkc=H zZUG0t!KZ0Jp}bJsl*^$tqpHXyqY67xJ243Fv4M#be4%*aqZ4`)0;F66A;1fE6`3<9mhkjFJPx>yJ&rji$VKo5>y<0p9(0}*uAe8$jOJxKh*u}!=#E;iNfE3vdlpX* z*P4{AGZf~B2BJIiE}@bVB6UBKj(U+Kx2)(cNxMFnMD5`HIr;Njyit*Si2FcfJ6q>4 zE<^tOjVL&}Ml)X-SQB-0)}jFn84!%7!07yB=xrE-I~4Si@a`(=xao~;2{?%*9iUOO zY|&o-A(fYNJIkGcWX~EhxZKW4$R)QNPk9ex*vCzWW<+LCV%rRfj0{+(jEWUCJc%5f zvDj#RU<~Sz{MFqa@70Lo&qLGn^jawTa?#m+#k5azy4k zMq%gay#q4@b`JSO0)|Yb_cOl7vUusI@a$zKc!ISz=O(gJErY76zI-MX_V#?g1?byT zT|x$zIt>N`yR69Q6ae9C)MFTnMp%%=P$0io<9Nk!N5iuZB%^BpoF<}035YVyENMPR ztU-5=pvtsBW!#TA93$Kt4(~dS7Ux?)>_R+UM~=eAjX8u?`9twpWGMgF>ow9#FMnrcRIntGVd3P6 zz4{Kbj;_Fa;E5a1*}camhsSnl&{x>7>j=^bfhss7l4vLDMA{13p$~lS{~mDy zBJ{Z2>J7zsFM#`;5hcl$IPT?)iGQNddaYS~t3a>0g$DAAkal_$Ehj4VSCjBNT;wA# zIuL9`hRq>E$%sPNcz5BPfCnP6#?LpfR3koFmQ*{s~Zz?Fj^&-CX>=MhnE!e(wq7 zj$ryCu6U;-Q1E{$oE?FD5je{3%9$<|!DiHiDLQBcuC^>g=~0$yXPVL?Xn5EA7lz+#*<3ou*$OMx}*g1IR>Y4Y~$O% zk8qO`7>R$unFMX2z;wft)#&V`=zZ(kizd2vkzihB)LS9K2np47>SX* z1mJ*haHjsWw?`Cd>x#fbAYC!^Qjl~HMfRU+o7q&{> ziLHOPF*KLXZ!Rg}IpR!MNJWUl+(1#Xdjwe52(^OcKHWrw{u@5_Rz2jj`k9o@bs6?| zH8a0wiY83Qvch)5XH? zMY`j3&u8VxzgU{SC(qxm%+a1#S&r<8ZkP%ySF_`e=>m?Y6_@+aayKj6K6Xg|R0%j( z!7y$p)BTVYlpFDUc6w7d_-bRm`>PZG+@)#@vsAMs9}1=gy;mX`VDLIR@mu@_)n^DO z_xxf-UBJJTiyQ%NAGn;xhO8_)V~(@v^I}8~H^XkMJy{GQ@3Gzb}mbOkAE-34?8P zqEMur16-sXg^-Sgl*qY>AFNoL8@Ejp!{QnkTyENHSY_A1fuYHPYc4X!#}kCj*Vc~b zT9&dtuJJv91dbm!*c2Z6{k^*$tHQi&<=k={a9ej}`DTp2(De<@r13|ivYXQd6djaF zVk>W~fA@XBWPPhvx1(|m|4+E~Mg;L`EortgfFn`qk9(;z_UuU;plzDyU#29!b*6YE zCzXdao&I~Q=*qhv8~9dq;Bygn;-@kyRP{XYJTIj*Qe6R_6B)dTQ9zbl&5`5+Qt?dzRpc&@>=Kpy?=V}>9h#T z_bJ&lV%`V^nfn?Nxb>7LtN#YNxwWy_5IAzIn0*@lG2Pil=}uB_Ztp`M*VzBJ-#Ulz zXUPw@axF*`7n_XEJ^EiJ3JXlo*Z(SLZ}4ezr1Gw>nSdy$byEu)I= zmc1_hyKgU#!8-VUjb_ev_tHaaNC?leEHbI6_s;5p`J{4?;!{t;I49gL{BI+VFM)sW z_^+0{b^H4^{A?8F)t@@@ot-}(K_NV7*3;isHxs;B>>K?{!~ngX((e}cSlZaZBB#yZ zBaYpzeXm_|Q~?bbNF`-7t6k7*Ng_MH{nuIc&R|#}{l9spo{q50rQwVLVD=uFGezT8 z2q=!F;l;*88N}^1S~ZYOX=|ikR&YOr-g#x`-stGgKk-`))isvcCobj=kevoG(C&2u zx4x@~_$nqo{=Z|hUV0T1i@AB1u)Cp@7v$-!8{(7W6JKjEi^Q|a8$F^d3bX2xe#4h2 zgS@@P^N!Yr2U|~HmrM^IE0hFm#R5-b=Y65ziciO4N;$JLMWMndHaKQ;^5)qg#&nJ) z1oVLjQO^xfd61RvMr)h%_>*^<_*J5;Z3piGj9*LOU{i3S_2~x1hV2K{Vm;M@iR~6D z3n@~U3;pX9n}3JDLt5UQG6O@91!F^)3^}u*#s12Gqatn3b6R(xMes?#9ecBk=Km^d zc7o@(H{NysJM@_aVdfF{1m;CKO_KMiC$)rU+^l$I)gTRyQ@!w%)#hP1+ao9439vqf z9*2?V|7;iDQuFKb6gz|&sHA-9w6dRXn|EAnI;ofaLr!wp!)OqCioD^7vbMbKd zlBB2BMd2yUSqxhb<@#ylNU^`}d$Hw33KlS?f}?5iJ3=s04}G3e+Pf|Y^%fNrujrtA z4RG2oVT4o;L7#?S2kvI9$r3{mxe7`|a!+F_0u+OG z1v!;6zG>$ApE*CU zmcCH5Mu@`?WeWZy1V6AIO|GouO}x;(Je$bi2+bdAKROM^&~cb$-1po?94L?qz#b-O zK`_G{sMmy?==sjyXg?<=po6E~Vn+JGBm1|D*;gU1^S>ef-}7=b28#7X`TqTs%j|@m zDmI<*)fZl`&lcYmX6+M7%UKgPD%WkO_THsybRv8`fIIvJ#royA*An>QQc=#!XY_QM z%MQ1*CqHZ8z2kszmecQ-_gC8Q62AJJan!<&s`}8FMgBwFAVt*bmL9?vi4Rr};pYfW?7=|3^qt9%uf{p#;kq%JukU!x%I=_B znjl$6F`vYh_N)fZ4h7~sb>foTwBo*n~|Sz)FgH*X@Y!|&&NMg=G=Zc=+jEo^UFZPH{W{Nh$)*daw)mqtyb2SoYD zVHr+S#bTy=BcwWHL%X(H1C>FvoK_PAuV20s*52(1av#%@P*E2%Ds&=tFO-PlFF`{j z!0f29Yv8fvN#hxK*3}Pmaz4EG5M>B}BxHdZu3crp5N&wPYXji!S99R6sgA`TubHs^ zzXB!R)wFe)HSq&>PFl!NegH^!7MM^x-SzA1WnFetLp0{Lc}JH`;Kx(LzV)~50C1tP z*7A0I@TZG6*RdW9*!FkoVePXUD!;82BD^c%xB_axB^>Ok1>HS`h5(wY;SRP9r^&*>H@;g_|JA}$WPPK zn7LWcGX1jQY|J&-iwqTZGUr=>BK#F3)>YWx!88p|ew|4}cc~ZL=z(XVnet~#4YRv+ z3s9q_HJWn*4cMOw3igM+zyh~!uH;x+Zq&%9tGDx(aK%Datwqma;z!?q;Jt;ZE-s? zIII`lD+eNMUBhxQK-A$l83t@yV~NoP*qV$a|4VMNYq7?E`b?M;0PBqneie$K{iVVH zE(-#0n?`$A!*R;9-jx!|BkiW@f=p8WCq^)&Uas;_dHmJ}c%2rQ;Q}02u>I^e zk$JThx>v;+>za_dNPOJqwz*g}@8z~~f$d-5MJCk`#wsx)qDR%~m@=@M-T{crr zBvvmllJu7ptsZ8%JL0jQ&6nUE*>y0G9coGq-{}eZcyOtG0y_r-As?WV9#K&H_M$7J zmRD_B)Yy{b5T)B4HZ6>u$(K=A{k+F20xt4DhF*Ood1ikdz8tQ93wy!PD_SUd)fe(Tn`qoX_RceENZ55M z28swU5M8nmq8L&0j0e@r17;Ukx*8wpv}kXw>yT6p#3(QL3;$Bc{fHVf9+Wd9Vu;Dh zFku0jYadGr0Y}tm@}LA6fy&Ez!`KySz~-CVC|yQiqS5msvwIzjjVd>=>WsjOFGUL> z6940|x(bB7CvT>ji5Cod^4siI$JtXJ6wQ@_2EG1?14cyZZLh?*1*lbKKDzo!kIHjs zq8Ipdc?z5VOkt#hG|2qpLKZ9`)UbBCx~f%)OS%TRJ@cMhaFH-9nr$x=yL`XSD|AcF3PNgr; zSy0{8`sO7#LrJ*|R7P zv_*En<86aC3U%W?f7zXwSI%nM54IoFKEHj&@Xd*unhk`xVRyTOuY4V36;?mbCq3AK&64qwqkDjmJ|0sC;izzsZP4-iG2^3G? zmC6hX7r9eqXt>gBgIaf0vnN(U-sjPcANFtC%_m&bl^35SQPG!zM;)vJwG*@}^fa?DCQ?}iB zijx(DyY1bt?E)u(U5tHeD>P@Thu!o?irHV*V1VjW>TIe+i)qr#XL0SY6R0O0+Cm<#C zpp<}g0)*Z|NgyPDzQ6ZgWI3 z$6H8ISx!MtQRsf)YtNTLO3F$?3M%r7O7cnyipoM(u6{wUU0j78I=grXDGFH`3)#B9 z5Ym77I^dG?Uj2XKC@9{@|35)mGTH-o2oQ_~KZ$;>hiD5uxao1h}Gsp0Fa1r6pD0 z$ROFty6ik4aH7otya#SQ|8oqv_?7#OhKtt;rCQNFA(1vKyZ zy~V~s{+!}8CT36=9U-6Btv8mh_?GrXfYr*2yp;mt=$`)%V6v3DLX}m66j26797?D- z{UTHJpwiWdqg`k1@3q>LLcNbQ3GlD5$<%-)XSoP{GR`!rlcx~xhC8zGqJ?TDrQc_`&RSS679OK6yDv2 zA6y3;%ssaEiw4(*a%aAJUPa9ZuKjqq#B1q$y|DUuS6u(^A6(L!ZCp#qVeIpbTuWw` zq>gK8_>#18Ey-SznvV+d8$67qs!WO!a|*rr0bN4=f0;iIJy2y*mZ(_Xo*;<534SrW zZUYz=51A$&aW36QiwtFQMpb}~8HYHX*P?0bd!m1UVg_!<@{U^z&wl!T)!EuAkl%)0 z^%eWoC0bdkI38#J!*{(pJMV1%GJE{Xn)~^^AfT%`=r6PM%mdZ0JG>w-wT-tEJ0;fO zTJeB)^$c8*udO`?-9~a%gD2$-R>X)^yx&$Z^slE=THXN^A9b4@u#xxky`i_{*|)1vmv6T#buPPVuPBl{J3lrf_|cvIs3d(7iWiV#AxpS)aA_@ zc4IzG9;+w5&{pb@J@7-fR%f~8oEMEO?@<@r-UcsHCZ>LT%g_6?_rT?(J@>p_k{}l; zxu!dv;PY!gN9>%xHDFKI(5Np%r$6<#&fwVNQDH9uL#2XYZo*Dmd(O(%FWM3Rl%zm) zciN=GiAAq43Fmy3Y#nH<)13^CZYGU;b%PUM!tV2JJf!>0!Fgx(3Hn{d^|b!??-U5K z)giM-w4-{B+4(vH(HdSdZPEnyyCq7cDgl2#h1Ihp()H&s2q-gD=Dnd! zuOs+6OV3eTqYp!{wr-Q*jFNAL)!31oje_ zd#)?YIB3zc?$jDJxvpK8^O1Tr`Xh$LzK;A>vDYwgi3a}^BZU41hYQMXF&UlWOa1&ujae765phAYt#cX z#HybCsqk!iyTLlQZqDiCtHvDYJn%EF_#jJf?6}(oH#T)x9+6mAo{x^+(-4Fs)kq&+ zj2b`%-H2^x4~p;sEoB6?@RPMZVma5fbpOGIs zxZAG%@0)38%An;s!heTag!hT%)zb;Mm~2Qf^AF-l1LXNcu1dpFkMYKJyOrho_PN=Q zGi!>@`ZFeNkmT{OpAV}HK*`C5Z(_4vTb11wGraPt;;*^2aT#YtaD}_-@%PNrwx!9L zByG>ElvcVX8=t8YHCKtxc%791j=c!{waPq?shm4`M(G5a0-TJ8lxyYE^Kq^{utJ_M zsLMXarZtdX*W!z}i)hU+=driRS9x}SIdeeo2V5m`G#8A@ZLc9j9b8aiIRhx;&ULk zBVMBJcnPZQxO#Jsn&Mse8=Y1=#80&fn&^-Nin5iQG#lt0=fm``y*ZpNvr@ikR7!yzF5UeUDnmEtGtQ4n$$LM9$kD*_ z?Oj_p4Q@np^udu#tZC{ACPVx7^|xOnrp*2(WHUNT4d{H5QahQrTVbN5HPpf%4KJlL zU55*-9cQ|Qb;Oc3oacYO{$EI}=>H)9H~$NnwYr4`;EkOJj(=B|5J0{d#)d9K7GBA} zUuk^d_T4Sk7hi8;-y3+vkCj*?UnZ8)%Xc=cl$Y1-GSASyBZmHr z49LCJAXe+!uV!{OnzL^*WuDP`cbfGMcqcH=AU&IY_Rc#_SIoFsz9ye`-Ex+*6*YRJ z$3J3b77=Bp<>ocOf7PAM9N8OLooM@}H8At=C@yZ=n$|6ap}_c!0(c-(@`1x8!f;A<< z8)2+IRF$ZPLK z*KZ5mydh6E(dV>|AI=Ioqq6VfwaeqX7(7)=EuOa&L5o56j(aG}UbE6Ic&_L(w@>sX z-i-L1&UF{BA}ar8-^{>qe9=Cs^=qdpcc^8IkNjaV?ZUImijd6CVmx0mLYd9z;^y^h z67p|eR7$%{bU(8#{hH8JX==&g@9?qsH~NO{(N3EnH0-aq&EA5xvi5+e+@-tlUn~3h z*GX0B{Zi@kJHOIDK1y^&8ZAj@d`?R-zKV;Ifw6wd$rIAp{}S?KJnHm*IHuvQ0V)km1I$MQGM~edV3gFWolmV{a1(D zU9V7HK-aJ5;7-Yr07;q#^j#B%87k<@7j#}%Xa0If#Z+a#ei+e?O`LL7k_?*&9)FYf zpxFBOW^~XKwcW3K&BhCX~HGrf=W%h=56F-#Gfi#mTu}h+Im_O-STbg zjbxWuxD88xf+u9DEix;_kc?52V)7pWr3I5{ui?^=n|j~4&BE1gXRkiGsOcYn<589s zbf&kPXw#JUKq2t$-94UT+1u%c%ldv<6-()llk+Qzi#cPQbswbPHr^ihlI1*+S_u;n zaQiIRo{`Z+D>-#CnVUF!S2x~=&}wo+h^EKS^3%f%dgvsDuxeCV>6@Q-ls~sH8k%*n zYwtfFf?hGGD0pZ-6#ZEJF?W$oT+bNjh?K3vQK9x&;i+|7Us`z>`xa|$!;5fk$Cs7W z;xC+zWW#+ARX98+${q75Z)4H~JlZ6EMFZ`V^+OaEdv@-Do0ikm6Wdqq@@H-DXi!5< zYXpdrzpi_U10`|Z`RV^Qg5|_G=Uoy8muy$4rL2vIFoOYMGJ(UCSw`@Q?R#Z9$+)84 z!MmiJy^(9Os=2gPpBLOBvz}l@BJYcif2ZGm4ak*wy4uNFFgs`1lbCPaeDyI4<&hbk zgg`fSrkwo)sS(Mg@9(@ttS6!a`)f_n6jZB8K8YVo6D`*gcli(@itSO(QWwf-MM)Wg zVxJ~1-z%R?!!2eI{E2WJD#tyFtP#Xy;~NL?Sy(|th84Z+VXXM_y zikGL7;m7^z_*~#GRt>Y_Htzo;I{ARJ?lRKza;*3c)TK z1;Lvk!PRIx0~@TWuag`&Y8lzS0Jr&fea>lLfacy47S5@32p^3*)N-|IU6gGF_Qv5L ze94K?HD6_7Q$C2H5N-w6RV<{`!Zb}?FrpOGp(-lowK#wZiF_k6q>z|;JG@|FNUf0d zmOqLbHs*?MHMO>!0_2gOnJPB`=f+3kMOFh)OWET7DlYFpM0N&u3{M zVNO#0ir1O54)FaUE(&b;e65#PLM%l!9>J=@8Gn(I(8@J2D(KbtV1;~j&2N5Xe=el- zg>rA~TLY5vB6K75Dt80cbp@fnF?M3;O7{oo1$}ywp#A3JbDQOy&xO@7gOt)HYPHV>DjTBzF}y@(-()M#N*tGR>JeXCNdH_NDO z1wodf9~_nW%A{)loq9sq)9rsm)Wj&(=q|a|$r^5a{`nYN4B-nN$9gGbCY(6ZgCVY4 zroFJ;AFE4HEeOfKj~?1-IX^Sae~oO#4TmpHQfD^36HP`i5#7c2_gG1_9C-3gBKGo} zk%Z&GpHhI_E4Ev5yBe%$ zk5|zTBYFq)!TsP@5~Nd#bAA=^VjS)!`BsuepQ5UrX zCmK?A^FDl=Nt_(>Yi}UFUl3}rHuC$lXs>oP^;u~e#p*KJQTQiKnT8e5#uB<_=&fnGU3GhR|wvqxNU~1sV(@{zw;>J z1}+aBL-w2}Ws582cBV-v=|2sPIRYQO`?JW4$_Yf2GqtgYfpY&KD&eW)|^z- zh9={;RpvSTzml!KgX5DW;siq_A>$hZyZ7+ux67vE@Ga(_gJ$nFq+$BzqfT?z|kc@U3p#l6fStrTqABGzQxURZ=v8cmq&okHiX5v>Y6v)W@qMPX$P@5%F|{ zIkZi0ql?gG(RePO*)whlPXr;>0^Wyyf2Z9HoU@&fZ|=OR!WgUG1l`0hY`iAos3#C? z(wUr6;c9~63AMrBT`Sj*T;16(2*E#3v6b!lq#NO5r<%tO0 zaKJ)6%u@duCYJv`if3*_^KSbDjywYYb0BcgRFRncP?0D-$8dN^E%E#=kp(F3 zwwb8(JGIqC#HWA|L3%D6)kj|720~{xFxS6LmG1@5!_IZ8Z8v*t2c}*35nql{hf|vZ zf4znB*OSIJFg3;3g@sV0@=a9qpBYhdUY7R!2Js<=Y_No7O6Om0Y08)R{YWH(>^?#G z`;M$1PxP>cm&G^#RuREzu8^7c+m2Ok5WktfCt65`e-ZG1-r%3`4qhQlt)%+k2KdsB zXKy|~ABt7W^njRBxVBtgn#7zn;6uV#PhS2=;x(#6&pbV%h1fCz$nJ!KqyIp+^oTJW z#|y?wEn98ZfdAky$p|i(pZYraRBTx=H=aDNN9?+Gu#iH4x6KiC`N@2R@lfb-*v2|} zc&GmT>Ih4K$s^$;vXA&OT_No1GshCPc&L*I=|jR9U7=6V5h|SHV6UPG2o2Be9h*O$ z=s;@ZiKh$h{Z3sLs=baw6eCF56u|VWa^CN|=lnE_;bl%ScqD_j1IMurll$|P$-8Zj z@X(50SSvZsMQJtP80@h2CYI!TI*_|iAj^hl9MlZ^g13_K*|}j6i<<;GBj^Kb@N{80 zdf;ExE>T12>TWB7N5uJ;T?j-kPS5wL19=}E{&Dkg>*R!bf?&tUiFe|1(zAyg=mC5# zI8G8D+LPlY2GpQ29}-AS1EdsVH~Mtwf5C*yW(GTePe!nqP#*eUFia|@HoL@N$Qb$7 zX56&tK6$i4FtNgY^2`)T%-cV2^qXZQr$!;F#^{`qtEM)ORP=esk`So{RK2zn6s8|$K8((F#xWG@e)yLa9ES!B{(K0(U19mjN2ENfi6@#pN6Hx}(;W zKdvM8U!*nP{ex2oUwEXH3>c*L65BW&vSm!$T|2!yy^bk7w^;{9lg>k2Igk~~U-#uH z$vyKS(Zti|8a*Qy3g)IF;I}x|LaD8(ft7-XN+JS&kaluM3~$z4_fnvl`YD_rTR6AS zu|YB$^)-Lr%B5JN7I0xw6pdwqb?wEH^_KXO{a?0dM%R9W`KyCUDP#5oUgx_sFYcp( z_1a~WSn8=p?#?9o^SOC9+ei!URN*Klg${3W=Fm&G?2B6v{mjZ12-wz7C zfZuad1Y+#rI(${|t#>g#(cFAWn+H>@IugSzBT7F4M~tBNr<1!p3q7)DPpA9tBix8! z@yVzp)nSIwDhe{gr@?3S`|>ov(}QH43`Q`W?L4$4KcK`@)m9Gt=E1L7aFjpSuk-7` zV|Ko!z|da**=%>wS&8IR#=Q>lg;oiEDUE+wTRoOR$zuyOt7L!T#-`>0kFA<*bq18< zlh6}zB{gtu&+s6*)U;qw&KD^mQl-p7;8=(91~e`3Vh0<~sQeKW%<0{#j|v4)KdACe zidd2GX01@pDeTHAVboj7H9M0Yjv++KhTc^HMZkrtLe0WRrLQ5bemg~EC55y>=%KNtKBS%vy(K~Ypm|K z@q$+uXXk0KCIT!o$xc+*tM~`#bAEQd9aV?DJe|VopKN|NvB@bT{_2nb%~yPt8mv7i zxB*DOHXylc>rSlbLhR{rs7!R%iA1dEUNixKNLc*oz`V3LL&>9dfvB=>V@;m>4Z&EZvE zM4UC&3s0L{TMuhbZ_Rf(Av|#ZaZrfV$gW^*`!?v}UBQgXAUl_pp`gkm6_uXXNc`nf zw8)I?F4Le^&5!NfS>-bl&u`|%?-1k@cKffHKN8n)-2D^vJi|~BwebT{_MDpgPU;`r zuE54P@Tip`&fST5T%MrT-V+LRwbjF8_3%|m`-9+e+j~LQv1DC#VwFBVIC2IpUmO%dzBh8?C2cf;*vZ~?mwxvvV_ zw)&W(xi2i#Mw_yIe&eb@iyzKQfx`2&*a+ zUR2xe$2>IhbKU3o$0pn!Go58zbq3XG;#WHG=lS=^aeW+q+;A6^VjHM)X0{1H3)Dg7 z9ndFC1mry+RbDDCl|4Ug&xrAlrSS=!XE`PLL7buE$$2X>ZNbsM*=_g2`trFc6kk}Y ziZv_r^of(cUvNa=$3s(x!wWOORA>9mqZqB_XE!?Bn=GPjQNy*Khd6<_bH@PznhW%N z=pjnCDWY&!>r`ROS(8b?4X=V+_ntaZTb8-9b8Y3US^VOuXD)h&ZYz;Ed!N|4P%^Bo zeSA8@@nTl6Gn9#YjQR}9R2MFU!628_O57zbpws$flnY63Tbu-tcIu0&+gr!J zJd}?lrG_Y#EbRWte>jRNI3n|a@Y@8ViNZ9fI8pxH5B#S&QHNJUzA{oR0*6Fq&TB_R zYD5f_Z=S}9w2lATz5pHQD&{*-J={zSD2dSO&piodV@fAVN-NW?LZ?spFX%B=Vb?O3l|Jgg%>s|u0$wKIs$e2pcTwz0JRBb}CO$!`(v z96jM1#qBkZ9)l-)7jGa}o_G1V**8K6{WejTH!{9W$ ziOg3jSoiMgyItMGdtQbng41_`fi{=;&b3+lH+)*G&*)%VG~ZGYa<8)Vz%R8V0{@=< z$c^vf7JiFJX=tr%Y=Po`XuQl;W$h`>QNk@;j2-v5hjlMWf#H>e2}tQlHSeU=K?srX z@q@R+pR=OlnB#j}uu0Z`)DI36Axh{sxv9-m9!FOv)7TNyjXdwD!hgMS;r>-G9TBl87p zGm$>dtEi?(CDktN$WZtO#bQ766E7@3GzB z7vJ4DCba2z&k}y;&2v1a!-oQP?8pVH_V=QI?N!TIbSweVRD3U@1%h|3oOOK~bITf1 zPphB!r&<_8R_gg2?Bshiv81{~(cn{1Z%?eU5XzYjlXJGA?q z1XI;PJcNz3$8GtMwH3Sx#a(k8E?jea&sfh+Dg}qa6Khz$gz6KRA69apG51o!dveqP ze71uT*}WfE2iDHmll|%U7Z_St_UB>Ct;!JF(CY~PXEzZEa*l;rskm#JVIvcNE2N(S z>mWY`{_|Cx@K5pw507GOi?x7UJA~Jjf*}2mko|{8l!5E7CX_3`Xfg4^_ap;xr`K() zPxn`BHN()K8!qC4SWdLaEAE#T>HnWaXX~(HL?fTqsN1@{xlDt8DPmD$K5*TWjE%{@ z3K5R9TOlDH!2JRN(X$O7h?9zh9B-(7QgCRkUx9U0hEjc${h8Kk_Ra-B%~cs zWdGr~2&9H!RxyOYtjYUgHL$0~{OyAC1nwlifsmE)Ev5@gLk!A7u*B}Uk`1X?;KKVe z#o8?JGR^$Ru0W-_eq__lI;UHUptd7>Y5+R&1b2n6vf(3V$5QwL(4=E2EHCg9jyf6p z4}JoS?7|uXAN&V1Qvp;XPcWBoOYd2UG5A137HHhD)Vvi;3&KOrfD(%!*$F2aP-7*A zjsaj1c}l(l@}}GgX8;fjJKj>}+v}X0U)~c@{=)-k}mO?bFLHye}SrE2A^(nCCqq}CK*x*I54+U0k6t7j;9OTP9XG57^6%%Aj zHR988PNEo@`!tsx*#!}1T%4u;=fwa((C+=B!>wnixs*CF)>4; z)L6({eaGs(p(!M`Ls;*Zi02eYf#f93jdj3?c8a1lg1=SxEcjSDd)hDm-2Ldh1o17t zkuSzBwIZ83Yh4q2IX|nQWcSzAY-P{hu>SLl`cOT`_Sy^W_;X|76R?VUK@K7vchv96 zHB+7D5dOQ;#5ZMufZJ)F`XiC&a0r%|M9);yv@>VVz1Z#y_uACXB-U0}B>FEiX1iZ@ zywFHNyxB;)(}@?$yZ`3VP?H9h*Z=>39o4I=2w4oCghaIuORKx&IeMXGD?ZHxwF6Eb z-oCgG(f(f-`}|*g--?=Ktq`oEd%s-t3Oj9pJAI)kIo2D#r~O_m!F+qH{Pe0COEAl0 z6k{K%DeYksI;I^4(S1P$cMYryO<;J=_4%N zh^|Kqp5JFgt#`L&0noqmbb0#)|Em^!n=eEoX6ps<+`BSC7+f=v-M$B@P3*FsP`$_F zQjUR~x2(Er+|k0!F4>Q_0TNcQ{>f+&Y_;*)sr^Ltv=|WkHz;OLPRhkqItrq==3d7{ zaO(aQ-E1&NFrCj^tZr{VsxRM4L~-?nD-KK?2Xd~Hqj$6<-IdT>Sm^27g;z1g)1*&> z)h96|S;ieY0)JGO77zsSksT#5x|*s0*Mruq1{}S(F#F8A`A&!=(X&@dGhd*CuHPR^ z9+Bb_?X_LPU(XhP(i+P*D|tn7iiWq_^RxM(L70fIiGj5b5Q|tgvI9`8lD_TNkv8Y34l1${fgX>+sw#QQ(J;x5mM4T9-@EVDlU$>c=&wKr55~7AZ7$gz@H54O+{K)!q4>=G$YAoi)EvP*>?!T5;RvekY8U*dvOBu;kzckZG?}> z_BeX5Fa?g8o*kUR=0?lwu6gy{Wx-?sk}Q+`Dey)M{7tUqHA%h$UeWG|aLW+Gt~dk$Jbs zceB$O37dKz~bQ0K7 zoc5A1Y%J&X6TTye_LM8hcx@K+n>f%^RUC*U9^Ivuz;?MTV;d)n1WmqM+};A&sW#6q#0QbD2Lmf-;M5%!j^g~lg}@`l zzSsG54|fT>`Mu7(K9&Q3l{q>k2r)vM9otGj(!k+6Ns3^Se?cHI3-5 zAmvz&t!y4EVX%rxxATnP?DJE7R?f)8(rom2KGT)E_xLl$$R-pYf zQ9CET?9xR(j{hlMzbu}X0vPIp0NxgzgrqJtzC9tWJKo`bMAVGmuMMk-;59W1otqhj zRlIcIyGhcGC$=abpJYt!ev8`*8aFd&Njn(IqLdK|u|K~*Q%}1cCflo5jg2X>aBFd_ z+O(UgzkRgEH~RD6@!NQZa;7GSi1{Ip_y=h8fUYbsMi+ z3&5Lox@XUusn%gkBuGnnCCE3Q?|T&zHY=AhqZ@SL+_$rgS9yv4e96zk-U0!v1nqEF zZ_!<5&DmSpfh$3CoYfvnX)}T0-Ib}#O%B0&+fNlPQJ(6p|9BkOTTZzBTG|Dww?r?q zbL=f^tW6H5dfU0Gmz8*{Jx+xiws_fFv^6iYT-6@CX*0*e@eclPXDiP|gM4?$3Md~< zw(2ciU2iTlYwT`zFuz|*W1;X%G~K0&l~wf9cf&7L3`3g#6FI&kQ47BHOSuJ$;%RNbn(QvYY-f*^0{-uH|D zzJn)|Lns3tY58#Iuockg8iB4M6{Uo($+6Lt{FG%|?ow>WIqu#%pWLp{zoy^(P?-I; zb^8-YhlV-lz2Nf%)x;IdHNeKs>~3oVuG;F8?$Kkm zz(hHl;<1jqV@U<(>t{9Wu$`&QTY@+O_z*ZAY#O+@Y?ZLo6u z5c&8ATV~f&o=NWmZvms)$(chLVpXsP-8 zL}gyb>jAq#v9Bd5Ij)G$6dr@LKz+cIfaEWJv6 zGC9?5cPqxX_YlIr$i-1 zZuRX1kDbAL+fNUpC2Bs@9NcQRiqhaOS_*wJbY`K&oxfUWURHUJ%;y_B2@2W6N|e#( zr9@E_j=z|+IVj%qiK@o32)HPkY4f$r2+}Q#n(YR^FZ*3yXJ_c6=x7yGD(KXPKhr8z jA7(NbvQC0_ntKuk{UN!3@{-<41#PBZM_m5-Kqmix|K1LY diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index 6f51611ef73bdbdc76a9e20fa933e1189a999200..687453f8910889d49b0e74133a703c55df94086f 100755 GIT binary patch literal 16364 zcmeHu_g7O*)UJw%6p4t^i;92+L_j(rDkvfX(vcPvDN=<{LQ51>Kq(>$A|*;kfk^KJ z0jWZe7J7gHp(mjvq+j0qt#$u~`@?t6TC>+WGtWLV&&=L4d(IhwBS(&u@g6-)A&2*! zLuum{2zl=BcV1cb`i<+#=kEkR_jz_+MOEee4Rs}D6(yA$%Btrr-2y|NySkl!^u*Qs zyz+U=2j^|wo}Rz^?0L{3(y;y5p_(H{41oVv9J#{zKXMcFKN5O$(}{Z~M1K;lTM~Ks z!Gm`C&PDH~s@KRYg}d}SaYJ%vFBn(qo^z9TNKunLJABdT6Hm%TI?X;cD&2>$%VeuU z1{^)MGw6DF7y?h$&7d*|qgEX8AdDjh?q06yG1x$e2s*sdzJLEN>c_rF)~|ZBb1bl9 z!Z>{H!VC#=od1-yF)k)k0>rDOAqC0%+FhL7yGc5lVPx~p%yexDDN5Cw4j)qd>SwEs&AEN3J;Y5`DdJ!E?v;#BGD*}5z4872 z;)Cp}H)LJl3Gw~CsZRdMDuhdYa%o~q&nn$=V(E)^Mp5ENOhifQ7fqD@sGe?Nj=V=8 z{pMa=lm)^4Lj`QX!Y2hc>fCq67!{vFPENinO;M<;-8JcYxjMA$A*aNA?fa=R%Kh0? zdc|LKf=Njwb0Tec#9+Mey5qlxk?zw=Q(uBt23yVsPK|UK`sQ5^T}oio7~zsmn65w5 z&B!p>_#A+A(`NHHq94tkoW8fTcXy|xWe-5g_)`CHCI=T>x*^nLLH{J}dLgy3>rVRk z?Uws8ScA5#Kc*a$26B|+rnhwWG|3azo*m!8=BGbie_Ei6xN4`wb-HHl(=`#?+m+FR z59o8vJzphl}-OW{cJKHjpW|`>Nq&MaZ{@~GcJ4ZTtYx1@4ADK|JPb|Th}YA zU**+Gd9-l12erb3ekFIcv<83^^K8rKl}qmq^F8}IeyCXLoez4Jg3i<~0js+^Xv&C^QKpJr^ z*fV6E+HT!K=!*2LmEvbk#nHEHE2NydC1^*eu#LEV&zgX5gt{cOXMs7(3>rjD_(~(% z-0v3HQBaNv(|vo=Q;$4K3qLn*2a(V7Z*DvXOTFH3c@z5i%${-os|SWzEjM%DELeEP zUzvPtHy_VgvA;aFHMEoaw8|k-%{zUw?w*ahknVLy)gsvGPy%fF>Uf?vReow+>yINc zDX6R(qkXHkFl%eaR=0EYXG-_Gosuc`Wob(_fy)%$42WSD+3OlW`r1k%G%-6PzGr5C z_%S$eMQHufUt_t85RHc-D^Qc3g2RkN!M6>Zfz`M51TvbQx8IrEyn-W2EX^Na42$s|e2=HIHe}YjsvYbe zw~N0#@niBO&X1Ft^MN2_&;4eWXZ#mQ{K_m3?T<*bmwou?{r3;W?0y?|z0OkC8~+ul z*>f#FABIfZG&GIfjQy*20?S!!ee%&^mxp({Z{r}THAynWr@zQQ!Jv>1TJi|4B;(Hm z_l!8ze0n~+`RGdc$ii*EFRDi*^E2#4xxP42N0lI@H`0qOi(nf=-e2vdcOlW-Ei>6N zF9+8BSD-{qbzc`$m*P=iL(nBthM~65PN{~PbQVv8QH_QN*(acenizEQ2bH6?;>DJ{ z{grb+tKE6$K;QdL0pG<`+$f)Rn%!^^34O2G+Z7)Hshi8sUO!o!$Wz&cO%RauFV3(8 zeW~M=tf5RiO%jg?UK0shmfs4F{rk^Lv3V!-lY%Ik8ur)xN@hHNt*zBxjD>>d!``{e zCA_MLcbpsdgKO-FBAd_8;Eg8qqDzH)!4h^ond610vo%W2UNx}Vyce?}fZrSGZwV9s zwrA(~#yxZ^XNr1Z*_5o)5x2kExCp_ScRR)zguffR=ImE+%JJIY(!_d)=F(YN(EG_` zauU_5d5`d9d>d+5eX0llz+b-JzS>DRZPjzSA7`AeWHEiVc~Q~6fv`f-2>wkK3vVv> zM2406T^XQebxYYkh>=IX#Z^msZzjWJMZ{>5QPMAJibCr0lxjtz7(a3_5NHs5rc7zP z*abhdo9`#{vfnVmxXkkRe1glZka?!5PwA=VC&eV?sf_a@l~7`peI#LGT+Mp4AxbF% z$WZw=R68#&t{wi$${O;iuFO}$$@p*9)%B^VCjKAZG{3*E8b5N`cdAAiNz!DvQXfcM zX;iih6H37={N1%xZ+=JpcQ^PHlfN#>zwabrAXh0gYzberQ^~FTG1(=#*%X8GfcfCn7?#8uIarTX1L|q zqC!N6``M@}+o$ZrP7AT-3k=Me#|a)%#~%tf5)Ap(;%&s)$cAmy<$fd3Lgle0gah&u+uN zecInVqH66bF5MEWWRh6JDS6u9THw;?%#T@Qg6(a4oMon%HzyAKeQhNVzL7CTs|`>O zy0$vt7(AdeTLblJi>9;B3dH{SleS~f=un6Lb^pBEMS zckb(Ww)+73bv9UQP$R_~28Kn58$ZV&3&aBuxJX?)Z`B9~6A+WsrP<%~W?rl|+6S8HxzxwAXKlA0bDYhuz-px0i%v71XSajjg^E`NdV|jA*eNDC|!n2-_nBV&0 zM%rp8&hbd2ovpz1beYR32c28KiM+MSHE+u#t4ow&0>3g#^uh&3LqBZ2&&v2w;cp4( z&I37p30u<&fZ`$Vrt_yw{=716;R)aDU(n)&qw;zo?{?pOo+=yWn;Bl6n|nVM{LH}Y zT(BSZ=eJmt#MCnZLfODgIS(%sC+|G!H|D3;gc7~i%)ZU-^|+eT zyoPIc#9^FfHmm#oZVlPX0j#sX%(KUez1n+xdmUs~W&*0RC%CQDn|H#~{`E+fcmTh=_~ z_+?_davhZ(_3?eYLKtqSeF$$B+?(Oy6mIw{jPxiW*u>g_=LR6 zpS3}eWlafx&eJBpw4}+hoS)E-TzD0pRQzUPJKa~i>SuXmhR`TMsm7vzxkfJ)(Av2z zr&jsbUeT*mP2J0X#yI~D1i10@yxL#kj6N*l!-Y>%Beo5XR8XhX5eMC;!e0k@yPEdb zX9Q{6jil!L<>~i*VP5&CP}#fLm*Ky^IURe9)sb2ERH^ctg&^-9RB8$d;PpZoRy#=x zts+E4X(7@=qpip)QH7y4Wn;_J!zX`JVa zSul5_yH}aoRd`N?NuH_dRpP(UyjsNrj*3haIj`u9mLU@{H7e0kP>{z9nE995`A<9EV381qCkRwCR^(^nxc$aFS8Gpfq+B1zOR#pR^QXZd@ygMQ*vE1W}TTNSB zWt?P|A>&Hdu+TK5lK9_^$MV|62;UvC$kGX*WJaMH>?miHw)oW&>odD08y2!Jr>r<@ z?B`}C9iJy(EBO7%BTs2uz3tMyszI1c)N95@Q_87e`l`G8z@aN_HY;$+wl?Ea<+y+s zZ|rOJdiGtN(;Gz_F8o$oZJpTa;$Z1rHxr}wSv_5>DIp0kehfzWvTKRu`0(V#@Lv@~ z<8`WzHsZUGlSPtcLDZ%4&(7ITgPk^nF4v8vdmjs4w|SJl@vF|^_gDWK4y)OE7i?ke z6}zf(vO!a9355H7X<3(5a&+rGp*=2BakqwR1^@Z9s7&pHXe1=Rr(9esbZz00_|?m^ zW%Id*V;D-qmYqjaM?-%f7=|^C+0o_P%fcuAmCaksnQ|p0L!1WL{bzWI#(AxP#>lHr zfwCq78XTYbm^@cSnxtR>L7gW@yH^+2+uRE7ZT=jr%09DJXhIU!^3GhGo>Kis#9VZH-)=x}Vy3IaU?{4|2sTF>20ToSV zHZ-;37kBx8fFzVR9=!<-ON4o#ZWfJ*g;c|ztiqEs{a>rDNsyrL92OKbKuS-Yi#TRq zEpXZC-nh9d?Qy?b!rQ|QgpYu}X|S`s2ssvw$_2h?|er zu%>J2VJ>`5b_?h`(|&}_Pz{`<`Wov6-=6daO~2GOX&K!9oc$IZOE=UN%HJ+${NlSY z6E2qrreTltkFhBKPu@K6r=^0f!mY^w>hvXTDR33LT45J300A!BEUS=YoSP+wdV$w*!Y*7{}u<)0)2 zRJQq8l;#1!X@mkl`_DgOPkO0Bw}8 zAo0tsD(BqwZX%$Gm<@Cr^Z0A>!;t12Gj)$bY`i;%DW7fRw+?oM9~~B*vwG7PMZ0a z>YyI~sxz>K_mr>;wUk+3Y`*% z*g^d2Y!#fow@tek{xo85e>KQ4nSUby*e;?W@raESb4>mv<$s1W^P){LQvzLxavIZe z);>t*YBbs3ppNZi@180yDUzMJu#X*X%PHYOtAK)-zX!P1`vUgF|KNp(YPY4tmEIQ; z2uoO#KiE@_5z8z7J4%I}h(gA|ENGp2uD@rWKdg|SjwwF~b_`ntMeob5 zAsSe6aGVjtm$?68BZfHg1a&eFD@6l94iYBylQaaSLyoX?6p1>=;GVn;+n1nxPMG{W z!0#1S^dQE5KJ+N7P3Qo`!8VNz#Z|=E4B$_-DUID>OSUP^9D{?12Y*si_+>ubn%+H5 zgw(Ww0F0mE^JsHi)VS>;l>iyo3OhwK+iyc}M?Qf&A0_L~cQrNnuZs{G*{*&oq>Hwr zUrKvQz&O_|3IAo4PMp2X*7jm%#N5^O+{b2j9q{a%5@4?=6&z{eSt zK%(>usTB0?%TUav40O=J`v~U_Ws=#q7IMi(Y<ecnI@pZy41pZ4L>UYwgzLVjRr%}gf zMH2|i$X6r!jKKLb*xRbCl|w`GyaW>we+Th?#@;`*3Hs3YAp!V{%~c)+A@>WT;=$)A z!iM$K%&}o=yqf5UTxW7IT_8?p>Ro|TlSqV(L8Ln(Pq#by{qzZt6E*WaV#hG9+Jkyx z>rZ1wTzA%wJ87AJ)4!O=E!F^yKAbs&8twm^6JZ^3-!-{1=ya}ox z->rq+ac9yamfMG|2<4~Ae?1)KSK|a!02idZEn%$G&6}saB2)K068$S#r6iMagj#Da zZTBo*gy~z!QeYpw-;8U`edpNx8P1fE!gxMya1#vrsvf_O=ke5y^iV(s+V%Z0UB{mg zsPmb!o2)8nY{m23Dl!@!V8z7rJs3u)#(2QJ^WY%E1{?N+uo1*@uJjfq!+pgH05KvNaql(7p8D}=-%=MSQD`>t(#e8Rr%)EU@c=r$v| zm|5zvz8@Q*jaQXqT7speElJ;FpdN<&2#8B1Zl8G;FHfw>MK(aALjxZ^jZpNh_$(+J zN((Q;1B`CG7Q-&l%Be*rkA5p=s=sp8HQGdcLNy#4Hj9%cY`8iw9g#7!)`KB^HB5)8 z2vXNUE&1l}et2058u)~rH3+LvCEs1@gtT7$ua$zLAfG5T2EGfU_ygV;YRxxCmEVqU z(!UlfxE#Lqm|L_(kBvyfabjEdnPo8tZB4xuaIeT;-*N&aI9 z0m8KK8BT$lz1R1-3=R##WU=?}d}cFRRh5=GgX{&r{hqVV8l)yz5sVt`{=^oI(cW@= z_0Hsyk>M#uFfHf0M?|tQ2XZ7HkJlVE)KoBH)>%E6APJ^BaRtFUKIe}s4u;Vl6&tBCEr z(90orZ?JXQt7VNSWH8_;=@_ zwid6tu^o#ckp&M`R2CZ+UOK4vf&)&|V^17#WXUaJ>MBqceL7uDEWJB|5ldz-}LGLWFZdiJdyFezYW~A%WM+Y^;JZNsBFLheV6K4Sa5GqW{}Wzs0)ts}ifDg*AqAs`Q;-VZ3osMS<3Vm?!L}S)(aP5C^^JG6PD4=T^cuPLPphn_4BW4uSW&I#`0Am^{!)9hQDJ`{m$$09%$M+WkhfC@Z~jo&PR6|9Dv_bE9w^$}ZFC~4E1%SkE#Ab| z-ex#Hn9QSB35M<=Ha^2z(f2@c!JYNl*AgY?GANOYk1aR_>XInw?hu1QR$UCVBsMHt z?pN_DLvHCv28JeXeQ5GtGnRvge{C5b zg89GhJKG@Zn!Ee0`=7ZvHlM2+Tc-MBye6=rc3rR&WfeGw^m6!81k|Ed^R>>oYp#Fi zqI7*eS^`)X8SH^X21X^~Xu2c(WQ$wCShv0U{rd0EuaX7O!5u9EW zPJqsp-yD-GCY+sVD&?iFUjCq-abVV;JZIK2KDm^lG)y}*VEm03cHcS{K{q5mr46m8wphRHvs|y=1rai)eduA z1*dwW?C@lwx6x(|81Zs;+Vm+(Q>yMY*wJhV=6eK1&g_IKZ~O9~4s;MxN_y!no@eNP zp!>=PH5Q}J(y?Hf)CnbqoN>){M!Qx0H~@44)e4GdF7B^75#M9MBg_vQ7)D}le?>BG z;p%1muuN$2Q<16~O?4^Kqj7D!4Dv?QFZ%9*Ute~8?H`y)@QxhJ-2b9=@Z>}{b@y!O z6q3@0M7mA`@UEy3$WHtwhB}BuKb5qmzrj|EHSjTs-P|Zm;a6sfE8_bhBtzV=b7gf` z!wFPuWNB1ro9(-Ye+b<>%|aHYq8jvRtDXxaXqeBn+`o$x1|ZTXgojo}2cC{8%5sCY zF-z-IJa*w+;}R3Dy*3VQ_h5!z96nI>^Syu3NC?VRbJhe~y!)sKackE3;1n{Y{}C68 zEk)_z{GPcZ$0Xh<04MxzwFrCmB;Mrnv)m~CurJbqIn7>%K>e|EAEbFuA(zbFq1`0O zHU}$ZuM#l46?b>FHkSy;0hsy1==jK_JD}aruPvBo>&w&@b4sa~R8#ga;opY^jgtvd z>-Kj@`jYaEFwNQP^e^F%t%K{URIS?NT*sLt51p{okbKE_t1-8^nETu2iA&*n439s_ z+lZeXWQcqQxN%kqoq+Wns%3XI)ZN9Ud*5ew9<-c}n8j)$$1(T2gUYaj;Xi$a$&!A< zVpXpVxyGhHJ5nHn08O(49kRAxkB-x5!Su3ZTYwL-L4O7-g1e09VQvLZ$TK`qHql#l z>1t#%Ayg1Nm3{NOKkQRGW@`v5r4M(|UH7-MlnOh;Ox%LSG&JO}^6%Q;P#w4H$* zT7(M+O%Ju5C4cUD=GY!dV#Z>6zu@8X63c=OY{$@5= zFfW1K9Sw*<#i;U*r%!A*vRAz;w?P_5)7L>}cUY+To7*6y6?v7lD?Fi^K}UOuqOQLM zr#7$|Ce(uIDEWh6z+j8=Itc0!^{m{09TqDFwg)^lu4LL9u77uRJ1?okj;s!T3+;->@<5>5Pz#pL{D;#)*f zFx6f<`g5Ps>^3+C3^rgtR#*p7zRi+Q8j=_#hLA-iyPojoYk2`4bU;Xa#?COC%)_Cz z%GpG1QM4e`>^{{XhaGtXhf$gr#!`u`nJcAZBUbp|57B{k|I4AYc?+?PNua+tnq;u z2*vWxn+b|5`17mZB6CT3(^0qtFz7AVP?IkI{&0X{xClNJjhjB9<;p~jen6))a+e7I zjgR49w@Ase7t&nQugv+2^LA=chCQDzQ;0l+#E38RE#I!Yzom_LS7qUf%cHC`im|3bX35ioG>hbBCVuusGcfN|N* z2?xyb1I-S~epq5Pn5Ri352AO74@q}H^wamqi)gsIEkk3OZsKIzKpkHke{E=`l~upE;&<72Joc$ zRP=)|tn_Y!Qjodczk^XGg3v5-hDiE^xd5$q$*RUWQ_69%Nl!ZdkwiJ-mf$ApyuK6LbLy_B$nTrV&wnKg*(debvAw_7E$ za{Md8(3^2!G4^DB-b}MSA?wm5*TE6?6+?94IGQXCfwl)p zyg+fN1o79$h0Qa(uJy>P0I*gtE&lpl<^_AaI#w0Wc<{s<8YqkZQn%}xa?p~&+eBy^ z>&jy#-yhhmT=kD6&|G|!(K^4S`s7v6^me^)6c_FFjN$wcLyl+))q_=1TVJgD@8PG* z6S@ei^;nJwNi?dkt7&EH7BS>H?K}!8x!a}Yc8K<;NAUOLm=(fXk6cGXB8hx-alDGS zD=zqN-UU2C<}Wt`G1KI|o%OGSUWq9QFUH>pF5UM5C?r#UVF~I*aTo?~PM}`;C)XMz zzs;8HPPDMxjX_>eA;_$Ym4^pNTjB0^7r5QHKK@(%oU2Nbap*Ro?oRam}I1zR0kMZQhr77fw3QUm`rGDEXCxhr6b?6ATWF2pm z=fowVzBi?l{H;tg4p17ARe=K2R5OJlDLozS(_3`wJ+tJuS$O`Jk+wa-Z1C|NvnM*I zF_Mvlu}e?EorQf7)T|*8Cz~lAg+{O9{hJ?a-JCevTLvCQWQLL?Fq(Fb)4gi7h?ki$ zqx&fn<~^aWfn4%SI=5i=@3aD!V&#^=Zy7q=)Q}I-X&?M~sS7C#>kL*e#R(AG;z)Vl zEg4myB-jGid!zM+DLEU?j^w3%`rN^r`LKyA%ri7BHZ;S4{p_F9P!26>fA+;gsx!28 zvnJ+eQ-%3pK0{L5 z&e#K#ugGX^9ak+~9}Cet%6R7tFrL!;l{}()j#iVis~TRf2N&v6!{w4GdT%hSL0-n6 z)vBMliZw7j4bhO`ZdU8S+5>8Tuv?itUJ0oYi3-5S@NFS9chd5deK{-#*+r#EN!xE?Fo zjwQ9ruQ@9F{thzmF4A_u&v z=I*MIGK`cm*cAH*McrN-$7NSMJNhE>D47NnC9|u{3Eg=lO{Klk`Sl@O3NwQeEi491 zlcp)5twb}M>(s%I5$gdv&Y$q1D`isezvbvVWz+AGh#s2h!@C;uO0Ss}Qe+R95|sHG zZ0RU@xVrQ&0HeB{yG0+zJmK?N6voQrf^E!*8z*h?JLVBg862jhZP)3oLn81tfR4US z+~`jM^WH*FI~`)XfHb%L*W{0QxGts>sq-xs_J=I7wmzfjr9_^#ieO3}pgLG~=-m&y z^tCG}i>*IYyFR5pJAX^mLAgyIl5pD;7%6>g7jm}?Ir0+jo7*DNt?>%XWw7<8#ILdi zsz|#qsI0dJTZKvgssKk~2se?jITIW7e;-s)&ZEwtzJp*{;&cp$H^A5)h`!D8_95u| z3^Rt18jadPpd|{~64uf?HeKD)W;Bd+b;`EPB{$y0VbulYlCkU(=1!~6B@Z^9;s*uD?H(PEc-y4+&Tsn) zK8oMfQz0FCuHR6mbd^f@7$bUVr%H%+6lnx`qIyRjWhBXq*0f$oN&g+7XomxDLGw)W zCUZvFZXDF&lru5xeC1_VT}%ph<<3jI7~})4)MO{(n!}7o$7h>+yk0_x}}YF6Ra}q6SVye&Ax(i~=5>_< znm&G>qy=K{op~0AZ#M0BbcQL7Ngj(gVCcL;iA9AfcLG9(d!DmF=kW~1H1IL%)s!p} zgYp3>+WQ=hJP%g-n95eY00w=8zyej4ve+Bpy`(1}{QZ{lR?cpL&SlO&Go!+7v+8n! zX`I{^MY{90+|7@?ib!qMYU5RjW6@mR)5>Sa+@-DB&=Dq&1=n%$0!?Wg>$^ zIuGPkp$+VJq+xcM1Y%Gs3_IFa_JLY=hs~~U{G*grmUI_fg{qmq>0+o$<<|aC)k_py z`VRky_>VflL+W7Bht!$-Sv=INSJcBIu+>DMr8UJ9PI=c7MCP zr3fUnDtV7DlUx!0q7TWgx}Jz?mvm^Ti9J}(f?WfU9k+h%vL&i4)#F$W>qLX%A6Ihh5K^1EcmJp2SNT!W z73LDCC*`n>N`cHdTzDJeRn3@XF5P<$%;c`EtZxN;)>@O(EFvI#|!C-myV<7xk7NO1W(@>sa(-_-@$zQ$0xE6_)OPX2m~`baqcE2(m|UwT`Z zlh3j&?SW|?JNu_>{Wpct=3J>{Z--SQYH;q))ZL4|Xpm|+nUi(-#jS`v$Sbb1&Pb0z z4hckd=^1Lo4I(^dW@^n_J<4D_JV@t3bGV3`u9)^)>y{~O*(;nQTWE8?an-1NkZ_kA z3CfG5Y)9gi#yj>K)hhmM9XPFO&5nJaCjI>IPwA(8H4awp9Ex?BIwk#*xW`JnQ?YUI=4(czTA*TnGA}^=3{)EcnZuSD6_g@*{`*i>zt~=2(GkR zK_pXqymt}WqpYuxTI->|`UI4)rNyz+v4GJ_0b|_uB#tnACVbcx`@c-Pj;f_s%AaQ| z*LG)J-x9_+tfn7U_X5JK;+F&0PIw?JAp70nB#^~PMbBg8Wl*q}uPc<$?J-Co4Vne?H|*h?QcMe;d=c@zq`0kHZ?~2vP6moH{ApC@pndxBK6piE40` ze^zXwTM&qGZjT7O))yQx%dfEam2lS!B@{AI2rJ_S_G<%aVLThWSN0&}YcQ@=D`JU) z+HQtfc!QE?Z%oeG5JUq?FR8+OF5Ye@Ro&B&P2-NVL2|Lg=3Cq|m1$vmvS0}}i@?4d zWqdRcpx>SRf|*E?K5mL!An9)4cE8G>A!p4!fBzfF52Sxj_Tf$HR4P^>OLN1^W~N52 zc$C%7nS8_7O>CD>+xy})9YpX7Q;W8Llv_W|yKOt#&OOx&i!^FiTKzHp5>}4f34NRI z+{cdFI?@w{kPlo>pWQ#=(c-LI2R5m zNWJ;t`$UEiT6_zOY381&c`5lv3&{zcOBU$Q@8192W=TE<9m0KODyWsS`G7iFPT`Kb ztsle7yPcCamVvc?fGD{fqv?*2qRm4StzS7P$inU~&K1Pr|M62h%HiCsB2HFbs~qZ0@bUNO*5K? zCwEwuQ^SU8FpCr344(3q?KWo|GU(un0BfslHc4@XeKi(*zFi4$c<_P&lE@)YJtNXM z$Ovx67(ct~BwO8uU_6fuI0(DQsBF{w(gxljI-9kpa?5 zM1(ZY@JsLy&=qXF^h_8FIDNiiB<&2x9>eeHJx%PS{hH&O9 zHiiq?E=<@Of1Cen%Czuu4dkr7uL{jye{&&}d#Fy<`s0E#+dnDoXJo-n&k1I51L#L& zc}_|1KE>eXL%JFdO7BQcVSDTZat^yevL7IveW5t?;En|OWU8n*%0Pa)R?0)aMOR+4 z2I+hhZgy+hFS_<@PW*DzpFB;9(4Jxi4rCE6?*wfzgH2 z6<{1;JM|&vk)Ptxa7dHu2C+KzesPu}cjsqb^ zfj>Bw|5_dNtOhM+X0$i?zH=RDK1OOPnJ$D%+qx+VbWH!XJM4lc5uYpf90v7IVm)Po zdn#H@dxOcX_=Cu?i*aC%@Z*HCSCOGFUZ`%5pnSH@+^^v$M*4G6p4HHGSUF2c&?IWW zo>Q42+ZoY{a`l-fXp-9V zgcr5l>w?UgdBV}0IjD`M7y9rp=ETSbH)|a>(+Tb})I${lr?B`e)QA|3E->Fv7%8`j zVFhO6h38DCH#cKZ9I&&~p^uQY5KET=$SS!YiXI01ja{X?T2%Md5OAV!L@4X)|rt2(VBif{~eeYC}7iO}@2rZ_xn399q^u(o}W(`nBa2~1HqIEXbk zK7=*nK-a7#8?bh0fr)h^;dkI+2$uTjz(LuTqZ*DN*;cVuu8XW*G&s6(7+NpWM{bZSei8+YZ?p$LHN4NONSpv-`tTL$L9V1y)aZ`;RLRcgii2x}raI47dW9!Ew`7|Luu|KQDQ)b|1!OW2aRfGSoTU(( zoa1IA%>m0kp2Oz76;b|f4}KELGV|UfASGEX?=*;3EdZ!qjBQp1nFoD(PgFN1czKc) z_%`xlvaxZIRFcf^-kgI;$FL;dHQQ0r<;_dmsl-I!0kPUhOrLDjA-+OAWkD1jCqpzu5yZ{I#4kxzK2rJ19_lnLjw≥HUYyCo;H z5#}u;d3R6_=l{XsLUJ!2+Db8I>9=S_L?6ZiZ{*pB0ZmBuof$q_Lx`+JysJX8i&~(2 zROxB=ad3NMqN0&5CJG7=49duQ+|5fqu8VPT_KC;)__4`#k@ow)Tx8dczod!T;*6R{5uPdex`{j4-MtL-n&+;%raYnu){wNQ{d zi+v;-PJeI>S-E)iT65IhW_90@@CnJciQNYA&6|p#H?i#;qL-O2H<-7cnlCi(HK?qd zP+j3cKhH5%xT;*7khnK-xtT95{mlCZ3RhkIez}$tvsaorbI-i*o4B8x*xv6FcBP`Y zGxrdtv%-UY-g*eD_+QxnQu4o}DL*}Jc`ULUgul6*o&W{pN(-r!M;-nRhmU4jn1f5#Iov~GTSFQ1Ea`s|u{ zCqf^Pqie(|us->YVDiyv#J~}%qe_Uv(vxq4_>Q)Hgu;&iCSp~xE6#9t1Z2p|jRDd7 z`Z&^jc=U($jW;)PR6BOnfw;@hfwCiVXd~SW#hKh^RnZM0!yoUd^q1vkEtH-QQ}+#!M<5? z*1|k)kJi*ZasWQZ`be~yRw#9?LfQRuzUMr&iO2w{rrWCX|cnvW0;pm+CP>wXRBvi_cioLJ^QEs66Z%! z*bO~(ZTq=jAqIfrz+|bUTJ=TCV)$;nfDL_b<*U|R?!E8F7Z}c`AAKI<0~15Gt=FzS z|DjlXiDK(^v)5@Tku7ZaRJMKlF1Kz`w$Jq|P##Q=o9M%j4a>hz-#k`L!~0)XNR62~ z-@ZJNr4n*3&u6#decYA-cl5K}D*J0$cW0UH%kinudtRF}|FqA?{xG1dSOIb_9nIDe z=^dIn`)r}S`DW6})XByto?Cr-k-LTb25W6F0v6 zJoV#hPFV)vbj2U80mqGFf~S;h^-3vE{*9)cH{;4omz8>?uRW$WqZQ29FIy?Qzbzwg ze^dcVc=Ddj{y&Ng BvPS>_ literal 15897 zcmeIZXH-*P&_0TyAS$3Bg0xT+Py|Gz8oD%T0wNt0M0y9QArt`-=?Wqx(wh*GUIWrW z2|e`CTY!WRAR+C~?|s+3U+(w&>3_~zXIA!{{mjl}_MSaY&bdiLLsNC_!ui$j{8T%a zuN-~-T)aH_B&Eb3iA(aS1-Q7s<$EmknD3E{gydt1$B!hX_)Hvq-@7ZLzw(aK|H_~Xdo~PB_oTmekX2M~YmE84 z*?Cug!Q$47g>8E+M2IixB(@`A5-tnhnOmbX~@+Af4yrU-e{>AnF*Y+gZf0uN7!M-VJ6a2TkO4X(- zg@pRv6xz?IzZFfvlWA<-#5EO7v0~MG%mr3Y6vJ2iLunj?NZntjT(AN**cJtLp79XJ zmpRw64$yud4aoN8>h=v!W6}7^n@IX4HDTrw3rJDG!+;yS(^ z9`7EIac?)VU>EoAL;m*g4>frOS~C59%v2~boI^Gz*Q*}^+2zXPI_!Oz{+adFm6#U0 z3_oZYErL9ZM6v!@Yw`G~GhFI1g|iM~=-83>5pXd5ODdNusvg`F3!Cq8&jhUion~Bk zvImMNN!L?C!RnvORJcw$bk(l3d@Ozpt9WhA#OFJaU;X+MN~~0u-^L8%EBaogRA7=# z&u8di#_Sb#Yo#(b6ftDaB0@H6*a4Sk<>|E9^QAXR}`%)eC2%IdybnQnFZuix=Z%*wTOPcPP2)4Ery z>OsHV*u6@5X{PeRwd#C8;_M{Z9bcDjnFAVqi3_!9)w5QQ`6s9FpD$&$E;t7?JMfK+ zS0v_rcv6}h&D7AiAcW-%{bHJs(bt|p8;TXW$6A46q6>Dj2)oMrf zoVpbXo^Qv6{Y8GTQHU~s1>sY4=eZB~Cli3{o~}uL_r1vLPvHRlpAh0F?F?!Zp~kktI_=C2XCfC>7-E-y&-3xG-IQ$o;?>H_N@i>`wC12 z-FmoXMo-?Lz0~Y^^?DQA4TW#mA2` ztX#fZ98C8%aI-fHJG}b+3NXAVVXW}?7h?L{oUhTa#h{l)iIc(jTUINWtNH`H2*+~X zpiC!c{qDS?fzJpKoebY>6-$KbayE`$Mbs?ZF~X~F)M(WG)%?N~P^>7P8Qa_@ zowGA%;UTe90o0%7+jRja0 zMDdwAk_KEnf)4>hqjB0C(~w^Pd0v*&zfJvlyJcz-u~mW_f{&#Pj!N8k8rSl%>Pu0_ z-O+1karYZInIL{+T|(_j;@>26RO8NdtAE!QjXvI)9tin<_p1&`&;M@w(6rY#;*R(| zauusK2czhM`REL8P!5c1@~04FTQ;qlz=PO9ADpsU#5 zn;sAgsm+PgRaE}uu03~p{#30Z!q-0gwk1Jcc6M9it$Zfiw!gn|mPpZuK1Q<8m&uqc z12+f#dF_ByiDjiX8|`jZQFO$Qd}{*7?DV&>1@-sW<8;N>EycJBMAdVcO|n~J9}}#M zTpx2!J~mja7+}7iDZl3^cOIh8k4g%XYFFZMw&vH1CFuX0~bGa^M zI%($tc&8DpAsd!@UBtrdY*D~!-Gj=YQ#TX+q(kjYpt)V&u-0eEa81y^Ys;Yn@oa5h zV^iB9K+6$}dUDca&G{ZX?JeWsBz@9fTr7Qq`RUpF7r^oLd@(J6)789w!6rqVur>~r zka~Yc9tSK(URmB9Of^Qa{Cb@(tvGT+|0CVf(Cal}wgKLk`_slAXMbH^sG0>s<1)Pj zuStvJZgig{|*;dTG(pe5*!j-r#1@saD? za>jD@XFLxDbYXf&j-Y2UqpG`{u{@p}w<60Q1s*f6ra z?)$M6&=)`XsU*k5G-Vt=TGChc`ivYEu5eZb`Z?X0n4WK^D)DYU3O;eKys-MKiwwQP zPH7KOx-1{~6U-`~87o%b?lP~CEs-}x0y(}t+P>XxxCkwHecfoMB#ju80ao2{tt@8! zr;v^2N09RGo}LUAj|H?z>uFV>w|HgaU%5$zhQ`~Docxi?CpIr%;mZAifjM;HY#Sg+ zjf2_(A}wjmkC;yPzl}Eh@VamtC;Z7`5!mg(UfCz3U|^8w$D%k`zq`5*SkYglIpUNf zPEYOoG<%x6Hq>T33wTA0G}pB^>6{;DgNmNFKY6%OCHZA)2~K#{?HHkPrlw|BKnq84 zj~e>3mA8?vYR+t5s+Sg@(VRRVM_sw(;_#H=OLY4c&bdJ*$DG~@A7`Uh3B0lSE3S__ z71!cnf+I=yYB&rMFIWED6nh%~N>|I)CV{XYW3=ePTq#P{I@C4VX*9B6+kFlz_>D7Q zZV07OVrQCpnPH$*{y}bXa&L_FTRx;n?DD_w4+(H~hi8EZc+^=-7Tw_RVqQY2b2t;b zgtbQd>+OT|sx!I9-DJntEpL>Z`4VKe|KaXuJ6n5icbrA<2=2m5lglQ`Xh~NTs|N3( z)ONm)-9z29-a7tg0Am`iq8zLf2i1X#s4~)4xzNS*?`mT1mnfX^0aH#K`?2ES~3yoB#Png7%M+h?} zXTI6r+Hjrm3dWO}7u@gic)x=PI50$8t_@x+k{ezDI56m$-0Kr~a5TN999xFyh=Rwe zO`Los*e5Qqkjj62P;i`;rR=#(5@per$1yBZ6TQH@-k>b5$p;BY5By8dMoFnA{kUC1{WkeL zojarMJxu1Qx$9kL+XT+SKF$4I>^Lk_J7zOP=B27{Q2x&s^6yF85!dr8zP(Sqc5lKf za6=ErLPE%(5PH@~j2m} zACyQfMA&fn$fD`ouh0Me>9V|gz2Z=`08=IA8dm7-^mn989maV-pBWf` zMGo-NymH!#t5}-0q_X9bsZ_mY;#piqVoU6sNU*xB>wHvc{DQ8!l;YPi6Mw&(lE%2a zj8D}<)^kIm8&{L}=eTOi`a`TesIZ2yU?(TMAu z^_vrRzkrhM!Gi6}jBFYcra_DUEFYXrkFb#o2HJ1LmmrqO&-D5r&mjE}W<4{T%&V%; zOxPwl--WA8e*G_py!zi9QZL*UJGaaI$=6BE;LLOVxCQjBTpbq0$$rfIZar!Um}`FQ zZMx$9PgQdMBPVFLP+wKdrIHiW)li_>xUl%?d6r*d4g7F_peHG{8;w>N8NavVQ0JIc z-6BqSssQ0*w=o{6d>(4Z{Wbjl;BLz_9NDf5f5I?%zKGgX-}Qn;rFxDrRCro@I~&d4 z`dpO2%gTJ?_`bcy^lb}Q6;(UIYiF$eiFGZa#r@*164evtKwYH@h1!#Fxxk&38;opU z4$QpuS4QO8{r1gLf|ah@JhHfl7Tx`4*bquqFRLl>3xxj0%s<&-@W>)afyk8K~a^v^1hiOGAPzEx2C(%hJ^ zu=n=%q+k6%0ngjB>o~oIZZJDpa<@MN6E+m|Nyf`$hMu=KAT%j2$EIzcr599lC@FfKG%U&-_k!UEJ6EcZi#GA zm0dvKE}>iP)_!N&+aIs-4lEmS@xp`L;ddbW)uj&7x6=SkC1TXiYY8KH0YPC(W0zKu zw3MyY@A_&y;ehA{#Ho!p?AM2E=1Ia9ttoiMY0x%O5fuw-l}O+8w^n}^YXxitL+Q`L!gKG_0$6u+SPvBf& zW%G~-T;~_LH3#S!Zl0#q$j+OToZNac{uot9x-CTj5VS|xiE@LjP?FaRtXrOf;&5&X zH7jKUW;p<6!UGo{uo-G;GU(4g>gD|92^AZ+U6Var`h==U*!3!X_LCUFUs3$#zSuSkx zSa)epfC_m)SOh5!_?Vjv9$8{26>D~~y!Ak@2q4Ti$U^pG^J&Pb2mxx0jnK2v#s17+ z59SJjyYa(A`QTHu>nj;=Ua1nTCscR|I;N{Nx==bQ9`?8gB=t;eD-<}+A&mCeWM=R%gFa1!or1sA)#Id2-UmQd-hCRea zkD`yk))x01(J1rQU*KTY6?pw88K010BzrYGFrP;T`2BU&YNnpGr3w}C>G^NK z?&0k=F_I5*(hxHacjxW|EVGhOTGDKW^(wU(YjScp5nj zs;hxZ@DZqYK9gMxWD9<3yEWo(0?0WN((Db zFD)vo)H#A(#kvFLVNf7Dja^-*9UUo_7W1@;(*=yB#&sj=p`@#Phr<`Cly6`ik1F)V znXM-X2(_@N{2q7t8CF_ zu5z&C4XUtc=$k9Fl$)@tXQcyn{dkuCIQ*76(ydCb9_v-SC-u%z7W*WXn#{Pa{A%!s zdjR~|4XHrbi18kR0jBAf6ko$Ww3TU+%!W}6_bL_IKa(L>sDG8TUf2*7U>=x+FC76C zlW?|V?6U9~T|?#-ZQ$n1%Ok;)XI7i%#@X*B7f(}#^G*Ph;1AufXy9pm9ekp6MyXH; z-YvF&w0^$q|= z5pNwqTnWtpX1e>&HH|J25@qL(+U3>>zi0GS^^LcC9Ox-c%jdr@_329gPqAyeu`X)m zUTKtv6!jk<%-#=`w75%>aXF`*6PH%I9(zEIdH(#MLld5_9>xZu9`#Y!PHy>q3?_-1 z>hH_{Xb0Nq)b*mas<`czO|C%0B{#Hedaz}Ta6kE;*3i^~+n=w4l!?xSGxJ@Dubn!j zsUJtVu=O>c$&P`}r)BM!M1od;P$Y&ElW!`a)lTyb-bF*&NamJi4+o(8(_b(bqKVLz zu}muOh#^ZteL^Iu7;-~-3en#{YNkkO+lf(aPnN2opeMZW+g68O0x;55j{V>pc!{k! z-Q5@^qZpG+FUJ<(V(!VRyL{-MgOROX)%(t(f{+s2wwJzt;kAD zuJE9j`As;P?l%P;@ju2Rf=&#(&TSy@lA^j@Z7md<&kTQ*gL%dFxQ76 z1|!mWYZ`dna85ViR^UBz z0@ifH7vu5SL#I#UaqYZ$!CHF8B#x}RXJZE0W-!FxNM4@t01)jMLmz`ILo;$6BBocQTo<-aQp4u zns=I9m;YT1Qc~zWxg#B%c@IhP->h$t-6j0QX zi$JvR()o#mIr}Q#Q|5gJLUh(7t=TmKOpmBJX~_M!o04H|FX|B*DO4NUy`8-5?2~rg zLufW+n_O1M{9se^(F;3X8Y~CLM{;gjX?27r)Nya=LjhCe&N^5Dk9G_w^RNTjJ&we) zeE|lXba9sVE7!Y2#_@kBCdwU{Me`H#AKx1?yVrFHjJ!iq?`kwYe}qR!<=^K8N-fR) zcrbJlf95p!EJWEUoiaO}hs~DVgByw@L(_n2e0lWei$!eVR8Y@S)kPKF`js{DxHda4 zkVk%J@nQ98qim{HxO=R5NAgjCF!RVA>$iq@s=BxiFc0*3jRN~`N`=3Q4GPmO<=~1? zHa|pK?O1Iw*DD&g+;kV*fXPM^jg?e8} zr4K@RXH+O+Ux32r11$Mt7(+HR!osQ|Nmio41|EFW2UqQCz%>7id1h=K81dbJY$dg@ z-s7@KaDyo}tndPuOpYv1q3S-TD00mJ+%|2IUa~1*a54Jj0Qh&>Rz2go7T^R6L8?$1 z4QfbsFR^=*Dirb;AP)W;R&x+yBtlAhj#L0&^JxRUHw*eN{{j1HXn?NW{{0S2=>4Mr zqNhdvmwl9>x0v9oHPbOU9V>gNPz{hSw*MN%+lgT})MxlOM^f6ZN~$azz=$}zD91}n zyW!(EZ_mdOQ4$1F#$q#0+0EUGAD+8)DB1~iIpVytIL73Sq0!HBGTis_N3U_f+uRI% z%(mAm#AoDnSe*VGbq>%$VRR{;z)&C`> zH>flth2D>MlI_8!ka_P>zDb3)Eh(GW>j_;62+FpiKo9j#=AFaR$ugYCx3I)M*;y~C ziSlQy=dw2I4~l5oOLy{+gdLeW_YK$-GiFf$#hjXci1C%?#D z^(@e@rnh7`r1B99@p=Ig7MojnL&7m2XJ?wT(34&<>DPPiV|`Z@f+JH#1G;Ric`4uV z?RRWr@fz3aCuX_p%hC00F|yt!XmiHJDx%d7qM`iO9||`js3iIQw@30t0Hf}>peWmt zFu-BlTF_Hc{0UFd(b)$LtdEjb6a2T+;p+Z!Ep3o{GOp)RiVO#pK)aqQPW1g4NDw+3 z=FBr%nNA30mv814|3l0&{G)ZKhfxwPkZ9$biEC-Ue73svS+ITSs{Bc`Z4P^Jh>so9 zj{dQ|l@eoIrjS82_g={(1&eLguSwgM64TA}I7|8(PiUjcfnZ6EEq5|B($*R_9@2g% z1mwbr(f~K{;La3rqai+v)IOJ=>|{1XhK&B48({l5>-=NKO@};rhX>rp-Lk4szjJ^# z-m2)6RdUinTq>DWSS zn47h|3fKv+J_wZPcR-X)W(`oiTc&_spS#$J6NSHHS{BgSTwAL*JhrHM(YLV6YYCyL z#_cC6y#B6FkRRuJA^JL@I|Pms=i6Iz?ZO?#cmFQE8_{@@>OUS7_NUFuk;n{he${*r zX9I}Cuqz4Egub?pTTxwl*t&kP#QltTq9`seD@kFa&nMZXo<7D$&8j(Ca3?pTdBy!F zWU)C3>aSbc-$#Zi(*Ua3iuUWD1f?$(0rCp*x{4n`xf$>FO-kk$HgS)XbKLe&!@31c zbp9kt5n&{{VN2<`kOZSM;=a;cp2Gk!!%fr!E_e&z0q5!3Qsag`3wMQI<8F^v)JVN6 zzUl{6RXZ)y^jDM!fcG-eRv|?BiNzCo(0fy$o?z)UXYsj{z=2TB$4R`A)x#@X0m`aj z>6}_z74J`{=^5Sracs$KQ@TM8Vefm;JUbM>&#Q&|Z9Lw4emH;&^$p-2=nD}};%vw4 z_xj8^E9?{#nL+V&P|s7rG4O%flCKW6%XaYa>nM2UpoQxGW3P~~z?6eQkhfGvWi_+v zsNALaZA$HB%tSNQ+O`m}IqIfx3PDfdAcdpg+k9T}MN~}iR0|u~3FHV^1Y>?y|E6M| z>YI|cq&_7dC`()pKfnF>OK!a_>_cv;A64FNr+A+1FpAP#KMcjrd0_|(38H7r9W|yq z{p*VgXMF$Skb@Pw%1w7el;%cAvHSk#ATQoehmhUBpF&!Q9}QKYvfZb^`#Z{{rJ+GK za@?ubp5XNs!0O`H=T!9&Q);kUVlKgEZ)X84Q#qD^meO;g`bCcGHWCj<-6U`j$25vM z*w8_!1X_Bv-NHV+_7IUcLFtxP*eQJH1fq7-|E}d_Kgvf?Rl~ystBVj*NlLEgz_qOF z@2uLHKpLljBF5ZMPXAVk2!jRMCKmg}15w1xmfB1l+J9WRcz>kbrYQM4$iH>i>&>WU z%Oatb{aP+5p%7vALm4`}4pqw^*FMY8+2)=4SUpeX9vB%9E7@*VXL331PKC~sZ|8Vj z&8?A@a1A6|3C}5Q(dxG6T@7=Egz|vC%!3HuDWt&dW14kHg5Xqwj9`1b?f8M8QSAP* z8b1RU@&APdnju47=g8^ix1) z)v0$szu^KEpY@CJMf+;iAca;V$tXa8ZOE=P9{dp+09boefw z$OB&C4_cwFU4plJWq%pI)l)m-#sYN7z9<0;79r_mA{AM{YMf*V#M^zpKMXf3@fI(I zQ?B&*jo>-38P6WRSATVQ#0fk_vcj>PaQV#Q80tD)@I_b!UI0U?+LO&b`Hkg6EXxP3 zS^q241xDFIG?$)sZXLxYOBmt5=v<;mE<)Ip*o0YHsxlRPl5VK~vpBpw+MMzBbnrun z#U?cGK=&0^%Tu+zsbsV_oXbUPC`fS^Hy-oMhh=-hLSkewN^e3Xl%+xD1Nk>VkA!C$t&r zL!;3#0HOB5fKgp;;ZJz~-+Qmw3T>gU5TA&Xly)!mgGHOXxpvRJPG3?Up=_tPzt9?O zPwWjhJ&g5}lu7u^2wPj4^y5)j>zf+pOfCH6GKN5FR7FGnZhf~pv=6&E5Sc59tQb< zu*h$oS&L5vmh1y{M3iLw1ghgw3W)O!6-Za`Fw({LCf;yWd!H0OpnfJYPv9#>Ep4+t zrheGnBnp+<+x_d>Y+oA{kK;#+m}#yBCu?N$d@?_UgIxFCE9|`&J6rba6s_k%80!EW zTgi=$7wS*CaE(vj=(eI4$7hjA=kv)SB$g|)`om6R!s|jIw7!>o62@%dJ-uAXydAMy_E<82$5^(1YG(I;C6M81+Y^~y-jwbgpL zj6WO(WEL=O3eMLsU-Cit z?EN6>*d=T;bDYFJOOY}y3D8%6FBmyIFsKO5U@Br{Slrc63OEwqOk7z;S2)WzPh;=T zXi{%0*0BEYN<VnFNktE1YzNe6!Q;utxYvdmD>u!vzvMHP z&{G!7NFAI?!uH%2l>8+Ln%3xUluyqVPtQTl=hI;}FU2MJg}l&W3Duvc0l%o!OUJ7* zp1T71%fvaZW(8c(TkvN4a&c_(*Yq=qt=(d;@vv{gdi6lOCP@yN+Yt6JY9`$#H;k^3 zkn^%T4!+|VL%I(*aq0lHXvEm!ntvLh0+gL6QIC7(-~*i3K`9xf1`-F5eA^7eQPeH7 ztxcU!8|y+ubLWwj$+geGNM<6uX4DP-4EnXpN!=`NIw3qc#6l^*U5=U0Y*Ts+Wq`@I zEeDpiC=kReH;?Z0Ljtzn$9eQqX$U4t$q^%`Un+4Gt`Z~t6*z&!0GTP&drbK_%71il ztNGl}i$ZpgEwrG0+mJcJ7Vv!Tn#tnO$!dMsbBPXwe(?9*-mP5I5YSg&fuE2%#G!PE z5Be@zcH$(21BYIlxj?aMe0m(xQmKBb-T@;^uX`k$CqtbYCKJe8dhodKGtDSj>s*xZ zen8%uVa`F^!j?C;`|FK2)f=@}a0oSIuuiBC6+DG+8J;3K^;kkpt#*%fzbIdGY>*0z z0wVoM@}-mSDM5+0jWpn^?kY+lN)0uwBbFnPu)m^|djHBh6!uV+6EjsrsR&scc5aC< zeo9u;HXs zkYf2q3KYlD)92fD)GbwTs9LEQ!LubCnd6%leq|f*2+trv1+K?0u&^p~Qf8k&3BX23 zsVP$=KJPi~#|m6NWvbod{%WY?i~du$qIYAwM)~?l=3(}#BgrzPb2yaszU_3TF>s6R z7GLi$$mCNd1+wZ0`%#=;xIuV~VMb=w`U+IaT?Or%ReRFD51JFks0`6LKvbB|#+Cl_ zoZ-3W_F1|ut>FpfQe+`t?r9(-G01}GXFV)!g}-Td zcJfX4YNF&$8#1-MC{0U&Y|4|1aIMxICdJ_aD=Ao(^rb4KO5&A`kZ7_aZ*L&Bo?J{l zQkr_Cga3S|Z6o?2%oE=7q{EXUWwB@eTY-wX=V1I71+b%#W-5t*FStusW+uOXUD;cf2%`wfnTefMu3i^6(%rGq`JS z(q?EA-l>FN&~e|cZvW8N6dLlY8{)dY;`i_*?xlee`;G6m%)nbS2)VXKreCPlrm?SM zr)xNew%eX@=E%nC)n9F9h_I#A?VnaitqDUc?-I5qZ7k18-aXX1Ly_; z2;0PIyZdd^fsV@^v1`2?T;G*X<;mCmMN8rQB`Nj%RZzSR0$g>m(-P)jSl`j+wW_FD zfu5}%*+?hik}rztNdv0kIkfXdVND(V^)wlWh`7tb}HED7fTXSV@k6U;c;SH|ZXr4P1Ha;TU!f5@WyAQBM$aHp{c0Pf?qYO<$ zeL&@|JfD%74!Qb>u9M4v-FliD+tban2ZTMyfK;QV#IE0+Inj;o;9l;2UDWYCOt~xc z^rBTU!bj|Qjw`GJcUGMqgI;zCt}YvM!<+o35B2QKoK1?Sdaw|^G z=X{TJ<$kgH~2eLq zv?A(K&x?8*!&x0f2xx4~7(CE$H$ye~6cNo#eDeSv^8EG&e*8OWfthM<<-&a*`{oHV$S$T!Jm+9O{eM-`c9zXRr3e= zv?kkRUgKE2Sf`U6E|od^@hgedtkav@Zac5?9xwU|WDx1)GO9m2ip_*StBMCE$V`iF z*9JmU(%XWrO!t00xqA@j_%Pwte1tOWD`u!EY1MxHkc%7oVq+i>eBq^=APm@f8Wy|db~!f~*yyt? zh_h>X@9p@R=NpA%_x%%-_W?OzwuUCbF6vd1a>cKQ>PwHoti0kUSZ9U9g;Gj*kA&`A zOt7+QZn`QW;3S!rnY>*>7M6NQ_PQ%^3ZI~~^j3ztIz4jD=eF9DJB z{I;7{^;qGkVb_|(EXO?NL(AilB9OfJmDd)1*Y%X`a;++g0c$}>t}S+`QP^=u;nw|y zZ;vi|FlZsKKi)aLaU5=tSh|(GCyKMByaIn?tWPH=6vSH`jvZQ$jk zNlK#}5Oyb@pv*o)t?ESXVkERNZnUBLmnkxF$VXk!%X<@7|bX||#|#83FqQ7GLOD4rXiH=(M9%y^+4RYS?W zrf;^RR-F1_Qs!2g_Nt~oIWI~adi*`Y_Gu??cRP5c`L;8V`d4RqKQ((mUOq&p%6(qL zh=(=JbpBvi73ntGfGQO09a--tUR5H__8i;w4`7BpsXdC(*|6b zdq<=Wm8CnN7K)V95#Qz3d84hhiHU3I_4I+C#2a8?yi2X@;aym)Bqwe|5viL3NBzR^=N)0xZvr5r7X6`dC53g2m!WpntSbSUA3rAM z&Sn&$(CyxNUZ*3XRVuBwo7Qsno#-_;J7V2F!BjeIOTUIby~c?B$+&RexnEW=gJNhS zkCbBoMPe(yC+37Dx$JbT#^M-EB1rOJrt>{ho8kIgY{ybT$_ijRgLx;en+|(jXZgU6Z@wlIX;{h0pLjTxXqF3!zoYP#&cP3?)<65n(*S-H_0|y|zj0n|46Vf*XH{ zM26-4YrzA}9R`B|C$ktwW_g(&*ywDeW80G-5E?G)c%sEp@@{y?P0T`Rq;!!pISwfg zWC$fRK0{80;ZBjbGdd9MR@0s+q7@lX0E~-Zb{r!vc)K2~X(Itaq-Cf!V1KbeMn0I$u*7|91K-5 zt}Ao1JD^`ykcUcIBJc4~_qldMY1Uyk!kF}Mix;pU9(n=_^}7Sj%72r+&G3t~&%RS$ zBCyMaxGh<+|F@YRJxGlT%HL<&L-(?J8z}j4?j9>`A7A^j7C86>ob+_$!k`^WT6ge1 zRzPMg4)K1q-WF?}JtGuyD1UK3efRj;=-`?LNbA&Q?`5C*uYiH9P@;Ew^J`TC5x!4JL>2$#!Jf+WWvpP&d+&WgcRP& z#iK96Pv&gK2Ekg(60|m_>Z=moc*H%t84b1YDk&@uDc$8oOEP?b$&@EmvXX9hQtK{K z9paFZT`+EZr6`6p0z3veMr~1wAZE+Z_xODlQc0&BecSJv9p(_wvkf-pW~@6ne65jvOkh6G5vrC>AVjXoiHNjt32IIqVGx6aw> zHPvuzBhY%E$&eCxz8Nc3EcFEo?E`i-Dva~^l=tmoO3CN-le{5I$()k4-&I@$m}if$ za9$^UqVL?Y>g&tDg%Sju(;_d#^1>euIQRvR)Te6+W(l!_zoX2`f#nbX3MV33FAYyb zg-RML9JWFAc6w06=QUD?UXhABvN(l%J9Y4Xa?`4oc!u0H4fybf5wZ=+bQwyYOVlw@ zvaVg3(|=wHv^GgW2@=57e>{sO!12kWVfG*oro&b6rQxRgJg2`{o4m4AAi14w_7`PP z`LJyBBR`kx77R3m(F{%C0S*6CYD`3yrg`jyV;z!2^`suXsAX}EWw@U; z>ZlS%DUk>p6XI%rUHeZa_3AIfg46rlK;h@x^0BHne)Ih1@m1dTjFqY|uGjn>BlDH%4w6=qL-#cCyO^*_;Gy_+)~NW`+LKq@J_cYXZcA1CPA_ zG_#1W{Wd2Wj@kdCIbmB&PS{ImX$<~P<7j8b8#v4U)guk0@gJ#SygX^XH(q36RvKImcK-1xaTU$ z$VTalvwH*YWEXRvFkUH4G5%#cscslW-!4+d=bX>0_%RR1#~US}_zCZaSsqM(c_Tls z3cpm;>h}HPH7}>lc62C}VLOhW#+(Jsw0wd1;@#tuo)0@Af7wlT^S1i(58wJLs%jq} zs|n`Sb{{b*ri-+sX0-JBu^4V%fr`xLyv|GiU7R)K+bZHDFRGpQZ}wRv$8!iatvSdc z@5yny_ZHZ;WhImDQ9z}nf$f=*t6%xmR@Nl`vX#?$^gwi%zFPINPM3!eNa#}Fiv+eBIJ$FO# z$B<%(+>&v!VLs)bux8<0DFX4+<@pchdso>{q9Q7O3gM#~{UnC%bXezXvm>pMQ(> zWZamKXSqDMxm9;tE}k zE!oYTgKDthRcr|*4bOsGX4)PeMsSXopRAmeuR(8rZx9InnBz`2!${|=FoY8AVPuj0 n%bnB%pC9`q#1|P+12+`E@ryksDsGs6;y%O$eEym?mHK}G;O$SG diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index 43197fc0dbc10b02622b6acec97dd885a5637f0e..f2d58501ff5f39f0090da0edbc955fab146cf6b5 100755 GIT binary patch literal 30066 zcmZ6y1ymc)8}D68DaDJs6?bjf0bolbwT$Le1R8-P+Wg;=8e_Ed>XKnmmP;xe0}|nKb}*<(BpbSTgV4{d4#~ z!Mibx|Aj7Y{|i0gPP>r;NM+qveQB`2Ed_C8bBqx*F3H(h82*)r=Qg#i<4&@qCZ@{S zo*XCD^%PFx!D{LM0w4I!7%d?k|25?8O7i-BU|onvz!K#yjTdFeSBlf@$ z24hVQI1|O`_CaO&-#Alq<}8i3SJ0cq>Wb%qpD%-T6DGkx_60U4g9&(T?(+~ZD}NT3 z>zG2LEIyu2xPP3ToWtbQ6shpq4h?2>cugjpwFTs`N-j&t6L9oxH07?~!!x5aGnsbJ zaqk~Yw{FkNt$Cb3wz8A-j~rA7ESLC9mdVtvv?JrTOf_6e3DX=U6*-wtwy{EdKs0XL z2;-KcPhS~r1329s$T!>7F!{L&EL6=BJ!}}I3!F3nGwk#vR7wouM2)|s`m1=g@xCW2 zr5g8|qWhiyvP(RYylJ}~!Otku3&hPX=NxJs_|5q{%C1b2Q=_!P!-5(O$u__m6cn5` zOyC=1Im4lYESVT~GE^+0?z*`+(H1V{7{k|uGtY=gU+3nXU0WVFAb~TT@`;TqBOk1A8{r#}Wwf!J+@Pq0{v#q!Lz*Yc#I=l5>gqqw zqL*k)LH5!eftGh#?qcCaiL!Zz$Crum%D96U|L)a7>^sNNrPDoq$2~6lP34@udI*kE z?gSut$a7h|rvX4ysYakX#o3Om8+OzLdBIlPX?A9{&FY+Y8 zt|U7=vvk7NAlo@vc2J{3kg3DZqT^3lW9*Mb(*X#R4JCYG3EKSF;O*j$15J^MZg|m9Q~sr*cC!reGoHxZ@RJa+lnuU4Jq@^5d-{oy+WdeBys?xvlI=iIAbj@9k7G@kR8p33a& zwEY6k#7gYy+c>o=Y8m?NQn)qJl$QpX8yQ-$oz>h)<8SUJjOfxY?802;&CB-tM=|u= znG{^NO|9fju7ch975?0a<|AJ2}>bz?gliZT_Oq6NGS2=>aG&p*Gqx2vwU z`C1ioE~0=c?TV`LUj%<6j`h>D3eMq`v3%G4)$-LoaOHh7f(^?5z?PZr7FR29e_@F9 zkuVgpEKBw?ud~8UN$nJKs}yHIInZ$%y+qW8cuw>?^y?XXGsb_S*Wx-bSp>fFruP3O zc{2UJUpKs!_q-Pa!6ekE+)K$e`mv=HVy<{fWu@nFc{%@+f#1SUOO0l`aad3eIQE0) zDm!-BgGZ_2?&W*xK&^o)IfV3wbP35#bWYUdg*euRcC1K8eGb`rl=-d)n?8{#94%%v z`@g4b_G5TYnX5MH{$TOki*Oa4>nGi$Uxz(6OuO($(IX+huCKNw0pxFp=Axi_}(7M)Ui&|u* z@{6}_VtR>pZsKAwoM$=Wtxbw7`XI|;N4Z1HiAdQI0f*pKr%$W+EVzRKb$)t}S4(*4 zs!L?3=JJ7MQ&uj?OMVvt+W2SKqa1%=I=RT~o`un@8^igM(??fcVwdiMv5NX>?6h)F zo^!8Q@aANCfa_PP#U>YC$|;?3%HV-G?rnH{W^Yfm6?~;7%|B$MT7USD2%r<&!MXI; zKzI7P;${u@jss4$@4U?xzxTdLBAN9i7CA4F!`Bjg;sx0;M=Y!SIh6d(?}O5`MUs}Z z4Bn=0-WV-IZHl^+vrMI)LYm4KM|h>>{JyD_M!sNywKvOXos*Sq@N3tS9CR;;#2^16 zbp69?7c_BN7iWhu&i@*V6)+`9>>IGAj8HCx`v)o_y{85HPor1jhaL zNntGWb>f4XJ1s->{LzZ5MtAQY;$hKp-H1(f|7oK#|8~bqwN1Bm$I}i+&?`v~rQTmK zpG;OniCF6MnZcH|;U;%~?AT^c_lr4e`m%$F^ZxFXYvE%5%Hd|snLsALo4w)r6tFXA z#kZxFRLFV9@94SMDxKwrYw#DtELlOi9rDA=Md_%jS-z95f^j~H!p5%PLR*E+_@O|({P(NZocL=-CzQ)d9q%Z*Im zqp_b@_Nd$@o0nmvzNkS%9Ov48hfG%Ms$o)+H$9lCvaqPgcX)ODLXyUvVfIE{d2Fb^ zz~A9xrydCT%$RD0W?uW5)^6`G%?zYg=v0^1)6o@srb^pK-X=8H`R(R&mY4W{KgS`&%S`FnRaTtoM*o7xk}3yB3)3Ykfn zWN|aCMOuGowOURwKKA^=ta2e>ux?Ubq#e1#h|*b=)h?(PJvFudu{b4INnWi?RDL2$ zJaDa7>gPf*=8ii%kP|743kfkG6E)3&c?K4y!lLh&8phItCWqk7nO_NS$D>nnt=H#P zItBX|GG4RKF%Kvx)^(-nDgot%YExkQtK5=Z0rSzW9BVmUh`0&T=2cP?XHSt*4`=3C z2`SsOInS+L?DI2cEHG@7ialsPEhdG#<7mdU+64DWd@Sf>68L-SLi`s)?n2#Lx>u!#NKx#cqBT48|5QM`c}*Jm2kAcgd4X5T*x(uhT-#>*zM zz6p|zsT9ZlV|bx-k-nG15qmHo7C-XPD<-y%sQ^f6rM!*otE9X>TAW55^R4HH?G%YG z)BU)X1V@`RJvuKFLU(k#Yp&fCdt~3h<*)6W^s9fn_y9UH7LaFm%sZ)U{?jkt^0=Eu zS^&!(gS9A4RtgrKzC+%<kGB*JS+jYovzr?0@UtqkLw&1jsycBDp3m3hi=DeGMN9H-vFt{nAG@)I zPQPz{D*^^=#%_@dkXCo-Ha1Z=Yarg(dYt`Q=XnPPzR2jmeKA>X+QQZJMzsHT4x}$+ z_KpAdDXm6Eo@gC#)V{*M_tc_yRNYaXu~5T?3^Fl@dqx#QJIfq#7CNpM7D?~Oa{ng( z)CZ=qo)N{p<381TB|{iE2sRIfIU29tQ@QBy>aVG_Z5x4ntvG@c|HvIN>65oM#y19? zw;6vIQ8S40U=ubS+1XjC3X@+CwO_pnFgC18k}ltH|Ev|HGXFwlj6hX(O~<(Y%~ZXZ zDr-!wk5~T5x%iD?<=*Wjx4>Sk{6x$iRBH7ycAtOJU)57-q675<*+t{tz-t}%+YY6t z?0R%jS1)jhX@$7tNhU8_3ta>u13J^xpjnuHOL!{+VAP zp&l*${7B^o$rz_K9G@Pfiiq*hF|{FqY-jWEsPz7(Lhnr14P;>*=`sF!Qd)%Zc;ZZh#XNIJdq%%J<%|(OO)S!ev6u!ERH5F1lDVku ztEiTq6a?@_*HY|J{c=tugC1HaYC$Yjg$)sYXj6by72kvFx3rWS5@Y_ijBH|*)Wlf# z_Q2Ut4Bx^5Ud!m~yd@oJ21OUarsE+}qJ_1|}Ci$kpeJz`J-Ftyv8-*P`g2HztQwsrwfX zO+2}&FnwO9P1aG>0+3a|+h%^Pce_Vd*F|`3>ix^-OxsOHl>S;?r;a+M^@mFNRLeq# zlOA$jj??<69@eK zIzTZv==inuQi`pSWMmZvxa&_yL5L;-J6Eky&M0R>4Fs5?yc!n73=%so-aM+Me&FG6{ zA@;{SUh(#Ei?-Kk<}QAA-Lx1d1b$XEQ<`BXir(G$vde0@Xq{;>N_Pe%=4>}PD>Ypg z!_Q3JTtYM#RIrN=>Pu!R9GcoYE~ZvZo1w4XY;oJsVdQD|FGl4DFJbEhu}9EN{6i@A z$*a1#@!S|=3u59b<7n-}R^=1-l+5~qpBSp?lBtFtruMv#v!d)=%P>jxMsy*I>7!{k zez@k97;(kJj^`QGiaIPat&H`o-W6nSOyuhagI`MQ=7`Vt1wE zZvGXUFt!>1n@Tr6q9)I&_KbTdL}5lcU;AP((O`uzJItvUBa)h&I)x zU9>pi@%lM@*ssZ(mlj6|yGyH>Xr1XXXiO*2=~u0KW$8%eg6HcV&_=YHKk@IPiFrS< z7}Hzv%B8rIi=C9mZP=LluPg3}ddea7DBA_}q?_Mu({N`0xQBd5JG;lQX%^pvHSL6H zrYqJ_0{WofDjO86^&o~j8zO2CEYyiHodTR-Ls+#tg!JgA$P3Zshv48gdyoF?-#w+) z+;Jx@_=&`6TVSS-@(!QeRR(#*h!&C!8Zog~)^6miSb*h#elfC&;2- zKeroYV-L0Z)^W@2-;C@;`5pmp6E4#dw;}{Svz>TyJ1j}j@_@cBAn2gX3t{#!>Y_yy>#pb#3k<8uUxw4F&x~VVhgn=Uome1es`f9 z(cca#r1G@zW^%q_lMX)Fnx;x!;$I}-5@@L_Th{z;ctHL2zk*k3-g}#Jh^Qo%o50Jt zRxAmsmP9F0nO7{a!bI#BnE0Yv60v{jYI|I7`>@n@^sY*Qi+UdceA^@$1~D?ds@$-1K;wHNA`4AIFJ5e=_2rRZQL z3#r%4ADx_yQ7rN<8g{Bll_uFqdbq@?yA?|n)LMfUH0@tjQVX+~&^bA4qaB3-W$4a1-qC+SDoAIU(VXrmR>)l zb9K7t0Ii{i0FPo;73Vwk9h3YnZeHNg?c=1pFG*n8Hc7kp>y9u~x4A*x#&E9XNeoK0({63n0| zg<-S7R~lB!p9AwC+2oY+9it>p4H5;_l24Ku`wE$ianuZI17B6g%Xj8zCdzllrP(K@ zw214e)tccHI5j#8(-h~=DXGP_B~IW$j$IftTNz7G*h zwq`_+%c?ENx9N#; zsDLnfm+w~|7QsNgP0uI%W^x*PTULGh`%RbTd#{Fgqvm$>e&an!z2rY~ObD%uD#=_H zHg=sUTa|}U?%XZg{!j!%VpitI6({4q#V{0<9$vklm(p!@?N)W?33C3mETN!j2?s|Gno?9G6SL^6TRxCYC9^hg9#4m}=T#oweTeTL?WR$-uVm^YpjnHn)S}WtX+m=% zBda=#g-4f1&8CHyMPSVUAx7P#&|m3v->l2KGkZy-*+**-i9S4gRF}W)v6qEptXi{K zi9~Pkz#(Q?o_8CqWb3%5e0$ zn2K(YfPTtH5{3-98Y=9MU%wRX$Rb%7(k@ghj-(VOzB_E&!FoUsqdQuR$U|06$CB1j z$77WgCCJhJJy+;_nIm>t9IR@Y;);Eo{IM)=Qi=6l?C$MXB<#p7x#3iVj1z#eyx`Vr8c1c6-Qu7c@rhJC6?{B(>p4apCr-a8o;<==OhJvn1{l{gI>E(1p_ z%zu?enhSpsdai4aD0O&c{%!$jS`TRaTT&ol@W+tO_ZLwYh^VWUsH>HztCXl~6{QqZ z-l2L^&&jl3zh|>s4{6#GgsP`ig&d)(GpJj-O(9vM1_alU$&cZBOX8bwR|JXIn=qE{p#8s7$o2?tj&3D`tyqExTE z1NjYnbNY*R?o6Dz9{C@X22VmaJ+GW&8E|Xyy}*ORZsp~VTV2n1G25fAy91jSgBB{u zqZrC#q}O2KO<)+7DY{f!`OAW#oOIRZhIADON^kPwCysfN-;P`V zD)&3Gm@87Ha6moh!|*)JG;(1f%rx>oKy5F=!|<`0TOQHi!~P9MJ;6 z)o^v2L@kc*NDjsu0-QIP`<%~V_R4&|q(J&aC*#++xI;x`=tiae5LFP~f3i@ *f$ z(dQD%jy3wHSofFd2U~y&4Zx8GU`PY-r@8%#nzcchhmaN+h#B~xd5vjAsD~EPwLSd4 zW$A!0coA- zrzfMxD18C(HZYiAx!uo)16BJ+A+uz*BYy3e1~%FJ8mE52N_N$BR6!vT9r3?VS$upt z_u**NMRK zQwJ^n$n;m0B?MAOz(1A_e8>EeC8QL}VzN~(AX7Nrw}PjuYx04~NqsTTrd~uo8i$yV zN1@)i`HMH;3T`=LXL|g!>vBot z(vJ)}7dmf-eTfDY29V}(g~u~b9%ggi#Q*R;_AfW%F1Py`RFK>*F(h5WH$>bS^{}_A-*T1)sKNU%*v_1?JJRND5+WrDc{@bJh))Y&v?xBuf!dtF7F;&LVlHwjG%@%fvc+V_hAI8oOvfzAc%k zZ+}cMp>?q)rK`>Gr+wntZsC=p8Ih;5;`SFj&aw4iooWBQmNmBiuQ-0;AAOHAtc^O5 za@FU*?bGVXx^yBvj4(bwIb*v<%V|adj$-1Jg8wF5Dl%1pRR1NXYHBmgNIPmVd{cY8 zQ^Rknow31&tu{~z+r8o}h#I36+7zBKlP*zVQ`TCQcKjH-J4tRguZod}g{vj+yq|IJ zKQ4skjeny5&qc6Sm61ygAu~!OB=h}In&65&s$410xC+N-Ws%&=wmT=~fY94ETxYa+ zUF^lnHjV!TK1`(kCx~HU{y#x(*-CS2&@lYBY5|p8tCmo-#)QjPZ^GiStzzQR*)4k; z%*fY2Jns+|U%7#5SP#4sAM}Mc4`$)jWdXICyzJaWibD8|m$2Kn!;}9uxkmf)+p!N= zo3TG?aO$rZMcfDkQ%)YChVj>O5$vo@!hjGAT*1H;_=D^Mz--gRWnjK}1XF97R#wkG zo5XqE9tK)rU>gQvVL-#dMZMfoHc@7SoCepR4x4U{@`uAbrsZA$w`a zMEl?jt~-yK0)pYXOAU_455^#*yl$8MUe$$%+X~$t*Sjk8Wx61im+7mV6iESw4B`?d zVo-T;fVOLvGfimdZnbYo6rM|<)+a;85dtDKblifk1$60r{l7*ME>DMsdCnDkKv}V! zV0H2=S{F0SYlNO4(f8z~7?vj7E=7VwWTmlT&{Ph`7i|$&=Xh?Zs*<}&ig0$b2H+#0RtDUlI`WQMY9yg7 zqT=iQoJ7M<4nTxh+m|cA5tGk>iwouFZF33M8Eogw*TXhdl}D3{HOlaS)=zNX{wXH> z2Ft(mS!cR|l#*U4d=O%KuWwO)B~8eTtLnGWfhz$>t2q^*>TGBRv{~uP+jDOeH~*qN zM3v{k4CNT2J%eAAM1A#%qpu$mz@EJ#j@3G7;>yK4iVP?c6Ra7ZoFQ#E7HNi}G>;QB zqwiI+YMjJ3eNv+tl028fMc>Pbw52ZQwL~Km^u0`|{kWN?_L0y^H{i!`s(#3OFSXL@ zM>hcu_jZXAtbGH~gvvka=WYqnZyQu<)5WZ3XFvDlrKeIV?4r29qgZQozPnqAgs7g< zvn6-Wr5mWfzP<KlEfV}!z5h2+Vxsv zolPJ&(6zf!;dz|Ho@Ab-e52~SHXv0^!F`2zc%3ALM{^B6ALfKOdzcc6o*OXt53fg> zd%pQCvBlti4$Y6v!MAv{xzDe*dRzYcGMFhke=0`YKE|JHIPuy@#;LuS7A}C}$578T66ZeFS7>>{=0>c@N zuZ0|ul0mDg`}>(UIg(?D@>!{o`}$j{^-Of~O@=<O~M0DypdKbq>+Iv+3&%Xh9H=K=b1Uj-4fNH^HS3QjwRSKxJ5Whjim=1}e zoAw%(B-iY5fJquwGF&&t)R6u^*33}VtaIvxi^d<%87sTbj`Wx#xnlp+<;ndRs;^aY zA;+?XSJfJ`$bYc+DI^QNjB3)TRH@Cgew}dN_}M_zEcjhvIMv1uE^gSoTqicUB<+su z$G)j2Fz48PlV`U2Sq@)>6(7sM9C=Zt!(}0n^{^%0f9PUp_>50o1(*c|yAxk02K#az zkvA%ZqJDfE=@Q!}gnu0w@(!{75wjCx1L8N%F5U3z?i}x(|XxiE8 zYfQZXp_c5rkqh%YNJhPyq$AwPiT5B$qHM_L5ZGCp+Ko7|R*Y+_8G;F0n0Vq9*kN4T zji_`^rm7iLiW_w!TLu$&Nx2KqhJz+2kkB4@O7b#9nIf}QSM{99tX&~I+(MtSnI^gH zYxpmk+INKK_M>i8VL%NA9GhVvtx~=-BM$tD3?{#yc zah7&`i+b@6s1aK3yQmvn8(pJMw~_6DY=IBQZuY$IOrbg>A>(>yt?kcodP92`stRpi z-hM_G8^?o6#;&hBH3-u;!^hg5(Mn>*HU(iqUmQjXN847eW0T0{$H!J=j~vr!6rJ;o z_izpf@YHe)e=0gV7;_AIg%08z;J| z(9`>1EYV*U8z$Kw^7Z#6k~;q&Owe9vgMDvzg{RMxyqTzQS$t*h@=i<@@_)QAUoy{{ z`sp-JdrFjBy~8RnvnsXV4Cm;X-o0?jaq=(S4}l6P)2(d^2yMT@^&&lBa4NU?Q*iMS z%C@&LY#K#0cK3a@^n-OYUSTeQMu6(MC3 zAsLKmjF0~~5GsIK&8~H{8)C9ujMU*V4pRYH=wqHn@d6!6tQdEC8QQ@cvoAwst~`Z)^)2&eZD%+tqA4%Pd~ zcc2O*@5vlJ{_i;U#XbD&Hi@}4>}0y2C^kbaQaIj_MJyh)3nT+MzX-oR-P}Ct4@`j{ zB*awK&N?&LSPXLgb*7M+C)EdVtgbFqQPWIx6($G{HNWN(*LEiK8cnABo3@y^_2p^y zaV=($W#mcLur6yo^^>>TbR1up&KG@?7`}`<&b=_mZD)adZIEo>vKx71kx>2)x3m zfceLo`=1vzcsf||?&eq*3#29S&(_3nuZb6@z_nsx@te}m!a%m$n@7_1-Q}-pLN&J$ zts)IAzv(>qG_nAnJbL4^xEV(v9@9(a+(cqJiDsf7$9c2OqQ>qzS$2L9jr34u8^fn; zeHLe!Tygb-b5j5(enawaNJ^IKxyVtAaXn*+mAi@#`GEP~LxkMRU&Hpx!TK2wYlgFL zRo?Iej(MH>H-QK4Us01-@7?swr`pSaj(l35)5R~l* z6b$T(jg1hlrwtXlt}w88$#@4z{g?8d#gU%j*Yz2G42JSMlQ|dP|8hd96M|Jd`IlF` z068*>zS*ib4)`C&iclQu=i>aA`9*x#6?qpn(b!e&{QHqdI`b-_Jfxizmd+PZjt1NY zKiCw~SJ)I%N(UhLR=|7GV>a#p4~LhjJ*uD1Q3;&M|4lAL@0osDkBmc1Gg5}7E)T(@BO)%?YR z$EpXBm=AyIl#q{5>+xw`Ku7dWBmKpaDxcNtKBf_Lg|i{1z>UjE@T~R&*1Ytb7+XfH}_8wQ>J7{jC(uQik*rQ^bwyJ>>#+o>I{4@+?q9r}DZ^Y}0R-V6xH&DOUu$N6DFq(A>F zYA5(1U8*~8__bKNNv_RGYfoQVc@9=Wi~GWdW+=iOORQ;Y6v(N)*col0t{ocpoc!UP z^mpI{rM&Rwgg5HT+$H^tuPTBtX2A?Osa8_3N}S=lq5HwvY~lF47Vf-`FVp6RAIxDp z2(GH+ugCH?>OQ(qZfj_g?$4t7YaI?jJ9YUs#AZ6LUadojFY*i9u3nK6U_w8$5@UJx z;~V>SQoPE5#*70F}N8$1j5# zVH3DoRJ>Yx%}aXDZl@RAz|!c5V-(Cu=3yR# z3-g$VVpwDq<}tbvBkG%)W}>=xw1K$<53e$Bq;MNhA;T);G2`!Ozn>75__=IZC#DDt zxsPn^jL#gbyJVj$knF5<)00v*^E2hW{gts;u}B!H84Kk5(BgAyEpMhg(R2^KKY*d&JBva99<8>K^EyR5AvY=F}0;#c>ymYse zvk{9kX&NKN&HfAmtEU-(CM_V;OJZpPy|2?VaQE@U=ym>CTkoJ^N&B!vzeUjU*YCkJ zhTg8fVMBwFxJs$exJqf5$%Ni7f-zz>|H3JwV$rZ9>K7psZqy3VVM}*yayoQvp0oNc zYs#d*n)}B;Tw{Y(|ejr8Rgr*fUqvq1VPWwh!%ncg`Aco|FMtoPA%Jvu(G zdI7Tqag>3u#su4}Y&>lM=@j4tAb$pe#Px^gzu^!$KRkVJ`*47jkooB)P0GV>F;VAJ zR}Xt?yXl^<lBj`p_mHV57!D|+MZxirt|oRMbYGR2ei{bt}@LeTy|O(GMlZn3L9l{n+|;ay=CtDun7T|dSbdFg|vfWFFe z0xS$IPcCLp`nL9F@d>eNcvrg44E&c1FJ2Pq!f^?cIw@xy$TT3n?w%BY9hW3jByuLr zRMJ2G^QQ4oN=I}LPW1{SIk7y0gBM-rvi=P#7n*(@)#OJU?w zb*m4Vb!e?{qY1+Ji4&Ul9l~d^NX_duoxg>?t=t7W7EJY3CoM%^e*N?ZM^gBV>*9_m zvY-J`9!NpC^CkUSfNL-#k$WIPR#@`-@;1j{_k(XAj?pio5d$zI$Ih}6X{6ieeqCVw zzq$&yCs_l3+u=b6-eN-Ro@W!E>raxrt9j`5`c4ux64$0`!IaWN0&FYatf-f7np8JO z`b6#b`{QTJ6MSbBIa+C{<9`t3B*$mfVRF%=t4sT>4K$Lo;TaI;lIjW|9yy z7~*-@Vmzt5@pJ?9Wgb=zB4C%ttC!(ui42F&}jWi=jqgh>wM@d*+4rbK88}H-_lX|`m zXHesxvxOf4i>t>a;-W{Gl9V_pyMdFP_Bg%Pn+kTlIDi8S+*Uc`!KGzzYF~J^=w1gJ zyEXR9bySJ2$YwadPak7XRC{GgBhAi#gWGSVYry%_B<%%fwqVv0_ysj7c?jh`4K;!o za*2Mb^eX~q&ZU8z!ws5>U!4*9&M%Nr>|R6f<&&%d_l@>Ie8;rXQbv>3w7$AEcA7dA z-A6Q6;^I3K$w=!vH5ts0eQsISapC*s?<(5{aWI{o*`6!ncp$74I;0k5Trb2$hras> z1q(P>CG4N9#iXV1eUPcsA}KLJ*Oq(u?JMV1hdJCeKg+wWb!Is`mO_pg#r49ahCh!O z($8Wgs!&RYN=bVvFR7Kug_YT-68T(R{B2%x{&8FU_#^iF_(CesYg$Vj-uQD)yvS#< zj!G{y);X(ek`JwT|5OV`T$2IPHhK6j$ln26okTzNXFy-095|m{-BBgp`Ky0Z4>@>x z&-*hVvk6nf?bC^rK@OtfkAKg?SB8{2(7w;d>Hzd!+I)Cz06jllZJ4Z8>G#~ae_tUw zUvo*SD&AET3RatlO86yVUavp3|2j0OjL1On&BzO<@KoQVWp#nI_?4{8TR!(hwp~EG|8LoUIXGbJF_IsX_XMGHa7e~d~{IN$# z1M^ucG9sy)E;!TX?~!P{>nVPH$&bvQSO0jpu4j4hNiq$$LNL-@5iwdlN3;!Gul`EX?GL$zsQ4RotaDCMI@l5q zx0fu+7WvdoUGOB7`&txDrUwCOPGJO)yS(~?Tq~=<500SbWM>zx3UR|D>7Y4+JoV8B ztk_wv1p7(i@LLL2Zyuy4>C=-S|&{K`MpbLe@!EF5>iKkohKCTP&R24gR zqeA>pO?w@3mStb~(4P$P(dQkV-(ML6b}fxfES@YnuMQ|Ml&zhv!^Df2AP1C__i~rR z&g_!{1@Z@wMA(L_ZwEXa$DW-<^>25iFPyPwcutm&qIOP{oIo1YiLQ1cTZ7fB@UOJi z)e}6#C zwkIi40e`1V#B|#)j;eGWw(c?noo{VdGGuHswOJ~d-Z@qwoTCk^Z?FCYs9rtk!FJE} zmYW7vpA?n3k@s9jmD#ts5a*3zrMw9bXAqwTiR0w9I1fVBHl-i7A&UB;n=;e`W0q{W zy_d`K-_Y+=Gf2CvxF{;!gi2L?idUI+CR#q?ZK0p?{4FWeMy>t2BEK>w@2QjuIbH8E znD8tG#7#T1+(b>64&ku$ax{I@-%N>KZ1t|J$i1pA8-?xi6frqj&4P@`-&WbAOk`^T1KK9V1s^h#4)Q-AG_sobA{qksHjE4fk9_@i_?(7Di0{AR??VmD z6oqaEErB}PC^kk4VS~m?T9b+eZ~?!qMDq}q;JUy&A88=U~)4#m>cBEOFiKf-HB+=%>c%9RA*kB5yY zg5@K_Xn)IB;myKrS?8YyQqo)bT>`5G^&(Cf0;?b4ArON(y3eKlnO>&4_mSbjGC9a^ zv43+}az?PR4}`Q^Hbqf6HMEUn?tUj0A>OJKe80u*@zq0e1CIUoE(=>r>{)dkjZ8a zrk+H;fqOU7|LnzHv%<<@$Hlfr?bhF*S06cgt(#rOtTM1`$JONjwDgyjaje#%pyAT5 zWn#zlVMEFs!uT;~;yc@e_$v7j#Tdr|>gF)QurH5=>&73;{xS(ANiJ+t_Ji%i1)f}j z(LW4F{Zq>cwL`Xd)66ujvz9%EqqR{0otmU!&iX1tsh8ya?BLHgnONg~S0YfhZ^Up} zC@EteHaCIlK-@mkj8WnB!1DcYs~h@~YbV4KC%k=hMU72&hA$aW65e_8Wy13UQ?+Hs zdWt;H%=z^4{l0`%)OxC44%)Plb;TEd@2|~QLu&T7`zMGe^@Z!^j*m&F=f9HKzmO*{ z_s=dSgSWH7{+7m+icR(V+_9?|@C6>k{|#q(a5|A!(mUd@yg==;tJJcpiN)!5chppci$*3Tn0Of_rdxcL?sTi)(O#Yk)-- zg1fuDJg-!J7vDwq#njZPIj8!}O!eviuTiT*659Tb^yydjG}TQ5S4G&_McAS9n#$f) zwybqrVGn;-dml6za}QV_fNNJ1y$QSL$H&jVW$&IhHm0X#t;?3@pdIYuyidXu%nfT`OPcP1`AzJwW!PL~wLDWI0)$5u@ekPf}%3oJ|tv~TxBs8nun51?8 zJzteI!d{qOM72LAKPI(8V;+BB3IG7_$Cq5O$Cu_=z%Ifro^CZQ2`ImXUvLSyvM4g$ z!oHN1oqcqceX=*<&B_i}qdm1{?O1KmcDaTM1WEvbL2wezps&?`rycyD)8c+cZ?bPA z#_r@IeTk^aF*6a=DUbK7A(3P&~H4@3{Pkdeoo``t+<-nIK zI50~JDwpv_h9RWW>0-mixxTcvL;rQ7Z&h?k*&XZ$%8NKO*gwrV(n}{NIwt*fa+Gs6 zC;gZ+gI||xieA_1y`43*=j)+oh3=pvgPylaOnx9(I^!Bv{q2s7j3_HN`{aZaRL~sy zh=73qOS!tIhi6rAc8!k@oyI8u=%4QPhYdcJ_f>Q1_lPW1T*(NX#M=S%v(DYOuEV|6 z-o(uMw) zDlxIM6B6Qd@X~d)){olt;&bKmA^}8|=z2lD3IbMJ*eiiMcmx~b!6>ZzSjjaOayzq# zyP*hS(=&a&dzK*~c)Outh0Vln2zq4cp4p>FM)`#&r*|cA?}IT3i7_fg&B#f_$8O^FU5P=;7%p zDFFfDA@Knz$f`wC!G+ANdngOYgKddeGucwh-@?Ag$1V6}Dews}{wdB_pGY#QHxDAX zajJg|_$oiWwnjp@pJ~tg6dJlK?dj#Y_q5yo6#BU5xF)Dvh4?G1sS2nri`ZK(++Ln= zs;Trg-S@mYa%^15v$AG0!7_f^EN!7j?c?o04{MzkaQo*$x$U|wzs=(9+(rc9^fhNQ z=k)aNhy&CH%KRFBIwD(}^HkI@Xy2D59HvhcbC(o@zyif{be|B zS$v?gF12iK#WcYen(Mkz87B0GA58i{Es?0?C)-RPc9A_+e^(z-Nc@J_V(O8`d*`q|8V`io8w@9%+lyGt(Z%OoNaFO zcz+9Zhwv-59977VrZUb_LIr~uBp!kcKcQg;yAL@Vk+_|~+&(~>Ravk@M zD$`O%4H-n5Zc?mitgO0(^_H?lIK$hYlxB>8ZK`YaS;+@iY08gZM`vq%yxVgC1`w8h z={?k@xRiM*-JbFMgmanD&$LsVPP^v)yNJUsj50jmGZ@ z#*QRLN4>*31|SIxc4*j~W5(l_Yo3pfyUK)pH`|j_miT{(<{*leb|;68M6UX@b6V1= zn{(g29ad`AE>m_|h&bOQl15iaXTr$!J(`8}Nl^Acw%KBJ8BhQ!^w>-*$YKjcj%Z;~dg^5D5%-Eklfi#RraF>%|3PjEYX{Ds z1U!8{?9Mwy{a@#Qr{ij*eE0GHTrJzq;@Y8y)AACMUOB~I#yM9AbYo4b0Ly`QmZDmm zXePH03`?eu+_bYh7xg4{c_6}c9Py|03O7)?kDM^k`*8xs_u{WjLAoQJebOxLZZBKX z@=jh_@lhvv7pcdtnVD7ef)zJx;CcD{`@^XwExEPbyJ^!pmR=YL8R@!urJi)~^IM&{ zr?M^3Ff^K`-f!<8G~am67FWfj9Ow+S5Ls2`%V&%WRJWhiNO#qSjyKv3MpYmSVi87j zAd+M68^5&?nai5nvCM#(Ck$H5JyV;)TnzV9by77`jXJE%AF4|m-NqJKp`MIS7SqLR zp4!&koO)?Z2Mvu)tP)>DE;3Ssv-1JcMyID~eVlx3My+-|hEC`m!pDh^H!mpG2=g`L zdvl&|E;3{I>)2Xr7UpMWjhU&C9kI!VQma#biC;rJL{Q+4g#2tp+6#2hQ`z!CH6z#L z?myddMycpzQ^=CA&vpk&&zNv2DRk9qK=b;b5a4>%HfFfHNKC_G>*tm2CTIIXTWX-% z9Yo`82SrpbskmN5$;izE=l6sVH>TP)3PIP&TT}) z?U4|DvN*7N0xNxc+6fRB5r1U{4xD`xSvc}5zD2zVJI-vH(#qo{M)ot4)$Y-G6xTc9 z+j^rIqN|QFa*Y3V>Kb*2{Hq^gRLc8ARJzA~ zv0ox8wQZV9B>r@PwSrulTX;K?~B8W%IJg`bL~}!Zh~QR;k*P zf19>*Rs&_uUf1UB4!y5MKJQ^x_PrDS75^48+B18d0--DNqlMZ+CoiT1j_8u!Mnzv* zZ%_|khAeubr$x9(~7#Ru%x*hByR3*WLz>c!s{?4@O%$jv!r!Dha|ih4#E4;|FjtBzo6js8W>sM3hfQl1 z49blu|Ej^46su>?$F2x-zMw{#NcPi^rvJD_8%{zqDrLv8sBimlL3kCfRq?dpTB-`c zjMdUvET0KDC&9=eHY*hLUi&sF0E^TSlEHG=0`^~_EF}#r+XcuW<#Ss_ZbT~an=Dzm zJI8s-31hB$4ec+_EOg(-*NPLKZzkwsDLE3bh|+>?dsrZ*Vo$_TZiJxPCQw@7tw2;W zdSg!$$V===K8hC=!o;GB-T1ax0EB6LGoP^#^-7U?@|k`WiE{f0s%-|vA)fHy*@}St z%xB0DAvbs@{CO-ojUq57L{Yb@(|9b9G0_f4K^I9Qoo=JZ#}j|4C-OW4%b6ThND?#T zN>uR2%qu}7HQvcX9*bThzQ5a2K^I1&H47w4RM2e35C9R2y2YCAHk%;>K+;$sL84Cy zQXPUIr54bzs8^_z7ZJ!0G?N1h0kc5J{clO4+<>4dFh~jZE0OG=tpXPMGjc0`j`n3lxKH-J*D5AjHfN9FU*o3@gk@44y4I$i4y8 zA?5{^%7i~*!L!8&`Pt971>R~#xp9E(!Js&plR>-_43OXVnN(4)3@JVM6D1Z1w%8L_ zlp8L{&u#`!bj5aN9Uel1cXB5BR3jw_*LbGW=oNU&66IzzEo?h833oypM3$xuH+{f@XY48|`%)p8`TJMC14t$9msG)>JdVA+!jT z+E=ChVoo2^Ixx9o&bvv;o1borae*%;DOQ%rZbxt;b&v9WYbSJ2l9qhH61z9^Jxq*9 z=8JJ9OLj4`DV|3ro3X_ODYpO}jrUt25C2RvD3tscw~@n6`np!6ZJLmm_ET#^>6U|Y zA;GX?o|GGt6!vjvnp!W0&CyBfU!I#%y}OJH)w>;(S}^J4<|=IlQL175VtH#H?`#$x z!u?{&`};n+NB4%bFJ!HNm6O$eeop2leZqG)_AUGs^bOZ)}uVw0gcAa+??ki-2xfCZ;A(nE<=yGyin)`B6q zdD>tjz@@w4LJNAejA!=zel7Yiguzx!Izah6PaKYsyFM@)7M1Mipy8Rs0YOog&9*N> z&@!AHx@GIP+WJB1_n(rD7{C5pg71GnEBGByLg{6^pX~9CG-7{lY7OV=$KEfDy>0pI zgfG4>4htJfdXH)^dCy4YKI+0v0n2&gxE-R!qh*Ltg3pL=?`>CtF9pEE4BwU*tIJ-f zXUY7nQs?Y&?Lij7o4g@`itqB!LW^=^j%#fybz>d2h3T4H&8xURwK|PIx0!A{RbRar z)ZI4AHw^XSZd8{ub>lP~%O}k01Ghp^wSImf=Dn2XtGSBm>!oie7E#lc#qPt4l1y)V zjj|SK4my1`w{fqiE%&1C28Ji?m=xpfHt>0aEJafZSezLhCE$XwdU7Mz4EJ zZPsbZ84gLtC5Z=tW$f27WwFp7qGpOXJ*~zk99T8@J7R$`B8=+O)LBtZ0w_xApZ=zqHjBY{r7qWJ`047ARLqajiPh^clz1e(nNuTm=3%_3Mt zC|x_)fbM~Ct%Q1Bp2diEw6QYGK4iw9(-sX&tc4#NSBUyvj3%#IdFQ5u2pfIr3`3ExE~f? zb52zzXwjK5`Up~5gGWKDn}el1+wXL=v9jkT;l=+y1nqyRXh(CfrO?M~J!1EboYHp` z8gE*yJ_N$vLRSX-#MTvaD57|pchASHx*%g41VMZjt`pAms@AX#xMe<%Gd8`On)z?N z@~Z5RwOtwM8g$O*<0NhVFzq!YSU7Xq9vgw1a)Ku*!_bmy?nvRgXEw4zt*u8;>NDk$ z=TbY_%;K~3>;Chz34wS@rr)7-JV4K^QQ;;!wLEvGfy%70ihz)XDN>VWOgyQ9gqvYZ zG>P-!*EU8jp?o2ymzAmor{ZYU(8x%^XtfHN;f*j{^BF2*rE9 zv1)(TZ4?mKbpBot64#;2%bH57am*VL37L19AHv7(`5 zs`+e|LXWJ2ixQ|qooH+&&g z=(eEN9%WsQi)FN=a&u{K0_}zfOW~^)O-&tfj#{tzZ#Ug?d-!Po+3Nm&tMWh6+qIHY z7ghJ2G9C0}jc?LphjfMJlgTrjezT41Rs3d+q>gi>%t+49{Ss7noejA~yOXs)@t*WM;R(F7j6aF|{jxIvLui?5AYACc>|L ztI?l@|Kx?Hs0#^`{EFdbu&R^A@`H-TD8m+W&9@&tb4yv4G6?V~&SY49$pdYu$5#A_L}x2@q_tlx8^md>a? zwT1_={)>-#Cji9~NNNhnyR^C%Gk&H+S1UY>EY2ia3*i{s7!5}tU%?xy)<+MrUh79{ zYnQ+T70PV3gp`mNL>A#c;>fYNFeV!AYkcmSu9o%8H%hn`AEr78$&nhDA7UFNi3IMd z%j*SoN68l!sw7wCzFX$IrJ2-b5kHlpC2$`$azx43ayhCywYzRNFl61V*0=pMxC-6T zUy+q-e^DKO&(XPXZ7i1Hp&M{Nxd4DWJmr?$uI?E~fkqpG} zgPw6XzlRnV}*Uu&Ml2~d#C?gndk{b7m8B60;W7K5J`xuJUp*UFicI8T?s$VmeQ5alF ztUA8Lu{FgUwuQ=16e{}^la;6xOV5_6T3f4U8LF5cvow{D*0(e|aaS~^@-S9JzZ9FR z1LewfQPd&o$(laJzJ-!f8vjJqLtl#9nVJy3PUNW+k20zIscWE1YD|eH&!J254~S-I z6do^-(^%72SOKJTT-iq}f>a>r(#yW=U!QvErwF4fhP%r+Z)KJ%`51@S%XqnQG=|pc z=Z%wZUy31>b_F;^nWp;YGCcW)wU^JP@g#(I$E&P(K>i?)Bo4&An=b!NQb*qPgdd?^ z@{)0Xmle#P^;ZWS;@5pPL);SEPgCN%yap>8sWSKA{FRfgnm$gb4?$@#I|dR7wGyLD`t@aG2T^}|At?G?HIUEoD9|g?l1>CREQhkp-phZ?Q4oV)!Wnue}mlW#I4~ zdQ?R3UCV%lb92~fvF?g-N{Z3DUhEQ4wxUqd#ln@l(B2iM2(n5l&(6esRHl5kih_~Y zuLX3B`Q&QIK8`fk-}2yc>QUo63To(R>24<#6i(NGU2EsT`YxQzw(bO+xSCON^RfB99XJQRL!M1Ebz?O&c=P)_ga6FO z-9+=?1kwIO{70q?f)^Nyos@Gk3aZ_PP+z5l>m3#uwvj(4Ds_G$z^J>ff*|*vHS}(FnKl4586Xyfe(~F$o{%h!i;z0S?mvumA{GY&x29)PrD&Q8BQXhO-B5O4QJJ@tk>0%wAM`r-Fg;)&YUPZ6uL z{YgUT+&;W9;j2(pFOv|)R>rN!Pl|}ql9`|A6g!_D4Eg#-b`yRcPNX(lU)M{lt*y;v zxD5ERb$n(2ghb*RaK9i@o`b#e16t?!>+r^O&ZZ^m1t?$X2NvT|f z-W?4`=J0zlhp0a0OPB2i7B%xvDrs^tM7ejOi3+0{;ZDjR1Hb)^O=_;IaX!@0D!9D% zhOyrWr_s~+?|Jy6-j8EZ_`5l>DE?=ORNcke2QF0>AblHt44!7EYw9U!@b z=6dtYfn!$IW>a2HfT^cT+7f1+HS}8*ykYkmG^pnjNJffa_Ki3Erw_(OD8;`sf25`3 zp9Gir?AszR3~c9?ed65&q23ID2RsUO8ZR*f{5A*dno&$BLZI8x$?askA0s8rl!-51 z=ra|djRw$$7VmTfJ;g6LJ$-=a{MNd^O%)`kF+;_`ncd=B)fAmx#I=VcN6(4-3yvs| zlxT6D!Xst6E`!63uA*Q{k=(CDu`*(@jc&G-Zv~SO({zXYkrHPxbKr0g^X2QeEcQ;L zEH<YsaWp%ZSeg|n(S(C3ukVB)g!d}wpZ~D0e8?|HVDGe?_qOT4 znLFw+C4n0_A^tkwN^F9&PgVX^r&_VK_%mag^cIJmBmzhu5InYkrs38eLa=;{f|~J; zB+zhsf7kcL%lE}wiH;sw+G~##lZBh4j;%frl=xH;n-J_=Qv7oylLAmay?+9Q&s@$f z{OdHn53etEpDc}W3O9|#$7e=g4q84&vrN)2oq17&j%2WJBb&%#V|*Ls=q1cvJ%xI3 z5l3W34NS=V(@kg%kzGyseL+TA>8NRvG~TS0g3R^f;Df_=P&yI$MC(;OowRXH?C?Sau* z-7w*WjA)e5Ya|Z!_t?z;?#IWx>m|$)+6_f4(T8UGr~*R#HdDWE_bc_md!`G1zji45 zZgy2E+8Rs{4>TE}F&WA1k8godtvOlg7hlH=?c@S@@KNZO^w@m2Ig2Gcvt1Plrs+bA zkKgLzgT3lT8o#I$IE%x$f-xRF+6)pK2n!5Hhr>MYj0>hDN0Si73-uNPJPfmMWBVts zocPMJ%488ru8M?fBTyMHTf&D3aWQZ6n~cc!$7_X9)*=poKbu{v*@uh27~mYnsFd+Z z<*$>3c*^4(p1qWP<{H#{p*Niu!WYJJNGhcyZTRX|OM*&e2cXJ1$z6}Z zjrqoCmGq_yzqiA<=%V=dBsPE^ z7*39WDS|@f3y{onv;TzhAct`=NAb_nM9P;8$4MUJVvOS7Uy6Uc6tKKcK<2^}zKN)r zqNNe9N7nt*U+YPJUjwGdspQ~Ulh?^*+|LRI6Am z{R>X05Dmbi5HYdLhA4&{Hn5%fl%DU zWZg%&plVDl1BQCLML3k-d^&ih_{Zq@B`s;exudbk#lx*tD?DCRVzo=0*v*6JGw{Q( zsJ%VZfo^o(%7NQudJoChg=CU?1udLC-&iKkNL|5T+n?-C-%y)B`e7qPyN;9 zRRSM5o`8`ELiU;0#lrZS*X_6CUq4Bf+>|E57vV=D2(=5(f5$PqOTh}m^1D8a-;x$$ zCk;6DPu9%*@fl28{UbVfPN&ln7aKF&up!5^&fo35_oevCYCWWIE`(1d`E@I)aK%mh zdNRuLoWWTr0-L6#lY~=}0^0~A6pVJD_e6UU@2Vi~L>H19I{=j!g@V0) zoQ-z_O*`1IH9VE#KUzJV{*n88U5xFOO}@{}&6!$Km7tP}#kEzCUZ8VAl+DOZ!+EK5J2_(u!r9`QTXFxUqS*732rGq?i{Ez{ zU^t$YY8zzhdN$wfn1vGX)ZJ|Fbv!VhqvG9aOoA4u<8XAcecB~>Uf>ZRfn|ukSh``M z^g1|IQ`3k|tzeSs)$ZkCi_q+0NSyxcl`ngBi`rfb{4|f>qdd!27Z4lEzm~klyyp2% zP@2Ez?s3Z(z<&HBoDz)wxs5c-NPP*&AA4rD5j4YvJ-07U`@j?0)kx~xDfEJU5WXWm zvvmCRAmSdJ^=w+q6_$3-0884Afl5pnz@V|W$hpYIPAU-D zY~4Nf9B3Yx87`5?CqS_JzQ-A^XTgKr?%-YEIG@S zNsKij1!@OBj5Qd#8^4ZdRD5z>y!0(bm?Q~xoS5MD~W&QwP7QZQnah`eAyBczJ#((Mrri1AWy2kSIy^Rd)7;)H-09TSSOq=JGbAss(%MXoxw~92FG;&|P(w~EWKN7P^2!%ibNT4G z;n?NPnE=j-nw;MLB$B$0`UCPCmt(+j;&idHqZ z%PVarGJZH!&~KUV$SY;{S?ew41`)OU{GI4#)7G6P02!CAm0|DQ#>6jSCDw7g=;ZwE z-W$IKI*eed?c=o$F(3(CPN%<$N6M&jdM@Gp7lo_zD?Ks8+~Os31F@$y3cc7^p*)of*! zEnz3`gGRfY^Ppl@WF;6?Ntb?Yc&|_`Cj+mYx1Qr7Wq<6TPf@RdlS!x2X9%P6q!Z#% z&G+yYd0XbvzR@_jvWXV@42*xX(7&p>1Z2Nq-Fs{-EQk3OC&cwVM^-I%;a;kB*6;28 z@m_{z2x)U+E;>uE*CM)+*FeLslEt*VYvEovr=c|Fw|2IlkB9AhUIsX_ux|?wy;1+k zR!IC$i+z$3hu+KRw!&7;gLJq^>*W^Sy7`~S zU5P^vFpmvGywmHJ)9L7jdw383Qk$!k2IE}|lb>7$a_vS;1+1p(KzxC>3Q=x= zMv+F465NRn-br~LaHRnFk$aU3$*-_`Jk8uYtf)(AHYe;#kYyDfbk+b86!mJ6>OgAr zs{`Q&-jW4Ldr_SQKiEq_s-k;_!h4)jwyMxm&c0qg5^Q%W9`?+I8+DFes4(O<3!y(; z384~A@r_!0%gE?&32PQnD`q*O=XPkixRQZqMd){oIdn(VTHjb|>%DmB&T461I1+u^ zh2BJGQ@n*3a4&_~rVbx%~a`TV)N4)gt(0?eTk5yS!8tktbd& z{W)^qRLGe6P3V_OZ$e_vuzxR)>6f%^^1_+@UawUzpQnO9dlqqTZI)%LIy1%f??t+E z3j2)(J=-)W@gT{W&RCt_F!0Mw5zsgA%hV_t6R<~dbcK2ctW@f~B(^>L`qB9w;e_%E z%cUwU9L(m|EOnXh`>hPl)bvz$#+KyD@|OVk8_r++TE>;M(l|pY{KllAJI7ioTT@82 zDv53Wh3}Hi+DaMMnPY3W>z#MDdg93(nyFKNn&zCdZ65WlAxq}0yLZEKs5;SNfJWyr z^P&t>TEw-UMt ztTY;PE{^Q67PJQeyAOzBs;GHlc!P(9j#XPWKcivq^&!Ik(fN3 zoOOcFHE6EYM7){EBg{NIH|32?y~dC%JGX=4#_NS1Kc@L|%TNfF9w_BJp{d9kv~~y` z_-4zjp3mF~Me!f$|4jiZ3__Z$=*;TC>M32GHa3fL5*ZU^ zDM;@_de)RaZf%cf`I(#3GkNLy*?E9H&>#Qnj{(O}3OGgcCrX32`4xv25bWBMnld6DzPIwS2TWtwAK zbY@;L-D>3TXAfHPG)EgEkJFIcT8kdh^r*grwehe=35eKLz8w;KD@pCgelUpw!zWB z>F)1H*7EoR#vAyWFDq80gaQVGJJj6B#>c6622{$Gl~Lg%;`4$rJ`-SzQ4W>CV>5r= zCx2}DMb{Qg@eI+XnLkMn@93eP5@1$%;A?#(OWdVQboCMVxZUhg`m47AiEe8t0W zdhA{U4Xj9a<6{YJDIM8E>uFutQqvyZq=!qFSttm z=e%`~MvSS5QmtaM=y!vDGGcNmpiBdMq9H za2;wo7%UgAq8rU`BlliD3RT*Vs4b`l9F{n55Zq71u4gOm-33y5Zy)@!U(%e?Rl-D+ zt>D>PtG>oE1sOlVN%NMM+i|jM$SWSPf(p=MRKC|z4K&&BzDlxjL?CQ1lH+~xHP*(l z#PgVA&t_KXFV&r&jx+AZc_oqKa?`1h`@&?7Xdxg3vrV))-Pv-m({)cI52p=}_%WwY zPi}1%um+cqtGnwnsvqCKheY(7I45;RvB}?Aq&};xR{!3VK3)}NL0&IJRdh-~Mf&P2 zh|NJ$j^R>!${kK5Ve#CMBltY6wrlPinYcz++RJawwFA7q8yV}5)%)GcW8;XSe zDyL>l3U3cbTokx6MeL<1o+?t6G8WAljX7F{QC=ws&7Oxnt5F|f3j^Tj1JQc;M~INM zTr=ThcrJYne&c!W_p;cVApRkUOm1o#46xW^;a5JUg+NG;g!8qIr#|t(jum7LQCzT3 z)HY4Mm{W8W)8+#jF%E$#{RfYG67GL+kq(z?rZCQ}aIQdxb#C)L+KHIS^9j3Rlbqh> zMd{y?|GXWGs7Turq8W0*(nY$%gE{pTsN1_5+PXu9=n8)~7=W?sf(hHpnTGG~!dk>l z)7vwq*S<|-0$w`0-eB%4GowwB@gh0JZ-Cv1uTwHnwpKN#)GGp!g3(cb&cW4TA5xJw z%}2hNIMHHLIQ)YSE={ecH=<3Zo^CZtqHukhGp-Ncy<=Nw5?xg#s&n7&ZYAp966^cM ziw*Ra25$~8k^w_}W~nOrslsM|wnq*1|Kwy_#^=9Vz_W%+Es}NA=%uoprsDYJ+tqb8 zt5GG(gQ}R{;3*Zu#_n~{1`B9Wb=a_Eru*F#*X;ZF8CfXcZ=NNj$vJ;SAHJWeC>k?G zGWX^9pn~pk*ENXa@>4_}ax6u?7jO)wt(P~IS{%2&v3LgxT%XbAXwr`f6D4#w#xxpaR-~$YQko7b=u{9k9PVrh< zYni@sfBRRQ3X%a&fkJ%7sqp{+=ax^Gi z(kj^OScQ+hs!^ztYDknQLJraff72O01>``;R@a@#BbI7Q^h@B*FF&>Dk}y79hXw1^#w zjC4NFQx7?FQbGQhM){p|f=M3TK1`ZNyh!!TyoNm@dxz1P3|q*Gdby1?w3=r1RqE(}5rDx3?FdG4MDpG!*evG_>PEzM zlr;q@p9E=0*T1>8FO+w$`wvd*9Z=+ZdYbCI+7EoMiN;;wt%i61EbOerJ(I#ct=^ut zc8a_V`=CPBJ_7B1D${PM3DL<5p_$sR8nn&j(n-cVgAjHg<~NPq+No}V)gIcXPNxCl z!CJX;oz{zU)lx{Ur|uq+gXWLp_D`C|J;&920uuH7=6omRzfeG8GYd9jT4+q8uJPG9 zFVp4DYwhHz*OTZiKHnJV9^}c-zWfYSKq1aB6ga;z_r-8ec<=Awe8Cc+&wI4YUpvGD ht0$13!ddg#RPWU+iYg<36NQOR_1^Ej|LNP?e*s75MGOD{ literal 29614 zcmZ^KWmFtN(=LG^K|^qd;O=e#f&?eH2X}W^ECdJ+!53Kw7TgziXYs||-QDwT-uJub z{<-(;sqLqqo@tq>>Z<9P#)gA~D@S_+`*(x=Bw?wRg{zy5lOrW3HyZ~VC#9r^jlDS~ z7dICr2Om2p7dsaRCpV?Kg{!BHnFXb)iJ2WGC#AYPrM87BrL?(?JM7HQ8gF6Mz`?ON z{3n46$NFzt;r8Fu`^~XGavH6y$LR_lmLiX&IkwF9w}_!POA~on37!*lqo?ve5wUOz z>Sbz6vkYm7Mj|tz2&-4M*`s?w2=jy-L-M`nJQ|w{Je!V0IFUKQQ#iH$KQ>6 zMql_PBFfM2w?8Pt9-$)wn8B4(hYVQSPaWr)Dag{QuSR`1XU47ec7KQrL6g0?+02#F z{)$p5DwwxEP@dV)X`Gqq@M}1oM!2%Pag0|&W+1)9&GN8ZzriM<5P@?$s-WOnsweBQs>7{i|IaTX=qbvowK+S%WSY4P3$n=3{ zcs9N}Ej*7Z7ie!I$HiM#r?~GpK%?5IgKZSU9M?<%9k-2K@YoGg+z#ZvUEp*7v_-V7^ zS!hno$HTh1^>DBxYQ|^ao`=ny?ypyJ){>z5sk{fu0eJRWNATFaT%Z1UuQpc!`cQtm zpiSPgOv1VvtMX*8N-JAbp6sBtgv?h8m{lpWXQT5Z`_-V;K5+oh`kMXz%oZx_5F%p) zP&`YD5jr8snkr4G^%Q$w<6*cq;R*l&;zUj-(oUX{uR1N;_7Dn7vku9DTEYS*~fCBR-m1IZ{5{8}_WM zSAIM$Y?3ng!P@ZzL{j!T(_bK6sN#BCOR`sK$!Ix-ImdF_WGhlJ8 zS-SLwb)tRYS;#S@zaqw%_k`&f!JcBYvbxLi<_-8Isit7F#QY$j5Vclq%3W8;grH>v zysX^ou%IL|I>#T91!%7&8lFkY&Bn45KVK+k8Vy&;wMiEVKj)Jfkea`dpYvVXyD71g zW7oe^?v;9Q{~pT=_lk1_mlZ!Zh|)0b>9BQ`>uFKWFJ`w7LVA({iiL2f1rv8@U3m6lUVfs*4F7;*SS91RbH-tju5Ovr2}lY4T!qeD7=5n zdz_}e#jdLmbl;XQ!zJ|+Q!h@urkvFnLC*;8GSaK-s3|@j-3Crl^}1r z>!0M;q`bl_>gXR{T3bPmELNWyT#0V9nGIvA;SwXH39Vl|2ycu80x|lwexV1VKLWe} zvcKkxwcjdvjq`uPbRoD1%GqgYoIZO0_en!6?$-jLsF|y-{yZF|SnfLn%V!a~laO(l zsBxl{D3=pZKiv@Imk*V5QWJ9=LIMz zHK6xC4%^CyP#n5hJ{7EU0|B_ukO*z*i9yOPDgcjUP#xrJ(f-NJ7}7=l-qM2+g@K3x z4?%mXO*Uco?6 z6%AOPJ)BPe{7|MpO?DX1m+RqP)eL2tVay1_r(*#irCoB%C)NaWHsh4}8Gp=-zj)kG z&0O6L7)%?klBJZ9M;d;s*Y^-q^(8SOx+tz|U3BnMppK5eHt@?L6PI%7e0|~0-dX^r z7L!1zg*$m)9D!e19w3#-Y8rkcV)JK6)@?L*L-x1yj%ZREqA+97SCUab{)Z9i~c`3|qi^=9|~`4o~gm;Dz1P zgU7{-MEW)AJ@%a%r!PQ~qz7|ySEHb(Md-Y20d4mycmY}p$P2MyzJ+>vU?1_t1a7UW z`_?pR_gT0Z=h)X=Je&2M6we5eggQNnZoIi{m;H=zw_ukw8~oTOBeRC%AS}nsD{v8zT*r+Tx>a@pIhA1FTYwhCuuNFW;I_q z=-6y_tOvLiUulL@j_(m1#_007F^Uo}KK1nj6?`9qX+XC1W0I3|t)euCVMUjve=-Iv zyD{MX9TSnzGJP{vF3VWwAbvk;*gCo#C{0KIWcDYiE_Qs&(_%Prt{*v)0*t>f-o1P9 z|MC+(-$Q$kfUPIaiSJJNw0UUbqKsDE}TClxtvmN;SdkCI>eCO$KHlme% z=QV}*OkGF7L>-$kDXyMUiu|Z9fT6wm@8PY!tA9T9ajqKcmMz=!Mt?x8H+%Te=F&L* zWkDg+@g_AcxQ3uyJs=iK)LrO@qsuYleC62vN5B2c`nVm&mw$4}a0K2`}n)4gJ8isFmg2-Tl&QJ<%{d z=~CVIdiJ&Y23te+m!kPwYkeBZbcPyf;zI?e{Hxi9Hh-JP-rt2`3M^fo4<7u^zn z(CL|mQ#JE**HMnv*cw4-DWate#&{qG-<;!<;j+!r;WOXKj#oNLi@&W#908q2SDHId z&V53|&KXC%*V6HN)NSTmba~mvQA|`A*dZC>syW>bY-sGSI=$9+ zc-m<3_GCx~`33fxZ_khv?R3Jru-7~9^%v_{+ z)V`Nwk#KdMnlUU$4f$K3p(N?HSX5eJD=C`+9=_i5w=_8m|MKwt>ITp8Zg6 zm?E4x_*qdBP|7L}ecZ~ZU@kFk62~|k&N)f6d8oe7AJ(xNf*s!-!Lu&@T3`d!lb^#=^^lT+ zINix?rs`=U1M-Sv{Of3EBm7az{Z1aubh?Ig7Yi=pJa#E$vM}_-gCE|@L+?TA4XyTz zAkN|bb1Yd%;VYlc3z3IKezCaO`-6qx8@3$Mai?QhG@e)L7r23#bqYkXtY-b%I>p73 zkcavf&xupwAdilKw&Fl+7kj-+RQk3MK5H-WBu)HwS!+aDZDwg1ena0}KINA7a#i0V zN4vuMqp0r6v{ZU=h>Dq?CF*jvZ<#WCenlZ!O=Q6NXK7%KijpYnlV*qPn~T2n`JA+$ zr5LFJ&Epq*``liQdR=?<)P;}6g%2vwNAX-pAaJ(h67(HQuw9+|WP3;!`RRb03B=B5 zT$XNJT5vc4AxQDL8a`h1&d_{=c^Y|8lx2=2w8^Q6LcPuO3)rvosETOg*{d zDG!`^Me|RCdd(=>b)0ECR9gnD{}OAp1n5c!&@)XDi$ z`n#7?_5-plgi$x=mG9_@QeRKsk;SL7C_n{zF?26`B_`LUApfF&B^K`0QE>s94_LZ! z>=<2rz4$ZSu(mjD-1Q{-V#e}<+lKY4>@Si(1x*uRjKTP@!}O+M6^d{cLbGJmmdbuE zHdx4LJn|ZRC-DnHG5<4mV&>(*|YfF<5`4X63l>3S}(5Q;W+ z^$@dxl^y!a){bq*~4tw&Pd?+O{5 z8d=NoYJU1-jGr&50QN48nTLG>O&ZVB^a;`G6UsfCf}x>UfckE}y`PuaDSnlq4r16f zqkej<2b^z~%$4(y!2;p#lrFyzN)kq z7f^zm@9>$#@iAaPD8&Kj6WGZ|uUB4pcDE?=KBJw&GX6wvK5v5EuGWLj@Y=*HWJHqu zE8lE8xX!n4^#poJjtds_xim}553_gUuqWwy^22N0Lp3^U&RKsMKwS;ys63Cwc0m^j z{InR}m$o~q?>u}N2HhF9*LjuIX6Ru5{a3tPHNfk$*qq>w@eb}MABOsdD*x>a5tAop z3{%=m3OXKC$lq<$9dUt=f7MSQ1nvI6yBlOfooZ!kB!_Obi1SDoUgmNuio}b(@!2=F zFV5fji8Xa+<8)^?6eM3x5cx&48XG6v^?h`DxJy^sB^!CF$MZ3s3RwMZ;8Y&}LP$jd zC+uEmAZePL?LlrwZJhKHgJ;nZ&d_q7IIYLM^7{5no5}kQW!1UE2Nlxh+G15cD@9qL zill3$LoMQS>BW5PT3;3A6-#UX*owMJFKa)%2Q1g}W>2@z+7J?)c{WJAn@dS0NwB=+3rJ!yq6hu6yFHcB`#*Kc%MT>9iDkKxf{;zy#~opex#{ z6|#>$>%&ZCRa~}YM*nfml>2<)%wy!*c;D)eT4~JHcC!K>C%9KuR`8tUi?D-D%+wF8 z@F$y-o{Sr^#(Uval_37m!_67fXy1;&j!X!B>j&hbY~F>;IkiVxRVEG3DN!4pL~)Yu4$3@sm$4S8{`R$WSVubk z*16-eVMmI-wFI(D>LAt@t!SlDBT@(UCl&|ol{>Rn+OrX5$P@VcZsN^B@m_6=O<4pl6uKcS*jcKthsLb)-c;`X{NhloZVU1QrTEs>2 zdYH81reQC@%XXtNuM()rkovrUcrjgp&}K{vc3jii0t;mXsej5YzK zHRH)$U^_*>ZbrLt9hAU~O>3Xoe07#Tx96##iMp%D?hypEkp%H|sIm4}TixG$0i-IP zViF8reVdW!6QEot5ip!@)$QP_XQQq;&2SmvjB1<)3r?09Cy$uj5RD2T9qHUML?bRb zGb;zu(U>sf^jDcWyFzv&hc+Vtt}0m}GPb(_?-J>C23eGJl5Fu6H596d>FF!I<27X!$dRKKQ_$wI1k?((5uL)(W$o4iOuUG{)J}zz^Iz`!0j=>_F zxcm(}Vkxefx=`~H8`L2U=!aj7UGF^+6$-l=Z-y16PB<_cdU!rq)%-m#Wq>X(Cjh#w zfZQG3-M{Ld5bVggWE@(~OS$s~8y%x|(GAXL9Hs@$l(ff)?3&%ibf5)p{XoYoZn{SB zO23lBbY09wsSRn1F&a2vv^=^#cT_VP{noVSQ6@9K} zOc2n1DLN;0!~5$ho>PxW@BDY`q(sc_LngvxI*1X_j&HY~>0OYGKlYeJx+%an^->M!YCS zA;&w>KmKbh7{+b_35vY3I71q49568OVJPVv$`asL@vhv*^bbhCT$Oo?*tm*-+yq@b z{Mt^vUlnhVSo$>1V)Zy@TEbNo`)6+y9OHz3D&#m z*X`9V@E*n9>UtGcFNzIzwdl6u-o#&6FVPu?lr5ZXNAo^qXI5MOP2`?E4sq=VzNn6+ zO%V7G0NV)T6bS9cKHyPf(eY=zsYYa;@Ru&s8Pgd;PIsj zi1+RXTy+!7T~m3*&4;1Y>Z<{-nPKMZ=k2=$DyU?~cQINE9d@&K{12WPrp1Y~N5^Fk z4GTlp(@i0=yH;_gb*fAH_Cvp@wua~+=s0>++o`hYCgZ4Fiq?-i=ziMqCXyhvu_`A- zB06`z9rUMv1iR*#^S6J5(+)cFKcYit%$Y$@szn8FwA)9oAnai7BNjMkVq8nY)6Uax zYn>md>jWMAojteM_e#Oq#i;#9*w&81c_6#-cM`vc53Q4s)O8K8nez{#j>q|H(>6q` z9xbP#kkyYcJ4!Vin{y1f(bBzqK4h)i2E5cG9cMb_D7AO-J%rg_q}x_nDfeiN1H;O* zIWhD_^@+j0g*^5SdajLasD#CRGJk>dqeI>=p8VB*u6JWV992yI`UYp`i(q|$l)b$p zhs|{+F)y=`>6&*=9EjRpD?7413s62Qr=?7P2e;dXQNanmy>CmIQVwpIu*}!g#2AoR zoy=1Be02Is!UM#vNvJDp(es*UaI=?s2U&-_Muf@CUM4Y5_yWDHV!>^!Ipy2HkG=gH zrQ-o1&)(p_{t7upe!=!}6|z2=UlTY2)R_f$ji zA&DGH`9Zu9itYv~!EdE$!+C7lKW#N`e&+lOr&F=RTpMANY`McVZGB|P7Ts-y^pM*W zFO8IU4aM0kOZw|-x^#6)Dk&$d7k^lAh{3Q@d>>e8+xLIR{X>S4|2W^zDO&`ynU!uf zUasvNOpa9LGn*7q;Ba4w>F{Xm7XEDjit8a8k`4Gr;R%E_*XMEm12FC71C8)hH@K12 z6%&kD!?-#IpL&QLq+}u6(oo?*qpk#zD}39sY(6`?^S;gNb-y67em5mEQJLL{+keIN zMPFe^+le(;Iz!Z5v&~Nw9BE0x6rBUl9J8dI;s3|=v6hEuLA?Z{X#AMe>`9gPwnLM| z44DgfhrF8%k)DuqJiFUUq>nqwbRKgz*c-m>HdF|W-dU~8#6kK*}w*f)24lCH)cZ*Qk3hHK(p+i zna!~uN-~RwOKaTyaQ8-9+l7}~FVT!h$m3v; z4CNlt-glXr=iDFZlIP}|i|`{JP7;x%wd9uhl%|ag!5Q6xqZ1NJqevG7N8)8RkC_KQ z$COv3(!S(qsORh@imnG#+zPAgQ1Re{nCgx}<*9@P*7#^tI`U+y=6_lGiivqjCxDHk)NbH(avp|ZiguE5rI=yVV$_AuPMY+?}pf0?*t}0Fj;}g3`|C0(g%|^nA8({H)<`6 zTUXK;IIt*sz`s#xXbCD>GVFw&u&p0>5}doYpm{g1Ad1*~r7gaV1sJqq5Upfu%Gm>n z#{xRyy)nCmGQzO5oR~8hV!?IU`aG4i6Se-Z@b8+{YiwTPpkyaru|Ip!zrO6s#TVsl=ptHf+TmnGEDrxFYvu8oel`Jx#ETP^FBfX&@83OTDE|H$Uik8w^tO zZwqbf3itE-8SRqzH-=W|#I=%aaq9JX5XU54Q_YxC)=Iy0?ShqUuLMP!CbtYnVmZ)i1C~V4?YGBseMdZ}4+e|!sf<-wt z^#wy0ZN)n6bn)K{X3l#>5r=)XjNui6YXO(~MvT@}298;B!3u8Cbm<}Wte(aBD6{MN z*@m{&tY6R~lrqx4*z1-5#54q;@fCRUa0|1Gc&G%s@q7e?vAa#1t_zda6T(!V6{)>i zEh?DZ7Dhc0!6?0dRLnoh1V*(GFrsfRiF;FjY3GaYmRMVdV{WHO82)CYC}%RUM*R!K zRnkKxE~ODJ6>v2;;L_%?6!tMnJR)c`OU|0_XF)AdwRCX`bvNA(Y zM@N~F5Y#cQOd;sHk`zv4ldMHmTW1D;byK4k^Cj8uT1~-^p4D8d1-btB-x4ygaZoVB zBR=c>w98VbJC0CwMZ&UDWAwHcj-$`a{mmBLEoNdXuImmu>Jgtpi@C@I>QN=`23<=* zRf}BecUt|xf*k*Pt&FOn8gC}^IBjf01HOf(gFI-N+=BVIy+cBabRY`xP}bjSO=eN= zVRr-OzVy7~ziAEV`x6Cv4HA%pR{5`%6{uWz`Y3LQ+ejjd^5|cz>H@*lD%cHHy*w%w_bO&Ex#cY3*S?Rrbr!Jc?>`k0p$!sFrZ5b<9-GO&CU;!H5AEArhCUqzR4ij~Y$T zn&XqzvsfavsdsS8*KB|hYcL`dM({eqh^A4smNA?s66UyG@_}8Hxre#(V!pFJD*~)h zBcj8cct^|5%n!=rY+4mnN9jOZz)q`IL1WZcZ2R+(9d+c?%l0DewM)_Yk;8a@y7}#{ zUbL^61o___8MOIeeky3edC`S6G<3ThP!Wmk>Zm%nXEK$UJF}@ugG%G;>cNc;L?s#z z0O)Ov?Sz*uGz+IZPVhufN5!+B^Il`$^Y{zkweZluEykNH4sr%pPv8Z1`{0^b-6ARe=#s=9y#zJ4g zYz1IFCw@Iu9CxH-;LmOyv&4&FJ4&R#2pu%l5JVj4g?_m>qqUVV>lF8!1Z12!ORED+ z;TyJ-*N@cP(&asUC5g78OFyeLO+|KmwchAJ?XIQ;MA)G&hWGwm%ehZeQT3uGkFyf- zG)6&9_0XSQ<9EQJjz~*gmL^aEam!pP?(nE=Lh0yyLrsYT6)Irbtwtq&62OuQ^5jq1 zf}Zxd0v5A$VzJi37orM?#S;bCM=oxT#nqv&+!0GrZvKZ0fpjj3E^HmyFIG%OVhtxw ztmgTw5xVF$yx%zUdEZ1)m1F3j+a$v>_3*F^F-usTXQ!-^r)=sxsl2_7$5&H@()17d zdM`=yQ?|vuDO%dJ;5uUF>d+&l>*Ygdz|k* z{=a+bkFd#*o<4`SjZzDt-$r2ib;!CC9^;TX#{4@}#a%D;cP2YJc);m9*0@$BT+w}$LYV%aAYAo5f121@l>_z>`Q*4d1OMb!^9prYJ@E*q| z%bUGpyhs*}!GwUL1~+Ef-zizU~fWua;s*laJBbAA83_2KLu#*#lQ7@!q^th zg&%pVzWj%NwlMm|GcIAwma&Vxb(ffaYS zq72OSssj;3bd~h#6wBk0dRcVja2!0&^C~8L!Djrvb2Y|g88@I-;C1#yEEXl0o_2KC5fg!-)jP6xC>F4&? z-w6#S3V)mONR_M|^1aG(pcaE|80eo>=xd5pr<7zx0Z&@1HF$|Va#AAfX6E5V;vo5Y z^eX9nnRh>3DSEEVikA%MM$Bhan)h8o<1d)B8-1oUt72UxI{dr21H{D~I&uRpx&0{} z8mK4)|IjCHa4u;Lnxd$n!=rC3$Och~Tw!qh*qeD1c3vjh`M#YVg(H8a)?&6U5=?kF z>%Iw|5()1$EWnp)EIf%hUewJPX#Bl2=v~*w(4YdG+qvf{f?U{$rEVQHEsq5Et{g3f zbgEXmy^)&Vu}{w(hw=&&99O~8QGKr8 z4eL}}T^>$1-Ez%WjA8D3>gWe!MTApXDxCR(6lVgsQl{c&Zuw21(S%v(JgJRg3=GV{ zz|B8r)ChA%TQFyoyhK`mBvL(4eJOYBY9H&IwS+@_YL}GF07}kRDmJX(iF0r2CSD}_ z0ZHCXXVV6HH5=HFAG#L!6vK#O7}4;LfM_x;@qcJ3fvLC`%(|EJdQ)~OXu&+ljMW7` zauKYf(y$3Y3^oC{{raHqXY^~nsi}$f)|nPumD=ey+RR51RPSGIDrs4H+hSlMTd|4i zRg7m45m?$cSw(LE)$|EnDT!o0?$I>ZKvM6+bIgK)iX0fDrfJ~tAR`@TySHlQ8^dbWwYP{-gg)~xY4YWGSjR^j2tUGbxzi{Dt#@AU2~^G%@OxwG7G zbD9b@2HMtX$Oo|;%T?1T;>pnXf6HRE#eTCq6r#K;buCG&i*godYhOF|d~J5POF%N& z7D#0(rQL>1(g^X`1(L$NiNeu0F@?_ITcP2?yzC;&F1{NZV+&|lSa3$(-tiaRJqYP- zLjps64iETJz!TOmo+Ev9o7)D$Dcep{xl#n!Jd4i~<}A97G1NWVUcZJ0Edb`73eE=# zf=)XjcQucdLI`=vg@oQ*7w}#OiDbY0il_PQz5w;ldWNzvRAzVjazE5ARl9wP_Wvzq zHCWpzE0$}rwa_Om=3=7q*=|HrsA;1}p}0(`WO|U*msu3kz<5D-p#P1N=8)QYLGt%p z<3jiCpMgZi4mh)5VgF}v?fZ;uuB*{sxT6Ahg>%h73Axq*N3;FeBk zW%YB>QpUc!A5NB~6Brwu{W*lh8`y^-GP;eFZRv)f!rdHPq`T4y9dU#`n#M(2KBQ66 zFTrO!di_72TIWxlU216{h0iC zSo*Sm+iZRa=5S7yh5}oAR6kqZ%;Re$%@yaj_5~VkgYq>8Y_tlR@PG$&k{on#?7Gb| z(KQ0qhPp8+`RBysOqxsoz3--(c1|`YxUw|nKlkGgermz>U--ZAP&nFmWsvC9Y0|tUf`1n;L^jm*_f6tk444&u*uDMFsfvRudKVDQ zw+uZhZ_C+MK8eY)-&Y)a2gG*o(l%_JLrA*P-|1d{+tlM3FnrqL4n@y@@<7-eheIU{ zhD&e6A1k-)!z%Ue#d@<%(6Py$(+wxF!38z2f>Xenc}P--rZEk!e}HEip<)^4mU0B0 zZ!=fAkWgf=FYssS1za6wa(MOUjc0R5s1UgN6)vp#qEckjE~4t9Zxma@{LLE7-_ZZ_ zHzWW2jVR3D@FGCurBqb2>i&H581x1&z47A62*3sTDg##4VR2R>EcO_M&BTXAusBNr z7H2gxRTs?YM6fOg=y(YUJn{4>wn9-kLh;JJp_1f%XSBbE1y;1$9HC?--x-fv@f0^4 z6*4lc#@vAHIePe$Nblw<5FIbTgrFZ7At$R}qcHt4{u@f&2E^@i%+m`k6N~=t`3Bl| zK3j*yTuWO>%!Lo|C48V7nMN&G9k%Mleu6D#7A+3#LAEdD@x8CH>c~$>!Y+ww5v=7P zrs~kPwg-6IO-#1&aK#?2dOuGrv&J<`DD;TV^i%m2kqUr~x9o#{HMQWeBDu5o`Atwy zA{Av7cNm|qW(lZr+rmf8|DC^b;%eIDVK9c+fBU6_mNGYAN>+3vT->d+h^f&oXAjN z7`&^?S*Xuz{0R>{x!Nh+B6P;&`g<1ehSy2yy4~}iig`TCToAA|)ZtTwjf!3~i+zDp zeQOv&`n&gPrFDQq1>=HjIY;Bo_zwmj4i{Fz$L;&jZ|IC<1S(FbBEE}LzQd89wf(V^ zUSJ>VbK4DhHJ}{MI6i=x>~h|78STuNh-aaAg$4i`TW+>`sM{zgvSscsHUuMK0Pf*p zVL7RpC= ziB5mIrBj6rKHVE7TO?K3pnHTR`za$F>?h}j^kaZA#)$3NRp zE&{`=1hyVH2fneOPE*m;35N1$t@9+~36#|uiXmdgS-{k-?mDPvs~VX!o6fs)BQD>k zb7Q<};Tzxk>2H0htAPDFq3IeZlUg3s7A7TXc|AAx{-t=XK{z3wr4`Hxilt()j>d*Q z6H$PpDJZ}#SjHaQ$Iy<$xbml+IVi=NS)gR~6(0VOxA|ISIK^a$8L5InEZ@1UgZxy_ za8(tp_u}V-HigTE;Qf@^C~f6Y`f_cw>#0fNeY5aAOv6N)420h6Se`gln~Ke~P@k&te^VUiNO$QJRJRd@=4< zs4?-naEY8tl$atSkIjvaD)c2D{PH`)gW!+;A*`xb$6$=89ir5R(Px;5jv(C@oG z?@Hn^@voE4TVLwOw+*9Wgbpdf;hKZ<0sQhLPZ~KC%@o7#Duy5LQJ;hw6si0~-nUxm zww_$afiU-H5Eqh)B>PulDvU}!$e7Mva&bV%f8t$eYt@ASeBZ*KC{-}6n5IiWZk-TX z+EIvXRMwO>nEy8c6^j4 z))qN8;~Z>edm9?(vqk5BWi9w&EHPa4#8k27pKnHC{{buQTn9rK}hYK|TW>?7}RX{2=lm)FLi)H`2{zwYL2na+fE9&Keu^7lY%hijd1?JD4%?{$P&1W+3Db88tiU z`vF144VG|KvB_CB_32Rt`RvqnN^qLQmOZ(M1xDJhKV5zADYH+?xl~quP5p$uGz*SY z23b2*Ls;e0ie*r%I;awg-dnN^qfuUI1&T2|nph=-x6vZNX^E-s+>?C5X8f~r3}^ay zTgsrvjrL|2UNhiXq4Duefb`^u6*jWJdR8?jQRVNJ{V7Y9;tR4{0A1*6E^_MeQIJjE z&+ZL9OTG16AEM61VN`HCH$$`8XgkJFwQwS!;@=O9bRgaQ{hR1$M)aKe8W5#vr06?T zNN;>Zo>1BIZ`GwNJj3|@8LBL8H9Nh2+zbwN+MgNm>4YEq&>ZwWMl$BZ!YGvS1%-sI zxVmyQ_z&!2mVh9JkN#6Cr9*$Q znR||h!8(TX7x8xt1^RDg={-36TcUXB@b7N7Mdv76xXn2D#37o z@^CNG9FzT{!X;U)ABe)B7iGX7D^AfFCvm>tu4DjHq5Nfp0|5Nce+IpaI6&bq{EOfX z(H;TE$%QzQ^fj`;PZ#ASVP;$tGyGF{3#;tjiNZ=Z5{?3*c;WSw(Ej|B5x3ceD9cY^ zJ&sYoNAy8EUSJyrLJH9+W{w$d9+BT)f;_M37gL!yeyJ|)_glR`#TMKW zt@o!FiJ9L5bVnoRWeD32m-P5{)JJv?UtptW=Qx-8s&$*i$@gOa5)YXm_VB1rD!hUQ zt&-8i*Vfa=W_P~3`x#s5x2ipvu@KW2I175`Ml>F8;W3cRm(_3zJli|j(fii8JldiG zS<1640Xu&04;9+X0HW_}VXfKtL+hyDbj0*vpNMy5UUk@=>m!|n2-x}SVj5(Zxdo7% zKTnH2NN!M0`Sa~A$GGoSjz@C`X2`6W3T2?4(JiFu#Ex&>**_cQP5JxG*HylOJpMir zcrq1#u+02RWVIN6DINFb?$Gc0Ql5w`e&|Zdv+A{F-VNG#D3qWfDFiPfzd zs4wnyE);ayAmDQkCv;iM$yn=OyK?WAfLz2afY?JhRsS6RaqRZXx$L=F=9N^|*cJ8k z&0NBmo$2*id1U`9|Mii!jeSFqUyqkb2wMC_X}s;;XtK`JV$2#A*B7%@y&`@_@)YWP zC_ir4&HL_i?1&5yY&qnK?MI{glP|$`E80VKT=jut<`$977T&{0#ysPfcc=tcrA}t? zFI1>|yU?*4nVZs<4ds2YKOk*Eu<=slem!g<#sq`W!`y*Pb7=h`mYZr zU4hW?=g-hDSA7o#YqD4y4tecqP#fP$dQB%7m;KPvob(vk#C{Wu_ICJcN^bjGV-k_+qa+dYU@+P>RWlp|d z>}A(s#n%pD-0F$7z5@6{x7iVgh~8e*`4n}fhEixI>~zEm=i(6Jeo96=F8*=MO0V}v z03bd2$zSRq|0?Te_(rrk$8j**$lc0d8h9saMuqMRfe2ZRa=3;Gm^YRSsNhh75jPrL=*2-mD;!_n$Ejdxd) z%xMah)0~JWtPxOw&SJ_wE90?$wgRZ^ZaE{hAN7JiiLAwJH1O#feU@GaISLHqR*O0t z3oIcaJUmoDWwDC_7ktc0Z-$VAWil~A(%XEPW4m7>u0)yVoG4Jzn^`#WUY+G;5gt2o zRj={dRkUf18|vfUK+gCyO>`|ctt**G?|u#}3PF1A%$-q@XrgMKC+V0V$m?>P!b{*6 zb{+cLinlL8PCpg_?cO5tx`!WYAm!6POHrW1w;V#zi*?)R$VE9+8V8pc-+*u7x0ur@%g+PQ}-f4J*aqzrIX zmw}{S67hi{@2@EE()_lbu0h&-@OAGQQqP9)5sIIug2g$KeI60iPp}~PYKlAK6lvYM zY2qB3fu#k}-%2ijxc(l3f*J}B-PGWUQ$Tn)q2{}gb()P|t(jZ9jG0+n=M{sGTBwm( z7woNE)P7eSx#+-{Fl}S=U~y6v6BFfGFT)&VR=%4Z{N+Ba8cqTvu*QRQy2i`=>W#L= zX^J({Jn% zH$u}xgG0NT#46H%Is?`qe+ip@lEiXLq-Xg2_#h_icH%Q26nhy1pc$syRc-k0ZUNvs;L@*ouMk=lz%;J(;wVf~F^*Mn74yTRi`c4JwW)%I_9qUf+bH?IOa&ukwmRF8GhhUgWgN1&wc-L_w^@Z6)%eXo12m7=n>3VbePGH-QA?})z2S} zlQ$op^N7u96pI~C@r#QHPw~gt?jN0y9~@B}lciJWq#c|d5D~J>ICCOA&=w7&TOwN$ z?Cuq9d_rr3a)+#N`@bOgqlG}ZxocnAxL;Z^7~WcT)>jAu_-zB4^&U<`v$J!vv%7+G z7n)vIA0BcyUfXj+U)x_3UK6@b#R7iizGonLL46{8Au1`}$&)RNBX0!@<+VR#H>JO> zo`#+tNK7A|yN`c5>x37rFA8-0W@)t7jZWxYn5t~t?rm-9TU}JyqW8Mqef()$_0Ik8 zL?=&)S8j_R*vZ7vy2{JCu+pz$obbS_yglee+%KqaCvUG#>;;lxdk44JcF|Pfrf1kN zf9P?xk=xajp1!&oY%@Kpy4Jbg&7*O22BLu7`O}Q7kPjdCf7K$tM*u!*=~!%m&WH$! z4)pgAj)Ft5Ffp)h$1ptaFz;ALM$rGKtgnEHD`?loij)?Y;#P_*?rw_|cZa3873ktl zvEo{!xVyvR?k>fh;w-Yb+vU5t_vTOj1zwtm41FOw{PMkdw=ykol zftSU>Q2%uzQ`Zdi0^3O~a=VUottBBZSC!^$FHAh`|1RQAJFxpI$aq^O7v{Fvrx`W}V zJWxd#?_QMdcqs6_F8i}DZL3ydl(ly$&0vC7Ver=Xdf=Xl5;{~q<)kq9Fq5M|nO$qX zfRPy_(y5^PE5`E@9V#o&u2?vkbeQ`GG{H-o;Te1^|5EC__i4*qFOdxBT_a=)WzR6{ zcqLh`=OEX>dLf!-NM_ipO|j@%x;W}rd*1PmoEv4`v1Iat;hHzsI6DR%(hjsPLuZ+1 z3AEzB99=KPu8+8@h|jJSN%~#y=()%Us#pvenLq&y@~t;$P)|l@>&6cx8LacZT55!~ zz9~S!wsZ|(ZW?JG2th2ARA2h8s@B5F!re{XG^h|wo-ik3+VVF^RSi{Jiex!ZpS8CHFJ8mP8#qOM zYwz51G-LllVJ@G~WK_88l$d}EH2%};Th^1pVGk{z6vt@jep$S3qL$__#tzq+9ieHX zU!@S&%es5tunY#?ZJF19O$;*~weQY95S#CE@psx!8>Df&{wLkAHcabf;0$@{%@ic$ ziOA`HtU}>4P#zRLwIlm~BkrWFWp$^G#mK0a8f@QO;K2BkK~cS5#XK#uyKr(~xj4EP{;aAllqqalcDOL(M zLWheb$x8Uk=Ms|+ehSm;>Czjy^i;^L=P0GiupEzhm~vF6uYMJsVSeZALS486_jKv^ zd3#??jx@;iDK4PQ?cC9LAX@U>5fL#6u4+l9p=@;Ij&C{W;i8nwZ%qrTHb=hm=_L8B zZW4`J-T@NjV)UyDEM9;0GA~SN{YZ<{#leai{ zS&iE4J9pa&1vBjC6WV>fVtpow@NZ$79Gy)tAC;t2dyuessvpLenWh)4)K=ka>WUGI z7l?9bJ%*oNqLKFbFyz(DE$uuz;K0rN^+LsgXv=4^Q&ppICyb9f+0%FANM6&tST5pJ zwA`Vt^wwfo@wfS(vn0di%a1)umE-wYWH%SX5KE1-`%#vA9(!W_&vsu+IPD)IGwNxWe#(tE8ReHCzc9J%ycFP(KLf8M=+JmOU@;%#{A6^6oJ zh_*Y!zo%^BpHsgAsCREqW5Vu@%AWv=MkPKb5BnF-Hz%a8k;S-;zK-`*;j=MDoqt}A z=$_BlFZLhWJq?pYt0+33c|ASt-}0rEN?tc9w_C;YS~4%1^eQ*AFyZS+vAuLxMyuSU zdknBMED->*Ael#^6ZSPDil-?Oc(Oa zf#oS8^KFB?r|vHe3oyCA+G_5j_$v`1@E?V%30n}(XepMnu0jK$EH};-)-of6kBCTb z<~o3$fq>3g;@X|XSh6JLqG|-S;M-~>w%ty3Bw|p>e>R?I3B=1KA>BBHEjW@Tf$bI3 zo%tnHq31~Sz|b@m8m-)tBvqOfrCDE+rApqU>bZOEKaz|Z6Q3ALUa-`#Bj@@nL9)M@ z7&B?)IOMjOUm4j0u}k#}D8oOyu!Y7?#WaVinJ4Sq$xwWXRC%@x{vh6FV?yI>{c($w zsyqH%C0S*p;bTBU$gtMvH;a@J)pQ$5xjgGt6M+>qmR~BV0>VnxmRPhz-95p&6JhW1 zn@LyV7fvkC=ms<0SF~{fm|Q-xxWrXe@_+0S7>F9a*U!zpsi^5ac1tAlm2X<;hZ&e2&*8ZPW-V3*vf1* z>1?G8f1-601(B9{NtX@9A4`F) zC{{*JR&FRKbQmW***^U_Yq*dFL5Og6dvA^*+%gI2vi;Qh!IZ22O(TXKot1;5m7thc z9k3M@(#QuH{CT4l!UMm&Lb~iawGNwNK|0yRIANRuL8mH_PW%KRf!WU#A+G#ZynGOk zsh6&t@cGZ8*J{JX z$}->vF~k*RsslC!Lte%tTRstgj03ikS#3C5xxs(j_9t?v)C>%yN*E}|*<_MpXDZOG zc^Oqf2G^vG0t|Yd4J7zi=(jL)L*rFMja$tj$*Yl34OI}PFB~2eB5A1W3cK_#TiFa1 zlpOUx50Exd>>Dz$meGhTn+y6WSeXbYMv~yQB+ExP^vkpZaJM zbmr0F-H@fcyjwdC-CWJnb9*+?SNlD?rAtgUGxw;K;tI8!!V~onUr6m#uTPswH+FE| zoGqfibAXnqRYLTDdaDCuqe_cw|E+Juz$+c9SFW*KPetl{P0z1Tas<#cF9FHDxjhbo zTb5KKQ;qjuZeuiTx_<-w@$#}Y@hVTMNx6|~S>6p1T@wo?h@K7d?op#^Bd+aOXS}=- z<_!0P$%aS-)DZ-&JyLB;Qcrae^e*~Of;*6~lv?jq+63vHtd`JglbP3D#)h5cZCsYz z**&?neoyfPz1fWxbL%|f%q`-BCIAH2Dde-SXXI(uln+tV#c_X7}(}11| z&gryie0OV=+lq+KQ(qs>WJW^$>bah`7CZe?h)L!`Jc7TWLfC=8SQoGGWB-BAJ;>$1 zDr|E-q449SW{=#BOl8v`xkN+HZ}pRN+jz~p(8QC{rdbOP<6-c2FhH0$lH`7uWZ_f`n@@FT1R*JN!=z<=Lb&r!MXJN8uUTYSjkZFgj#0oAKEMd#@!#q<5Wz- z91ii!Yw*2DVD|KLJC3}1L(*->(kSQecU^uJ%*elMsw83ENU(U95K0)x!Ti0^lCW&B!_?!W5W|&C$oxw#Bn(-9?cSFL zeB2~w5pN9?x8nXF{)7+Kk9jnWlM6$;Yncx$Rsi0b$F%2mp{QHi}PIOA&{)c082rpxUnG-ET)P?y4?&VcnZp?`k{HmGlO2u)PT>EVf;-DV^#N?0^riK|C_>+cT zO~Nb@d$}88pQ{apx7rgxFKGKX3)@R(T2wP}Ne8V{%ulU)%r+B_bLBO?P)n3~k4xL{ z|EHGqf5s0+Z?TPR_S3{ZZJ^s>-gWI{S)4YVnT?Mim2KUK)!J5pC1h5hOK!CIxt^c* z|CQd4ASC*Y|1yi3Q)CoajXEIp<_^kJUZfyj+&RC}WpV$Qi}dflQ6GsZ zP#?Pb>ewq1AZfF^(< zS26>oFwsjfgd!?RQUO;y>}@;Kq&VFeQD9RhQGlM{QPbuY^@@ zFmjTG2bEso6}lEo7UTEMYDXXBCc9}t=Ys*iP4EK+7g%Av-H=$>1}epD4J@WX<1*@g zF+3{P66zf(&7ijO@K{1E?2Bne36O!*5I`0Cf)Nj?RT{7Ruk-QGJm@04%uEepbfGB< zsp238UurZNy-f?m&e@@{f$EwvM+R5tqrB;M@-f*)j%@jOL73snHTOveSIvq9>KBz8 z#mp-40Bc8QhjY&~Ct;YuDGv+HJuCOZcO?0H+Q+}DCklPhZ(LT$r9_s=dxq)#Jq4n< zdTX)6W%tw;k=$Ld5&L8DNwSjC$?Be(n|p~M`fMA@2!O6=_xksYqveQovnY|C!#b&> z9!!&;*^Ma)_5>{exbWYl;D8A6ETZDAxOssi{UmBEYq5@l-1)069~s<0z!7G%ug(6& zLaQ#~fT~JG&9IIir;GR7ty}?_9EGe0Ql`zn&l71IMv5lLgg8WPTa;yC=fAz4cSJ;+ zHdY8GUUFR>qe`rs+RQ!=C%*dacB*bzhFmSNFjMwRHp!@WDj z9VXB(GHxbgwM~w>{eum`;IgUSf6G^F5|vODq~$}^JD)gN<>cIh0uiad@)_Z|$)5O9 ztS*3X(4jV7`)m!pXNe{DW&An1Q;10nKfgn{_(p%h++QoX^~tv|{uV~7wOJycy_n;; z4nejAbX87Z4ad``zw&fo9;ZQQzinZaJ`3cdEXPT*i3O&ic`AG-U!D71X~`0BFe!S1 z!sGJ@x697KmIiO4DUFaieBu6HVN%SvP`uN7!H-u!IF_wez&hR6|fI ze?v7~x6EF03^RF#o&Xnb%w%}jJ*%i;o%_L5qWV9d{78fUVeR}=je_R>>CYS0CNA5%X=EzX9An{7*WM2S(IY}C(WrzAPG@M%^lGl! z?*MJJR=ZqK;;Z~TZL$s&bG}W_qX*D|)TS6@>lq3&q3 zPd_!Z{R7G+qQ!M{Sz|_~{QyM*GS7eK07I3m^zs6e$p^!CectMdIXFXd&SGua~0Q?SXDeQK1)Z)mG=4Pe|QAAEZBV1 zNbSyMOk5~_$uA}UTAJzqxrpMcW2iq@*_Wjy>W@9z2A&9g705W|+Mp4TfjbFboYM2m;ogsX zDI1Kbz?sN;=)vW3ci%(7L!59Wm3zE>!QP)S?)_f8YYkTXE@&LZjfm{v^klrUtK0_(})ow?B0;o?seA=|Oo zVx?ryabT)WjG>I|qnGw=!#uCnFN3VBou)bvh3R9D~fV<(v2O>`28+`WBYaWY%VTdbiVORfAFo z;iEVZ{O9Ss(!uAh&-~ZaMKWdq$48v`D%b^Y2_%0d$$VvMlfzmXybkZ&$LWgTC^&oF zR~hD`j@x>3vfes=5hUTPZLkdEGvmNmA_+N0+#z@V+iuu;d%9BRXSA@m(?|Q=olpt3 z-U(PNycv`-Lip;U?Z|*t{}47fZ{AeS(z|`gL0UX|=FYaNtLJS(__4#mam&Ej_;Da` z;HBb$N!?}6)5P!>^g(WXcHETU!}VY=pbOu+qLVDd0PSo2Ba1Y)c7I*BAbi~SyE_)O z^gQrTjg^Qp0yPgqi?ne=lu|U|1J}`(-nYB4^xFLEbjA0a~I>t@)sb{sJ{r>dXI zC!QE>s8B@Uwc&*^L?8-9=)LqVQy~bt9)XSNfL> zhpqFyf+SS%%`4xYekw~uYS*dA7}%dh{l6ru0G6Y5@Q}||?Bf8HA$W)%JoNl#4^m-< z0?9vx2+?DB{2;xE<=e^m`OmLEe)k=iig17`8Sa8|Corr`FzT?y*<|CUQhrc|^>8`x zy1?o7^t?e93sW5RMVsD>E1Zu&stqgjtCKfaV@N(1)!T_aX?%1m_LV54jRWJpmv1L# zD^@fD=t~;%goO1}RlJim5d8E3tCIWQguS<;_FdBJepgN2DHOKc{0t6t?`8ejvxrMx z?S}^UqJ7{^6HlY$qOLJCF81S~1+Ke{K9tVicsR@$b+cIUy}dlOJ>bVs3pd`_d8DLl zBOJ!7d<9)*b`0P zRO-zNr;~p6$D|Ea@*6sV0bQaaVdS2b}T_(~Gd$yU93WLaAH)Ll@22 z7y3lxlBt=458v>8MJu~M!iQcfJf0%N zDp=0gw4=w`gm^bn7@J?B3SC>sukb%C=D=XE_Gafk@#2^I>!135?Ze7LyUarCVmVagTx zt;a1w_|vR80f4oe&E|Kq36zOe8fV`S(y7G=I2>g`X-vW@DhF5;ojL9s-}`nZ+UIK1 z5X4=ZiQWAOSB9~!);xM2V=M`FI8bDwAOlW=f7V@0P^<|?|K@E&o~=7u5o}REMqO<7 zL(xKDT_J#V8=*srsF|RC_)cm z86n|>yzwT9I`M6CkOi*|9 zG&VlnTT$u%%#O{h-fTb!=&1CbDKt;VMqHIJ-(qi10t3Vr%gZvQRt1=l)2UZQ;D$8> zl)UF+fJ+X?0uU~TkD-*hWSh_aTa?aduB*q3KAU)?Wlb?mz})wkNJ+w5v@3(I3U2_s zD<~4UAxMNVJ0qClqgXAelL#f(9Ne$lbiGJBbra8Cw@A~UcRs)f;#-<>1jAyDlG1v# z&IE=1X&d2zm?8Lzh@WT8Ed?VOomhah4DcJ~4og094Lp>|x3k4(#!5MNUB}9@6A#zN zFr^piR%7V&+pso#&5TX(TV#u`(H@2@<^=0sVm*0S^p()U}O zJ_Goc_{WWJN$zNnUi9&q5o0Nhuy+%!)ibwUT71FgO$$wv?;EGvBESJBBMy2wmk;m< zk2|h_RB6Xb3j({sa*Q3lx%OEGd;_wt5XxuGHq;Z4Y>(Z}LaRhrbEWBOM1Ultg!pX~!WUdU*v+rl4q z=(pUSLlyR^IxP-M8cC--bBulkyQ|`zn~3(5J|@Fqrwz1m!C!LtDQSfnVMv;N^_J2Y z0&GjXXv3Kh!MOi=gGjJUbYTt%SY<;F@5PuH95BR~>^5*h+BC%(zx1CX<}YuGkPZzp zPKhE>YWa&0|I~T+kPO#1a$x%N$3&~?Q~Wtu_xy`&woc(Cxv?zkShy(J&`6ITa;y&e zU3yP~MYS%=!6lUfhP97)$@ctj^G;TVP_HB7-78HoAx&$vdp)txf{_LIF#N|AF#85t zSk2Wl3)>sFh5mED+TFe{gO#ewKr?Oq?p=ForNbG)?3NFHJ ztl{o{c<1nx)S0*4d^<|2{$-WFUZbfy@T9znP^}EE6P^Iz zA?>_-Z|3kjPrUOe`mHq8zQ){82N~>*FzOBxDR0*BJ72u>K>Do^G08WC;~Tj+n%Wmf z>WQmzXfjvA7hCFyBfN<{ya_Edbeufc9CBFbft`v+;-S3ZvGz71;bp1)yA1h?F&Qb z2Q*jnJ>q|^K4P5kuISz_`)bx461bxL!v4bx;R_kYAeC|$5JVB;O@TFQz8f9DD{1LK z*vI*xC}w3U#Fi!G=Donjd6JTI(_+-J^z_80zZw3Rt{C{2}PFzQxJ!a}nM1)(|k zpMTr>ePs+vJY|7@*F;e;bb^&{)>`cV>DG4zx)|M1L1XD|*@nQP18~_OU!d(S;Gr;c zu3-FjnZlAI>0k(`a&mL7y!1aE2eEy z@Fbh60fB%OCU$9V1gcRSiKIPGG(|?^U_>4_VO26sb3FAP5frpFJfQnqHg-$BKd#my zmatDAV$qVGM8rJM&eF|N6{@|hc^*m3P#ssa{ zNN^qS`KvYM3n=Gfs}O!XEO$)*9DPNW4w;#owt#0m3MXhf18{-q54QINV zeotMLFD$2h4d*53(r+{GrelMh@sQy4g&6VuN02wS(`}{?t{@#bO2gW3^(}6AM-$|E zM&UD>(UaJpF=9#}o;ShngFY6MW@F3!gUaXKajht+qYk~PK$R=mxP-gY+f^K){?^++i`~iAa=KvhUHNCFE<{dQ@S>0Op2N` zc@0VtRPs&zvHnzNju8rYgJ?qx(L!3e?pzhA&`KZm?c6`Px+kO=Ox&~_7rHmme=q;i z4;0p@hocHD#9oROYoXbZCCDNGX5-chGP;&=83bR z+rJ6Id2P71S%J1xf;xX4sh>G3pZ8BzvMhyR7qX8YP~Xd_})O--`ic zz#U#@l^qZ+ipdPN*^k&K*$eY`SUP&McDm*W;yz%ABnDxAz9&mJ(pYS_CEXbALdh%o za+Axt)L+GZoOkkP+x$W~pGFJS+_Vl*K({sNcoCDRM5en{gsN~L!DJuwp>Q_C^fCCt zl@fo?b^*1g!1zWO*K5E{;TY!`ZIIhxfu?~rT0{#Y*yK}wSbHyJ)Bp?6Z{5WEyG?@K zcLgVR&a;^M)B>(~4&1{&tp>Z(+51PF|kR3dYt@ z@ztA>aZlx>)FySh zmVEEcX=S5NgBA;tUFGqeE*K}avy>lBGEbuC!Ewkp6)9+XA*-m(2`Z!JrOH;exUed* zYVnOSzZbloS(RwzoH1C4*;4mdlQZ3~s!RFdPtT6(O`TL770!C#qrRRP6b|YN5hG~t z)*m>NTxj(H_*L%%FT_!3&fL5La)(Y>pc$5aOmqO^6lgPKw|1wM}MHsFuCfvzg z?K*Ep=wEQy>X!LP3$nR_PTJ^~#=&RaL3uxGf zcuTo%hdl#QBnUYY_xc4C#8~DeTUHnMN&-F_PGz1m?FTW=W|gKZJ5d>X}cFs4z{yt5Cv)AUBs&Np_w zp_!&mm4(H2z1Z9R@Rv_gqUsr)MF)B!nF;SbvQ#a7e4=Si9W)wIC~NWRHsa)5BB z)bL8)PMt%M_oDdDpzp7v2|tD^SzPCd#;}=%o0L1{0M%DE9)e2lwSHCdnX43+oSGbW z!=)S{;FUm2b_S#)!VBLV-L7oe65>CP^3Br6A#W1GKcHm)SjOyBAi({UQQxdrbnGr% zn{m*RO95^2(mKklzubSQ0gSrY9d#F&Pz=bl#`FY;>K{?uy09r{fl_bU)QdOtR`Q0c zd?=pY4fq?GFa@?kd>`8YM!imjXxdpmyDI)?%A?5}`drvi?x&khM*3o_N4on$)ne}R zzy^^vvAbT@HW!L2OEG_K;q*rW2Qy z08LWf8>}e(&xg_SX(?BD-xO~v`efe`4k`UF{D2}b76H}Fz5(Io-Nx^M;ab!b5w~zm z7H}JrdTUVC2Th(lt^fRb)SP)3^SfB(Su-)G^tkrr=_bKDHa#p7JaIC8|I`3!SRe8} z+;5zJ(cQK5@cOrSYc#FQDcOG-eOO-Axt*VfPt3$#3i=Q=T9}$_$%47E;Bw!&JEY#g z%duvgnCwoK46ct%%PFv2MC%jnrJUH==7^T3nalwHs||=xJU>pFX&*>J^`8-{1l8C^ zss%q8wApGW#<*`stuQt)lBr&%kQHU-Tl;vR_pMk|&`r`iSQxdsP`;_=CAQAA^%9S${bVLUuibymKx!MPx|XPB&iAIC`#4*k`&*3beL*=CA;^GmQv4~I6PNANmlU_$ERwJK=|HSoC3)t(jdpP z#r($JC7cYQVjkq&&>ImI>_18|eMyukNVM_q%R*3~&^+bqBcQ_wPUg4b`b1-#yKdoI3YJM1_d^hMCcb^a@8CxWY?|s$DOp zBP($6ARx0;e~(P|+8e&A(6;}kflp_}pTDW}@iy1#402O4mWjD)U9tE(a0&FUVxxW&*InxTc$y}5 zjL|ruTE^J7!s%r)aFdxC%^6POn;VTjTqi*l#WSXwnWq`je6YMne+b(r;RIak>OTc=bFVuhAMDfLCzS~F^r{_}!q^V+XeJsd#H+_#c`f-tDjq3Z z9L1$Y*f({&g~wfAM;2AajeT%1im1wo_HL_Y8*^Il*`{jQcvQ>!e>_+NX5K&jBT|gc z(s6tGQ2CpDlc$8#YWqEkGJa2>7Zrw%ijh4hFO_U5Uj2v9Y93rQ>}N+Oh}xAjQmHA< z{Wu&|5a>G`R+{9lDgH2=10wk)pY6OimJ8Z@Wd!2o5`HZzl@tMkDdPn8a^gFuEMfh+ zO26+U0yG$3QSAypn0WEVlNa-bM_r++7UbJW?KU2@2pm}`eK75sbjM`{}anu((T>ZY>!izdqv`mp|r+f zmD=Us0GA6uYnSw}AU~u8tj}y#qs5MtqrziH>=?JG`vw;iVINu%@;jHj$99uJZIc{c z4b-YiOI0?fMv!jK83jZ@mUKCmxUCGBIk;pRHWa*fJkz} zJ+0ES{n-3*%puK5&uoO_-D(4OzrIS*jzBZ~B>aNf6dl*TVsX%^dL)v1z=(Z^VWgG` zr{W!`m?`-lza%L(@<5W|S8m^|LN$?=ROP+b9>kDS5=)})UbK0IO2<|%3FS7 zClpReF@MjYH>Y2LyDSaQ6U3%69U=>9oG!p%mz;>VRI0CfC1kj;k_LxMPlqJiErym; zy{-7)REx7&skvqTtZCLqoB7fbU}4gqk-Mj! zxZI@F8DG$|)wj!*Ys~TG23x*Bp#)7z4~!v#a~ZIy_$i9A&a@Z9fQXOKn+l!O>YfBI zJbm!sqk=I*tRb(h16tM3u-!}wGMw(s@T58iIJ4jSlapTDHtVHQ6>f}6g#R+Hj>ccfl%5f L(YL!ZUtj+Vsja$b diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index c2560bd..a6d2334 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567402899152 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567402899168 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 01:41:37 2019 " "Processing started: Mon Sep 02 01:41:37 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567402899168 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567402899168 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567402899168 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567402899324 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567402901637 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567402901699 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567402901715 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567402901871 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567402902059 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567402902059 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902074 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902074 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902074 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567402902090 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567402902309 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -1763.000 C7M " " -47.000 -1763.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402902356 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567402902371 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567402902402 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -432.000 C7M " " -4.500 -432.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567402902465 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567402902637 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567402902715 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567402902715 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "263 " "Peak virtual memory: 263 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567402902934 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 01:41:42 2019 " "Processing ended: Mon Sep 02 01:41:42 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567402902934 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567402902934 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567402902934 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567402902934 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567472162325 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567472162341 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Sep 02 20:55:59 2019 " "Processing started: Mon Sep 02 20:55:59 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567472162341 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567472162341 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567472162341 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567472162450 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567472164700 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567472164731 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567472164731 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567472164872 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567472165013 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567472165013 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165028 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165028 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165028 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567472165044 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567472165185 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -1816.000 C7M " " -47.000 -1816.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567472165200 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567472165247 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567472165263 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567472165278 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -432.000 C7M " " -4.500 -432.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567472165294 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567472165435 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567472165497 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567472165497 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "263 " "Peak virtual memory: 263 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567472165685 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Sep 02 20:56:05 2019 " "Processing ended: Mon Sep 02 20:56:05 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567472165685 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567472165685 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567472165685 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567472165685 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index a52ae2a4adb4cb63c0aff187ba1a9b4261d0cb19..1dc84d58d011ef2739bab7a465a11bfed663f9ee 100755 GIT binary patch literal 11160 zcmb_?1y>wf(>3ny?(Po39fF47?oM#m!Ciy91qkl$8eD?AI|O(6Cdqy7eSg5WX01A> zc2!r`Io*5r^sGSz0RgFi2L)Ou;71fVs+l@ES=!l>u(C6;FtL(|x>(wnkg&0{k+5(v zv$8R>v9Pj}D4ROES{j>@d@?k)CSfH}mLX9$H6oEPv2+F=xv>1Z90&+K-JbzO3GDBf zO8<9s2R+q&cOn>8O%VkP_eXJj1cUV-_NPBEc%;yDyMv^25gZ=*pkXd`wMNMrYTM42 zuy5N?w!(ZzD=3EfQCgKGNF}~XxHskEmG4DJr4*nq3bq9h?$qh`T6^8UJ;_>HT05#S z)m2QYzxLGg)4M;p*So*Dks~G`An-d)1D6iw+Fb2i+2a_=NrStQk{a z{-`**KPmX;G_oo+P4oQp%dT*129W{{2sd z=S67VKwFMON+ompgDXByCHA9lD`zDeNA;f61#0GeU&OToUBR91^Nk(aa9y7GK5`$s zG(K@}+oBmJ7!Lic--w*3(Cs8R8(8zj$Kf2TLD(%EL2bG(n8@woK9th7q(73-UYlUj z@t>`Lfe_cuvaMYc@gZ(w{=%&lNR`d~^&~gkqH1O7e6X$b1MjC~XSb=(n6n1d8iO^> z3nv^i%{d$eX2qBS~;hJg%kSdsUKJrT=X$twLove zl2wehp>wCBLv1;%sv!Z!Hz_EUl^roFDrQaknJ-t%U`5_VS5cI3+qYgZIwznN6lZSr z1Y~m^gh3pCfK|Jp^{9TrYua{|$Yvn=Ef>DEy;1SDTM`;0$$9J$%mv;R1v!^u?MAHR zxqjhS(E`+~LX#U^1XEK24utDh#%h#;9b+}jy3+3fwur4G`{^Hr6tp{(hZ5=e5d^PX zdFY*|ER3t$@ZIh)n;!3tFIEnz43IN?>`xD-YPh||?!oPSu)MAI-@8#SCz(MvOGz~D zeudWUsTE(^C{m{Rp}x4H+b_PEiRnh|-)+0jEZYpVee8ePfcCBvf_pE?RrN(CMo~FU zy14I}0=pxo$UA1?s5~E>Y-4PPV{|ix9@GAgFb03S7^$`}(V>s~*-_x=TuP>D^JN6o zxtkBReZ`>(Hoim(6{gq3t+FYFW2ORwLE~Uqw$-vYoT^+{M5P$uSdS#w+ahlStBJtRAyT%RQT;i8P_0S&!V-pKDtUI)Rmhw(u*8IzHbgW*AA0 zmi=7h(iJ;lm;fB&BNF(zNb*nY{ms7ZS(#zNLv0Rl;>&|@sVG0?bLCJzWe7w=_aOGK zh249V`u(hc?7{0rGMn#Nan`M9zO*qTSTtHZ7recUd{Q9$gK!G zE0K;<-krN5D>(Xon!m_YLicnk0CKM}6a;a2STCRTxdX)Nmz0WwE8l`)YKn4tl7mcv z6JIcDoN6mGx>lB`PC^r6TFtH@!C2%Hw$ToXxs2`2z_$bw29XU=G{#lhES? z;(nMs*%v*)Fg+`eFPQFK;(#jZ_ND8*vPe=0!!2l>O}X{dV&LbK%hFkUq!79$yy@h@ zyQ@PX$RPyJLf&ph_!{5GLpya+q#p3d4=1j%kKxSUMp1r)|>nQ zzQ{n4xuFhv&!MZd2DeX4FRu_UxZ^$c#6vWzVnu7~MR@J7VvH~Z%4tUl-g_-~L!xd8@{ zJ*c7#Fk37)FnP)dJ7Ad5qC5y&EJ1xJNMbzYL`a6bcn5tJ2@9{mvOEKKS`Q7jVcggQ zy7$GKrSEOFC~U`_UQRVH6Zpk&wHe3l8J04msR18VxoQ1Ig7)$IcrJ0_1jVPWMPk1A zIxcb@q4o!%i;9X@%QDi?N==rq>%5JdekFaCwfA{$j^0pSFGqm zAWK7wK#D+CS2Bnv{q5CYk$`}-ghWHc9-=)Msm6dGGs>k;;2FI;x$d0B&Fn`jcxaMn zhF3F~<8_D@=!bQkDah?=d%AB6Z08O24iR%Q9E^^$9 z>{|u)7e!56BzSNdUUUCzZIYI1%K?IkA;8ZuFRw8<$BedhPozM|P_{!= za}i__WLIpAQ_@AT>d-CBmLBE+eTXg?U&9rE57BmDWAuvi&cW(JPlnNhAlkaliJS3d z1;yp|bYj)@7QVvq7tywgvuk_QVlaEy*b2;NejNn7nVPLBO)!m$5B880oh^~F9v8RU zDEuL9Phtz+dBUW%t1Ul7^Te2Qe97aUAiWA|fE=d_&KN_%BV~Z1 zgrKybhe<|ZAk`4%l7ac(fl=Uh`ps!j!4SXWO*oyy?a&EhXy2~CG`we4CCP*lFfeOm zH@3$ogCrXv>3CV&sbI)CA>2mKereVih8$+O9hu+;v6OS9JPWqRUB78YKd1aM^9CbR zEpLCvf^83-WMHG*6;#}K$Hu%hE$Cv>t{?LC`pY6G&K<^=fd#bHPd9ptRv3*peP<2l zb9_~U(URsMe0^J7*@&xH$gcb8%GAw_-?!%4{2KXulAO-*Y~V{=8NZEIm(f&4Flvk*vj5_RNoF;a5-N+ZZfEnXT4gx>7W7B`G^{Q!hFo-<#qK z3BPc2WV}*4E@NL1aOwBibu|;)H(55z5j!nZu?17H#3=EIZCZIaqmLzWfu2kG5OHBF z@bUHOoz)wjeOE5NAb);+7^Jd^w{T7MLU*tR-_Xz;%nCI$KHrCX__`a6EVs4Z!)-^T z-|6h&^!8ApEri@QfA)(qUPgoG%{KSeb&%Io>Dy{p74@cUTl_?mvyQ&^<^$udUD3i% zvs%~;bow}UI(VDTb;WzFXU!|kq^LGfyGDaZWAKLRTvioNJLS`cTd&NuB-F4MB?y zHnM_{hyLeTBcWQ` z6GbPmHagaKA40FTLlAY73dh0pGuasMr${;Mccx6Eo(`qB$Z_G9eKf5fEPrw(=B~2A ztzeX+aQP(5m)-j(Lut%(H{bhP;C7u^a+)alw+LKvtvw_h>k%juDxOi2R9II+@9y;QrX_K z1J#;+yhZYoy!D1cKXU&cp$m~jHU%G6}F*I z@`oq(u^Kz)Q$0szMNU6`PAtdCXOdaQBYxfE5q4+NpX+($6hxxm?@K(k*B6U$msZrc ze&&`ZTq!G~g2eq`RzVK=U21{w#u%f}aw@JMUrs6_HnC7yM7F7#K9Gr5OSQn8BkEAl zs>c=jE=W*m;xeu$timgp=6uU_rmcC6V=He$t;S&*qtcly*bj|OY>sR0%V1G$Zafx% zc^?Z?Bl^ITdeESUa0~TY$&V4Jn)V9!DckPX$YnZ$Wwqj4TFZu#n?73v*QZ3b{Vmxk z6vd9UL<_`Ff6x9s4hV?snp8m;=~(BU2`|)}U=4%v@4?m#w^|2}Uk`^J>R>%T^(ivC znRsP^JJ&1NuumA{A)$$wv_u;f?_toDm0OCJoVn{LK9^To+Hy>(Wi~keI(|qZvemV5 z-}%;uU2|lIIkde^Pbw1_92g%&LzwRzHe*|bm+w3uz3(dD=l{SIGeaD@{xL@4V3rZ- zw$D>*e~%||o%QvO<}4`Qd16W^s!*|eF@HV&rmp}hsndd0hg#WKh`I7qP6tHXnYA9y;26n@bzSG&sOSJvy zo1~B_t0aUx_O(96BFbP*?bWq{dq8wR$Gm`rNEqC^@Blc?IsV8ihCGHvZLVr$|H z0YMW^VpBU#a}0PxO*xUaz`8BPn&6_aA8~y^4j)NH37uUpI#cUg9aX`6unVcatPnR` zS$nF7)BRWG4h`;L7E&=m1+_`EJ!3^S$Fx%7Z)Rl>`wptSZjDpWIf5fI(dzpsoM@L2Ej%T`CGqG-`f5r$J~QyNR)-BDKY0y&M0OlB zb@(h)=-D=V{h3ia?LbDIp*jwGgAc;foc=bF{*Ua0{!=`?ik; zEZ%yav9W&XXX^71exX=9p=Ds{K!E2h2)5YinEpE40F$z3_Nf`mO`s*4%>4$3pQ1w+GT*&%h-OtR|*H^4~vsbe3hs9!9W>>qbnc?N> z;J{v;tP?!4g>4WgJz#z_&$6ues{?t`Ts`3q84nK!RTKu*=C93HCkj|{S$TFse9Dx) zVakRg_4rbX14B|rXVm$lV~WJ01TK5TT7^ES)~QaU!0>(*Q2+Va0F!b5n-Hzg@+0-W zNlcfVwLXa#cJ`{Eu03{ZW?Hb5ducTqhMito3vUVq@je4BB%&$n{`8*OPE;InM6;}i?U%ORQ1B!$j``0pE9^!YpFHDk6n*>Ov z?roRX=`(%JMD51;YG_qv*b#DXH3}Ozct*?GQAw5t)`>j;^-fE zd<^_$FrzZySeTnL2K?bbLYpl}lI0h8ZFdZMNpqm-&u{b#pYYoO$L`aA4+leVoeOsUh=Y>^p?v^hcxD-b&M6-zs0jXt~AW6Kpe2 zca+DWTlhYyuTKw-ue}%3IfA}zzJ~k0_`9#Ruf6FiHEA2)^;2_3!8!3=dg?gN#9im|*Uy?|6w!a08{m)OkcdO~>!D4{tOVmEw`%YyY5 zQNg&wQ8nNHb%O0mi+<;J3*QFpb>%5|ORh=qt;pB#fklDXPq(WYF@f`19T-i3eIE4; zV$^ctc~1y;XF{jfkww|_HJh9(S<%s6q-HZTD+LpW`%^a~t@`||eChTn*oVJt>5E0( z#z;^aZW8axsd8Gi!mHI7g$8vm2&B?9o>1D{7=Lexanuf&@EkzEQ@j=MO4ah6%!xRlJJ*uUY&{txlNMLlTgJ6 z(tQNbT@_z@-vrR_o}PkD(aO>DK8UEOkWcQ5VUE8>bz_T57(P@>6K?>^3sy`I$=`Na1V(XZhMJDCLv|c|Ht(-)(yCoWR5*ORuS${Yz_C#N z+!g{~#K+w|!&umk{TP*r1RZBXOQJ~V=A+ErU*xEx%W)?!9?}%>Xrolj-{Hn#^Yih< zW*4j~OC)X3e1b}Zo*dq#e-@-x&SpNMb5=jT_mY))(a(+a2}&c)amg1}7a0awa`n>Kw3 ztN8b-bfWaz+Ph=t^Y{xFOdkYDj$7Y=&XAZ7ld???(g-Klb=-7ujVWVf0_KbeexxVH zLU8IgN=lh)&{&brFdx6x;c8?JTXD;*R+PIEcM@*fnr+7zot+O;Np>NLw7V*Mh*6ze zH;Jv{vpc-I^7VJnqTkQ0ke;WokG`mO#n?ZPm&1w7XMA8bDaB#l{{BLV>PiE(H-gVh zqmsmJIaWqzHIg zadbR&0>@)ecYQ*=%${E!i^#4@FRD(tfCT}2_Rcb_y@*Quji_1{vN;=MGfAoRHnzv0 zkM$3w6frF8SeHRhj5!qXBwo{zIt7T|;dJkw-~y&lhWTs{5kjk*>2yXTzMsp@P8|xA z1hvfyX(T7&9ML4D_2|r@TUh9u0VQPNUoy^g0r2?|6neEI$A2K&HfUoJN3Pxs3O(_m z{NHtF!_~uQ8N9?13Rj8+^tM&qvI5Rj!@YX$ zN-dZd^)Sx}C06(%T%PPF0E!6h5K04N&3>g`^l6qINl4S-H=KWGYWUo zyH1*sf7Y95mLRBIS7^@mG3UD`nzr~FIaVWhBjPkiK*@}PPH}5R=A~v)NeHklOTN0W z$psr!sp*`>#w9q3pD_6{e5TRt?B~zI6XNk=Sd;iQ12vctRzN&U!^@lM&$*PMswd5| zpO40Pf_fp8B)o9>xs~PFRcu!N*VdbsTUAX`!%ys5neab{#uzb}pRJ9RE7HiJsMghIJzbBC^4)&CYoNCAc@f5 z!1Pd%n#Gcb(_aL#k6a!&zT2Nxsmd{1U&R7#TN2$epD- z+m}1HddW+l4VT41s@?XAzpXNJ3AJV^*8A9isLBQ}#Y2Dbedb)uWo;AhR zJDAFv$JcXRb>G&-7On72?}?P9EJ7;fJH%pb0>DQHR*qBU6-d6YIXocTke%Xiq;dQ( zi5V`G*Zh!;lPR})leELlUoJ860nvPEQ`}`|E&dIa*E~v9eo;A}on_;GG>L*?5RLyM zqb5_MK4*)v8crxAj#m{^nj-xM@QJ|eG!l_NN0(>*OIYGdnj6oJrq}5%M?-^Sr^aM{ z)nNCK>lpFv=NdyB!(csjQLLvZL8`Busso)c`|Q!xV*0Faw!J1={5P0lp+X6aSac!& zqY)}S7!@$(@FJU3g} z)RnOs1ES4cE4>1KWBjT4a6O@d?hwRnE8YzC8WyZ!jD{$3Z5ah0c+hX>*Pc`Y4aN#% zr($UZf_xdqJlyYUrecGA5sYLHhpbMA(u=S&oMld@LcR1@9Q%1KGG}IoP|FtMeJ+OR z$6_=h373Wm-VCNtty$M2Hp5h-np}B|Fn3aTV>AYO58rI@*RJ6UdNAf-PN$Fq0%JX{ zSgYWDr5H&2_afX|rajeSFP(~*vNV$&lAmJY!i=MJpAK$(l+e(- z@y!%hT#X~THRxbhK=AFKUBDxif0Vr)1P3jC&JH=%~suhJviBgIV+NYz*Z}ptNz&OMB+g(A6sc zGfy$Fho&jS9o2D-TM$U77#H$|!5-FMoAZKDNwGav=nrxhdHhiQ2`v)&Oz$H#SFo8d zcN8qmO1lAGrmv_BwhI2m5RzGqIs8>D-va0@KshJH@DYUO^-ROs4iRi^@Yt{8Mutxj z>@v#)b7?c@K;%g)pe*351@l5P`>C6&`Jiz=TGQ;sk)Q3vA#NWijH2er8w)&q<3L@h z9xQ;mmQl>pOLw%ZCU7MHU&}Q7k*nSBx76=}F2*&0*6ejn;M!b}A-i(~b zfRN?fY~Ut#&$m+%ewR(H-|cu?Tao{ST2x3xM0Z;nyI%{CS$T_o*Ae|78H z)t(M*-V`R6j0mCpeJWr$>vVN0DB)Vh^;4A*xuVS^q`X!T9}0kURSvfNX zjZ#GM%PwFU8T+WOvQqPrcGO|q$f)}ct7;9EZa*dxbvK#XcSx~` z`^eu9S$;@*imuV?LZv&jiFTbfT7aLF*$aYp#Kw*QTpC_n`~PvK=1Eo3q*KxiAIA2k z0lU~mLE^1r2z};Eu}~C4EP(%<$^Yvt{Ocgk+-WI#??*Y`L7<~!?N_AC;YLn|q{J}Mv^8B<4(oWtx)bdm5|zb5j}REbGA*=n za#KmKw&DuI#0A_b>6I!l>DZJ!DIHB*!eAKBwhH0?HgMwOpaV5gWu9EnRo3* z?ThI`IfMfAptIUw|nXQAkVq6$pcWAH42`2CQGzwvv3Jw?j=LXgW2L?)wM{Z z^K77CNDCFq5Zki)!Ze=g;cntNwzuzQ`I{jt#!TE@vh3zWM7YFGEswhCAFeNcJf)6~ z|C$*~3zga*(y{eTF`MA$uNB&Nyl&%gg5xJlW6lZ%Ktr<|-YJQp?2q}Ep(XXrh)Z2B zit-cZKGQl5)vD3`CVr*nUukHu{3`&vwSOhz>+?TCP^kk7`x+OYGeK* z1xeVFN((_3tCvZ0m8Y#t<^5Epe>J6xNSbnv_wvLaZKVoY#-@virsmZ%xBu?MKj>^6 zT(^6KNV<_;;1g89<&*CjE?R8F34H97&sMzKV=sB^Rj`!aE>H@FfKnjq!apQNk-MgC z2xzq2PlS>NU}p1J3D=_P6Omn(dWH3u0jVBKqvoWEJe=wA1oTwM)`;c=#J5%NQGQDU zOe_K~gM48J+tSR#ScAeB%3aJ7F^!MXg`;CE!0Tcm+@{n!Bkl$0PT#5XCQPtSl_Z_{ zD{pZ`EgaM1-JgJ2sgBcNENd6yEq?_7v@ovfU(ya`eyeEv2d6kmZan`hCQxztolq1b ze@h{d1p6RLC;mMpj&Q^?UL4I|Wnl%FO#JI8#qynwBXXF;Q?@RR9)dlPwJ`)bRbh8y z2ODsE;p&1YV(BDzoO%Lj44Kl?vH-ssdAc;NCkaf@bi>W`>Hz8dF~4?ddV|96namkW zDKOYcEo_ouHX%9&*90q;dYEHqNJb+nTFNIg=tNh32=>31Q%NVF)K^{tGVs12)I;UWEjQ(_Qom%}%<Jq0GeK7g z_=k?D3Eo5G6pt}dK)y1^QHlsm-k;|F(j%@dNr3sa&s+ecqRBs0d}jPh#i*8lsCfDh zSI7QI$Y2I0_`q~|9w>omn!=7vMc_TAuEz8eKs+EM8C(PDNY0dzB=TT`z_aqo-@UZH z4?5w>glyl)3?!)T5Rjm>Nt-IWW_|RH&~xNQXiV=CJ5;Fe^oXk8x(UeZhp(VF2eMF8IrO-~ ze%Zf)1Rx*ibe=$=f9$*Aol z(v5lM6WG@zl+n~D+(xA(8-(AcuL4-+Es(k1%=GvtlfL`iOB6~_AxP&=jZ0*)#}bp? z&S4`XA#n_jmQB1PgMhrhyMu0<8<;ltb$8p}UIfdd32R}51?psOi)H$3ixFgb>+HN{ zwd-Vw0eTS-tc1sgKY>u(n`ezdg~NcdmO7g2W5+=Ra-y)a*@Fzzh>e=W!EmDl&O)_7 zJwS^fn1I36u;_p!xU%}EM+s}8mD2FcE-bLppba2Kog#1pW<*1*$Ao1ha%FUVvUGHH zbaZo>On0G1bzUes76t9zYbk+|`NI_0@&K$jyGRv)Hr3fXsdItv(9@ zo0883fIPzyfMol|bXKwuM)4aYSy5fji17ALsf2XTIRNGc&fOnmv~acImAe1{ literal 11151 zcmb_?Wl&sOur&_B-Q8US1PSg02<|etyUXD21ef3v971q+g1bAx-JLf{?z{KZ_xr1< z>eapW*}c2Z*=x_#)IkFS1FJv)2U#c3M+AgwCXP-PcDAH!9L%iDY@{MC7S_h3>>TW* ztXwQ?>@4i8Y#gM@CXTKaMkb`60Y+A&Y^2IEr0OPyq~gXF&Y+pl%fHisfsxby0Wd{~ zzZlE#7u~^+b>Ex_hg4HUAj19acP^pPA-+JWk9A#2*Pb3D%*;MeGVB>-5e?l@v4z^U z@+D9=EX|%AFBRV-s#4OGLXhpi4&sn{BdmAqDQuwRmOudCy$gbbkUk|}J38gAYB4kQ zaw{H4cKN6}HFo6j;;~w_?zK8S&EaEjZ-1_PpOKd4f%$4`YGww+1XdQb426bBbA@d% zp4dw6H9MHS8A+3P92?ydOL<@k>G^k@Y51jFK~H9XePxn*>+kAf@joX4D~TL( zT?zlXk2IxbI4t6M`)hu5gz@h7_UYhujI`PJL9Filya&N4@(Q6i-ziIYDmMR@o^REB zEUZP9*Kto9KoH+ldS;ov>3NOOl18(6H5#T(kA@G=#dFz$VF}+=JC!dorf=1ChTxd; zh4#n>$+q`}vYWCmrucv~a;!qPo$#dZMHmN{s=tPCr(h7x?Jj@KzM7j*OxuEHD6ax& zhf^tZs0Ia*SD`1kc$(WDyPEB)odqBmUmkLq5UZO%v$@;Woh1B7E8EO>90o5_jlsCB zk!jMVhL4iqI&=CXq($0|l!r`z37W1Yp|_Nh<@DwYvkA91i3F_WioA< z%0?kdGCl)dURiZm`bU&#&+zZqjCS#P@rYXDkzIv;bk=A5Z2lU=+Z$+}jV}o80?As+ za|FJzhRP_0-^Zr=qxPU+?7KPlDRRTsoWTODuHJI7r$IhsgcNU)vwt%*Us&kp1mGjv zj88h!odDPjCI`0k<;WIkv+o3F)M!_}DCeWEtk3xYa}OWAg+ABJ z_pHB(Ang0b1(o(pG&U1-m^Yl$*R3QGvD)Rbv$D(>VOLaUc}d_ZIet7ilN71ic*us7C;b$r&?_OR9x^%TLTcq5uUoP7)I{@N-MHo0yLBO>GyaSa1$+WboMYU znk$*zo5=69N#M=drnvEJ(`qXR8y-_@AyP40wQ4KiHoaNIltx16QZ!_h^{zR3s8Q99 zC!1g@4eJ4@P;3GT!q}xak~yYf4uS4`JZSzgZrhk)b&*(~$%?Xt(nxdaIl^JPka}0c z?l>kphUNkckN~h8!y6UNmGUc|0^G>fY{yr-p4y~%Pq&Pt@=X502eyq((7O`;-S$Hn z&17o<)*QG3rjwpFDU5O|Xy6N7wPwDkK<~>NRr0pFmWIHvzH)c#P{VEC{2!4I!#yfTR0Y=x}TaB#!s)(A#>hvUcR5Lzl_OLnejy%YZU_tOWCz+$> zXnYOU=!8RYwDV_zL(33#sV0PYV(O0$+=0MOTtQ3-oL<2plll;7vdF+wxxRZzy3;2z z={fG^+SXQZ6`*+@OobXumn3v9P6(a(P)R!snRo>_;s*&ni=Gl}ud(Q>BZfV0<3fWC z_$=WWnWqW>uOBnPz^{c>VU}E5a8gTASfo3G)PM^L0L$$=j;RJyf`PD~4i6Q75PS zg3tqqo&_H|nNUsxzaQ2-;zzaSv~XK%nqUiGLLQmTk5^>JI%5?_hfh55)M5L;xdfH# zbK0Dumr#s z1m*sR>x=FP7!Yz7RPZW_EC1*`r&GP$E4 zb-s3-S3RJE4N2E3HF6HdzI#!(-c zJ7&wH=)8BX5LxX?)1XMMD04QDAnp>{`<}9w>H&{Y-(iOajBmlS`i9T!sOz!w@l&WT zcic51-3I}r`@q~FR+pXb#Dn|$V1aw~9lcg2!N>XpXT$BlAZ2;Z6{wXBfi9nz%qOUP zWC@}u-nS7&`_K*KE}{Uw2_}r!kW|z}RKsp7X6g+hl4lE&=Drg;b2YjZ7Wly3>$Yuy=+b^!33IH9Fw@c9%IUk%F6q7J-c zRl34a5?`pNpC&be?vy=KAq$N64kaNAj>P&Gs(eeP?j{(n8OiG8crLvHtIUb+jF_qv z=Dg;^o2R=!>N3QO0kXn2qURZE4|z}Gs=Kk-jZ|*UeWZo;LeO6x9Z;=LM&j&@Y274x zxv`i}x+*7*$lJe_Ps+f1@z_bm-spM5<4#PK8oUo2=GGp$6RrChlY!NtPfubQ&X-Y1 zQ|(Z(v`6?el7Q=4JmEcpw}VWLwNU6pceH2<+hIP*L6kA0`CaAQ`E-#kt^YDR{W4}b zDwhvehT18l4Sr6(zrz`1zR7ca@m!oL^zLo%vhy$5Jg=HhF8n|Egm`c2+LddboO^gX zAPR|>L*Hkm;G}TIL{~g>>Em=0@B|8OY7hV9H}KuP1225?lqMd%5VXYhoPU(qlG~;T zp@(1f#5Th5-JhsFLwC@@IzCiUtz*)3uv$4}z*S2Duz1!qwQfSs$Vr^H7w#w6KY1vY zH(}qx8J4*H{$)dYx%tCpVX}ds%l13!M~6tS1#^L=qfLp+B{Oo^{^Z)p6}1k@7wT)5 zM#*wVxBZPB)!C+@_M5sLwy9)RxyZ9SI-<@@hEqMSj>1TcyCm_4+PXaMI*hJ!9lgYZIqu}kOu#Df z@jIXH(TnQtupeH*v}5*s2f8b^>F1x#$`%^U;nh9kI|bjR=KtxvLml+mi7_nD0DlF!EK*J*`9x;XYy z37@marIplC6BXLPi#(w4yVf8%=8w!_>4h+o6$cnsT7A@*Xio9nMvMoi1r0tRU*Tp$*>;rjDTiBtG03N0* zEkc>I5};S2YqwOh@ji*f6pexP`PJLt;@9*yz$G4IAWo zV|Xv?{%lw=ull90D(VVu4z;o|P<`d8Tn&Y{l&%aST$!AKnnx$b=ZG6+oB2qx zUPIPMd_*oOWV@O98B|zGPM7C8s-vboX;;F5U%+XKjvvfhQu*0Fs{Z=%&77d={!_Q6 z%K`1@J8h$e^M$A`%u^-hPP?$O5Vk#mAlGP=*y7qH((Mk>y!X!5kCq#fsbFLtEZ`7SB^i)7;Qq$Q!-MIMiLNn_Y_ zXI`QOH@bdk;AJlQw|?B_rTf{&Y?Y`u)bOC^i^SZDX%mmt!l6jC4h_Aid+{>d&JVV1 zv{fy*jWLivY8Z*NKQ7u(y?`%t8j#clWb=_$gwfk|V=y}659^N9 zHa!ic=#`g=l*9o9>Q%$yeV?6GBrL$T-g`6&33Q+ZnFD8`KlN@Zy<#3c(K~ulP%qM z-#@qy7JCmzUXnoi%@ZEs6H8CPm^sF&yzna0Dwfede>5 z&d0?YC#wXBdMpFT>REU0ljGqX?ym8rCbO}T@o~FBOr3=iTs$PNq^p$qF-FF(tBsA` zt`@eN!b8#3me3RU{O?bU+3~oQH04^@m;(Yu4ZEet&_A)GNd^#9Tk9(Hn74d29fCyt z#aG*)9tL+|%-v30T)owvPalq2E4Z824n9@cX=r%w4~dhmFaKy!#Uxe3({Cy00Z4V$ zmVr(MH<5uU_}p0Vxf8y8K05hMn-WvKv?6S_f07g0KUiDYD*8%nrprWU7NNu5K$FViU$QDK0mTrUY$DkeSDq2Rh$Vv*F^BC3+^Y%Qh2yJYHUhb#rQ}o@oVyUc^f@k`MQxuZgmtwan3~_XH6N)@gtwFrGS>#vk^OzCaJ#$<-^AF z^HI$~pIbKNdiir2?@3Y$S`H#KgEL0}zF32Ca5bWkE%VwBRS^Po)g_%M+b$l$?sL~| z94e|%2fS7zay9g-U7XTSuiC4rW(s;p%{aQGseQ1@fegztY4ARz@ zSN2x+c=H4j>R%U9`;@-;OA}jHG!=4Kv(b9nZjOke?mAPTBbAtF@;kwo%gBCUVkh*5 z{Zd4)W=oBZ`C@GA9}K7U1z-+!C18%d;0S@{MtSM3Mb9u6s6&|B&?la3ktm7j8s5al zIKq^LeXJy&8j!6OD3zO+K#N^T{H3tB$dgbFOBOz*PJiDy!NxsHN=3YHabCFD68Z#F zy`hH*r43TVYFTpE!;EY^_;k%4tbdxMBx--GIAw!`@R4V%Gh^!m!*y^VQ4)!2U7b7j zcUgv!a5v$A@SHW4y23KxCn@#4$&%Lk`@T?>hZ;s6fF@M4pGM&W)OEZ)a%k98TNuVU z^@$;3LnvSEN2rv29+n7Ir}Q?mBiTzxsYZ{!}r%?niW}I8EQG`e*K(>{hMmoaN z^lq@v2b^1)QG}i(!pnQ5tM1D9^0ajuJq-q&+W`;@>wbCfc-4Ilp2iO>_5j!AIksP<)xb8p8Dyt&^G#Z(chpkb!W-S=Fx-;A)7QBRo^rKfc4M>~tnqda?hQHmCCN zZSoV0;`dP?lihbWZk8xWHejPDv4ja?p6Tc-XBFO<2^V7&)PBcb#ywfs7Z#SJv1jN0&o$HdqkiIwjiz59#%3QB#B*-r5erUB zQv}B!Uh9iGfo4RL9m;aft59%-^NY-oykvS79E6szM3)qCZvBMy@a8}nl9*rxmH2_& zG4cJM=NEHB_=fcR*+qHPyjki+8v?S;>rygs`p4<+9RhNB0!zO2dkx~6wNHAFy3Yn> zQ{hweU}Zor7ycQNxMjZm0J%`9C{=5z)OM*{T#RLyQ>Ei2=j! zX!0xbRqCjIZPzhwtJ4GuDX_%ikr2p3N$|T_TsKAmSpL>_ZEZ8){oL?j*>sc9z`W{? z;^(^hR-zk$aoL88F^IXny~xe@xs(y|kHt)gzH}s|-sqKs`9&XmXcd`GF(^kfF}n{x zskJC>+5Y1-0W#YP!}-PE8u=!#rBZx8lcGQp_E%Xx%1iddFyq>cXg;@A!QAm@9D@3rb( zwH8(g5AnM+j)k~^vVn0Fi{3k0A_61ZTC(UJFYKpfTuZnfSna)$%Imn9ElL-B{&f z9gn4Oa9dOtO1XC1KBW|KH7kX-9AwT%;~wmqEGwH8I;S#pY)#!_oj6y+_tC;L7BqUQ zy-cQl1Y$(e*2~ssFpa`9@x2Vbnm&KL#Pz@$QYWtN3`)Jo!Mxu^DAVX!PuEeNu4W|n z*3Z{b0z>BO3YOJrfcT5fS?)fX(+RfOx9){H(=NOb^=?mfZcmKl8Xj4%bal!^CqAvl zgNBGihG?aOf*w#|F7VdMG91B%N)gF(v6F z-7#BDSszyPq*D)BkCbnLm?zKYkdYXC*MS8FuFkixLGAbs_@dHby zA*QwoZKLB*c56xLZ_e?F#V}flm zOz>w}!+2c`eQlcO7k(}uM0ylP{VA0wTq9xv{=Mn;9E;)f z=PWOz6-%C!@*zPRwl>Df`9}TvRK2+!l0SC+$8SITk0nV@)x%!yZ(Mgu)0;b~dl(XY zX7y>Kemm{CuBCoE{$o-9SmZwz@sEWES#RW3-4`5`40l?SC}YI#Lqeu0Dh3j6kH!aw zp>D%r$bK6WS>um$R+o0-q96h3*q=ODja$|mVq2MC@zzZ@##6GZO`TBDY77M0T zSYreGAc6BCl6?B;oJVPMAzSo!k@_Hu-9gZO7KtlojH(8ySy0w?Ux))l>-Xb^Ls%i# ztra;I5B!m68qZ8*=rl;tyH+ZmSdXmOx1^=yjrOc##Tukb@Kt^p@<$1}ex6>aoXwQL z@kmag5p1v5CTU`QB-mpVDL^py1PboM=jIhYiBl}Ux>x1|>vL~1rUVqwS4(A)5C7^~ zI5P^LW*&}MSU-`rA0#p(j$LhjJ->h5Q19mSePp%ogz*&V7hdWwTqeKV6I|FGrqyHC z??d_~ zk@l&2D**M+Qd#Hm1mDbMI;<40HQB zjTs(4;T4>}8Kb$E0vS^cS^Go{Bc7x8hK^q+LcM?*PQCQl0RyYp{PzeKU6|s6Ui*QP z=QS;(10s>Z*nE_tr4&{X?o|}A6S40;1_7-@%(8~Kx{0n^Rm4;A{fY-Hiip8U7E5sH zT^pkLmpn>%4PS6s5_k=1)uOz*tNuc>s-fUZ>&ZspHDv0~mKQX{+IA_G zEH`{6pGGUwz-3soF%kzpaHZzq`Umr%oD?NX6iPad^&ADPqshWKG?DAsx_nJ}?8Rlf z#ox9#aqtXR9zEKa>N^nk{6-lQsAeGT;@mW^9$D&9AyB;8hOMxiDtnlgJ%4Moxa0YD zW6W?svznZK(N(@z*{)D=&4~zRbdXTry&gn z-(%^BB9wR#FB#lVx-yHdh+Em`Pp77>E7h@U_YfSFqL{;*Aqgo zJ|2URP$H1g+>v_vAQtGZvc3{vx*rTx{%&0ccM|DHhck+00~39v)A(1&1cW?U7t$xL zQ=(MH6+U~5dEF8ZvaX7Vsj(J6Whr~e6xVg=OpySB#yZHqa|(MN|4h;0t^Q3&fEke} z@zk+^fm$QoE{y2%0WXSUg;4K}h}iT2FOJ)Qv87cj*RlCuv%`|(g)D*v`68l?g}xF! z|GB&b_ZSCWLkd^X@VYg==cNhygy9RirYx?1RO@WdiwGXVJBpl|i6qEZh|gpIDE3Qo z$A`EMKNTrl@aSD_fqj-7P!XM5g+BouP*VcJT2h_I%E-?M8o@yhopcibp;=oE_`ksd zCxkrsILn8*X?uc>^AIep38^*l1~9DE=0=j)B3f(w6Vn+aA@O3{o`pJsWX9F~0c+e0 z*PeuEuMn!ETSRT%^9BZ+Xa5EPH@3G0*!#3VZziw7ZTIzg-fDZ2=s@DM0IwU+ z6dH>dSY3}-e>o9cog+w+2{2}HW#=B&Q5=&V2Y~*nT+kJ4Q1s_D$(Non882B_VzuOK zhbxXYytoXg7Yvun`1vEmh`3rZ=9n{*Honz3EnVKICTrp+y|+k4@+QWE{3k%@1w&9v z#5=mW7dj%MQ3wMcw>kJZH~k8bGYfJQemgU81pQT83w2Kl zR|D*O?y>OY2`VuAt2jL)6u0N;0HcZ#RoyZ(tUzdGKS(dcQS!LDkkvaACbqoZ>aWQX>jdu3XWr81JL7l^1z{DKjdL@sXI&3Ykn zP9l}9l}9EEGgZx(&6O#DY5VDJGv0Me4 zz5x6<(R+L3A9OMbY16qxELCsinRC#P{~XLyD4F4|qOY6OI zWrgyr|0e$b8o(k=YkZ_5D`Q0aepJG%#!68!{T>29Y9sVRCS?*v(y`6mRmKD;~qr0egP-lzKxdPJwQSE z5F;sdNyHKtlcTrm)r_(@kAVFv?A#TIqZ_P1ef0CJSua@O_8;s&{u?Ks{6*8#zsPm= z8#}AkJye9|4nEp{Q+*AoSadRgzS$TP_5<~W{^5|*S`7yDn2Vw0-2_nzr`tv$Gf#Dh zH=Ok^!HQdAU_Y!XTXuyHjw(OR-Wn{!I^iehoey574v*PQk7$NS77ggQ0y9j<*!gQe z?$%x{zXxe0{(>zfYrQD#J7R2kY}4^G*J!4RyD@OYWUs+um$8T9$%vV+Lyn`^pN8V9 z@Cx;O!+?53z!I)Ilgi#D&ru`Y+=5s;Y!A{{Q2cb?l4|~j-P%!3UGEPn|AU(Up#DE- z0zwxIf+uhr5gd-A>Bi%BkR%cz=k##Jbuqe~2KGv`j+z||bZ!eR%g;19jK=8~A&A1lO*`d4}Jr9XrhfVfiw&nSPaD zRjmtOOC)n=zL~|9M$y3j9aLC3Xd8uVZgb`Og}>rqQwN~;`bXyqVt===C2UpFIh3lj zzMK`NJi7^%LOw!?_nsjkZz@C)n;f_ZgtKmx9`JKDVi+v74V4`=t6zX0EUgUjzqkG* zRr|kcrKQ3v932w^?FJR01l6Tu#9lKl1!&5&|47}xWGoULg`Gw!EdugQg+pCeZuwapATrGh~yFzn1@B`5pW#KkNVG=l!qz zj{eHe439XC#A)%tCY@*aeDL+JqAfOt2ED_usfp|DfL6=zYv2{Frcc#4s7O8D*AsiI zV7&H_;d{skIa=8H?M$zM4Sj0^Pf+)Qq-?l_kpiB;q7rAfS2?!aNsq$VFhPiUeo*QN z3)&yAdpDVFgOMk=O)cg-Cl~fQl7afN$W8XhaXz7_;nlw}!J^f4IG%x-BWR}N_v$ST z{^&#ny+8g1L`Z^&XE<w?De+@@2xrFJePT zIc>o(3Hc?u2h(7mT`c3%Jnhc)DX%)XluCTw_YvrjTmtu zFVy!6=JSUy3}}qL&WikewDcd4Vl!+Ne(pIk(Apmjdl@W)g;ff9cuPu&=VAv4 zK?K_}|I9RbhNG)$_qMkuWz*AkdG!Uoule@o4!&h(VA9yr*=c`s9xR70q=gw4sFS%R zn(4D8N|@!Xv;C6Qs*@$^*Nup1DKs+l8I1DIENcWN91fhV)X_{ICk`r*6P1JA9&CtK zbl5l!jvF;_2Brz-9#$C97y`bARR=7=mCZjrN=OU6l=j2S{5%^idLL5MF(S9$lt_rx zh>(nUj*PBPmX5BDj&2UK$qvl0&NF3u972f*d@1}^+6L;tJ(xm=yE=)wzPex-h3Oy% zt34YW*sKk(`XmHmLN3P->?<&)y^@tEir*l~lKN^&n74OAC8TrK!Ed(j)csyY z3wM(#l_7xtWo1*w1JB*BeOras9R(Hg-1K*6)rBi#W4>`;P7F?RMI3+ittv zZrhIaK4)X%!%m-F|7XbQ@b%l)`y5)2Is4c8eP_Zh!95=|E`^6#vV@oWZ?WWu>VL|L z{Qs1RN>e2o;EC>G1<~9*?BU(LnfvoBG@k$S&|K%--3>Yi?wWtum}j2(@p$3Wy_$K) zHrN-~A3gBReBbsSDGlARP%3NT`MH}iQ>W$VP+Gs>?J&QE6_g@)%NhlYY)0kv>*TOc z-$mHZxnuuaoA=elmL6zOM9FXC8t1)d4p6@?9GHh3N2x&&ea2Hj8xwhS{Z1Y%qEUv2 zdleW_sx=OPJe#U#r^8X><+k(r3ZkYrn~c5@P6MSP4+!hUW{u9;+AxV>9w`IRaD7k5 z@SHHGw?;glP)L<8XY=H;#{waaFfj&~Ps__GG}@T-G1%R$*aV>iQ3DWmNcel!k05J2 zj8#zbPvZtWnMB<1aN@!OF+m#9M08;PZ!{}xx?1wQLH5J~C_IKOi~$?sXE02o3SP@w zZ%^h{#o&x2p_1}B8e+TODir-D)sAe0vRDX_84aY53V z<6Ec6qvhp7fs>%3fJ*H>o${Qi1Z{#m_~qpag&~`@aD>7{zsN!J{Ry&DCZg^FVqDXN z^hw8jUBHCY508^h3kjg2nkvM;q((H5-~Y4*M9R)6;Vzn@=b&66Z}aoZP=G1YS$!6Jpy$&1 z8u<`2&uK*M`v}_6_z z0sI^Av-CPu$Vv4`FOl-{<&~wrt)91shrpmEwQn~{3XX1=t?8kMHf#fQw!Tkb9xxxT zdAUxKo&k>5ue^-Y#NUlHh_@Fxrd8-{LVCp(RxE=~o=1#y*b$8r`PDSP78vF2;(4z; zj~y7uG8n6>$g3Xu9e;M}ST(vew$}k>sk|YGGG%E!)wzfEeJZUXTgZoNm=>;33%z?9&U< zquSA}+6?w4o|S;=6lJmj_XIcA3_MDM#INOq85BaQ(7`X~_HbiO!AlaE9>D?} zYOQovBnTcYgQhr`x_GUMPi{K^#2gp@{Ho#z;2kS1)*+inGOFaP^ns)ovFrWNlcD#^ zwx!>d-{|oV9Pv@Eli&3rOTVb&mXOzRdF@z4K;*zI?J^La#(yxIlJxqu%ktWoi#3Fs zF@#Ll4U(crT2#^Y9K>KjjWal=PFqujy0UM!KXf3{Yp}M6HJK|Q^>>MwM8yHr5Jzs>tk&#Jn!i#ZeJ$yTHXqDr@F2~n zCu9K-P?g3vj1+K#=)ET6uTRrr_m_bANC1`_o^iFgO zTXOYMbRbd~niLLT29lIgZcE2oJ>|c1_#f312U>kPS`1P*49Z#0}G<)qbJ2Mjm``z4-0mP*~iVG45e1^Jc4K$X02GTB9U zcx#kM(S-jn>X`j=hZ2EH{>VE4ez=3Tpc~0E@j)GE^j+qe#B}r>rjdlVZ#GFQNa+1@ zhbrx&IXMi7RqRFKM#=i0LYFnq!99(^Q*AWnLS*08@fu~}&jmpOH?(XF(V0w^{#I8k zA)F!+m!ci$ytazHlHG~#TXQxRPkEmtbmITwXsy}17OPEJo*3eyJnG3EGnLw=7i0rt zz&AbWilFUuOuP3DfXR7phPQ4$$w^Z8cc!h!<2iqQVCmVc;PSawRoGv?fkIA_^1Qt7 zj{3O#g7|~#u6d1yE5s`mbtOM@cSK=J1A%pV&<^mnI{JDZaX^%!zz&MrX(OQsNUaJv ztixPgFGfGy8u*9qbwK4K_B7ggm{}&z2BrY48Ms`+OhZ;hZr)RdUrN;p_$)cPw07_8 z<|XWvT#t5ICUBaARl6wI;BVcp4CB?$JV!XaHuK#1lF_`e67B2QLeB4Qloht!u}@t0H^x^DvzV3jHY+~$l7Mi@@--e zepS`zoX?F-XAcI3R@`A`q=gqfa47K%KE=s6>6(GbU}}J#EC%y3&7hvmHUxg?1?i)9 z(8Jq9_ez|BIN-tT8$+iS5e(Mt%3un)^++g1yedJbY{37)T$x##Bd`9}9$`rWtk!P2% z&XR*&gKrqedIetsjG9Ihb-?GX@|i|mXfLO8PfeNcQj;v87w}LaPxbHdmt1eHM1J(O zvZ`>$pX6-4D}E>!EI}GNHQo8yKb;L^z*F18^xAvPL-GYsGXr7JrOD)irO0)OUKsQc zH&q3DUe=Sft=N@nJv0-T)@>!B)D!9vu#FW5zX0XeFKHEjDN7_KvaX|-3~!{XwYjQI z5AgN?MljA|FIy4UVj%o)=g2GQ{^S@S;3;uXpL(Nj{Ov7o@TTg6?edqunF>R zGuQz+rSa3*z2XdSIz?wt_W1gno4__;wH~AmcB&I~2}vC9Q^Wx)tMDCa?F=@miZI;P zU@n<&7Y_2P{j)Pu~AFK51PFc!OheSW1HePFm z+O!;zc{~ef0AA>!kU`FL1G?3+;q6nD&YCqr8=}~@smiwcPFcrkZ5vOjt@QPgskZq z5h;T>Q-NrWgq21f>+eZBK4PNzH$Lc+xSF*4o+UQ=Mt(m#9Uy8!0W1c>0`%kzqgO&c zB;+#1Haa3hv7EaYk_i@`k!BSJ^Xu>BzBoXrdIhh^YNvrK*e?Rsou4%hQCJ2Dd`Xjy z`Y)$$8!@MI_unpV7TwKmbj+XG(E>N7GY?sC7ZN`Y^lJGzoc&9eu{(^-C8%4Z=G?a*chIP~#52QN%%*}#6 zN%#_;8XHL|0y5OFr`L)G+A~zA<+{KlyUBl9=~YK%umdPUP~@yk?c_EBtw zn+|PD6phAgrQ8HUxtP5Y5zqzuj2GmCdkvStU2M6%D5C~Zg6i2SBPHh!vf)7rmIZxo0|hj|BDDj zA}XO#bXHr|UWr9wT5E$2*kY1A3=FZtqM)kN1S{vdu_^F%G zBmf4}hzIv6IEo;hZxC{cJZtsO z-GGiB%?_VRGDxFn4HnQ=;`ec$&-#pS2fUS8sJw8~Ta&r5pJWU@CMTow#?k%lBr4>AY))sd>Wwe^&`8K4YyBd!|5 zcCdd`DCr&v!d|QAcgd<3+Jpij8{jXS?n3JjE^?^y!x?1b8Fm`$2H*$Oz+N#F)f@v~ z{^hs#eQT=w)@zvSz*8VbkE$KClU~s7=^;6k_(>hBgWgO>ryJw|Z-Kw`AXQMJj#ab$ zm)Dr#Rhs`K;YbEIfiD24M_olYL&Bx=vH{qZ^cL-iBqZ@}0{>DQEs)ci>Z;Ho{K=sj zPp2W*)Z^;>E*#b)tjBvN62=BXY$Wq(viSQ&ALrovK5Ma8b3N#F`x6P0adlgD_8RV0 zj*)5ee;G{{Fv`X5P25&rO8e7OaS3~L9ppzMFU1;jH*&4an(gsPq=KuqS^voxl&DU0 zE4moJqXo?4ip-j~;4hLe>BKevNeQ$iDSAOFC|7zoJ#>F!pyVDLY*G=$=ZdE4EdQzP zDHEIiY^rv=Lg9Pg&HcWdcnn@I$1C32gJj!mE@eMx0bop<*Wv>>5I#%^sqfVGT~72g zeXKms>v|iN3Y8Dd1TcS_{BPQjP#d|D8^}>HZMJGAk`mJAvj7tSr8lfKY()wAcF&AZGg8av8z?1 zte>~W)EeG#V67xi4_XJ#suPt6VI$U`s z#CQosuPT8@xCN{O0`*GusXOSgZL}O<5D@5<8c?n2IV4;*0EcG1AUH77wb~r_N?rjJ zc;DVfN43~KTQI+W0kba?Z&HurS+=tFN-_a&n4;AxVx zd7{n=wb3^V5U!>ga=kc|y@@*{_3AWr)K0o>8f^`?ppBdZSaF#9B`1J#Gw_1smpW}3 zbex1$Bbw6v+mQd#RL)641nrPCB! zTsu<(W+Cp(^~YSJ*ia}ve7__jF}pQ2r8nWs7S-tik*Q=e93z{T2BGNaYjE04ZtdDD z(M)`hDw8x`A{ zpgJuRX>ohW{F25qv@i9+E^;ZNjs0suWCkQGo$ey5L4ll=);*A@jg~F(Xsg?ss3a+a zL1DWL3kO@pdoEdZn$IhICc9Za=_mVRFYWh zLF>VBb)q`qG%4qDT{hssT_}0*n{b#G*1d&JzKk{Cdbd$Bfv-S?85kxxp$BaRZ>SSZ z2!14*I?bHkLASjDus8-wOB<_RiE=qQD)T&{5g>wbEA$7F(`2HAEdgw^W2wo|PO!LD zE|n>PZx#zZ@Hq|tns#4jPrse6)Te*eRu z0DV(1Hu0;vVg(_9L{w!74?iv7h1U~0wv-T6Si&<;MP5;IU5pD`nI*(O72&v2Q~aVi zQCsBd=&iuO6zay|gtpkF1X@$hf(GIIJH=YUfd|Attn;Rkxo^quZtfj10MpaatM)}6 z?^arA(>DKZWvRA3WCZPMW2Fp!m~TaB56-e0{rW$tqnA@Hf8q$GL4&b$0pa!TzUc&a z{Vj(^>NvK%{Xc3`>>%5;0eQ{NA{DAtlnJK1lMH*ksDUal01%qFIpR}XgzrzTzBq>O zpXE{Ky*j0lfd7gk`T7cFUd|;d!86Pg7;sV?=JD(H5}3Ss_F(@SFy*sl%W~*iD=h{* zDZ!)}92{$Jn4T3DkI)nsnFehvVcAJ{gLc@qDUPWHiW+sa2Gxb`NyqF@43o_MN4gv1 zc$ziCZj*!|+1>;?0jXZlDwHF=qMf&NTE^SK5$*&3yHPJ?Y|gt-$7^Nl26Vi;<>@Z* z*CUvK*#{sUZmwyw9{w+q<2Bl%yId%>+b1pOefz?Jfo>}4Q)eao3c3k&ahM*GRY0g2 zxJA;fH>?SrhsE#q^FX8J<#8CMN#I; zcI6_DFf+t1?P~iH4J4PXeqTM;E%9QcWA8;_gn?scin$cx# zP5Tz50CnUN;RLbV%xGg3q-mR1@pqvATDwr>Z1+Zp5MO9?bLui+b+4~DAn$R)~ z6goqJRg@HFyV$k#%$=7;1MF8omMMN+vmb{*T$BL-3^&^hZ`yp6b<1R{T__fUu zB-@OFBfNVGtNJ{}!kgIwZ78=2teEjW#LSo_F0(b^hI8MWf?ARpJ*sYyIi1z6RUX?( zQ@J>XEA@qTw;|!APmdOyjJikM;YK9kt|HfR|L;Ts-Hy;`uFE6OPLX)_)15S}QnN+6 zy+~0%S;V2v%^00uP&x}SC&yj{N>~e(hN~T z0>EnkYep4@^}-I_G{riuGpEVa%@Q9<;$0ykxeeTfmLqxSw}G9+t%IFd(@JpQ3Wc5Y z4HfRPf*78R0zlY-JTE>Dk+kTJ`x7@vuBqEz>F!df*^0PL z(ho-yXFVv4(~lWozXr~xVW(zom9VQMT{eniz^XJ^@+K99IoPu3-(=}Z#M zh#T4#x-St{5A+B-@yRabU^dqmvJM*~uLhN?z_^+6C%pD#G5jkdt|;DM7a|3p^a%ew z-@466iO$iko8`S7Jt8OWFYHhwHn~oJnZd$<*}fQ-=(bmB%ee*ZwTkWN0BJTK!Lqm8CL$O=6w;ibtI+?1#mQCQr+nVb?nhEo|Jzjw_R0s3LkXSrRI&Mp9vx)Og_Aqh7~4}OQ_QUsww`MCzoAG3kf)!|P8Hrc@aBqDmBY{L$dg$K$2D@a z1Nen(L+Csw<}+@O*0qhM#zm!tyNed33i#F_Qi>`( zJ)w?pZT&itZ25G^pfr^`qyo4jL0%9SVQf7^{H5S ziODu|{uj3A=0Mg`_?dWX>zDRg3cu+tp(w=XYfNX|+&S{TAIZJ&Sp~#B5w-zqS=Li7 zV3jMD$;6?2uHpgBVcp~0@0$wWC?4GUtG7jT;oSS|3U@y4&W|(eA}Io_z;y_NS}5AG zQ{agrXW(zVzAHVoTB~r=P^^~K4?1>fdE&{A#G@nT8_6MCKGiP-<%tjPvKZCgkSot2 zw712!oPGqAm#xp0HX=_1GR{;9B!(j!uampn(yOIg*jq-r?=xeeLn9kAkxcNK$WssV zV2<)glt=z%?-fI>kMs;%9i&(M*OkK`JoPO$zshfTHQE^eoWM9o$g7q%E_+TaWlRy9 ze54hG-zFce7#L{M(sGBRS%ma_nEaCyFRN&e-Kt*pyA`xQZ+|MDB^^8jxi@;QV=MVc z#f}p}+WZxtzlm(~u*CA|?ezSdS&X!32U>_9qs;P!tUxZP`tAfIDwOxV-5x!JY-Zr| zL_LJxx>up`p>9%SQ|F$rMvwXjKkBXDA|6;@?E1O^k;P9)>{)FaO!ziN5vooQK0s8m zbW-Kbm&VTzZdM@vY1nr4N31*TpN6B@+n?~+%s4h+A=-DV0umqoojm@E^u@sE!Q|i8 zHPQaJ)_)NS)!xN@{_Q9;iIE<+tdbRO`@Gag`pbRa5OZ+-$1~DN_kHf8>k7w-UIFt? zk>)!JDUDx+xXRNPf7UN}d%5tw*FB)PIHf0gj&C4kS4^`{`x5a*A~D`8cR`&-s~t|2 z%y0J(;L#Rd_`g|^JM%ZG2jkv4)zBHJ5>)~3SchJ3LkhZv{vpmgPIo5$Gqg5sx?|Wv z5Hj9WOp(!IGZX%z7FCZuPT4JvoJ&y8CN8Vk^8i}?$YRuTMd_jbCFhu|6_|nV>`mX# zKpLc;-h~|%m4+MHL^kPR|-9orm!ku%dwx97t zZ>b0BLdIl4UPtQZ@leFyjL1lKJ^jJO)5hCI^)oBHdmNzr+xzW2JA6}L^taoc6Im1A zpEx(Frq_EWX$|WbOT){t5nP4oS698?OiA<~^jJq;-kZ9-_ruuZ@YP@6efgZ@LR=M{ zhg(uZw5SSyeG6kS-cd}uRzLYnE!K+eTRQSCuH{!dND`fx@8=a2Qevr-$5>fvh2$7& zpzRxPmJ{~u@dvf;{nFahr?TX^fRL<#Zvj~Yvy;_cSrtO}`{Fn^TSVB>nu~w=4jd~i zwTz(7o)pC-wxe=;ZsME;PrPzu(1fXPHMxf15(iFdV*E zL^RvZ{PivleXL#TLT0UCxofuFqfiA)N79!TZbgbuOlrB5ODBon4Ycz=pP??0)1Jir zMd*tz+0(d_5q#0358OqHORfBJ_}CWmG^vMq{kd@=<*fHEi=o)Wiy=?_B)2%9;jOQd zn{SR@Ei&zhbN{DdL0Pn!^aZ&)K>qXTXU}2^!6&t+>GN0#`gVOM(L&V05FUb?WS=cv z6(%E-mfoSzJE`aO3h!@oT~0LRXz@YgLW~nu{(9ln>HD6}*toLO4`pp97%Yba@{{QC z6%5v^rrbowaa9J!^{d0r=2uYRH$y(tVj1>Rfg{ zzMtiS75GM0Zxj`fzR*e}E$>9zkUacTE3Yof{Vy2QgG)#FPDacPAD>XYKi6A-d0THi zpXcx2`-;_AOT|uWvF7YosmsP$b+OyX6>1GJRG-VAWH)$iL(>wikfFELgEr)@>-t_R zPY-kP*+wVIZ13l+kC2AHd?Ff497h(jOX?W~ai25vcVY$JamPtg51v(ET-k&jFKeLj zP))V5s0VhRCmdgvI%P@?I{cSor0CPA`_)o!eT+0~hhiK*H1G_}Dn!Ik`|CQfX-PRE zibd6|?OD|A>M``Sdd7Ur=Pgg7OVBRtf^8M8AL1xaMJ-!JX$)E#+&1<(WfSa)3%VU1 z*^9hM+5BN{)QHP?#)_R)PrtqSEj-}YIC-GvePr0@krdaQxdGhW*ssJBB6Km-6nNvA91QC+xrP$2W+P-Yj_cgtqzRC{Bu9*~?xx zyR#}bFgO1%Vjh3+7|J*QH~t&bY@jM~#}FF>z24dRW@{wv4dnl6<#1%Yc=Ho`d1Z)K z$emM@LIh@+Vcn}7$YK^>z|?x#Wn)PtortFP*2Mo}4;io`a<2+X(SAwhhUCL1rN~yi z;XjG)BcB?V;yIl=RLSROcYT^*ppj1+8Ou`GQp5CTXts`Px748ZJ2^C?g%4)hl^D?I zj2I{uu2|BK5o5C?t3FRM#Sqb&ht>8WLRKPI8)B;Mk$K#YLS{U{Yow7l@WpLNT60}- z;MC6X?+KQ{jp*-dMpDLeirKmK3%>$B#|1+2On&mK+@u>Kp=s65lH3NW$l+Q~(%USl z7mv0#Kz>1Yd>MniD?t9D|N9x9z8A`)XBN-1syf)=lvJF%jas)Nzt`hIsAnu3I#b6k zX!VnBwe-Ff%VV(hwdJ3vjz3vUdCIX~&ngqr&tEw2@LB4}Ldy37rR)J{(-)%So{8~I zU+AY!6@F`QF2$6Yyb~wz-B@eVp1eEW*?q;{e_s3kEJbO}=o~+F&DVDkQ1}FBXjhWs z5c0TKVRN|S4+c#_(>9;h1ksG?I*1sV^A($;%rqHZ>Bzq3)eYD(YhQ`UIY@ zb*ixFDzCLU7m#|>A~svmBm3}MwntEI;X0mA%YOj}x+eLtfUt!k&Vy!3J#-`y@i2Oz z6k45xEXbMm_%TL;P)XiA;<9e4Z@M@h%C!4C6Cq_1L%rvvF1C~0`AgJkQC!e3;qZK% zl)pBMktGTqDxvVYE?{u2)A3Y>&)dvvc8Zc?CFL%*7Tj`bx~5Olx1>id_1$CM_Jw6* ze?Z;Ga;>`u(s`QxgZUEzE;FVlyOz>;eGK<`R_y87y|5*t%)gzQ%w!Z61-gICdrbRO z1^Y67N(VwEdw{T|vZTx7zi*qt6O(ro-OTZbD|ha#`LYwKVrUD$s;yj78IVLx)AMrs zK=gK^*&P1f#|(M7})_{o)23ucFD(H7)4^@9=Ta#X@dq;G3Q?( z=XxkOp17gPUg+uN`=RVf;!G0ZKEm~yUS$!FffYa(?p$+R#*?Hc&UT>o@h=Ywom? zVV(SFf0_;Q>0(?6e;yYxJ4ioN16;e!OHo>bzP9AchUjGzKR*5=*+0@r)D3pbJ0HMw zKX~Xkk2b|%#d^PGA`U8gq^fUF1cz^kXvlZu0y*}e;)gVuP&wz9_j?_Ky+1%cjQ^J4 zjAeH8gr2Z)FBi2vm(`Up)GX&`)C06+W4eQbHb}nOi5~-j@RF2uejEjhHfOyy@l>M5 zLQ!ijjF>`QJbY@|^W=dmib@fSe%<009o;G282q9RH&f?CbT}+7V>?=Lz`%DWa0~8l zycp=4^^?|!2@h8`BfO{0k>TN8!s>9s2I&7nFEm4LQx$@o{P-Z^0vR^`@{;uWT2}Jv-tA|sLGQtJ%z_y39paFcchdR{#~Gsmw;y?-YpLJUrNYyg z(%hMYhd^a3Avgvb-JTH7V(c>V`4LOV^}W<;rU!*cw~irf#=m7EL-LAghFF0ps!y3$ z(29|PO1|%<@Q-yV<>8x%KE~up{FUdUk~^YLs1tQdeL|uuPv?$2m>Y+UXQKM9G#T|& z9?00~oiH|`*$vrv;MsZQ34o^$a;eYQE19Eldv^dzff2IHNG>(Wz2BzU77Yt;Tq5qp z2Sl`F)*I9>yph!zRY@_#s%LY4dUFp&{1JVxtv_l0 z#fqKO{?N01Dh#v%RuGGt^5rpGF*MAoaiWhudEW~%IZH@PH!5xe5@AzFDVo1)t5iroj`I%*ETNfw_m4eEy$(B5^sTd7 z`6Jg$JG7`hFzI(v>GwG>A=iqTCo$i6t<5B!ipu$(G^EtakQR*Ud;OaKiHwG_BrX(J zdOy5lNPe@4ve5+iYVk_j#V9Hv$6F9J=M|g?%-1Ekb_cgP^ zjf+;Y-Z-+S*(T~%g}8ZKUGSq8O?S zd$yw@)LH;l-l_1eXg~P9@T&avhUqgHa=c=0>&vvRj%jw)DSJ#!`ct>%4&Wt+IGs}o; zqDnHx_V$S`0nJ;YdD_q3kAx^4`LxsmUN{9gWU*74kc$kX$5&v)lQ_o<4c zxQ|#l-t3PP7({ol%e+O*y77kO^SZA0-tngUQ@0k=W$%Lg$6*T$oQN zlF5^M`Yah(-R_z>YV~%8tx9)_!BB`*F>P6yXlC=t%{+sQ=alAUwVl%TA4qW?ztGc3 zac&OFMr`>EJ{M)JV7dBc+$Q`jqFtVF|4#mt6a2#Xh*@bteW}?6&8(lYJp+K_Q)zOB zmvENv{M16^y}o)imNqJHw90uJ4)amizjtI>jLPU)2hP2we&NN^g$MmSnv$2_t;yVe z0p^jaQI1Wsl)uP7n>D(Gvzs-{HXlXSzL&=A>Gkt)#tzo*gH@xHEh9PC@;*=O`a@c0 zks}O%+-IKN;?Ue+qRiNJ>~HIc43SJJjySt!N}b1VS^IaUFF2nr5~ut#=~;T&cp7X1 zdMH6^vaix`Ag-m#YaxVTdn91q(y##hiEk--5-xInf}C8e5l)PjVvC7t(PA{BO*bA| zk1r8A(y4LKO1RP;EG@<&usm5qwL1fo(kn$7$3GOEK$QqX+keMw`}*?|n#!0@fX`lj za9#0_G)N0>jXT1}V~YCss6L=P9WpZhiTA|J!TJ=LA}?=7OHcP@7xp#kh{bM`?`%9O~BwwY@0$loY>QQ zj;mo^k?wKGn3w-gjx;Z9MEL2?^>l{LZ*UP}8j`DJw;A8V4`L9>xPbW$h*8QV>M+ck zuMcy#2*810myURjZ349X{`8((t+sry%5Es**NzWo88o#r!a?%3{8;Gx{e`Dp&wo8- z;52+_zt^og*(O~mEm+v-uHrw1Pi1<_@0O;WxCHHnZ}XFAm0&f>2oqbuP6m2e86gY> z;d!{r&#^CVVte3jcNy%flGqZOa#`$4Q-T8ztKRKgyGF5x7rw4sc3V@@Q$o}@Jiozn zhUcW%2+ygLOXh+m@SL``6PeP~5W|Xl?42A@=Zfct9&7aerfP^{zCJxyJ?Ua$kKE1}Hy?c^GCP)5NLiRuh% z#5)e+N{nW*xVgnHY;kGl*LSp57Ae2jWw~UTLSnDj1@2zM{|3%U8au47kO%V0R~(+N ze;Paxn6#RX+7PMw@{XvYz4NZ`vYlV0$>^lDVdPdrSK7v@GvAI}YncSUR_7d)t?7Ly ztqd7EV1-C|FEtDCJm{|+J`QfEo_$iHY{Y~2!t;Rt(x#8_!I3SueKmIWN*&u)=hiNX z&lHunU84D`&iyUox30dk6A@nsI|F(v zI}6_URr8D4dhuJr-UfR+DF}TYPg+#M$3Tyeo&&B%bN599ttj(ImzQrv9&Ja=1Oa`I z8MI|{N@3l>ktM`!0-8%$T!>jQWnO!nYs|4^yIjMpTY>X;Ert!Z&Au9I#b~S*)dLZc?e$zWx%SRJMYDeOB>6XqGL!a zwL5iJCr-N6f^2l4NvpSQELPL%);pR}Z{c=7l%egL54P$?KPPvMsv6g1SKBais96~x7FqgK_1}2p=89xLhaS@5<#%Mm@>P?OPKIGieOb=Mk(wO zf9gKtdHUZ7P$8r73D5muq_d^p2ePL+yr#kpFo@jc-wSjybl?-=%GgxDls;pIZ8mxx zam{CzrzKdVWDK~|5U|ZW3ZqUl`_1AHi-hlyB=k}RYD?bvm~S#_!g50ru_!zPw?X@z z@TOu(*f{YfTa{=xwffu50yDcMG@nyRE*y3y+Pu;Y!C|Mfw|x;_XRjije?UYNyg^+_ zUDIqu>hF-=OB5?w=hGh+|BY4~NBD4E+YfzEYuj{a#H3bwzk(ch4?B8`H{-wIK85Er zYuj$=oJT~QbUc?jE`8QjUT1F6qWD{OwyWHrs{U5`{b>Ie_hs!T_K#uH4nv1g;}@QP zvb7e#QQ>a*j9==r9sXDrCGAPzp&@%j*fDX$o{sU|`J(|XKax+K3S16$kIhIoMa(qi zttS~F^`Woe^6hf7>s+ZHKG(p(98R!h34tCjGE(2px_d(K zL5h;p%E?LYq5Gu@g=P_Nk{fsb!_dCq6UA)xvgmmim_=JU*-U!aTs{#4rL7D$fWoWy zF<2?63@%n3Cf~_Sp2s(f)_f=0y2GQ>W!19`#TvL@VqXV?qTF5Ixgf1Fef4o!(Z>EFrFeAD>_SH#{{T!-SkG zsS{wcU3L@Ysxc1~2Ezks$U3FvZT8btllYe+G%0LQ?lcw7W5JbFm8ms6=9REP_Z4%n zC%7CoIJ7MOjR=_z-=iLpE5coauju=>O4~yW6Xvf)MiZhZedams!5+>;9r5!NV6GZh zqR@obzCA=*%w9=UfxPVQ`p9GVfTypcZ&Ea@oxLmo2SSrQmP}stA<_H`(O9tp+$o8i zAZ;b*0$93`gucRfpZK1GIMPMjS|#398HhsOVLE*)Yc+|J{*t}<(swbij~UTE3m-i* zAsS9CuMNSwrIkr|bV3}oQ9|K(XPixdJSAQL^O_)@uo={l2wPt}6E{*zPxM@wP(Cvd zdH6WRNKcH&U?{6@sXZU@EL`m&HE@y_q9hwi_RY@iKJLc&P}as01mul=MhH57`T z;%0K++Hcp-4Rzs_!rniJ>9YIhCqWi>Yga4Ocr4TQ{gU@U4$OcDe#U{eK!OuiAi#s8 zGa@IV0el2IPQrFp*n!t1`v8$M5dob6#S*p)+K8Y6(j^|w3iCcAi4joer10rWda{ac z%K>vkaCd9OsU1@ya6R0PKubmmEUSdhysuo5_iyb zh2kWSFI(nwaNwFEPb3a}nSyDdAdq*qHZJiC%DoQ73ZAVQnWP1cBUz50>-OBA$xWEF} zUl;qfV4(eHo`rvkhdC$n1M981ZNya(lTNut)A9WvT>xcfpjimniMAekF7XesbS1h% z0U%hNQ$kjwZGq0g1Q)4Ol!0Ad`%Y>U(rEBw5kVd8mu!!z*M5{@>05GZm3%)*FPLc^ zu+sMD;NRXk^rSKamTnneuRd9o_9Ygs+;~!%UNQ+rObJcD-O5P)3A#@SH%qXsM`Ecc zbl^>__FS4sEDciy-@-O1c(fH-G4g)K;nBu&Z}oc}imhL4GiWPEpV$-CrkwDp=ovg~ zcq`hP$U!4n*H+g3fHe#Q>i+GyEv`Zlb{>{X?Jue`yZEYLXs2m$d4PV#V6Sqp#iJjGB_7pWStcZURfCUiLQr8cpw|2p#=Hon1|&%*jQ;bv8Bm4ztPucs|SiH?09(4byFxf8PLq`%BNpUpiki0m^#AaCyc;9Uc0G7>Js8$Q-o;hl0FTFZ@M}p z0Q2m!yT<8_u2|OU?5-DW3oidwP$V(jU+a7QOP7~*qMWCv|EZ7Ag=@I8L6>fP+m%+P@ewd}Bh4e?T@;oV@uU4AKRMo_u*{yXVJNvd%rldef%! zO!D%brD%!sd1n8^nW>Qo%*%USe7!7JFf7<+p=AC4tEKT8(|19*7H zlPSFP*Z1bOOLY>UwnM?++&3$ZiS?sy_zmWdpBl`UbyiMqJ`_^~za4{L6z%n?ijMT| z)(OF8BfDPpG%36HwZ5$~=^cgsaQ~g=J~sKtiC_Z;Rl}wtD-_P8wC#7rvbEfmX^5!{ zyb9|HS2y=yZ&%u?t&RjV%r05NC%;S@jSkCp!C#6l>2|+F#Cv^+`-5s$8UBDG`__Cw z?N&( zM~f-K9OJCt+Aj-5T|U<+0EN^t0#=0V1IX#HfV8I11rCwYpc3kB&Gb6j|(ps zzS(1G&QWfVXBd^rdzrwu{lFZX%n0^9i1%XGTvWHe*c;JzKctk z>ZHi?cId>v?kd;3y(ibnp!TygIsc(NLfwjwclTUh@y@(2hNn2(^eF7y5W-3Tr()zM z?<%YFV4`%up05O1P2fv%E?CvI`nnR8sQrrc>RfnnvsQQwekPKg*&n=Kq>nH##(ni+ zm7+@M4HM36|BLnZ=oEEF*)@1|v20h(MN2>2tXTIzGb7ajl?ystyzpU}W@GX*82MrA zB62G-sCL;!r&=|gXljQ?%eGZp!|J$(*vvWv&i|R__7@FR;gr`Q*w8UhtBVIFgcv%y zYseTOEClUD+)n-A6&al2O4|Te za#{&6Tv@F8Fq0`e9zUhi(D6i?{3kw;Z-22-BmS8vt`eS<)06=Z_kmUa+;~*ZMrc0}C47Bt9|H0I|$20wg|KmkbDDQGU=MbSNay~4n=zvO*QzNJ36ms4n zIVI|E z)Fm<>E=2d98$Si8`3PBfa$a0}jqV6=r8$qH<+mP#6d0nJ9s#)LGx$Z1K;FlH9;QX= zj{Nkux`O{~LgUthD*y~RQQ$!^Z%A?;*MbZQlH3CP^9?(Z>b(e5EW^G_@Sdv(7&E1T z^uTz(hnRp5FU^bSj0r!D25Ov;jHBPkdws+P@Y z=o`gSy8D(=)dm-h`@e>N_vB?Yj)6?3Xort1hguGzl_f9O-RNH>Qjx3IV2dA%BAxJf zAkLlXti}=RWVEIeq$cMpH0wlOH`%Lm-MU@%TW**w$$rAL+QRCgMFFs-v?N1j+HR?L zfTP*5)Sdi$I2Yr?=yz1`%RdG`L3m`a@j1H0)c&Sr071u^W2~B_Zufazc0mw0%Kq1B zZ=HnR*{=a?c;)Hs^OUxP?CO!KEU@`M9 zhadI&Zue*zlDxCSw|0nmYZ%Etrul)>nKz#;OcEPCU8ORNC4935$h9%_ea9WI(U307 zVAQ;~uZexgKle>!g{wJ3DC9kr9Oq;)BU{Cvk2|6Lz7FA1Y5?{{pY_snCIbbypjV5t zr#@VcBt9D0IUmeAT2*R3d)#13j3ZLcMi&s7OBbQLAqqb4sn~XDd0*8#gcBpnm!p~fXWq(y zGq!5`!PvJ$UaUJ1r`tTA>Gc$ucHC>W#Gh=8LDk7?DnRh!RG2S^X@YtW2yq!7#@j!%lJ}(Uu}I?r;ZM@g+E884^(0E;R-&-yr?cB`e^+-IH7W$yagt|^Ip2P$mBTv z8N0x|j7x$Hsb_bTK#zHEG98>7Gi;=GYpC%Zn`eDb08XpzsM1-NLZ zbx8fGHlicv?knoV4X(G^5+Tjh;FJ*A3PqA0fhZ|;W#-OQ)-Zy%C8KVp$}=CXLXsZ! zXt&n|yBa|ENRm^c1n;Czp)I($`WLK2GpX|%m7EeQkhb`5L6IsXdxFf-v1TX!-4beJ z=YIOG@XtmE=;MikIx5A;|0)Z*g)^suyU0fuxT)M=9mbh z4C4H#`q-=`#?#zfVs+glPh>OAhV{YY#E-VD%ZbG1_|6F92sB_!xH+1ql%m*HOx;P! z_%>+YvGe3Lb?1Cq$u;Flk%D|s?*4ewj_#B*K}SL0?P+kQz5y65a%0l&x=ZvwlOcW* zJqIo1@c$toBobB{G? zfmm1mM>+d0#6I~y%2|X03jjWmr^Flmy3oJr5$ad*ldZHpz+)oxbnd$pTbn?vyznBs+vI;z&#eKs^-2 zPNR|mh_`cXDtTDuCBR>46(EAz5_&3<0kh6O9Ot0aDIJX3JfY?1%jdlT`7QBmZeN9T ziJ;z&ZK^+Bo<&u~d(Z9jkd6~XI)Nu*fRDLMW~3=tlB7(?0iNv0O=%5-0rW_GH1(9A z0|@7x(}0s1ega@k#Kp>h{RH4hJ=$pna4v{98uJ6z+1~(bZ5$!7R0beS_s^5U3B;L? z0mO$@gMgl#*i&KE_4oy<=Z<*e;}b32ba73uIel@!WQGK&BTkUy{<8u8eM5!+<6Edafx2_&F@CbwS)p`4w+Lvtp$B{I(%Z2L8j&JnL5*LO zi67A(LLX&c-Ss`fIGtavC8rR7dY-@QZ%BY2;8h^@y^h@YsWjBrsRQJm41) zSwOxN3V{VMZ_z$EqY7J=)Vsm4WV#V8qO{`Ld)x^yxeoe%6_*SaXJ2D_-XwqnIXo+l zor0Q8G-V5CKmGq=n$(21A(svT>0y3B>kG$xf_7@Nw#(MW{@4OWeZ5!71E4(E1OZ8m zJigl7=U+DH89sH_dV32IpWQLBFVJUp>(~9;8?&tES@-C~pj=Q!YV)6!Xk$O=jojNF(uu4wB`aNHT`p%7AL%g8f^TnV!Q_fEIXFSv&lOwz^M;ZsWb=%)hTfXtGc+vM)P|veW$M&m`4Xg*-*rpnT zo!!{7`0qe~4C=v+d$#0@afxza^pz7X%7A3$_6;37{sKUIc+@2DH*D&3-^2=2rG( zSuMernJGZ!j}zhVskS2@02X4|QPkU`SF|d965!vdI!oS;dR%NMK?abx?z~LR0z~}w zQ%5=|ah+;G zu<&=R&%O7m2!QbD+E1^$PzCrf1+p{hc&a=Lko$M-CDbBp^}yuA&qfc5UfKBn-*s1d z4o=)5CR8D9jDI&uTFR={AXC2sGA*&N=zL6lz|IE@_AlQj4bmwBk*d{()s+E!*AX5c zBGW*G#C@l!ktU(f= zLlrPDPXx2m-W7?W7u{UE9$CPUP}$G z4HfjN2XF#1RH0!3^D-E4Gc%bb1)LHKkl*qCJ#Y!Y@vySRyMT?_31!`fwDB4XO%BmT z7Ku)jh$`4HTnxZ|jm}2-fWflzETLl`fKF}x6x_?5K$!6(S!P_-<6#`vn899#Gc=#A zKoT7l?}*T4>%S{hz7zAO)h($1&kLY@xd-b8Ie7FBT zi~;)69rFGhx5Oq;Rb?pDFaGy3)4s~RFqZ zo4`z>hVQk>!}xtlsJvC^2s5VI)QMr-PuBVzN@BN(y3<@dys=qDEI?weh~W2Pjs8H4 zBn*zCl>iJ$w9BYWZv$cxk)D;`Z|nj{6IIx()=fSxfrlJCes-3LsuCW?Jh}KK^ifM! zVE_wyv8^jYhjfb&`f5mNL+u?%{RPN6wl0!lggy9aIJ$X<8qB_%RTt&Ox@c2)W2Vt@ zZzo)f{WhbA=e-~(Hu5N5+(A@Cb2Eq0>1{2no%hR=u+P^siQy`@vez8=>Y3N0R9(?% zE|Jp!Cd5J>d>%|6!s^;1ep`MbMIic`dPcrCmUU@^JtcZnJ74&RDw80#>_lf|#x93X z4YjOOJUv9OJc>%_P<*>9NwOdijjDLfTTa{H=;+QDmByTTOH5lAeOt5kb$#&4!x^6e z&=CE^b1kP~mdaCx`~Own-)uL|6Y_-sCvYi>a+QaEjuG*_jC&_mz})*p9)8{BLius8 z)Q)ck>?2dECH1eMYs_db35%PN95zFA^!-C^_%JD-O6tx*y$8*z0)nmLXjqRg3;ARo%S&;8@7lQHqurn``&g_AM%}D*tHDXF9m+ujSNr zT25NRN-^p#IR^TYEywV4gf4cK6vUz51HeJ}xA}}&Rff4{rT2(wNdXVzCmr44C+90& z-bB?h7Pqt)nzAQ&7W3%)-KMw*IIb2Uwg3=2#~=0E%3kapD5cp& z>ZU^!nSOHWztLNt=;1Dn=?yAF2n)~$T<9dhLTFlqbyn$3Zoc3i0u)hM_YSSz`l#>e zy{87*n4Rvy44dr0)xaH!!mE6DFGjF9<19;)@wtDS{<^6%K5eu4a*$!E_*+c;kIT8m zPwc0t^zmHUX5EI3%h8oj#6=`2x}rrIyl%cq@Xd7NN-Xg!yx27vS*wqk)L6SIr7CI1EQn!wF&+eyNrC*GuN%aEqRcA&L{KYs?1 zxVz$i#Xf{EIH6$cLPdX&t^-^56FhUQ?tR!TJ2`7KzGAIN!bVjg0Q>9@#jcag?;?F zO8l2U-Z`mDw+ylkFHi3`FnJnZ4 zf1zffM;~}148ZiV%?F-JzzP_D7#iyg*f%}bpZQBTE9tX6Kg`UUR9O|k&q+LtWSp?+ zr0+)_|3-}d=Z-^vEpnyumS<8$76gU{I^e26*L$$2jaTXU-v}18nZ^rV8_j2opDlf< z+ig7xMuaX;-grmS{S$g|C%hxXMujv$9Rz~**s}kqcpVm)_i2C?67#D}7wl`2krL&PP$^baE#Am6HvL85{n3v%%|RQR z-ktHh2;8Kz%{22`0k#j8e0`l7`^k%irR_tCuD%D0g5AMM@Df#YVF!`UzFAdKX&Au; z#1fUccVhucd&V^D0JiS1t7TPWYn9ErGOZuuvFPj6d4SQ@WJz;l`IaB3ZY1ogsW1e@o zBbh=tdVGY}}e+rY)X@F<7?j|NM!4W|Z&)nnLVEQzYb z0=6(Kfp)@0T@P){Dy3xrs@%E-TyCey2N4`+yAEh?f=~hgWv*CnOm|8a1Sl$ z&|Pe-@K0^D_lkq*Fz znRAk%B|JGve@0MYp9K_aTLW7>(VAiefLpv`fh~L(+6GkqcdxEg*$EI<-XAB-J<~EYZ z#%ak9!d5Rln4q4Cp6Lmai6N0;?Liq~lZOilTR|E$?w17R-IshY--YSjaz2;>B@^Cn z=_^^nEsXE`hW?Tyk{h81pXB=5dCRVWfW$C-4_*zT5x_Re^eUtZ#M!jSculK zfT7HkQXbNZqvF5mb8gd*#DavbZd3J0GR43@q_(>lBN+f)%Pm+KC?K;_hQ|;Y6u}9) zx`;U>Jux7-ka{XV;P%3~nO!&wy;2)Hf0p z{yYsccE-SIQHg{9;mXCnlQy(q*=y$}tYrl=eJLc9qtyp)Qd$txmoq zk$FJEVP8~AO?J&GW3Z3ecf+d9WHfqo>sV}OpJSUgQwxS||7kZo+|`Nat1OGW zx@+Z*U~0;!#ra6YDzSgY|CVhr0obKKS9WtyHr&vZiMtY#(TO`I_AP6W;>jC}2K>H zX%-J?F8^u3{i1!OVnK()Rx$pv(KEY=L=`F_aH07oytz^X9x|{HUMTnC1s4Wq>N%UN z83qfvM(_3buiF^p{ffSB_zT42AP1M$xY}#l@cs?luCI>}k!Dm0WciYAs+{AUR^dU* z$1Ddq^|2}_wwzYRWgeZdI!BQ|U-BB@`5X@Vo?m=m_be;XAL6hf0NYn~U zb+eS?FeAs-oq*;!f#$(cJ|;qJ`?Pe-581pDAe#!%dnSL)Q9;(BWBmk-EaS=|hP$w+ zm1`QpgXX8)eJBz|l8?omxVlZ}a-*s+KecCIVu8``fg4hmsTY9JN2dTBvCc<>PK8~? z^)JL9#x$^VI;fExnd+8GQ{BdJ%;D8C%t2Ys24@u^4Xj$gOxol0fJy(gbKsZU&Hb} z-DL4>rF5|dG#^|35dxr}j45xR1 z4;jwF3OlF=Ap;^s0zOX=Fkz6$BlU^I-opT=Gx3Zwyu(o<8H2FLMapwB3@CAYh?_Jjby8Fs!Q#zP}g_ z;(en6H$?*}Fa{4q@dX>F+=masQ&OklfDJ+ho; z7bmbq(u~ST;N+ep_Srt0yEKLc^S0@3T_-jC7#@DOCQFc|BEYUmGx|y~=rnePozxK2 zhQU&9)62zJbTroG+rAJD{BRompGL}_VVcor68WeYo-JAf<3T4Tp!wZW&oRLkOqr{b z;KfkxLMDcP+LQ-=99Xuvcpr;cu+-Jz7Q~g^mz^LEL&ERKG!tJ&ix7ph&OVH>=-Ill zphuqXUud=roIf}pjXoUK09B2K^M(z?OLRSf%PzQ}^d%KeJlH}U7o$5$)dZ-pqJa_R zH*F~D^yg<>d}zm6(;~!@`AO5akd+j0kT8?W-=6zA4+Z%N2Pwkz8G_(y>`P$jH%jgjWXdvAE8_XOYt(v z9|X`~KpNRblRVb0%}a!B)6cm%s2C#PCJ%FYYb1nVDoJS=E7@85MUm(<$nHy0L@a^r zA4gp0PxCFK9K2LQkc-B!%7(b&GYC+}er-Xg|6xNqlb?b;#~cFo^4Tcd@lz4#h#6-(ILrHc`^+QDBaOwbjkWQZswQWe6# zK>xXPZ2@CTlZhe_s?0di$WF`=Lr}bt7%fPskjGH4^)gZ#${R z$E=I>`SSs2bD9_WJm-6+T!#Lcksdv(+`dnSleElzmFKrex$nBW9vE7+U%Xl&{rYD3 zt2gm%?lfYTT$)rdCC9X9XHl!q@;*(^C5G5#xkkRn!@jgXxZ`r_h{n~$X&Jv|^ZlOh z-KrX2Z->WJU)T{_5K&XjSYX~=$qBdo$+4Ex5DB0)e$(^z#vEZWc1F_L!*>Ht#wAtf z^G%x!uyC^YCYj^YcEDLC^24}*WBM=;TPsF#uPCjcn8Nw9sWq9lXHAP-6#V=Rbe&01 zW|je1C?(EECYG%SARqzRmMe?uF-iDNU-ei*@TuO|?L?9QiH1>_zOm(JYXVH*q;H`p% z7|y_NE2mTYj#!<1s-EbXG}nOX1|2;aUMQYmGG+M#WVC&FLIvmFrmY0)sl=B;3(@c7 zXU`d^=dv81a-`|za0q`}FKnOx0DwTNvCZ5x-%lh8+e8DwA45`%MIY1n^3fLnI#Q=s zHLHsSA2U7iIa(Tn=&9^SB}Pb4uDnRs?&kq@B*@D(v~Tk+%qlL)HBi|t?;P`9+9g8V z6>a;))Tkut(ycyi8=9-Et0iSFB&V}j+*k6pk=oyWUsG4pCHOHDjd<1(C5dwk?+;x+ zi^?dT`88~ZzPlCq$shoChV;E7Fif={FQQFLMF*SHDoQDidv_}WndFCIcAR~UDc~ic z-0@G2*s1LOJEAXE7xOMQecm2qXgm?VioQEV|3T=_#Jzshlu+>SIp4$J+f!kWel(Z$ zWAbDjoTkxyQJV2E?&)G4xR@JBpm9PF<~g2==r*pTEFliEjB6{@L1%@%;Nm`-ApfWh zp)8!KtSij#^_(G4qSGb%3LnzsW%2KlqkQyYWe$r`gXH@PZE2jc zuBZdv8h1g~gT8nEt=bXy7Vjl%KgK_kRwjEwh5i#vyB7|thz(MEs(gxLo;1^=4 z^u1%(9s;2|{W*c5`Pz;~QsB{izn-F)*A`_{w2iHQQDcpp+gyE)A-r9x-mz~NjrprG z_&;3wHX$;SfKX=KzS6^FpW?#Zr`euyWm%kye}?;iLF(l!&f;r#C14-M*y%>j)7nZ%IDUp9_)e6MS1gEdBs7RG}UYxSiB)xeZLL z?{Ln1)j{TVi;P>odWNjSO}de|#&C|FY~=k#t5-?X70o^y8(Oq19+E3jqx4S%>Vl1< zd;pM6Ft zy2kMuEg=>b7;cdmQWT%`#8X&B1?A-p39`Z8rbDNF_rxVS*#V-C!#QV7Mvu6|b;W~F zOzwimR?`R>phi?$vMr7O3?33LRTBkBcJ6*duwYF94_c0^0HZ{g(3em#WDF!Xf5GR3 zT~`cNCTll*8pZ%GLJ5-G*RrP@@x~>Hy~_nN(G{8M(fa)#xR#y0vxtpNg4%P}I0(Vy-15N!vJxefP+95W#IaNCbFl=*?%jv{H<%9R zn38D$k9`hJTkx=ty5)^12N-%{=KyGsjR$fUmd?kiYW{oJ*3P_#bcW_3agVcPrSRzN6t>q9eX3x>EVWc4=PjJCZWPuy- zPOG((@4jR^05+2JR$b(|c7**)0=3`J04cbTbH1G#?o(J`%0m$mT%a?xF~ciIX-+&8 ztk6QEYWv^;$M^`)SloL_YuR1_josoKTQXPTQZEyy&Ceg5YjO;j#&2m(H7`H+EXb=I z47lJvr+?6x9WvByUKf7pwdH`}9nZ8&#tEjq??cZ&a$0wtNe}rh*u0yeG&plQY3l9w z26^9_?>@iXO=mOkt@mgDYui>?jx@bSrSzqS*sZv$ShX3h_VzH%b9-Bj zmU~AGSKa4q4BJC8(tp&`Mw5CaL_5lESb;SV;o;Y{-h65Ok(sBOy9PNs9Fcya+QRZ_ z9{9&f*?U8e@+DVZ$=ed^OxxZam;x6^z9d}7LLS(_DsBNPRQxr zII%ko5yjQ@X9YEcN%)}~ECb0@jb2EWP1qSM@FI&hJUOJ#h z6*Eh}_WQJR9gZLAZFN5XU@QHTcSd-1>b7ji_DugLm%RvOUR{_)VJrnVu#PnD9 znNs(PLB=WpzO5A>Su^4rr-*OZPdOy>XcG5|(KrL2duJic+8dER_&0244*jPFQkS+) zeetpSDti6%piuA+N$Tzev$;jN`K93Tp5Bhxac92J=C8?mYvK~G_^s-nLSh@wnY~Dp z2%(4C`rpV{4^7cY`vUZgKKz5+S(6#C@U8x=4T4 zbN_}cb`~#N{1l(h)taL`Q({E+ZrZvR4iRKeU<>UFjnuxwl8rkDUOjZ$EX(YZUM(Oi zJm%Hlz77Dd_j3s)PA=1g*4ddjbHuV*A z#d%E%?)8bQ{Y{NWE0z3eUPnqY&XJh*Vza3xkxMc^SaRzOSEWrS60};~d)@yKNHW;C zYeuRjp{ZXk1*FdWZylSf+sCO#I?nEfz2rU``C(2b=9Ja^Ii)xjIi|2zUDi0;>3l^)~}|6 z|56h%xs95PfLauQ*&n4~r0%!u$L~MU7TXMowc>4kIGqC{>;j#9@--e^uaBx_kqbwI4CzfLG@KP?7U^?9jLDckfk(N*>>#?~$ol(~|T zTq33Nd9tBkZ7Jk_;z505T+!Y6vo%wS2zF0;bt8mF5-e-(wxvHPzE=W&qDjB2=BJeZ zW8;y$gpUsNGEDcP&5_(G!R5W(Xw;*e8=t)+9>Bg7JI&mJB^&Jz&)kW)c3D2J=!4ny zjhNcP2B%YRQI7f5PNTC5HPs%MOKYW1hhR0e;dMqIvzFh;Rcp*Y`jejP>XqHcjGOu+ z>!Q4ybEBbAQBQQ%2U3x(+du7@-4RpUa>BDsZk65*T8%w#ap}8T-fW zjaz&l6#NVPCB#4l&TdAgps_d1dU7Faf@BHQN1P=%PL)Wzr`aYe|Sp4*uKgoKV zI=jZ~ErlGv{pA|eym#sGU~uYapT8VG1U5ctYIxE|B9${WhFF&+2Jrdkt~7NfQhz<- z+4A+_nM>-7@%GzB(l7G2f{%d^Pf|ZmUSih?HSRSSEfE}jdpmqT*}#qNdw!q|d}9TW zg_8dwO1I3Z)TW-T&VYq6bWh4<WPq*H3&yDKNvbUHG`$ETRO0C;!chbmuk5!f(qespzPi=I| z+GFx<*@s8ifCGn-F!j)*9aarucDpKH@JlgUuRrZ3nVJPnetGmxU1sXM`F1R(bt+!W znlN5D+|AItL-CDSUQZ*Ry$tiwQ|X_(bK`npykIiV>{;8un+Ao6V!;rr(L(Q!f6JHb z;{5Ob@;L2@5C8L6TK9cMpvGHrrh-_1TYu6q^OopBcc_oPl_vn^KmZPZ-IjL8-bh+D3k>EuoO6EaWosy(JYS-~9 z;cb2Be13>l%HI|}d86}LEAl@@wbTdm&{yGayWW!Z%bM@CvfZg`dsrr@tmY{NB^ntiS6LXelGEmAXbQlZ3*S5lVbQ9G_P^bvC=w?B4 z$3XNFnEm`&&206+CwfEWzqZ=Q_pki$Rz;lKT7Oqh8v=!Mhz%<5c=A_Jd~eAOqQ%+? zp14_=rq}Yt+^E#TahgqpW02GD+JaVaTI-3f5UB!BH{)={LPO3>9mO~EXP!yi?mXkG zSl_LPtMZwxgrvUNZ`av2=#eo}@c-|J(UNGr4pAXgAloruH1h4ygwX2yR>QX^SCUUf zb{ACF^?oknuTGhyORIa|oTSg*9N)NJ)7UR$*L!hl{?X`$=-~LzZ|R#C^zfl-Q#ka?=phiUwg=H$Zi-p-8N39>=+F> zXD8Up-)1D@0zLeGMe5fqYbNi@5#Q;K&5woJ{n5?vbaP)Kz56m&{Zp`_mrhc&n$cHV zPx)i2Z|Yd9+idf9M>em*P+;5cJnlI|?RzCY*lHUkQLFcLizWMYD@Fb5*6`)8pMsf{ z&L8phH}2z|Z0_TymA`&!D>W(!mU7B_soQknWr5_PilBk(%6eh>LUpB*=pEFbu18I0 zH|FDwE1^+8{;1pNs6Q^8JNDf$ujUOsILXJ3bm?uiq31z@+U`8>HlYHvPD4eGa-+a9 z*fpqU8RM`Sr%$r?IWMXtDZH(KL!@OY@!*?5IdFycxRq9Q~w4J-bSOw}9;4Z8NneUm-N0 z_%RzBx{tpzJeGcZe{c+X@+!856@kBfiCNJ&Wgzb`xBi+rwXS;-e=pH@lyM-e$6cf3 z^2gh)&#ysBGtf0LU&7pY?9Bo6cyXNs*5ms5n{G+5>=@a}5nS{nBlOFb@-s=^bQ${+ z;Eb|fWH}O}0{pzwlY*SeZhP{ZeMe7Rx?vm%)QpR>(UE^<dYsjDl^v#p}_3&=zKk1kw-2#b*uW>X}R2^Igg}} zk5CQu{m&8Q+DW^mQ}{28Guf&ylZq`<4eZ39q~|x@#7)0no4OTISrWczzt@z!;yvX! z){rOjJ+vZaL%8O9^9Q_+&bFNVZPLARynRl-PXB4lOn_Uh^BjG!ARw9O+<7Dy)VJ>% zCrHOn0g3A!dEeFwc;6f@6}uKc{^qK2ZbiWPzd3&kh<1UfmDgv9O4BRSjjp@TA$V~k zih+0d`K{JYu#x#pK+g}Y6i!fSviI`7OIaSr?-}LaN6PS_hiDd8J+N-1q_?0n_R)~b z{l95q9D9!LQE7%6R!sp+($HxkTorao?)qG`t^}y}d=-xvPd2DX&|$o@F6B-Q)~3ke z^|bad(f0XCtr@d}d!OtnT!|85VVbx5f8OX2o<0cdYvS6DCr{Hp`nW2tGN;17$zHji z(+kk-%$>(d%9gnO^-_#7v^*?2s`%?}ZsQoS^@f>bV`lIj)Vk$=_j~bzFDL1r#tjF} zOwEWN<<%zMRgW#zb7guL2!PMK-L{9%UsgOB@9T4C6eN>oyai zOZT;QaKPe_A_ee8=E^}y=nmgaR1O2>*#a}g*u1X!fBg#y% zsJmD@>?+v*&bkkrov!gI^M!zj@An~_$oG@yo9lCVh9@KQ+}!Qp#OYIqT%Cb$?hzSn zH{g4#M`v)~0pks+Ek|mNylD2xzI3l-*i5nM%Ma8w=UVw|XW!u>ExE0`)K31Ufg%;)P;4Y&n5%RR9&b* z_v!2UUnbs|hfUE|eT5tAZf3NIb~Y#~CowJL&-Sm9vQI4qs60^-%`{vbJdyGNHT5;K zAlUCKN)Ht(tn&RJqny>)s)4XHe6edXm$X@UxfZe7gDsG%}dTM5Ec)ptsr2uH0(u zJABJjJaFUH*x8MM+Iw5}EAA8U`8R7^`|ou98ZNc`RdRInN;CefHu18gHNh#mrguE3 z_VLuyojV+E68CVQM}i7(jF*aES_D6Edo40>|B44{7Lr4zYmC(fW*c+9SWoLoLN8N)A03 z?M$3xOlp%}YU+jZfVY9QvUvximc7lKDduGJM0ZQ_mj^YinrclTmK$^-P@ zOE5O3w7&ejbBqvdE7xsT8O zxEcQFxmeM>_R=Nf3$^Q^epmiHdz6~8TP~nxPJI$;K|lTri@#Fkn|;_)G`#Ta2cMfp zItDH@bEuhPi)U1F=@=JAy~C`@yieAiKJYtvL?X&bZ?6PPjMEP0!u- zWR&MdKCsX#`#7A1>bhMdW3nDK4olC?X))w+;jYV^nM&CLWV%ZeOf$vL`N$i8CnvOS z9?htmdtIVcw_LgbLDyoWUy<`ZLSIdP}c0 z^l=v?cIJFuv^JG#ea|$D>vxdetdG0%Z?!ZU?)#Mqn|?LW&|bK}zh^J*%{TkJc7&20 z-@-K!@iSzu{L=jPbL7Mo;ogS1a+4a&Y~lHf4oY!(zUJ*8)6cOo?q3XWBQEpA^`l5X zum9&DxLJ{Qx6iIOu+HX6Iub^+l<;ioyqvndT8bF zIgCm8u>sAyC$ERA40PQaWh?6%bM(S1s~vfpxT8G7)87!~|3-;Cxoy(B6)ql;{da`F z#_S$p>^|1?tL=x<0-;|X>X|iLM~`@z%ZM`g3vCweJUTd<;`u{PwYAC(92zg<*J`=g zwq<*43H_8Bv|y!er7wytX!qkK^@$cTay~ct1^sFonJ=MTv}MT(f0hj!T<~!IyhP*I zh5gq%y_@K2VRu*`C0KVlMK62y!?1CFb_ahP$!O~Ie3SEf0dHqpSeXem&1jQf1{#%j znzDgXu_EB7~$do`cI5$?y6}Z5<^{dg4m`clQ^G2rF=RULSO0 zuo6Dxhnry7X5-pZBcbYpNMu_o9DyS3FW0IQuig9OO(vq%Vc*)(z0<9n;E;H@6T6 zHCU_UVH_&2Ir1V8XnY|8CN-mzgRY?#mo;fb%(2Y5wcN04h z^ML|;n}@jJ-F1)?d9X$zgyQIfBJg5r*~A8ewzGo?Wu3%w`*A^u*3(q)+SwLho^V)d z1wV?(zX6pO{j0_Wp|3o>F_7-#<*t&SFlvZajK+dx*_iajSBkg;$OQ-jS} zWNu0y2CDBx%trjmUeT!w1(DWlqk#P#pFCEh|naXaZqXe}@aOd%9*ZMceZ&@=fM z{JU794n;+z7Cnbbug0sJ+1ruxWPTs)Yy8C({Fa5=M?(K5BO?DE%zR|1q%+RP&!hXyM{`TeX^wYltkUJc1P|lA!#TOyMnfw^!i1Hp08gfWy_*{;J z(Uz!xJ|V7uLbj3V4P<&XnSPVT{H?=bLVnVo14l7~r#JcZ!EDH-{Z()nx_!vG7~_wk zCK`PI;JZsZ!ggZNbNpd(Tl{$PKKrn{?b=6TNIHWQPvEa8K%llZHutMo4I!}5oh?-Z zkb8l;3Us$?Co!+6vJhTj%-S5GdMBiJsG27wmPeZPwyQES*_& zstn?Bn3@W71G)hlzzwVx zfji3HJjFvrR#TBatY$L|^1}N@xjnmM81funEFshn_P!0(RLnc$k!nT3HDf^T7;sTr zWb$!3uAYiB+J{v*mI`GV^DffC-h`Zg-7+pmBoC2m=nw1sfc*<{1T~30d%e_IVeh3`jx8#CduIv{r}kb2_7g+c$P zyi*8`RjiKmJN-D`Aq8QBQ4b{_*yoo1+a|RbHozba ziorp4^M0dz*pLkeDD1KUOa^TX9cdM8Mz&eud|-eCO9dky_f-F5dYx$PNe0(q*_E5P z9Ciij|0VxF?VR~H6#neqaYrq z__$)(o?>EO0FKeKE&`c=H8S1V9f_b56`@?BUO!^wDh}KgZU%g^Jy%W#MA1}&MZ$c*a3~saPI&<8o z514r5HfwYJrag(ci`Zh5q}SC6iSA7M?>TF7J;8A@HR`w?^=>o5_AZI6a!Ai;58O#0 z;kFM&VX=~(1=r@jqu2-!lY_RCe}+H~gfe~sE*?%mQc|z6$Egd)Pagf>^1mGo3#|*3 z)&jn5?4Jmo3c5Bi;;Eg=Es26_cAq`d`Th0(+Mo=T<;@@4M4Mm4IK7XSt}?KcL~_f9 zU;HsNpBFS{dH+u6*W0CP$0kRt_llAq)%67}Gb#u45fYRemrYI23@}>ILtn08VLz>| zfIoOT;m6uym9^06%&zejD+jT+p|6?KHt?2ZRl1d}uhZg2}~E)0i^ z#e*x~%DxRyD-_Tad|~#9lP|369bj`}^!#+_WX0H1#>58-xV)*LNzK(lf&bna7gxt& z`PbEj);d}@txP0$0@Q1uG#5F(2&jRkZE+CZ&~!lg=)*63;mKES&OUPLP?><~X}X3- zetjFBRS~&5^f96h<3xkF4sZ&;Jg${cLHDt7ZDwfN9?vLhj5x&G;wXq1X}s7l-0Tx% z5HqaU5{=R-J7W@N5+-QvG`aKo+V*LwSH{=#{_0^R^SB`zk$jyI%_|m~qjly+p}Av} zh_orEfz_RgA19twVBi%0Vgbs}%BsRKWZocVCX!;}hLiQtBR-oyx*8dC0B=q$c{&Nr z8~xUXSCi6D{b-i?L=M^btvohgq6K;G>h*u{0TiHRtHtVQ^TmcT`zRY{?{V_XV3Ay# z&F9pBDN}WiNlUI;LUMHZMN`I>7I+>MW9(!E?RfdX>5MO!`P=h-Yd*z#T z@$*R6H-GKF`y{C-1jvTO64CBa!#>yXw)K>VyH{1WQ+o1kVs)ZvF@pw4M5JX+Z^{{!r1FMSs2W?<%N=PwHR2BXMZ@r!CObKtYVS65#E#*dtP?77WrzMz*VgMRtrl+A zYiuw-tL_pk&*xTjb$;oa87jzORoR)#cCBuVbI)r@sk@T{1Fnoo>sA0x9`?# ztbYF*l#S_a(otNk)m03@2Yi#y$hw^fjjwcdm>ZBO+p+VVS@Zm>a_k`JG>x;8Fr5vz?+8F(g5O`tr5-9|V9$?Np5*vc zH$+lVW|T@F^UbOC>SmsP@2cxnu77IJx!wPo;*5L{67B+&lPRwDO@&@Ed&x8TRnRRv z*P-ueZaw<@ow1EC%^$Vkg0-1|nbppLk@b2?Y2Q$3CQMIVVoekFbM6;iVNtuD0$Ygk z?dw=t;9-6hoF$8B>q0RI8T}4LA>_T8wJFCb1qRaT8*U~vCAd2ah!WS=Qw&1hHw{>N z`9h_D){U`0e3;hdTV*%FdhbLO?(gYem)4yn0k`|tIhOB70zrP+%=!CXz?`(iXUub# za&oGwU4#kFpBYO}>0J9n@X7f16nd~SJ^^sZx{8f1rw z=jXh35nn=s9bmghu?b+1S-T!K-G*VldkU*KmEnRQb=4I)J>uvHngs(E0^K*x8-7XvwA!Wcmb#PYt#|C zEHI-nw(LJ(KQO@MZnu^S$$J`(&J9;TMJhDw7GZ*b>i*fc-G$rM`xuS7ZWwE$RrHX7 zUn2m!Js&Kz9Pc|~A#~1G51v+@O<}z|j-q?KWuVNzfSpeMsFGAv zfo9YflJQ(0l!`dA??`Sn-#ty;=V!2x zF!|oXBQEA{A5DS`Q9s$r8~VMOCkVX;YlmQYZ7@RCt4q1}Wi4$-U1R-Fh!zypB*V22PEQCY z2Zxe%QV#6X6LMz@umQgfeV{)}Z*7s@>?5)n#U_cJupU{eE1F7svgdAxV$nFJ;ZoCQ z>SEJBuLYO&jJ@vR*`ontq*`OJTE|x|ztD|jZj_PwUrSPVdugtK?46z?odH>nzYcj= zb9#RQH?B8LR}4b}k^FeXY<5(jz7T>p20sh<#{2wiPrvSL#=48-QapIl3@Wmu2d101 zOJI+IdCP$5VW+TpwsMcdxUdQ~CCOo6Y^)6?C+re-%SH$meF^JjE0-Roi=7AOzy?>$ z`_aI(zg+qKWtT*jM!?riqQ$U>l1GjlIXt}2k(qDcCw5t^pR}Z}@pu>V@{6C{qo@j7 zuj7!;yiV;{{gqjlU$+4GqY!y6etvEd0X|4eg$Tb$>Xlv-woN)&{?SnpyC2F~S+|Ty zhfCcPE~fy&+si}rL!afYRvk;k&&#G;8{st88&AlB6~4FBE_cw`A^o-}G{G+re+*Ur z#MoBQIqztW+oe#h5)Q~wt;-%n04hCS!{o zx~^u;EEp8`M~0ql*E@cW4NSlGeb{|$tSZAn2pBVGdt0T zL)*xZ$cEF`DlL0=>o9zrI}`)w^!&iO`H3l5Nn||-9R8pH$KT>GH8E2~x^!*}tSq`S z-?S7o`igc@!h7==U2XrP!_9(iFP7ZAuX&{n4w5Mm=$E zgOYkxeC}si895ucIp?WsUsFCTmW z(ciCf@zZz9!==hJdG6-OlW>MyJ|#xV?Fb;K{B!0j{fnfdTQ(fXo9!VlwKUN#X!J4D z2MmFeQZW63)1Kbb2hRl%kA4uS64e&azCYGpfmOGlX33BfGbPjQ{cVB=)}h%Z!k?86 K*;J2zHT)m;A_J5F literal 38449 zcmeFYi#t^7`#)X@Q%<3h&=i$Q5z3j_D(N70sV2usB}oi9GiD`&irA%+(Cl`_78xbR zafT5pnyDPdd1ffH8O+i&W{o-hmi_*GKG*MaeZPOg_i|mcp0(EVJoBvkx$oEgdfjVi zFI%?kwc2v{GaCLo03Xi=UylyOMr^jUHs5P*x%ohB=(S6mt*os!@3pb8w6d_;YiYgN zJNSBB=*8g8zXx3m+ibbn``Bi5@P*B8mqKIUk+RB_@HESoh3EZyST?BqpQGiY{~QyR z&or-+p7EAY70>p)+IJLD_M-muM)maVpLML(Z!2&MK09gUHo5cTgBO48`?z-3$)l(C zZF%<1$%G3u=f)DbwLrQ1Qyh^mkWxdaL$fuNv9rmf=7ph&K`!o8+38(go}QipO`)S9 zvjkCAGCSN`9(zcZ=STdV>RIC(tECiLw=Le2j{R_qkMRB>`tWh8bmu`9VC}^`s5a)~ zj7u>V%6r5IM_!0Baa$waIo}^sTplBLQLAKDJZzNw+LLRY#p1^4*=usZmeseY!dFb` zon-~wy@eIMurVkC;hf8J8giYH(#{85h=UzR+aMs#oM`x#_8ZL)@Z`VMW2zSbJpnM!1cVS zyZq^W_6pV+()XW=zPgW#+a}~bF7^tlZ*K7(Q+*(0jGk~^PHn{3jsW{3-s0EuQNaz> zi?RYQKfNPu4M%7$WQWRNX_Zb(VV%n>7|I;>i^F?IAnGn zosvZ^7h0n1W$66E?7VJ%MDzXm-PG#3#>P#GRRYOG+H2B@crt!H7Zuyk$SV~qEXc%g z<~2v@8>G}HdFE>UMPyiN9me3znHwyelsAhD<=_bWgouU#_ z%>9sJ_gK`AL^@5G(!baiDr6~71HyAP#nIRC8sVK`zukS%AA88AI6U(j^20*v|NHna z8z{_D*pEi2ift$v#>&f+{%MwqmE8X>v^~9l=HnIMxgft8&rRNU_9H4oquUfE^xX9@ zt4yZR(IA#$mBIOX=d^J!SQjBs}^0WLVc9w zy@n$8ny&ubK4<`VgJBJJ=^TR$E zwgY0vF6KzgAx*+W@Hh zr~DSR57&Lp79<}4*06~4l9s}^oNst)QBfghvGCrVlO27E(1G|?%%(?$Gg`GHy^dw4 z^(QgwrMPRS%Nea8b_I*_1KHKQo<4bm`JCW47dh~aO?o4o&CiS8O_}z75}MM%;t`LP zX{=WTRJ{En+=?ueEGDPDms9qFvCmu-*P7o2P*>wu`I+~$>DP=Fe6P5Cwd?gEJf3}_ z!z@p3Oe=5Ux+R=}xY~glF1EDtHdGe45wzD1)Rl)4m^T?Ypb5L$xXA{0nZQg1bU3jb zq&svkG90yi%BDK5++F@0fkr;w#L-~)7&mRfT_qqj6q_S?3`GUXFin96qkX99`!C=u zKvrB35Sp#1+{0|Q-z1M??_??p+rm+OE`LNIjY2kTx4!wUb6ptu@^@3^2;R0*P63i!L*RNM@4i^?(>9m{{@0t6Dov+b(u(wDv+eAquoIxP9 zIin8--cum|Dwu8vWF=mVN z)=0~V(IcpJoKcR~p>fd-)OwCyD{_^8zS4Tdsc%|`$1$3~W}Q+~+cbrt?NL6lZ5u@ZO2ZB0>|IH8RKz08_aN|CFeR8lk9By|&7o!9Z<1Qu?Vy?GI0^q+{#IZ_uwqS8iu2__P&hT{N9> z?KrN4>J&2EIeIEj2j^sV>uKT5{q@3c_Ro^RtVUtdYN{Xmtk>`K^BsZ}Ud(_$f)E$; z5y;gjQ(9)LqZ1;DhKlv2a4`NM#fQx+lCeCb|elt>%G1b zR-iYY-HtW7ZLK->)8f5;E^uKh{ZN8{x+X*iUwx$LBe)&dLidAA4~;h|q2?^!C+bn7 zK)(h7doA=PHJ2>dJfqa{TzB5p-do?`Fcgy@LlBpvtZ1lI=525g^i4$`8OmwPI+Cx2 zGje=2Zx3!v#l}k}{1a-9NE5+b+Ahezoir)|oeidgtFY_HE!Y@mFTK$++py_DbxstqMHW?IxBRo;hufw`#EI%k-POtpC=yd*SE#S1GRLc4 z_Y_4Vw+Rj>L|m2&$t;Fr^tJjr>fX6^QrYPB_?Bqph)&Djb3FZ%4?&yWGn&`R*@oNuQ?v9fT*pg~6s2&?OmHYDSDS*8N?Kl{zF+mg+yHd# z@_x-HcES;?4AEL*sh&2@hDXL5TiUbpx4hX+)4B;5a57t{nj_>8duY5RH(E0K4BVDV z?NriuJN3-zw%a{B_m&Xlz=7#O7;+FPZS-ogFX|bv$+J5*O+nz93U3KcvVBVqYn(XZ zq1kl5JVXh4*TRYwI<1xmvGFOnsZ=EAE}QQLMS=0g(v^^(_SjnaWrA&{m-@(JT0id) z1hpK!+)rLtU~Al@f%9P3vdlb9p{f?yyes2vg^W8S)R_e~g$oaWom;L9)^CjV%EsKC zsJP&ZI;|*DCFvwYZ#+%Os6OhXH@+`!c-~q%-I^bU57A+$P)mn%xHRIb5iF?^ELB3Q z-`z+zoxeoDECZatOn;zmjr&m zqQVuX1!aoxOA&~oKlJgc(uP|sB>n_}rOel@;}f;oEHp<%3{It793W$!RBUQh(1naZ zNOWj11PKMCYDLQgs~!zIzpoz?GRi^z-CvASuu&PNERvW=~!m*PonH?DEquwu9#P%xc*yOn3H-_@@4{;UCFdHBXc!tjF2I+PON8+5; z0!J@7QgQ(gkO5ozAaoKQYNs)_Ir4r%oaB~AGeWT;&=w4;H7dYvZ;E5f?F9NwNo)_R z&H@JKjFPCcU@6%0x_i0aR{KqGnoWlZjC8cx2#LP};-r$JW(68jb_=UoI1N9=pTm^4 zkwlydJ++nE5}wb$T@A3eS_>8Ty78&HR&8jS=q`k6J$?wx5#{a_{@F zez}E%sOvJE*Ei}((>+WqY2*@KW2Ez=lER)QXeBG-_j!NL>#;BmSu1=Km(W$yW^Y%R z#%k@Ou8FcynalTENpXp!8fDR#ho$3SpLU=QWmhDOX){|ul2Yj>D%n5?(EAjgXoX*- zo+_|y_I1PL)oy!TMaPG@CL??bn^8A=F7=1GdepC0|Ax_9eFzM*b!$(oWuP{On0Y%a zARBG$jDj=58MeTF`UL5&y+04URW# zabg3Q3+Et|j*AJ6*NVCWs(_*J4!ldN++wOS$Jd)~oNde>rZ}i%tKpZE1AKKuu3f4R z=a5wABq7PrKet%RU94!1H20PCtv&Q&4nxKBA%G#_?d?_T7vs;jPR-JUan{o)J1Bf4 z>atM^7WtC^wMAW4YKmmX-U=Ni8+4`YdT-xR({=tuX|xui@H6Mnxa~_Xc0ebYb#jL#0SMo>(?q;KP)sG%70_) zjxIFk{|H<+9uqwruixas#wTkKMC#HPRkMAnn{Hq>%_9kzjT|^Kb3iz!9fl@AwG~M2 z(Ckr2oGO`MEJfR3Z@~oP_d2@st7??oNrS%;27^{mU@X;#>b2#&o1$S4l>uuD@>al> zcZ=i^>qi#?ek&eUp`IV0yb_#~_TT%FlSWpDLw^;xUK`M9@*#L-*sdx%S^{{c*&>{J zP-VH5+oqL0t*R-=yfOohHK)b|XVY|+z({4@0_(x6+Admw+i2W2GdCz7yrWH76S#$@ z*Vg3*#e+&p+_>+exPRP{@e;m#PI_H8+|L23AHkDv+Pz#Ml0~XD{l-qRd1<9l&fccP z6s(&l=d>%C7R)p6@7&1Yaomh?CQZK+uo-&U;A>E-O)+|E8>w(QCh|{Ko@2(VI-v=? z-ze^IJoEf+#Et3XU<>3+NuewZa5gzc*5bN1S65j=@}{#ZffP2y#f=lDB$A+`py^u} zI2>rlThS}$c)$+aQFg&%_2{QIJ!SfI#_p(NMYIG{`V++Ai%u zpjNn;(ReMW+n@=jA^+k-c_iy3(#4r(Kr1~AeFe3QrRSgr2&_6_OUuoq8gWjr^Gurb zai<968(z1-N0H7Vk4kJ9G=RXW0nW7HHh1>~Rp)yA~l4*AowegIvj4Rs(XShZ~k=~JkHi~gMvXnxQhuGHU+aO3XdD)TTmYR zvoX%9>FClq>}(?_LNp6nHboE^1ZK_>7t(f74K$%~Y2|KpPgBviK{0qtTfVL7 z0>OfSz6G{}*R?5Y18r#3RHPxNi~S$cgHS9FbfBSIj=Mp-5O+JUPn#kl>8P zS;xT~u5+1qisbwsSg9Ro;9^bV(NH;H5}0e;v>fM4u+88_CmCU7QIgAcqt+=Sa~_zQ zi3Qav@=X%2VqeHu351201w=#dTV(R<#yH%2tTJFi8*b@bIVZ~Z1cP8W^;ZyGC)=0q zua}AuC{>qzw8oaqLr@~vsy(J5KTU|wL}_!J*|~0z8|ZE<)v0rFXDf=X0e=# zqLBzJJx()QbSME%|JrzsCV+rZrJB&NH(2XAwyoIPARfePSMt}4uH}$hv9~}+4iiRv zt`Zv!8<9H-j-^WEI1A}31QNm5hZCV!OQWi%RS$}2+_MKxOsZ`{^CQ8pxdxJ209mpZ>pot z8L457>R5r;7C$%0E};uST!z)IW0_-Hy%0_U7aN1nT}?3QYlNLi(<$1&U9mRMI+CS@ zN<(Xnh}!<;06d{`({+Ny4PJn`5Q!lMgI2KpezpC$q=|refEM^oo3bKs7Y*Hd{4jJi zVIF}|v-n@w#1oVHnM}aU2_RrIxpzSfJJ}7=OsGV7wTf(z?qv2t)fdM3iK9hi>1>vnEb(tG*u!ihxH{p=B|hIIS08C z-ikNiPVKP`@(2P_3vi?rwwSsnSVJ!m*yY4EoIRWggJO=`F!u%(Gw zfK?>0sUFUrkt|YD8y}{X0$2wsiDXg-$mn0&8hjX8539pMHu+EjDuLRPk}{VeTI!d~ zK`#OO=B5w=Y8lmu_J1ZoV=0{dwB`Gn!U!lOlr4>z9-jkp*&*(b8fa}I-3Co*%dMpE z5P&lN7=gMPWlw`4=?=(b&l=;@n@$j@%dzV?6CBgS5G>aafNmu})RYmn=qXyIE!ULR zApoth9W+)ZPw?uJREy*82JK5oLnsh|7Bo}_^DbBb4r$Bno36t9P^?8-TgL)m6{cQv z>9Qi=NXY^CYJj?h9_KhG7|t{NV-N-24v}fXZ8#7cRRVX@ghtIN#yFUZ{0l-HKXwvz zYkhNVtH2FnfKJ+SGpBfhLWN~bi-szE_<7s%8%?FQo`|DQ4dh`2ZYnQ+{e8Sk9lmqR zN3|BAqxMmXtv~hPz3=Iq#p0~3ELDp6e^Q!c7!M+qSd6FKHShLGGh5INT>Hh{a>*@V z87`5=dj96BD7SUdYuaectzBUbVgLG@e|3i{#t)#&qor0atdM?9U%(QyFSSlij^km=9j4 z{~6Z8J(REqn%T*jvKxjL~DlU(_^72Y*rhpMep&XYa z7qNio3%($KAMxjN5T!14?95H~TGiyST~oAZjwh;fi&sphm_mzVkxBIrh&L2xVe(~@ zPSxjp=IEM8VeN+aZ!|oV;0T3j<8^SS2}E^ZKg}zZs>3O5#pHn29E+86xr`;(gVTc9i0b-!rQNrSxj z?w$N#%J1I!D>0qsVVUlr#j%bcN+;XdSh^}fgaB5L?V+i*F|t53yL2Uf-NQGF>Z$Ro zaDO_=wbF^Yw*{~!LjcEz!N`k1E&=VMi*h(@TIyeXdY`B}wh#1ByzplQ+ijt-QsEjDztzAE zl7JU={JHbWkztui2U21-VU8E)u9j<6%6mYAm7Z4Kirf#qY9nNZN5U+Es6ko>6IQsq zq3xmJk1hl$Xh?!?Or0$1dB}M8V;)ExI45up$vghwkY`C3mA+{llT{2NW%XH z=GlxWAtnp6Pd{^!l&NDXNG0C+c*`DU?tcS>eV7`xhE24g!+ zm!em<*{*y#h?GR_PW6-gV;gc!LN5U-)#PU)0E-M*AiT;OWF2n-?tuTRSb{*Ukp~cv zD-||0tyI8>lS#`zl#mGhg+Qsx&k&HBm^GY-9L%Br6eNx0J_II#C+Xfdk6i5227R;x zRpmzs$W=sb4y?aS{-@qZgCprS*a60yNE4u8?Xi_E_8%ql*%}ctZ`PI?dD#T_1)C=+ z3H9`=X(9fRt@Pi8MU$pIQXvAXg*4(Au}w^xbaCg{N>GM43M+xfDMh2j?(2U&Mow4<6Wd5 z5!7Y!1Of&D?4vDJI5_zH8M4AN6YU53$ z{RqAq)t-jU5W$5Hj_qM+7UY>oJ)s(Hmu2`^0!xE$#1YZpWD3^^wdKpCKM}xMd=T4Y z6hFUN`mM#WK{g%)wZj-aZkdqVBEws%Mo8Se$(Vo0TN_msd&n54bQa82FzOjZJ9#rGPVl0MR0;a3?XqrcFRiqV=MJeu_q64!nmh@Nn{b(yNDDg*g@DsA z<@Kk!m*HQsP9H@ojslvbjdggS9nu3o-Lq3;XSzdZu+3O%0JWy_$ichi#tC9LL+tCw zZ_&E@Uc3>1gaD|acEH zZOT%*Vz!EoUZ18aMF=g3e*+vgFTS)wUI>ajJD$m5`fQAY$umLmU)0$h!h+6uMT>gh z$-)ZxHXR{jm3$*w;XE&NtUWE(>TDjlqsvKTqudi*pjw2*GL{Y}xy^Q)z0d*%HvYZ8 z6&Y-vXrMwSYQ^Mdw>7Ly!OKL7fGQ9qCB;@+E`f0iTm+}I$JV&)r{!h>(nARsp+;@IlXMn=(wARl z*H^Hb<>^`~rcf47fz%OPsxlOEp0eFBT4o7FkjNTocq$ z=s*Xx@p?^O?8R`8u*@!Y+6q+vYvwvoq9h_Z`mdr|=uq{fPg~G+b}8(5b$o^e4K|U8)45W9-;WdodZxz5^7b3zud?ON z@3g_3RGzhR7#U_-u!7sGGPa$@xnX^;t`SSMju+Q*P3<6?cY^e-qB!-$10XbQ$y$VWEUs&k5!nR}#(oYf|hY zS@d;mwWSk%xf`M9bU`aUEZOEVi$>IwG75I}W8rey8P2D$?e^pFW#l$z+sMx$_*f_; zwab#KGG(6{<{}8Ap)~w+#5G44n*M?UU?uJ>`+7I&MABvU6Nc0Q^jeXB5g+gksah%S zUMjXl+D|e~WG9zy!d|{K&+@6zrFr1Og^GEn^!X8BL*1mc;tHe+-&Ab)#{YNZdY5hR zNLyoB;L=DqcPQmwlt;Z_ty=m{I4oLzJO)-wkykKrleVc|n(%^79Hr{R6H(!s2fQGx zXVQhGk?X-#OVb|uHlYM}B@=Sh;I6NaP_>u3!UANe>%kmHqB7OaN%3p581rukB)6+-6|6o9CzsI3H&>^YCK`qeRIru z9+oJUpWBY^+^f;xKK9VA=)$)s=^L-8U$*A^z^`-W?SpH_uekVCj;}qnM&(Id{&q-T=u}@Pt(M^33Ha>ZcT0isAZouS;<}>;nDH=MY)ASn->)qL_Ear}x@y_KaEo73ixz1VqdPw+Kv9X;SGaY7$s5>BDJI=E0jC)^jT z!^}Pxxw+e8=3|QtI}_dt)-iHSNe-BiM{ZVmPYsF|ZmLh7Ha&52kzZRp38_4uCQ(Nh zu0PJdl=D=#Oum>LQJPn4cZakXe+lEew? zgV`t3qKuT@VLdMV@)wDRY<&?{k4chq0k$6Jo!X;ns85aJF5=SPi7)K(_VfR6Wuzqc z)Fdsm*P~e>U#eS7b>yP&v3Gk8TBV7v z8pta9tPTTM(nP7sY_vn|6OxVH9h_c=C(@VBpmU(Ulw4ci<_o4!Fo89Ev z9lT-^kGlPPvgOzuERntN8uf zbXfe}SEP+hmzNV|L*J-o75L{9WeZ}zVv;zf;#;Ii@OpRe^*94;cz=b`jt-2q zd0O~RB`e6^yV!J}|ID}<>8<<2^C0D?-nh#o^v_;?TGb}=9J3jC6Q66EPB>-;`v!K7Idzj}6A$k&DTrS6eDTrrFP%`q zI&|mn8l{fJOYeYB%>9|teEpQ76*tvOXD=8wVm7rpx#Y|x?~fU2w~M1X-ATm37hOX2 zCMs6(gh|FZ#Y#hS7YvP_pHbNAM8#zHf4QnR@z00_u;Mw!!pLj?yMcDQu7gFk1)ZH= z-?$#^3CMmfxGN>=@A1S8w0@8EcNlB0Pj8~94{u;a%RhhD+CpL!8{Dh4lYIbA1Tx+E zc{X@6@mtt4uV)KtP!`)rVQcT}V>)XOeq?R?a6Ss&);E88(U|k@oi~%WT0e$&ZrP_K zOPBGwg)PMfte~b_@5J%<`o%)K!*{HrBDTJs$bP(dPyA$I-K8)4Gr5n>7Q7^B($~7G zO!#`;In`-}pRS{;4xuwG`?rS6Q@+O*&Af*S1hFH%Z>E(xrs>Gv0)?n2^U++4dtm3s zvg|X(fN7$Useu)qBV~NxJ$~^69f#NW>99TEV_l2b!JKDTi<;oj(S@M~|0IECT%O^J ziGlF!Onc1mobONDz4Ysp#oP2PUY46UIH7Khn{CH7I#Ap+bYbtrGdil);TUwiFgQHU>BiV+(1~;&S)FAu0Dmx%|flAeK@Yep+FlU0+O+ zzg}dXmwpx(e=L_r>x;IA&u89e$^-A7e7LVt<}Q&q9X>NqS6TCpiVhm-5s!Wh1BeXB zV4V$ydELSE^o5bbFkTofY$t_3&3zYj;!H{CCb_`y!5F&N)6)DhE<|!~`a)C_R-i9P z6gy6Y9SHc$Ogx=dY?eJ7V;x>SR>P2WlXx$urt0M<6;Hq0o;r)_Wlkaw1#-XXuTot9 z$@PxAM5E?5<=k8Jd$Vyj{mhG+yTYfX+*i4^Z^qPw{=%u>3gnd)Mun##l7_wkDS@e>~Tpbs_7YsE(>T=DVwr?#sI=mlfm^Vba`_7Bb zS1__|u+cxKYFB#1&zo}cPM~&#{v++*9PZbw=g=o^Q2jcOQ=PfOC8tj%Q1oV$xVfpJ zR7g3lLVzKJQol&13#h?va*xAC3_Xr*oV;wW5d#RqG24Okrb^br1*b9b-4DFQaMg)n zI`IHrEx3L|ZVaVTD^}~xy{HU<JvP>=KR80_)lsxynz6%2!@@qg@7nMV~(5=ZHzv80wgsEuznloqjxI`OT^DNmydx z+%7cci}AbJ{iM(Q(nea1lZHuK!=gA#8u-9^q$ceTL)qQS8e`}{fGm#rGs+M7u=)G= z-y?<(eSE#kz3&%JB!&;U`U6_@=uwm3A0*`kKRsCRIeD$eypUX-*|6x5e(%H-KQul{ zZn<|h_wMO z@!aycA>@814(>s>!z+(OjVJPl3%xGS66xy8cjqju>car4)5d?!r$3WtF546(wBHJ0 z+Kybt8Clm_UM>6;88zb{unaB9X(xyH=B5vH#1_AjH7sYlko^Ntp+>u`gw&H41Z8{n z`9AgO5qe|vtSc{Ot3=;blr!&s?g1^J?7=AS;(EwoGuzp>s>2gMC^CjJ>!nZ^9KWvLxkE)ZtB zN!o8$85EPaUDN1^AhIJyQnq-=Y(NP2eI*;$7$~Nrso(I=Z0h>OmwLE6PpI0#JNHq} zuK)Ik9Qqa|JyTix^?Kj>H&Dm!N}_MUB-(l3FLmCu^KgiHEDT;b^0;~xz8Q%cB1e3w zdtLg;{Cd>sh@4NmUzhdm{P=mkY3=OhG5fVos&x*Zoc4Jn-ueY<-P?}Ri=>n@$a~+G zg+TmJF0cN^VhH*inhR+3*d%OR$QGPIhUW=_xDFWMNd-=4p+a!<@|=Z(BeJMcAkaB5 zs8>u>TnyZyrW>lGWHf?=%`(O8$Lx!_{H`;QHKU0>gnA?y&ITPz6OWyLc?zrcL~+F#uyU zwdAVzyI-^`jwKHX`y8L#+v4$!i|bR^J+fE3f?Me!$){-H3FTgnkEN>TU2+02p@q2d zap|D&O!1oGM-qd?0E}?O>A0@Gln4FKoO{IPl+UHLU#=uxmSLJHZ^I*mUwbP_pBjWK zN_o-~Ynn-K)1s)#8_B$K`x|NghK-b0%l#&$_ui!q{aAlapG#CXDQvi{c;rakdKN>!@igM>1UnYE?f8iUjmpy9_$t=?G1dgvd}hG{fEQU2AD9+qt-?XcODCzK6wE# ziQP<++iU#s*VnsxTb_k~`RxZCozja!ovMdjP{>Gnz=zdI^~HV*x@sx!53h$Bp{UV} zLRR}5UO8q}UEk7iWHp?7jTka!?dv{Y@;sxn@8q%KxxFhk61@WF1t1Gu>GDu}$1$0@C>n5f^Mc@^K1TvM^ixF zyvpPLc=K`7N|%&0%-w~}1!cJ|YTqo641Csd79`=~yd%i(9(2%f@1WooGB!hUr65|27GvcA%JHmPFMwVPQN znHQ0*o-ugLkn1z5DVV@zt+=RLvcRRDr87f%hH~$;j77@hHVpPA$-^daaCD${tv2|# zVNj7?6HS?QZx15bvlgEBRpk|7I}>YKcW}##PnS%6q>~qvWSqy7OE6g`9p#+fGs@~+ z|HiK4S|a{xaQBnJQp{=?B^~J~?vShC!&Jq(C6&P#kBgeU$SZrh#XN_U_6b+?Ut47Q zjriVd`*hEWBf2jsmkPLzi%qvJYIGFNQ`0@L{i9uGR&c4xrxg_|l1IcRz>g)9^Q?3_ zpo$rOv2o@k7nut?v0mI80jrG}MN*jw;@WmFEss;RaAktl0?9wB#af=^zIAnv7J4L6 z$qjaKhE7^GU)sOQ9J5YnWCTn}5ARJke-DW4fz=+fwc7n%;=%)sHR+=h(gV*%12ctD z^4c#EvqACpDBueDYgJegi930ftXU<8t@!>b`Cb*T2rH=>Vrz2Cox`b=R`K}8-xPfk z;fbJt@RWTuvPB1`rZ4)Tkh$7A0V58JRIOXMcbVR2p7S{Bb4cikgI74H$Y>`fH)woR zS7@*HVe*7?s}(<5_?4S@Dj8%wtaJ%sdp}50kE04ODp#o#L|nQ+C^j4HHIdqW5R=$p zRLaV@0)baM6E9mcvxQ5&Ly{-z&**UD-=h_VE0f=g<76c^ln?(N@ZC#p`X*DbiWus5 zzu;Zn^)$qu90m;4wImfQ)74o-^e1)BFaaR9avR-E;i=N&*iEBRo^2=*6 zsaSx?3`K2JGnkMn2ca4^_IHRM{=H_fcbPo7O`Ju;$Ly(iC@^D}6Gv7V@NvVrm6^r= zz=w#;6EPGcZlB8MV$#B!327mn9H%5hBe@3Yu{FZ4l9LW}@^Zr;L#}-HP?kYk+~esX z&gpY5pUhjZ|47m2lSu3+=@gy1yd*i73Gy5jagXP^hB%B!%9yCX`dRKg%=pH7`fH8^ zNWF>_Rvt{_Z9%a`tW_l!f9x9A&E++_8kvQ)=0wVm?ro;-Ggv4SaNA-?aV8Zd0<*SX zhVrumA#}`&9yw%J(IDt*jj3o_wooq+(lc@%cIlTpC@%D4Se^Da=GC~o;>6~j2l-3b zvbrakm=x${6px28RVn!w-PwER zRCo}}5fgzZuBHmw!+l^#O3wV=x;M|>_K)AC$8W#+)=n*0$X{HhnB|R&+DdoC+RvDB zS<8EcAKR*Q=+sTbYtt9xeiKqT)Zmi1_H4J9InJv~+H$Q}K*Y*HA?S*+0^c&=9M$bs-w>jhp4~ z2^uaU$tz?jD|QnFH7-LezZQHP#f8x$cUkqQQ;cSZ0az7DpLl`t*W&32?(>i_G_2L& z&;smo2LuI*N1}DW%jzou*HZTNMR~N<{=Ser7hihAVP~4?A?v2!A664dxyPm&q~yW& zugPxvfa#0jUR>UWvReOEpsP2^F_-D@Wa8Vir#X-fqe`we6 zY581ajm8#vEkli>#=9!4=KayQ4AU4_#rwnMU6>D3GaUYL-@#w>-cztznQ}P9_jnkM zw|KnpWv&&J5B_ZsCpeEWiNpsIOvzSQ1# zej8USZK|$U8K4NfGNDXxP$=c2htJHrskbg@#9^^U^Tycua{kZ_0NMpzCcx48T@qcl(tKT^5Z;G3$DBEbBb zL$LSxfMV8dIqSyTj;hjPl1ROTLsfHRiCG-m_oz(^F9cm|uXe!TFl=02Ca_k#9oqQi zTifTYF7Js&pwJWgy5X}99wTjq@<4tNrp7Lb`Ob{8AP$@ri2&1B2Sx@Lf@oklVdaidDsp+xx(ntA2t|GOe%V9xi2gN2_c=dRdq=;pp zu$1;y1=3LliUZR7RmnvPC;4+|(Qznc>6wkC5mk*vq{UY=dpp9402lu@QMSXck3HwT zFFqnq&0P;m4shI6(k|=?;JnJr@S`;hNy9_QS)5THW}&}hw(FNSGphz(R~k`h%r_OP zGl#k8_W(j+`S{Vr`Pk;zo#HHT{|0K|`!pzbt27L>XlvZc(Q2WpDYi%*ee|=Qi=s#! zR1JkMQ>)WNy@k455%H;8u|ly?>Uh3+r*&ZZAwbI05!UM{e5LZ+i|X&Gx{4^9)sg*%^zwHs^f3VFvi0+TP`YW0-Z9t?+u;uU^ar7icvu z_;|`s=FI;1 z%<`N&n6%Bnww(GWS3z$xNr<%emp{(aXsCOVDGpl}Y;>j2`anUiQ}&R}Yysu_(C5jX z-l3b{F_pQw&)@yPqW7wlXGNXpGu>A-GhNp7>F`N+SYpeUlD6CzW1rhP)M)2`@qRG9 z9QJw=?cGzi^Rq=P?ZbLUUuWKplCS&itvz}kW5DGl8V6X%TFhv0!+_p5)wXcru0h>D zG5Q&pC}yq2$P`xxZqtd`B+1^`^Tt9tIxwx@zBc7_ZrwI>o zw^Qg2Z2uIPnKCW44ocq|Et&HUdRR;n{5jW{*|y#e!&M%QkH2X&Csmq{WjU)aJQS$W zVpu6EGkdw%)G3O@ZNQkTmj*X>pG)MD(&0wpfDV_L2{#M}p3xNu_{pACk&0PM4fCpl zMJQ#sv84)IOyavuQzT9Ut}xCR!*g#~C>B^OP2f9_N(T_NH{UwK8J@agwk!-xJ}+?u zw3k|eRmft+MtrDLa$aIjb(U*EXxa|CA#s?^_mbmr8ds)*cHidDla4@((wSx1uB#NW z(jGV>_@5}@QM<;qI;rZ4(1q{`2mUAIgIkK#l}2gw*r;EA8l+P;>ld=Ko^xwWbxZeO zGwG^=O4+&Zk*a3zt+I1Be_c-9cCA|A)rm|;EkFB3+y)9~%9O9cMHnM}=j10VyZE>i z_Y!Bk^*|h#oYtIy(s~5HBgp?0gA`;5+*|gmA2|Qenzs#;W(gOJQ$^iTlluG>J=T0 zEO7HVI>3b+_BY?2shXxUT^tJEo~mLLky75R4>mi$znH4Wlk5zB8ANnYp!><&MqCp-`BmVU20Ja)$v|DD%`< zx6n4LbZWa?khteaqQM?44ED#jS`Rb|T;y+Ix?55gx8{D2-ASO3A22epqZ$|5e{||m zMUSI7Jr}0C69bv>OVxFIdyC%8DKYjhjwQ93a-_sOSDU{4WI3nL0fO?bhI827f!3EESP6$M{Si=v|q22y;&xLILe z9Kzl;ow(~WR?^s|m=pZ`P*r)B`tjY}xvuR!5p4mE`{3BDST!35|L>Jr@4|x0_oXdnA;mH%+z2N-C*-IW$@GhtfI3Qd6Wu6SVm)x z$YL?I8(E9Ps!V>RmsI9qqFdugPMy0J9vTn&&N9| zj>B4PvZXSPLf->hMA?9iEB1@Q*cimU769i}`%`?v;qoktsFXI>b(vNfFF#X3n(LaT zMa0Wfdf`PN+hAA&?y%wIZ=$HY`&E_t{<<_*2M!)3%Z*#5v5@1A!VJvQ2A`sJy(rrP z;V*{Ui@SPScf3P9_Gk^Ye>g)y_H)~Zo}p%x)tRD;*Hm(GwUDsr(H|>pgf%Efx>BY04C3(4^jyv-l<}P zh@()0xh(ZW)xc4`O>B`Wlj?>dOv@Hh&4aodmu16>Q~BgjU+0X>A0m(?CH_CW*AyK z{VaNHm$W3J-l6iUQE%?{M#zSE_W1K3XV$&7UocXhSPZO(-#9QXW^5o|KN0>Q#Gn5> zDbRkc^gg>`)9-%q&*$75h2OY7Nr#_vWyl8M+oWAZeGe}!#$ViD6P(c=JY8Mx&nPdu za4hy~BW8Wb`cPT_On9$b=gJA+mTNz4*~35gzt%t-z67?wzt&(Tt*^f=e||ANs+iQn z|Kl5Qxl!0B`kwpZ+OmpAVZ`Qhi2+@K8ifv)OD2@JQz^;lr0$2N8J(5@fTt{}$Sd3V%u$o;HnE@lxq%Vw0pI*(p%Qn^3NBe!M(y!BSYK7yfv&EE%^k8_wJ~nT^1@?-x>eW!$AA3e*9JSESGC7* z8?<&NtHb0%>v!af8Dp4SFeS!FHfn`rOzeEVlZ{z1%o69e#pM24Gvm(1X!JOL`~RqV z_jsn?{|~tGLFJSRIki-xh~#`+5-PDuQaOxDlw;&PTS7vpoRY(G&T+~qr!D96Mh=tH z99zt6v&O~__q*@!{^P#?vDf46I=rsa>$u|YMbFvXqUI*QxTvT#+S{34M_CK}) z;kqBud@wKoBY?#`Z~RIFU<9!2mYUmk+k_r8;ywkv{YoMLz4L8u1tVCGWd*qG*lb>! zG{K?{j0RhUwd(-#>1CMGs`))vB1z^Zg^S!fy$mzPj0YFSwrvHWa|vrsJe%tCSP&$3 zw3*xC$`2rMr*^PgrPbb8@ZMsISMtl10Pb}4wba1qQo?&{F{?O2_TW`u1q2QmiDS&# z@paK?GZTXa&?{i4Y+W;J?7F|tFmCYTcx)}r|Fp$>^#W!#^DXH?0bk}yJS6kEljk4Z zD6yC1Rd(wtPRVwQkLzo6K?9*#gNcXAfE(xxeJjgC2`0t>imZA2x#B4NII*0Ey!`7N za3n!-c?aDTX>@%kMkM^4TRE*kAGlol^~Wzs`Efg{N0l8CFkQgq@~_iOJ~P}9I^A-_ zjYQK0bn>ucZst@WKvgxfn(Ez2n1_)A)NkG0j{p%-FISI;YjO>U6v6QK-(CUG1j=(< z^XzmyrkmAtHzM*Io2i%$e#;GzbepCj{JE=tLFDOB+IApZ{^J%IO0_pYoLSfJ-)i6H zY}qa%&3=i(a12gE9<%W<*PWR|8x>=HjC>pxlFSoaAQ{i0BD)!b7EzmFQlsBD}(&^rGF+t~RPtK6WKVDoSe65IF_Eeg%;#fbwqeR~QozXGg92b0; z7$W6!V@Xq`YsT#DQ^&9w;`&ruMKRLWOJRFLIeHqeNW9=guVgq z<>~jcGLHXPC0w{`LAfJ~ANzT(rndM~j{xp`bFu?OzOI^zkHt~W- zATCihGD_5>q62TrMVzUCH|{6&L@0EMkJi`gX+Iywo47@c6B2O>dFZ<-+<-aV{I>p^`HcrXIWw3-8<|?K)sAO zxGupSaA`0Ar50RlwI9W^)XQEjka(N)O@^ye$66sT=*Rwx#IN$GAVB$Aaf*E5BRM3) zQ!t0*T;#PE4kB*H9skX-S|tY+UXh|a zy|*B<6_2j&Y6YD1dq9M;qb6tZ-(z}@cO{V%d7Ie_l`j_0~5iAhXKsL25IxrYr z74hJfj_6h@osm1E*Yzqe09{q;09*ofCRvYMmd<(#8RA&pu1dGJ47}a|T$)6F+p1Q> z;*Gv^?nWBSIjxAX#B^( z8gE>(=y{=vq~)|N3}HeRAQo$>R7R^%tD&|qPoe)j*1TG*Zzd6O;OUZq&T(_JE_Z)F zAg3Rl%M5pwKdV{gnfN-@iC=b=ZRt9yEW4jH&rWa=Q6?RbCBs_5Iyv72UC22EiMz;e z1Fk4Tp4p^js_}kL61xBZ)S8d#Ot89KG?l}TO-!*oT`g}1X?SJR13^p;x8(K*20YJu zPW@`p)_&-EmQt#*sOJLAJ7Crdx+iNH5X?;(@WT^>tSRC%+aMFKZ}dRm3jV3Y)fy1Y z5c0H>D{v1914OU8OOGM6r{h6tj!-zBW#)>!y`@_5m2TMaB57SXYY{+M4J=Ir4JTM( z7Pq6m)wqK6pcv9$X*YF1CC)vx;~oeA7PdJU8H5_ws`@onJrd=K9(9Fdj=kjRa|f8Y zB;B2|MPxcPkaIzdmlh3vlPT88i(bMcnX|3LxGXb4taLZ8iYP`n^zK~I3&+0{g%|wX ztM`4^N{L$aj;+lP9{4pL4HET=*04`0|qpm3iTs|1$~n-_iQs)ISMHyhd;n;tz4O;2?g3XF(dn#jk6cJOJUuT>H&A=L9!9X@gqvlX zjsfqq&JW4{;BhUX zfB}!1rUSe%Hu zdqc?46}dK}bYL&6aWpo@8~t8?n-%al-$aKX{16{7V3$=|A-aC5!Ld%-ycFWX$bry{ z8)DZ?W&wMm>06W=x-Zb@gU?kFk`{whnLoPrmf&gk_=TT>b6!pNb}405A^0Z4)soio zb|6vOLFk=NYk9{g2GGD;nGfYCuy3o}Vx915z)HK`HcR_Cdam;Bv^;;-I;_>9lDnl) z0VsWy-t0`fR$s${EELBH=vQ@q++TSZdC{zSHj!56E&1aCFzo2LaMV6oBD<0K*p|*r zUc7<%N#Q)5J-FmqO)lB4`a8G#IvPb$T+{cj1bku9bF#=p#M^R+C9G8!esVpcUzJiSoM$Ymjp?9zgOZ6!r!u&ibDH3@3#5hs zyX{-dKqn4Et$vQrS9EuB+>-K;WK4|%HWzz&#&PC>YK8wJ9IENrC}x-#TW`|kpOJe1 zMe=W$1Guip7qSG2IhO9*wNqB5bY$td6clje+U|zNF#KrK|5{+{lB^GCh)4Y#ceXh(o}vTS#nXYzBT7fZi)13A<_psJ{t*D?F#&a|r zRU^mk0+bzKaR8R=0L%%XG8iLn5nxWh6Gw=1qb|g2l8d+3&b`CPL8I!3N=zXd2mouH zS~{pj3N8GTYTC{)OJ2S53-67@{AlMQZeQ;sXR|hgM zUYt{3+9QQAF)`P-@2Co*F?ORKp!QZb=b+$KJ5V7K8O8{Rza4yL%~tx$4&e=|ZA}vd zCDu6{f!o34ZFkSWcF8MQN6=MZ33^pDLXma=xfaDc;RdWQkZo#!@%n9G?{0Wjhi>RN z9t1xRtE3oLC*<(XnwXb5S6c#=lrvhzg7WC&zIEt-*!7qtX1j#Z3W7$ByB|Pfm+Vi& zIJuw4Oby# zjrj~Ylo}kwb-r}D=-?(H01Hh*ZRzh1s;7%}+V8@I!rNFXk#2;9Mcqj-@_y~PO zQcE{FL&m#!nSf|A+GqHy3ugtZBbry=!h%!(eG)M2V_6-ze5*`wU7I*fTTr-XNZjsl zA6X4pK1Otiuv1C|up$klPM$FU9UBV2E(MSMz`Kx#(`t7*vZGOilDmiy@B(SORGXr4fT!~C-i>%on#zY_ zWv2|3BPUR-kX*QM!5%R?+PSFe64518YJ1_MZCuNuZy_u$0W;YJ9_v!awXFHZ)9L^m z_RgQDfZ_#3FWzzBn{2@1>FNw*G60Prj-YoS#*@K-jmI5crl8?4YvtaT9f-uSu1vrR#jHGMp!jUVC1W^iZ1Ny#nB>}+gB1I+rMho-h-F9}&y{rzHvsDb%kOfv zoWWaf!u?AO+z``X{un9|#l3&iBqs zi+aDD-P^Cx$2?+YO!r)o^Np+s^HH=AZ*YuIL#+fpY@Gl+iyWO9a@}DPf#^9*QJg>a z2b2VBMU<1dC*jYDq}l(p@1LCtt)nx1Ue)g&oN6qj`zpMt-_;+i?Po=l7S`|HTafACVpj^*+k=CpG}f-QWP` zEuGO=kM%??s0RSJL=Jvx0kqC`V;Z0m+j!k903O*^oSM%FM4M=iQLI`bF=MQq!JD{F zhlPv)?$b`ZCat}?3k$M=8S~BVDW8W$h*r6Owu-|Hvv%YhiM`)CQGeLsREF?|E?Yf^ zAPdDE*zQCvvjqW9n<3YNpN zBA^NASs-Ojp_tkL|7OFQbw%a%JLl1M6fYyD~T>!2T48B9 zPv}Ctf6%arZ_^GbyxrjV@BD~QhCtn}Bl;q)M{=M?dh=~#D@yJ!K|cE;vKP zQFQ&T%%naRu(JwM-z&QY<0M7a??V3Fo12xUHg?-z>p>~G9?hue93spZIvzw2+v0r< zKJJo!)Q$kUc>U&~qo!_q&EK6k8UG<#k*KUMsA%abE-v}*wE8}ER?2DR&z}#Uj#X5; zWooLick|?l{1YEWIb6&})yAmttX*(1abs}(4j7lSWqQYD0CR-+pf_#E zvqq%(!};=$s4dVo1LixASQ&ODz^2;SsbFEa8;}8Ue#%t<(E!wC6&F<4rmh5Jh|0It z3PG161E~rBqjUjk??{qX$b`X!X;O!9Zk_1yzm#J}JkWmM^CP0#A!y3SK_ zaJ8tK(v*S#FP-f!-(pp}B%DiuK9P5{1USqkJad(2$Nb~E0N~mYQX3`Ay$LXZI&8fT zJHFI#`JSNeq1=uk-)SKn{hP|U5O_i~(M{HlI(;B{9e0jdQpmS6BEfFSj~i#DQ+X=6 zHO!bmGBt5Y3Ptg6+L!M~-EDjpN$1<>YK)uJ?cY0^&W+`LmaP zig4~M=x68hzfimDuD*cN2||gBggeA-1r{LWN2qkm{0PGQHV~q&Uff$M3T*d!`5E!# z2v$2}&iY9%!KOAxI5#+DoIeNjCJ5a|=Ug778@{QJ`ag=8u*DaJa1Qy+%DFO)od=&Z zqO%+GogPz-N^I+Vr8vL3=Rt>ZL%F;8oZk6Rn|>o+;7I+&kld8ADSZconnp+!B$F6? z#9Jr|Y!4KoX}U0$5D!|97D-M104H7_y_>K7JGAp&-d?Y3xCaVY_z6zYBvm4BtN9QvKGKu=>x*@;) zLxw3jA?R(9xv%->J*m4^U^-jZycs_qitaW-w0J;)RfN*OK@O4OQN-|WpuLB@pGFZZ z|FzeAx2TPB8t9g|{D`)B+!3J0JtLi1Vr$1gwy%YV>Vl4+HKLfW+qZ!ffZy!f|9-#i z-l4Xr?B(PhglBs^3``g21~2M22P70%-AL-{4EXDA?uw3~eQ5(LA|5wUe60NwjSBL^+0HcRAtb38Y7Yy{t*a;k=zT+$}1f_aGVaZ4SG@reO~!f{0wBSJQD6b z;Xwpb#;@ABpFc0dbN}mmLWYY{nCeO7s+f21NanlEZJVqOacgI*QvByG>X?_;OD{h)1`nQg z2wcjvcsKWmwgUQIm9pzb(8wojj1y43rk3|rIXHxMYBU0MfG{G^F#?f#AA*K5p9<#^5>Mp%?&npt zAx{DvNXH;>2P~N=NSkGDz%i>p||x zh4(p4uZa5mf8#PAmLRuP3elRkOh0uod5R-%h-3L2JQD%d=?aI`iXCHPf2!L>jK*() zfCYVJk(K08Bh9XAKZ08|4}hF#Ap& z<-h{ZgUU{daaU2lb(s$@#FO;a zhysEwRZ0CmL=F}}lu{klTTV>5i*SK}WUW-!k>fhR)QKj=5Y2b9+dwCb@Iq4kJi;*} zOh5;ty?&EN2Pz5jYo(ekAl#_QLO4eIL_4Bw-d!@66q69cD{8}>1M)Z=#MHLo&Hz-# zK?J4^a~hzsgm`XI#9-C$&dfOKwhS8+Lkx4ZUC7W5*-0S948&lj=U3IMq;$lc*I_Lc z%0P~QYl5+|>J9%Y3Ha%{y7Vu@#=%^cb;1eNAjgPbUXDOK%2k5`;_=AG z`8DqadLCHdZscoJL8Q13q7c?v-HhYys7F*#+Tq5@;8ZTVeIA*P986*Rvcm)@4=+tu zAhA%(fIxfawAG+Mc*h&of*OaF`PB;k>b?!UtaoXC<5cBIsA`0>-SQLSlT~IJEkJ)H z8kv}L|^Xc|^rGCWkY3(b`o%5LUiVqbZg{wpble?9q zPUZY22WZ6xxTPft3q}ZcFF1yp{!S>TA6J?jkRZ|%k*I4Ltm?SMmI7M9vytA|KyyRf z{HpNg!n2Ve9j4e5&Uds2@faI(R8VB~@gQPo{;8PY*C6kL4R)ZGeeeoz;6?M!)U%AS zt=YVw9M|y8EHsH%h7ivPn8T%a_DRM{@;-=Ql`*0P4RtSuEsI(m3X-VxB z50^rC`OD?}dhr)>AbI0FgLrib_bC6YRu0SVxDWfef9l_?%JNU0M?V!bAG_1@p5Egs zpL^_cNqmoGl9>t@Q*84Xv3caIQ703exM@0$on4f7SOTLRcq2G;j9jM6U$%t-mHc^d zFOm4+-yx@}TIm?a5tkqAJ0hwT#+)_WWFgEAN9J{<5@Emfe$1=i%7F=le`qt@wd2iB zUA2jNu2(Y6TKt2QNRrdP*R*{x2%#clkaUEs^(feM>-@DNdXGJG@>g(GvI2oP=@K>lcm>*~>fPP_)vN zqy-qCygba!=SODPIBd$cVx z(u<2QZ*HGl2|n4IHflcoW1f|>e=|UAr0OEG(YyeMJ?d>7g}AG=8K64?ccs?ES)g;y zPZ$eY4;t7a_B!@6?8i zaE(Shj`U=Rzy-(q+^e@`TWY|=upqP^YqTf}hIVWM`>t=Cm0`8Sd0=#cFehbLup$pD z8Mb`>dmJfY>s=e-o|Zr9*+}{YCL-R#1f??ND*~4s`LpDC#bIV1DxL^)cBr)!hCdj& zLvFS~NCF3t;9kfkZ~Na|@FRNzF0$j7GexC9Tu>&Ao3{t4v%^9>wlj1X=taKeT8=d? z)6eIh_n;I@10B0pa1K1?$f;-x56b#H?FRRje*pLzU6w>uz4Rma5C&) zKNmK61p++nRV7{bi?iT@Ri^|+xC+2Oaq(N<+HlG;jZDLlC)!M1^HV&jBV>sv;x5mQ9DWD! z1UPK+kZkCcTD&kerCv}JnOuZ|nXMB-|yq`rOp*g(~I=S~Z z%^R?wseX-N+T{zw3xBDGgh6S1xfA7!LK50d$9dw*+l+t}p^xs%O0gZ3ih!>M0aiTW zZrn-@Z8MwdE5iwPTXIudAh=UYt^*qtO4f+3v&6rC1K4H6UbN^zv)RE#S+va-Haq#N zp{Z8)KoDD8 zlz4=r+{}Z{qE&|L%)_VkbNgR>XVN)?Z!?6({$Qjzme)VhzO~9|06k~J zz2N-ubgmY&t`I0Xjg2JCQ|^|$BihDoeQ#6Gvmv7YDs}D_wvFCRicCUb?o8ETKE&=$ z3NWxFnxLVO11}9mQ?mn^baT6=d7gJu4$PUQo$24XA+YZwA2X6c`FG_@l_*L7rMr@j^8~KUAzl37; zA_Do~1p;gdk&xeC4G^L}KWZRfIw*vf;F`S{OFk}JfNdkfw34Md=***@{qP-ri7DEP zdB(@(9(2ACtn76p`LW~LNC+>9OjNilThCma& z<3&q`pURVKf3nut6%#c4=1i#kY~9dWwU#C7=h`39rR%YI%P%*s2m6q0uxaT%`mrfB z$1KH0DOaDT5Fc0>}CXb){_>8f61Ape>cl75( z@W3CyMuGT?_1Yl#`H2bpCx01%*uSWJU*iZbq-jl0V!LZXWo3~)uI6dWl_2k$E97Mv z#`nroAyQ&Hf6Xi+M-MrecQj_ZuWOS~M)1r0vWx;ze?`r;l`@MED@sDvoo zfietSdI)*cv0AzasXTlxu*4Io_Ci5slT#SaeK!8f@Uu{Ok9~|vpqk+c`Cl<=N^5i^ zdrO|~Hki^>+fWI~3{jKxNf31`lcfuwaR?u6k#SSmZJmDZF)C?PfMhfKF@WKm<})Z3 z1NVvjZgm!EqGn5OdvqE~c*%J!@llwl)L)Xr_dMMKPN$vp$2O>TsCtONb$gPrTKhTCb@yCual!A&#h zxpD7O!$^)O(Kl`6ilEddOrR2q)Zt!I*7=b5<)TWUkMVBOAxu*PC?2-OvR(OAe>Zfj zoLzDAIHzvtb+BS?pH{!lDw$SpEe2Usm%{j=3AXjK-UWu1)ea-O9nr4Fulb&*e2f70) z!P)%8L|=#H{Ratm?_H;zlM9<9te5*gs`JdgPPJO2zgy-f%aw~MLK&u^H|`Qu?tZ}) z>D}Cvh)qRZPxn6`q0H)&?3dRJ?IGx=KsA>PIc%%bOx&uNBG~mxpGrh3mTQyAw$3z0 zFCOC#N+($^jj4}<`V}>e+0jCQL_z4SOF2kJVYVIUx_h#H=oiE0s#*5(;TL!lA`z+y zp1}Tvsig2;JaLby*zl8j{2~@%ltNVM8K$gXRn_0P+1z>E-(MrmR#6P>T32eFvR?8% zBQ9MRkf$>=tKiJZrH`5{mDm(R(G#N)lV#^dKV@4bjY++m$tX%kzbo!mth-~ARxts^ z(r)Y9m-jQayJAo0#^7Zx6nD4IrdoP7c4T4SU^TlTJD;VmkC+shp0qdmY_;Mzcw7G& zGk#|58gKRV=;FH=n^>3<;t${<-0MGNu{gW8H?3|A?=dpnh|M?uO!Jz0Event_|ZCJ zKwIJKN`kb%xu+1$r$5ZCZ054~EG-key(yVjbZ_eeRLeN8`KaX-e26TaW+_F0lTTKA{&F=wgo zhPa~L2c6Ui8g8ZD+-*54j(-BM5x$q;F+L>+!3J-@n@# zCJNaf=d`MkRCn+HPV=-?^48P#?8xFf_I$Phg^&dvUr63mVshtn zYPP!{%XAs>k)0COKls742@n`m7Qs zb&{6+=_>WE=~upG&OT&%-ok>FhEBq*!JWvMVrSE;j|?IGIloJ4tsBP%uirne|5(uX z%I6wtfXCGFy6^W!vvA{&Pg7nWm0rDDcQ(RnVs<6uSQ>waZ0Lhs+^30+TQUvh z$y2br2wvq=kiGF0xc`O(ZDmCUiXH3@Z{l;*qpuGw4?OI>&c1;;BO>y2$jwtP{JX&t zT&{Nu_v3p!tYlGIGNfFa@%B^mc9w~@xWa;+efYbLYY%8Mx-MQa;{TjEg@<2mmNRzykMp?i2 zn>v3{;4vi0JCr{0P_3BVFS6m*p%Xd$u;;A9HJ941h7~n4f~pImj+f8f$_Fu{1NNml z?^wV4+H>?x-|(ZA++tpC>H5#rv<(Z#&>Ieo8$qU}>b;G1&rbNek*X%NV!vm)YN1}M zU2pa5aM_tPM`zzJsIl0(gLHU@gn8WB?ZtO>C1v-0jji8`&pDNwMo`GpYUK!9<9c!71mI??*5$En20o63jCEJZRH!0+N!nz70ba#`SRHt39iBS zZ#O$%tJ1x{6yGq?Tb17(zG|Lmc*{JIH>~n(X7ubcq10y;m-v&z70nYHYrdpa(lIdW zmW$sa$U48m9FUN;D9DtP^7WabQxBW&PQ>aI*R7iKs-K-bd>rhax%qC1=l4@4pbK^7 z+Iwc-E$Zhn+VC~>7_FLmHOgjLa-@Vx-xj$FfAObDu8()tS?%$;*mS#qPG^(mY%JMzQv_&=MVn5T*L^b}+%a#H1iVal=( zwr-vw6>wUT+0=SRbndo(@>S|f=N_J%_e@qG=h-ct_``2zQ$X)Z4!i9Evaa$|~ z;m(&OX`PRudHV2Q;bJ=fz8Lh(iqX5| zwUo7Wuz&xr4qj8S0Z%0-i&)pVOnlmCGv$23J>B^C$2%K=ne*U?pEBs|Gza%5^U~gH zLNvB}QYX$W;5_P@)yN~wH$BNuiVSknYqws%DS)C`33<3$Z+1hbOde`vYS@i2)wU^v|cJl)OE;_F06O8q&P% z{}(i2ds@R#Im66dA-45$(pw|Fj8jc2Wq0pEPvKt$!k^U5)8;NeFdKNaQ#c_l-?jh8 z&b3B4{kH71lYPgZyuOq0R;l?*t-uFuHS^nsX2?|gB&DUTK}R7nUuM6C3?d7EDL3cT zz}A~zf%+eK#c%`ES48M}RO{I`T7IGAF%_SdKj6`#6~oIDtT=oa+e-zmcRmprJmL zI^atA;V2s3!k_-k)uiL{gMyy;(6}i@#na(^N8?(rEsl2y<9x91@2NlWc#)e+dJ#T& zM@iF=H~xO&-H{9Q6q7~HdxP*=*HuSR()Nl!^)4{G0t#AQtG8%6-c!GOVmaO}OWk7U znOe^j^l>`6G7CCxt&A#znS<_*XE5GhCAZaBo74>oxCMdYHJyimr!8m5#SWLjqC=cLNG=yE|HV7VKGr3G~nCOh3{6 zlU72mqiEANsH)QkzWMBe)K?qd`1cSM_jHo}K6i`jqkdk>iGyEzIp&k8rD)j~bn}z3 z`~zh{HRJLt?~CQWYk}pXPOSVWO78Wt6?F_t8Pr+%QE2+ef8<%GTtTgX8&>gfc;xW= z?L&i=lV9B|<7oCP2ls64kvlNz)_&hd=8U=A5iO8M)!5Tta|iss6+BiSO|8EE7W_B~ z)^@$I^*4X!DXfm7R?>%bZ|#PT!okcc{RD0Pg@r*K2fAbbuiJRVb7y07u4PHjR$a@^ z$bJ=BvhfLd_b**;STDly$l20>)b>7{V&f_I>N7K{9ZPqT6hN4Dc(HY+oOh636c?Tk&4B ze>yuh()T_*PKsb#%`|ECIjZG4eCzh$V120dL7lUErXi8OhwLRH&!4@NXLobok1HLE z?7pPa%EIz6qONW5t^3-x_5>r_56UOJHM*Q44KCD1cpiuT>t>RseRrd(+66MMG>1!3tXf4)MQ1k$5}11skuaz&zJ(KGvBX+^xgRR4q6n`IL# z2adL;6!T9G>38k5Vt1*Xu%41UjO1543-6L*V2>CUu!XuZrwjrRlh zo)LOe;(eJRXJR>bI`xj!gEcw*{pZ!s6#j*@f1aJWM8t=eNaa84w}pB_sI>v5AS0xZhm>zLQp*N zqsi$BM*a-$Mm=z#sn`-LeMs7p(~pzdXmeDjH-b))B>$Kb-pywT=7&SI4|<8>ffI)j z`aW3DKWT2pOqf2Lo_IOsFnqN(4lg1<+i3bQRW&T~c|gg~^NPVTT)RIBZY|{W3G{D*!4>S-YmaB4 z^Pc>$B%>>i&3D{k$X7n0MRrl}JU+9GCsciZ%J9#`U`5aGy}30$g!@Djy`-6Q_D2J^ z!`7nnB3F7P{LEd|pM3i7eCvxgzNqokWd6W_Bw@=95xN%AC%XLDvYx-&pd_s9hYzXO zfBB%eqq0H~;`&>!OG??|*dLZt-}p%-Nu9GQ=8?T$3YJ#{`+ZDQ4s2T{%KV+JP@3>O z+lR7kME955`BZEY&*|ERX7*R!anAQQOp_{|xwgVO7knp<6A*~5!Qx2YW^R60i77D} zV6tCL{W$I+O8bL!?=0!;)*Im#8w8t2=pwnQCSf6&N##z#a)ky1J=wRnEXk+q;x<$Z z>6zMnQyPgE6{StP(oB_ zmd#T2-vKQ!`zl3@?f9aqRQ(R;#<)@`wr14Q4(!Mnc)<0dGFRU8}CP^aTF@Fig z;=gPB2p>$U>K`TVkQ?Mda8lUEx^$3ud~%j(R_(e0Ixgj>*$(GtQ`Y*N#IrpuhU;_mb?=5|S|8t$P|2k9b1} zf*)KBreCp@{vcKdUPfFsc?>QDp9U@Jc6E)IZMOPA9XJ)dJRK%eP1L#wBI!I$N~zye z0HX2~2N{N4g@519P~dfk!OZZOyj@5h^EJ~Og}c0C#(u|n2m8alKE12!O%J%9A;y59 zSb4i(?XI{m?*BRUswV30pTbx$e#yqZwxpFPH zA%c78CxOHSjTr+g#OJ-u@MP4|Y-zT-hXVD`vBX%+~&i?-^!;e{#ea zlzYV39o?fy=j7yf-0$dJ3hwo9o*sB-N%tqQVVEhx0?7~_<$&USfy^wxB2}Rfj7{d! zW`p2fD&QH86bfpd3a9W)~-8(xo^_-3zFi`NpM9lxU;OO6&`dy%5 zdKjBuctpo6kG7+t#rM_+-oo9)flft4h*d%rc$UFiTXVbhw8YIv;jow;jJH5DPt*IH z*^U`LS%J0i8?s8WXY(#0UU%`t)|GFh`Zd9dXiaIBmb{r(m6}9RbLV!b=y)83wqA|v%=PxS;8SXu2oqjpZedub_VmF z?sCREX2HPgLI1i0gC|)6Ga}Ie$OzhC3$vsan}c-H6j;L?@-SyrF1RsKZegr<YNi_2+U=^z3yy3U zSm7_%6vpcz@BwRcrw0}BH^4F+w#9ja5qkm~!yxu^&<-#YVzTcvV;W`b4~T{S-5$g= z$2@gAdBmBBB!qpOu0;j>efN5j$KB6p;yq$p2D3SHv5h|2KyQkiir|5^8w$cXqAP@Z z#2!Xo18Y_X^Xa!8(Cx{j8t~;-ECR#0fM*2QO8-3c0BW|4T;$+xMswIVVP>2qyva^u zfw9SR#N;%i22aBO0hzXXo1*YN&fC6!5igQ)lL#)?({LwiD-Gx^P!9@xSp&gg$UPyWqgk)y=x6bAEqs?p|gO(>1tC!zGV)GuXRgNY$2M#x)1dKY__J+stDoGMLd* zpm<8E8mJ$6h%lrHj0pUCGU5wI0j|uuya~u9kZC$dO%*iA!zv;09{x_xnLrD(w%n4A zPt03(vNwB_QlbB5HRI90sWAnL*#ftwi?KkP>Zd_;JSgubx0~6;WSu-jSK7d>!M8g& z$9wM~!LUaqvd*JTls^jct)=cQRC}pwBt+7{bby%DDe` zB80at@w+MF^8Y5_zYKs72`TKsQ6gX%Ab8=S|FyD89_Z-B25-W>46!cV&S1{uo!84| z?63b%JJ;FNgrY>{ipUj}jzSbvq=gbeDF!iDngs|g)JPGe6C$BQ0D&t?5fBKy2uSZ$ zLrE?Q2%#zhh88KIw*&|TV)A(RSG*5z_RD_TowH|lXXnhESqNh4UmSkaCWxNMI#Vsk zs{YsWfcdsCPoNg{I@5AH|KF;|018tVw2eG$1PvgvE}iU!XHW3B^UWs%gG3)m{lK*_ zzKQ0~(eMaHh&*JP;^qPA`6?FCLb ze%Hj|7ssUWumSFHb_a9_Ab&0a2|xZKzrCGHo1XI`y_iCZJ-|nwWUe2#6TLFj$4?p_ zaZNNl;UUGXAGvOqdH~7iv+#B+7}bz%aaz7Y7XgxRS4r0KVKEf6X?wdxuhtJmPyyQ1N(0<|1hN73T$^0HU(y!YuHKR;XTu#HDgA)Q`!xPz9qW#6R znf%(Mjs90n<-HnLNi5ZB~y_6t;wy zHGh~aB-i>7+WUxRiq}qWFl&^sCC83iO21N9!0wWXHM(ZSl@43u>K#e zI<=CySpwu&WoZ+?HWl!Qb{<`-W+Ww*ef{=v%LI)!tAw@ z$^>DP>^3Xh4{Gc1rTjK>j%(SN+IIh<5-2+?6nY*6^6(W}oD`NIO%UOygKA-EF--b* zp7E?IS@C{v3I*NU@YL30s=UZ)e1&wCY~P*5cQ@m+>5J5c2%hr~?xWBPEt_Q`5=86K z0Z;INBnyqwPijta=a5-4pUgXh9gtm@W1koJfwJHmpg#l9tRl}`jgLw$NFEaDw14~b z`4yU}w(3Ri$nMAX)C-$(g$*4_NLTj@LY{T!9?5XIgWLZ) zGAY6f<>w?Wb43^qef9VrGZFPpoDchyZ`>0`PJucJq_evR-anfiD4IK7ye0vEyWcLO z)wP~~9;b3XT2;dGJqwK(4v|lbgG-{dQrO*0LoX>1I!xE z(^QIsAw}9;Gxz=hSf-wgxCXP^{Z&@{p;z@Zhn+k!YN}$7l)aQh{Y79W=nSef$!+aQ zEGVw8nBt_8#*}R738gI3ss4-3Cw%6xl>Jx`M;mLAmYU2UcXb)Q>eRl0Y(r>m>FHLH z$C_&8ER@s<_#f)k{_{eyX0 zzlRf+cLFy(jEW=JrU#kod%P~+bZT^Lru{wu%R$99jrI%<%uU)M)g8#-cSlDTL)EW~ zsv=B|`F(=zNIEf3Ns2Pm8CCw?_|+QckE?u-Dq2Ac8h5oc(R=&sbj{eDq*#0gP#uh! zl4*Y7U9o#x2Dt!Ztf}%*Y)mM-vj*Ux1t^!UPMsUh8rrytug`%Z7NVc7`zER2s2TJHq7vQ6=bxm7emv-*cs7Z5GJSg2uAAjlU5IgZ%#viKI#;o9XOPmfvhfswG0^+E^y;mXb426G3)LlYx( zHX?lu%+mG8Wesr;3|WlP9}ww4aDGy5ZPjkJFLb(ReR{HC8PD&mm}n=oJ~W&@a8WSx zwKlv^)90`R71GDBxf_sz+EVFu*C7Lbs{7&4{?JgJIj??SmiclV_sGzbB@s)oD6g?!Z&6BP%b%B|H?Ihf`9!cTC z(MW4iogr69QC+~FZ8@D`I_*;$v?}CG4oE)qz~xAEobGbA>{u@ui+rgxbEs^hs9xE@ zD#c+Rg!&{NISY@f9x`+&Vwbfj?+5LSvcvDjPPJDuSlLH=;QiIpYoj3Zqg0gyjH7M0 zgK;%{LRtuKE|+%YOFid4-7$7pGj=#1rcg!&c6$kJVuXHJW|Z=MlrV@FGP-vqFn90y>A6DM^ z#ey;3YM^gz9iG?*`Nz!IGVPM+_=v@to!)8?6C=tDp0^{`F%`RmG zKc{W?#Ewg3l4lQon2ROL9ahF@2zJhSJn?eL+Tk&MXT5LZG!v~I#`to`h7kS6v@NI> zXNApCkO)dGWoP2VwwOEIfKt1=0}=|?;}*l84c0eOPpoYkT~mWGwgV6C69ty)PH{{d ze-3Tqy7F`TT!>M+ zt9eiL$JiMMl@iEiP!nu2A=|8`km`ydnFPfXv|yr@)NCNq7~4RAno{ zfZP^Ae-mPWQWf_!$#cL&SWpI`2o?q>2f#!ts4T8ir%th0fo$=q8mWbgc z00W0RKyCHWGDXhpnZB8+HPRci44! zcyE-wHxmI=zQnQmL#wfWam;QFW|LTh10hJ{o5`}=H*3qz0o1tYpYf{CPKRsiNFr^n zIvXq=7Sy{*`6dJZ&{6g@xN#3Pcla#uhX7B^4$E<~uz%obYcif2Cp%>EdB6RKra0p%+T`{y z2>eg9y4u|$S)}d0q%tbyw}IXQ$SOLtp{E|a@LJ_ZUUGk3$_nhXG2+iKGkEmx%Q-8* zQu%^zuamB6-Q}qlkgHE=B_8zMPZQZ7lhmr>P6KjVWTdhuQ{Qq}KUW~NP%(CQo{ne( zS6$vwnznT(gMlxoDn)+wqkX7|b+_sSS2|lKpwORIo0#P`_sA0kGqoN z&9Cd$*4lJ8{p6VT9G&)4c@dv&Vg*?FFtXC3P+%L5^%kgcR_U^^HF>5`1W%e96O+0n zf1{Vw1BL+Ha`F#m(cxz;&H@VmCa~vluUA}qnLpdutk=gO;+1g!mz5O22*z%SZMP5k z8!?#67)&uqEL4gRgIaA#4ice`#=5mTTk~RQWwRhi&$dvQ^WyU86Vdc#pTM;oYSr@P zhYkzhq;mHTcH>+y=z^4r9ly^ImfA;l+^cHXJ+-pTY;SJw+erZ~zJhrU2ahAZ_B;u^ z3jzq-;o6n04}pRUhJ?#=4RpcjY``Krnlx2oL(6`NUX(o5Ltz7V^%A3e-WqWWy->w) zm8yx45|qIr57}J}quwcgvJvJpPuq;^8;R!Z==Q2_O?5nvQ0*zP#yH+|)#%*J%nkkf U#AaDwS$gl*Q|dN@`@bCi4_h(>S^xk5 diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 9055d25..d68b83d 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Mon Sep 02 01:41:35 2019 +Mon Sep 02 20:55:58 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Sep 02 01:41:35 2019 ; +; Assembler Status ; Successful - Mon Sep 02 20:55:58 2019 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; @@ -89,7 +89,7 @@ applicable agreement for further details. +----------------+-------------------------------------------------------+ ; Device ; EPM7128SLC84-15 ; ; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x00179BB7 ; +; Checksum ; 0x0017B008 ; +----------------+-------------------------------------------------------+ @@ -99,13 +99,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Sep 02 01:41:32 2019 + Info: Processing started: Mon Sep 02 20:55:55 2019 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115030): Assembler is generating device programming files Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 277 megabytes - Info: Processing ended: Mon Sep 02 01:41:35 2019 + Info: Processing ended: Mon Sep 02 20:55:58 2019 Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 + Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index c61987b..449e11f 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Mon Sep 02 01:41:43 2019 +Mon Sep 02 20:56:06 2019 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index 06bc71f..88ba83a 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Mon Sep 02 01:41:31 2019 +Mon Sep 02 20:55:54 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -53,7 +53,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Mon Sep 02 01:41:31 2019 ; +; Fitter Status ; Successful - Mon Sep 02 20:55:54 2019 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -98,7 +98,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +------------------------------+--------------------+ ; Logic cells ; 102 / 128 ( 80 % ) ; ; Registers ; 50 / 128 ( 39 % ) ; -; Number of pterms used ; 249 ; +; Number of pterms used ; 251 ; ; I/O pins ; 67 / 68 ( 99 % ) ; ; -- Clock pins ; 2 / 2 ( 100 % ) ; ; -- Dedicated input pins ; 2 / 2 ( 100 % ) ; @@ -106,11 +106,11 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; Global signals ; 2 ; ; Shareable expanders ; 0 / 128 ( 0 % ) ; ; Parallel expanders ; 0 / 120 ( 0 % ) ; -; Cells using turbo bit ; 56 / 128 ( 44 % ) ; +; Cells using turbo bit ; 57 / 128 ( 45 % ) ; ; Maximum fan-out ; 50 ; ; Highest non-global fan-out ; 48 ; -; Total fan-out ; 962 ; -; Average fan-out ; 5.69 ; +; Total fan-out ; 971 ; +; Average fan-out ; 5.75 ; +------------------------------+--------------------+ @@ -186,9 +186,9 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; D[1] ; 35 ; -- ; 4 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; ; D[2] ; 34 ; -- ; 4 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; ; D[3] ; 33 ; -- ; 4 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[4] ; 29 ; -- ; 3 ; 5 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[5] ; 28 ; -- ; 3 ; 5 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[6] ; 27 ; -- ; 3 ; 5 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; +; D[4] ; 29 ; -- ; 3 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; +; D[5] ; 28 ; -- ; 3 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; +; D[6] ; 27 ; -- ; 3 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; ; D[7] ; 25 ; -- ; 3 ; 5 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; ; RD[0] ; 73 ; -- ; 8 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; ; RD[1] ; 70 ; -- ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; @@ -346,15 +346,14 @@ Note: User assignments will override these defaults. The user specified values a ; A[1] ; PIN_76 ; 15 ; Clock enable ; no ; -- ; -- ; ; A[2] ; PIN_77 ; 15 ; Clock enable ; no ; -- ; -- ; ; A[3] ; PIN_79 ; 15 ; Clock enable ; no ; -- ; -- ; -; BankWR_MC ; LC103 ; 8 ; Clock enable ; no ; -- ; -- ; +; BankWR_MC ; LC111 ; 8 ; Clock enable ; no ; -- ; -- ; ; C7M ; PIN_83 ; 48 ; Clock ; yes ; On ; -- ; ; C7M_2 ; PIN_84 ; 3 ; Clock ; no ; -- ; -- ; ; PHI1b9_MC ; LC37 ; 6 ; Clock enable ; no ; -- ; -- ; -; RAMSELreg ; LC36 ; 24 ; Clock enable ; no ; -- ; -- ; -; REGEN ; LC84 ; 7 ; Clock enable ; no ; -- ; -- ; -; S[0] ; LC126 ; 46 ; Clock enable ; no ; -- ; -- ; -; S[1] ; LC114 ; 47 ; Clock enable ; no ; -- ; -- ; -; S[2] ; LC113 ; 48 ; Clock enable ; no ; -- ; -- ; +; REGEN ; LC106 ; 7 ; Clock enable ; no ; -- ; -- ; +; S[0] ; LC113 ; 46 ; Clock enable ; no ; -- ; -- ; +; S[1] ; LC121 ; 47 ; Clock enable ; no ; -- ; -- ; +; S[2] ; LC117 ; 48 ; Clock enable ; no ; -- ; -- ; ; nDEVSEL ; PIN_21 ; 16 ; Clock enable ; no ; -- ; -- ; ; nIOSEL ; PIN_74 ; 13 ; Clock enable ; no ; -- ; -- ; ; nRES ; PIN_1 ; 50 ; Async. clear ; yes ; On ; -- ; @@ -407,7 +406,7 @@ Note: User assignments will override these defaults. The user specified values a ; nWE ; 10 ; ; Addr[15] ; 10 ; ; Addr[16] ; 9 ; -; IOBank0 ; 8 ; +; FullIOEN ; 8 ; ; Addr[17] ; 8 ; ; Bank[0] ; 8 ; ; BankWR_MC ; 8 ; @@ -417,8 +416,12 @@ Note: User assignments will override these defaults. The user specified values a ; DOE~5 ; 8 ; ; Addr[18] ; 7 ; ; Bank[1] ; 7 ; +; AddrHWR_MC ; 7 ; ; RAMSEL_MC ; 7 ; ; REGEN ; 7 ; +; D[6]~6 ; 6 ; +; D[5]~5 ; 6 ; +; D[4]~4 ; 6 ; ; D[3]~3 ; 6 ; ; D[2]~2 ; 6 ; ; D[1]~1 ; 6 ; @@ -430,18 +433,14 @@ Note: User assignments will override these defaults. The user specified values a ; lpm_counter:Ref_rtl_0|dffs[0] ; 6 ; ; PHI1b9_MC ; 6 ; ; D[7]~7 ; 5 ; -; D[6]~6 ; 5 ; -; D[5]~5 ; 5 ; -; D[4]~4 ; 5 ; +; Addr[20] ; 5 ; ; Bank[3] ; 5 ; ; lpm_counter:Ref_rtl_0|dffs[1] ; 5 ; +; Addr[22] ; 4 ; +; Addr[21] ; 4 ; ; Bank[4] ; 4 ; -; Addr[20] ; 4 ; -; AddrHWR_MC ; 4 ; ; C7M_2 ; 3 ; ; Bank[5] ; 3 ; -; Addr[22] ; 3 ; -; Addr[21] ; 3 ; ; IOROMEN ; 3 ; ; CSDBEN ; 3 ; ; PHI0seen ; 3 ; @@ -466,24 +465,24 @@ Note: User assignments will override these defaults. The user specified values a ; A[5] ; 1 ; ; A[4] ; 1 ; ; ~VCC~0 ; 1 ; -; RA~110 ; 1 ; -; RA~103 ; 1 ; -; RA~96 ; 1 ; -; RA~89 ; 1 ; -; RA~82 ; 1 ; -; RA~81 ; 1 ; -; RA~75 ; 1 ; -; RA~70 ; 1 ; +; RA~120 ; 1 ; +; RA~108 ; 1 ; +; RA~101 ; 1 ; +; RA~94 ; 1 ; +; RA~87 ; 1 ; +; RA~80 ; 1 ; +; RA~79 ; 1 ; +; RA~73 ; 1 ; ; Bank[7] ; 1 ; -; comb~55 ; 1 ; -; comb~51 ; 1 ; -; RA~66 ; 1 ; -; RA~63 ; 1 ; -; RA~60 ; 1 ; -; comb~48 ; 1 ; +; comb~43 ; 1 ; +; comb~39 ; 1 ; +; RA~68 ; 1 ; +; RA~65 ; 1 ; +; RA~62 ; 1 ; +; comb~36 ; 1 ; ; RASf ; 1 ; ; RASr ; 1 ; -; comb~46 ; 1 ; +; comb~34 ; 1 ; ; PHI1b8_MC ; 1 ; ; PHI1b7_MC ; 1 ; ; PHI1b6_MC ; 1 ; @@ -491,7 +490,7 @@ Note: User assignments will override these defaults. The user specified values a ; PHI1b4_MC ; 1 ; ; PHI1b3_MC ; 1 ; ; PHI1b2_MC ; 1 ; -; comb~42 ; 1 ; +; comb~30 ; 1 ; ; PHI1b1_MC ; 1 ; ; C7M_2~1 ; 1 ; ; nWE~1 ; 1 ; @@ -504,14 +503,14 @@ Note: User assignments will override these defaults. The user specified values a ; D[2]~28 ; 1 ; ; D[1]~26 ; 1 ; ; D[0]~24 ; 1 ; -; Dout[7]~95 ; 1 ; -; Dout[6]~89 ; 1 ; -; Dout[5]~83 ; 1 ; -; Dout[4]~77 ; 1 ; -; Dout[3]~71 ; 1 ; -; Dout[2]~65 ; 1 ; -; Dout[1]~59 ; 1 ; -; Dout[0]~53 ; 1 ; +; Dout[7]~113 ; 1 ; +; Dout[6]~107 ; 1 ; +; Dout[5]~101 ; 1 ; +; Dout[4]~95 ; 1 ; +; Dout[3]~89 ; 1 ; +; Dout[2]~83 ; 1 ; +; Dout[1]~77 ; 1 ; +; Dout[0]~71 ; 1 ; +-------------------------------+---------+ @@ -521,28 +520,26 @@ Note: User assignments will override these defaults. The user specified values a ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ ; Output enables ; 2 / 6 ( 33 % ) ; -; PIA buffers ; 215 / 288 ( 75 % ) ; -; PIAs ; 238 / 288 ( 83 % ) ; +; PIA buffers ; 196 / 288 ( 68 % ) ; +; PIAs ; 221 / 288 ( 77 % ) ; +-----------------------------+--------------------+ +-----------------------------------------------------------------------------+ ; LAB External Interconnect ; +-----------------------------------------------+-----------------------------+ -; LAB External Interconnects (Average = 29.75) ; Number of LABs (Total = 8) ; +; LAB External Interconnects (Average = 27.63) ; Number of LABs (Total = 8) ; +-----------------------------------------------+-----------------------------+ -; 0 - 2 ; 0 ; -; 3 - 5 ; 0 ; -; 6 - 8 ; 0 ; -; 9 - 11 ; 0 ; -; 12 - 14 ; 0 ; -; 15 - 17 ; 0 ; -; 18 - 20 ; 0 ; -; 21 - 23 ; 1 ; -; 24 - 26 ; 0 ; -; 27 - 29 ; 2 ; -; 30 - 32 ; 3 ; -; 33 - 35 ; 2 ; +; 0 - 3 ; 0 ; +; 4 - 7 ; 0 ; +; 8 - 11 ; 0 ; +; 12 - 15 ; 1 ; +; 16 - 19 ; 0 ; +; 20 - 23 ; 0 ; +; 24 - 27 ; 2 ; +; 28 - 31 ; 4 ; +; 32 - 35 ; 0 ; +; 36 - 39 ; 1 ; +-----------------------------------------------+-----------------------------+ @@ -558,127 +555,127 @@ Note: User assignments will override these defaults. The user specified values a ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 1 ; -; 10 ; 1 ; +; 7 ; 1 ; +; 8 ; 2 ; +; 9 ; 0 ; +; 10 ; 0 ; ; 11 ; 0 ; -; 12 ; 1 ; -; 13 ; 2 ; -; 14 ; 1 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 0 ; ; 15 ; 1 ; -; 16 ; 1 ; +; 16 ; 4 ; +-----------------------------------------+-----------------------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Logic Cell Interconnection ; -+-----+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; LAB ; Logic Cell ; Input ; Output ; -+-----+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; A ; LC2 ; C7M, nRES, D[1], AddrLWR_MC, S[2], S[1], S[0], Addr[1], Addr[0], RAMSELreg ; Dout[1]~59, Addr[11], Addr[7], Addr[1], Addr[2], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[3], Addr[21], Addr[22], Addr[4], Addr[12], Addr[13], Addr[5], Addr[6], Addr[14], Addr[15], RA~75 ; -; A ; LC8 ; C7M, nRES, D[7], AddrLWR_MC, S[2], S[1], S[0], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[7]~95, Addr[11], Addr[7], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[12], Addr[13], Addr[14], Addr[15], RA~110 ; -; A ; LC12 ; C7M, nRES, D[3], AddrMWR_MC, S[2], S[1], S[0], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[3]~71, Addr[11], Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[12], Addr[13], Addr[14], Addr[15], RA~70 ; -; A ; LC11 ; C7M, nRES, D[2], AddrMWR_MC, S[2], S[1], S[0], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[2]~65, Addr[11], Addr[16], Addr[17], Addr[10], Addr[18], Addr[19], Addr[20], Addr[21], RA~66, Addr[22], Addr[12], Addr[13], Addr[14], Addr[15] ; -; A ; LC1 ; C7M, nRES, D[0], AddrLWR_MC, S[2], S[1], S[0], Addr[0], RAMSELreg ; Dout[0]~53, Addr[0], Addr[11], Addr[7], Addr[1], Addr[2], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[3], Addr[21], Addr[22], Addr[4], Addr[12], Addr[13], Addr[5], Addr[6], Addr[14], Addr[15], RA~70 ; -; A ; LC10 ; C7M, nRES, D[1], AddrMWR_MC, S[2], S[1], S[0], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[1]~59, Addr[11], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], RA~63, Addr[21], Addr[22], Addr[12], Addr[13], Addr[14], Addr[15] ; -; A ; LC16 ; C7M, nRES, D[7], AddrMWR_MC, S[2], S[1], S[0], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[7]~95, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[15], RA~89 ; -; A ; LC7 ; C7M, nRES, D[6], AddrLWR_MC, S[2], S[1], S[0], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[6]~89, Addr[11], Addr[7], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[12], Addr[13], Addr[6], Addr[14], Addr[15], RA~103 ; -; A ; LC9 ; C7M, nRES, D[0], AddrMWR_MC, S[2], S[1], S[0], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[0]~53, Addr[11], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], RA~60, Addr[20], Addr[21], Addr[22], Addr[12], Addr[13], Addr[14], Addr[15] ; -; A ; LC6 ; C7M, nRES, D[5], AddrLWR_MC, S[2], S[1], S[0], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[5]~83, Addr[11], Addr[7], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[12], Addr[13], Addr[5], Addr[6], Addr[14], Addr[15], RA~96 ; -; A ; LC3 ; C7M, nRES, D[2], AddrLWR_MC, S[2], S[1], S[0], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[2]~65, Addr[11], Addr[7], Addr[2], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[3], Addr[21], Addr[22], Addr[4], Addr[12], Addr[13], Addr[5], Addr[6], Addr[14], Addr[15], RA~81 ; -; A ; LC14 ; C7M, nRES, D[5], AddrMWR_MC, S[2], S[1], S[0], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[5]~83, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[13], Addr[14], Addr[15], RA~81 ; -; A ; LC13 ; C7M, nRES, D[4], AddrMWR_MC, S[2], S[1], S[0], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[4]~77, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[12], Addr[13], Addr[14], Addr[15], RA~75 ; -; A ; LC5 ; C7M, nRES, D[4], AddrLWR_MC, S[2], S[1], S[0], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[4]~77, Addr[11], Addr[7], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[4], Addr[12], Addr[13], Addr[5], Addr[6], Addr[14], Addr[15], RA~89 ; -; A ; LC15 ; C7M, nRES, D[6], AddrMWR_MC, S[2], S[1], S[0], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[6]~89, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[14], Addr[15], RA~82 ; -; A ; LC4 ; C7M, nRES, D[3], AddrLWR_MC, S[2], S[1], S[0], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[3]~71, Addr[11], Addr[7], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[3], Addr[21], Addr[22], Addr[4], Addr[12], Addr[13], Addr[5], Addr[6], Addr[14], Addr[15], RA~82 ; -; B ; LC24 ; C7M, nRES, D[3], BankWR_MC, S[2], S[1], S[0] ; RA~82, RA~89, RA~96, RA~103, RA~110 ; -; B ; LC32 ; C7M, nRES, Addr[19], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], Addr[20], S[2], RAMSELreg, S[1], S[0] ; Addr[20], RA~63, Addr[21], Addr[22] ; -; B ; LC20 ; C7M, nRES, D[3], AddrHWR_MC, S[2], S[1], S[0], Addr[19], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[3]~71, Addr[19], RA~60, Addr[20], Addr[21], Addr[22] ; -; B ; LC19 ; C7M, nRES, D[2], AddrHWR_MC, S[2], S[1], S[0], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[2]~65, Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~110 ; -; B ; LC23 ; C7M, nRES, D[2], BankWR_MC, S[2], S[1], S[0] ; RA~81, RA~82, RA~89, RA~96, RA~103, RA~110 ; -; B ; LC29 ; C7M, nRES, D[0], AddrHWR_MC, S[2], S[1], S[0], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[0]~53, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~96 ; -; B ; LC17 ; ; nINH ; -; B ; LC25 ; C7M, nRES, D[1], BankWR_MC, S[2], S[1], S[0] ; RA~75, RA~81, RA~82, RA~89, RA~96, RA~103, RA~110 ; -; B ; LC31 ; C7M, nRES, D[1], AddrHWR_MC, S[2], S[1], S[0], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[1]~59, Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~103 ; -; B ; LC27 ; C7M, nRES, D[0], BankWR_MC, S[2], S[1], S[0] ; RA~70, RA~75, RA~81, RA~82, RA~89, RA~96, RA~103, RA~110 ; -; B ; LC26 ; C7M, nRES, Addr[21], Addr[20], Addr[19], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], Addr[22], S[2], RAMSELreg, S[1], S[0] ; Addr[22], comb~51, comb~55 ; -; B ; LC30 ; C7M, nRES, Addr[20], Addr[19], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], Addr[21], S[2], RAMSELreg, S[1], S[0] ; Addr[21], RA~66, Addr[22] ; -; C ; LC34 ; C7M, nRES, RAMSEL_MC, S[0], S[2], S[1] ; comb~48 ; -; C ; LC36 ; C7M, nRES, S[2], S[1], S[0], RAMSEL_MC, RAMSELreg ; RAMSELreg, Addr[0], Addr[11], Addr[7], Addr[1], Addr[2], Addr[8], Addr[16], Addr[17], Addr[9], Addr[10], Addr[18], Addr[19], Addr[20], Addr[3], Addr[21], Addr[22], Addr[4], Addr[12], Addr[13], Addr[5], Addr[6], Addr[14], Addr[15] ; -; C ; LC46 ; PHI1in ; PHI1b1_MC ; -; C ; LC35 ; C7M_2 ; C7Mout ; -; C ; LC37 ; PHI1in, PHI1b8_MC ; PHI1reg, PHI0seen, PHI1out, S[0], S[1], S[2] ; -; C ; LC38 ; RD[4], nDEVSEL, A[1], A[2], A[3], A[0], Addr[12], Addr[4] ; D[4] ; -; C ; LC40 ; RD[5], nDEVSEL, A[1], A[2], A[3], A[0], Addr[13], Addr[5] ; D[5] ; -; C ; LC43 ; RD[6], nDEVSEL, A[1], A[2], A[3], A[0], Addr[14], Addr[6] ; D[6] ; -; C ; LC45 ; RD[7], nDEVSEL, A[1], A[2], A[3], A[0], Addr[15], Addr[7] ; D[7] ; -; C ; LC39 ; C7M, nRES, PHI1b9_MC ; S[0], S[1], S[2] ; -; C ; LC42 ; C7M, nRES, PHI1b9_MC ; S[0], S[1], S[2] ; -; C ; LC41 ; nRES, S[2], S[1], S[0], nWE, C7M_2 ; comb~51, comb~55 ; -; C ; LC48 ; C7M, nRES, RAMSEL_MC, S[2], S[1] ; RA~60, RA~63, RA~66, RA~70, RA~75, RA~81, RA~82, RA~89, RA~96, RA~103, RA~110 ; -; D ; LC57 ; RD[0], nDEVSEL, A[0], A[1], A[2], A[3], Addr[8], Addr[16], Addr[0] ; D[0] ; -; D ; LC59 ; RD[1], nDEVSEL, A[0], A[1], A[2], A[3], Addr[9], Addr[17], Addr[1] ; D[1] ; -; D ; LC61 ; RD[2], nDEVSEL, A[0], A[1], A[2], A[3], Addr[10], Addr[18], Addr[2] ; D[2] ; -; D ; LC64 ; RD[3], nDEVSEL, A[0], A[1], A[2], A[3], Addr[11], Addr[19], Addr[3] ; D[3] ; -; D ; LC56 ; CSDBEN, nWE ; RD[0], RD[1], RD[2], RD[3], RD[4], RD[5], RD[6], RD[7] ; -; D ; LC49 ; CSDBEN, nIOSEL, IOROMEN, nIOSTRB ; nRCS ; -; D ; LC52 ; PHI1b6_MC ; PHI1b8_MC ; -; D ; LC51 ; Addr[22], CASf, RAMSEL_MC, CASr ; nCAS1 ; -; D ; LC53 ; Addr[22], CASf, RAMSEL_MC, CASr ; nCAS0 ; -; D ; LC50 ; PHI1b7_MC ; PHI1b9_MC ; -; E ; LC67 ; nWE ; nROE ; -; E ; LC68 ; C7M, nRES, D[5], BankWR_MC, S[2], S[1], S[0] ; RA~96, RA~103, RA~110 ; -; E ; LC79 ; C7M, nRES, D[4], BankWR_MC, S[2], S[1], S[0] ; RA~89, RA~96, RA~103, RA~110 ; -; E ; LC69 ; Addr[20], ASel, Addr[9] ; RA[9] ; -; E ; LC77 ; IOBank0, Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[16], ASel, nIOSEL, Addr[5], Bank[5] ; RA[5] ; -; E ; LC73 ; IOBank0, Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[14], ASel, nIOSEL, Addr[3], Bank[3] ; RA[3] ; -; E ; LC75 ; IOBank0, Bank[2], Bank[1], nIOSTRB, Bank[0], Addr[13], ASel, nIOSEL, Addr[2] ; RA[2] ; -; E ; LC80 ; IOBank0, Bank[0], nIOSTRB, Addr[11], ASel, nIOSEL, Addr[0] ; RA[0] ; -; E ; LC72 ; Addr[21], ASel, Addr[10] ; RA[10] ; -; F ; LC89 ; PHI1b0_MC ; PHI1b2_MC ; -; F ; LC95 ; PHI1b2_MC ; PHI1b4_MC ; -; F ; LC92 ; C7M, nRES, D[7], BankWR_MC, S[2], S[1], S[0] ; RA~110 ; -; F ; LC85 ; IOBank0, Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[15], ASel, nIOSEL, Addr[4], Bank[4] ; RA[4] ; -; F ; LC88 ; IOBank0, Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[17], ASel, nIOSEL, Addr[6], Bank[6] ; RA[6] ; -; F ; LC86 ; IOBank0, Bank[6], Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[18], ASel, nIOSEL, Addr[7], Bank[7] ; RA[7] ; -; F ; LC83 ; IOBank0, Bank[1], Bank[0], nIOSTRB, Addr[12], ASel, nIOSEL, Addr[1] ; RA[1] ; -; F ; LC93 ; RASr, RASf ; nRAS ; -; F ; LC84 ; C7M, nRES, nIOSEL, S[0], S[2], S[1] ; DOE~5, RAMSEL_MC, AddrHWR_MC, AddrMWR_MC, AddrLWR_MC, BankWR_MC, IOBank0 ; -; F ; LC91 ; Addr[19], ASel, Addr[8] ; RA[8] ; -; F ; LC82 ; C7M, nRES, S[2] ; DOE~5, RDOE~1, comb~46 ; -; F ; LC94 ; D[7] ; RD[7] ; -; F ; LC90 ; PHI1b4_MC ; PHI1b6_MC ; -; F ; LC96 ; PHI1b3_MC ; PHI1b5_MC ; -; F ; LC87 ; PHI1b1_MC ; PHI1b3_MC ; -; G ; LC105 ; D[3] ; RD[3] ; -; G ; LC101 ; D[4] ; RD[4] ; -; G ; LC97 ; D[5] ; RD[5] ; -; G ; LC98 ; REGEN, nDEVSEL, A[1], A[0], A[2], A[3] ; ASel, RASr, RAMSELreg, CASr, RASf, comb~51, comb~55 ; -; G ; LC104 ; nDEVSEL, nIOSEL, nIOSTRB, nWE ; nRWE ; -; G ; LC112 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[16], Addr[17], Addr[18], Addr[19] ; -; G ; LC111 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[11], Addr[8], Addr[9], Addr[10], Addr[12], Addr[13], Addr[14], Addr[15] ; -; G ; LC106 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[0], Addr[7], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6] ; -; G ; LC110 ; C7M, nRES, D[6], BankWR_MC, S[2], S[1], S[0] ; RA~103, RA~110 ; -; G ; LC99 ; D[6] ; RD[6] ; -; G ; LC102 ; C7M, nRES, D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0], A[0], S[2], S[1], S[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; RA~70, RA~75, RA~81, RA~82, RA~89, RA~96, RA~103, RA~110 ; -; G ; LC107 ; D[2] ; RD[2] ; -; G ; LC109 ; D[1] ; RD[1] ; -; G ; LC103 ; A[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; Bank[0], Bank[1], Bank[2], Bank[3], Bank[4], Bank[5], Bank[6], Bank[7] ; -; H ; LC120 ; REGEN, nDEVSEL, CSDBEN, nWE, nIOSEL, IOROMEN, nIOSTRB ; D[0], D[1], D[2], D[3], D[4], D[5], D[6], D[7] ; -; H ; LC115 ; D[0] ; RD[0] ; -; H ; LC116 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; -; H ; LC127 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; -; H ; LC124 ; PHI1b5_MC ; PHI1b7_MC ; -; H ; LC126 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[0], S[2], S[1] ; S[0], S[1], S[2], CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], RASr, lpm_counter:Ref_rtl_0|dffs[2], RAMSELreg, lpm_counter:Ref_rtl_0|dffs[3], Addr[0], Addr[11], Addr[7], CASr, RASf, Addr[1], Addr[2], Addr[8], Bank[0], Addr[16], Addr[17], Bank[1], Addr[9], Addr[10], Bank[2], Addr[18], Addr[19], Addr[20], Bank[3], Addr[3], Addr[21], Addr[22], Addr[4], Bank[4], Addr[12], Addr[13], Bank[5], Addr[5], Addr[6], Bank[6], Addr[14], Addr[15], Bank[7], IOBank0 ; -; H ; LC114 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[0], S[1], S[2] ; S[0], S[1], S[2], CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], ASel, RASr, lpm_counter:Ref_rtl_0|dffs[2], RAMSELreg, lpm_counter:Ref_rtl_0|dffs[3], Addr[0], Addr[11], Addr[7], CASr, RASf, Addr[1], Addr[2], Addr[8], Bank[0], Addr[16], Addr[17], Bank[1], Addr[9], Addr[10], Bank[2], Addr[18], Addr[19], Addr[20], Bank[3], Addr[3], Addr[21], Addr[22], Addr[4], Bank[4], Addr[12], Addr[13], Bank[5], Addr[5], Addr[6], Bank[6], Addr[14], Addr[15], Bank[7], IOBank0 ; -; H ; LC122 ; nRES, RAMSEL_MC, S[2], S[1], lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[0], C7M_2 ; comb~48 ; -; H ; LC119 ; C7M, nRES, S[1], S[0], S[2], RAMSEL_MC, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0] ; comb~51, comb~55 ; -; H ; LC128 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; -; H ; LC125 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; -; H ; LC117 ; C7M, nRES, nIOSEL, S[2], S[1], S[0], IOROMEN, A[0], A[4], A[5], A[6], A[7], A[8], A[9], A[10], nIOSTRB, A[1], A[2], A[3] ; DOE~5, IOROMEN, comb~46 ; -; H ; LC113 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[1], S[2], S[0] ; S[0], S[1], S[2], CSDBEN, CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], ASel, RASr, lpm_counter:Ref_rtl_0|dffs[2], RAMSELreg, lpm_counter:Ref_rtl_0|dffs[3], Addr[0], Addr[11], Addr[7], CASr, RASf, Addr[1], Addr[2], Addr[8], Bank[0], Addr[16], Addr[17], Bank[1], Addr[9], Addr[10], Bank[2], Addr[18], Addr[19], Addr[20], Bank[3], Addr[3], Addr[21], Addr[22], Addr[4], Bank[4], Addr[12], Addr[13], Bank[5], Addr[5], Addr[6], Bank[6], Addr[14], Addr[15], Bank[7], IOBank0 ; -+-----+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Logic Cell Interconnection ; ++-----+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; LAB ; Logic Cell ; Input ; Output ; ++-----+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; A ; LC11 ; C7M, nRES, D[2], AddrMWR_MC, S[2], S[1], S[0], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[2]~83, Addr[16], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], RA~68, Addr[22], Addr[14], Addr[15] ; +; A ; LC1 ; C7M, nRES, D[0], AddrLWR_MC, S[2], S[1], S[0], Addr[0], RAMSELreg ; Dout[0]~71, Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~73 ; +; A ; LC2 ; C7M, nRES, D[1], AddrLWR_MC, S[2], S[1], S[0], Addr[1], Addr[0], RAMSELreg ; Dout[1]~77, Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~120 ; +; A ; LC5 ; C7M, nRES, D[4], AddrLWR_MC, S[2], S[1], S[0], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[4]~95, Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~87 ; +; A ; LC6 ; C7M, nRES, D[5], AddrLWR_MC, S[2], S[1], S[0], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[5]~101, Addr[5], Addr[6], Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~94 ; +; A ; LC3 ; C7M, nRES, D[2], AddrLWR_MC, S[2], S[1], S[0], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[2]~83, Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~79 ; +; A ; LC4 ; C7M, nRES, D[3], AddrLWR_MC, S[2], S[1], S[0], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[3]~89, Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~80 ; +; A ; LC14 ; C7M, nRES, D[5], AddrMWR_MC, S[2], S[1], S[0], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[5]~101, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~79 ; +; A ; LC13 ; C7M, nRES, D[4], AddrMWR_MC, S[2], S[1], S[0], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[4]~95, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~120 ; +; A ; LC15 ; C7M, nRES, D[6], AddrMWR_MC, S[2], S[1], S[0], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[6]~107, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[14], Addr[15], RA~80 ; +; A ; LC12 ; C7M, nRES, D[3], AddrMWR_MC, S[2], S[1], S[0], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[3]~89, Addr[16], Addr[17], Addr[18], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~73 ; +; A ; LC7 ; C7M, nRES, D[6], AddrLWR_MC, S[2], S[1], S[0], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[6]~107, Addr[6], Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~101 ; +; A ; LC10 ; C7M, nRES, D[1], AddrMWR_MC, S[2], S[1], S[0], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[1]~77, Addr[16], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], RA~65, Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15] ; +; A ; LC9 ; C7M, nRES, D[0], AddrMWR_MC, S[2], S[1], S[0], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[0]~71, Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], RA~62, Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15] ; +; A ; LC8 ; C7M, nRES, D[7], AddrLWR_MC, S[2], S[1], S[0], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[7]~113, Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15], RA~108 ; +; A ; LC16 ; C7M, nRES, D[7], AddrMWR_MC, S[2], S[1], S[0], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[7]~113, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[15], RA~87 ; +; B ; LC30 ; C7M, nRES, D[4], AddrHWR_MC, S[2], S[1], S[0], Addr[20], Addr[19], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[4]~95, Addr[20], RA~65, Addr[21], Addr[22] ; +; B ; LC23 ; C7M, nRES, D[6], AddrHWR_MC, S[2], S[1], S[0], Addr[22], Addr[21], Addr[20], Addr[19], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[6]~107, Addr[22], comb~39, comb~43 ; +; B ; LC31 ; C7M, nRES, D[0], AddrHWR_MC, S[2], S[1], S[0], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[0]~71, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~94 ; +; B ; LC20 ; C7M, nRES, D[3], BankWR_MC, S[2], S[1], S[0] ; RA~80, RA~87, RA~94, RA~101, RA~108 ; +; B ; LC24 ; C7M, nRES, D[5], AddrHWR_MC, S[2], S[1], S[0], Addr[21], Addr[20], Addr[19], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[5]~101, Addr[21], RA~68, Addr[22] ; +; B ; LC26 ; C7M, nRES, D[5], BankWR_MC, S[2], S[1], S[0] ; RA~94, RA~101, RA~108 ; +; B ; LC25 ; C7M, nRES, D[4], BankWR_MC, S[2], S[1], S[0] ; RA~87, RA~94, RA~101, RA~108 ; +; B ; LC21 ; C7M, nRES, D[3], AddrHWR_MC, S[2], S[1], S[0], Addr[19], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[3]~89, Addr[19], RA~62, Addr[20], Addr[21], Addr[22] ; +; B ; LC32 ; C7M, nRES, D[2], BankWR_MC, S[2], S[1], S[0] ; RA~79, RA~80, RA~87, RA~94, RA~101, RA~108 ; +; B ; LC29 ; C7M, nRES, D[2], AddrHWR_MC, S[2], S[1], S[0], Addr[18], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[2]~83, Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~108 ; +; B ; LC27 ; C7M, nRES, D[1], AddrHWR_MC, S[2], S[1], S[0], Addr[17], Addr[16], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], Addr[7], Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], RAMSELreg ; Dout[1]~77, Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~101 ; +; B ; LC28 ; C7M, nRES, D[1], BankWR_MC, S[2], S[1], S[0] ; RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; +; B ; LC19 ; C7M, nRES, S[2] ; DOE~5, RDOE~1, comb~34 ; +; B ; LC22 ; C7M, nRES, D[0], BankWR_MC, S[2], S[1], S[0] ; RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; +; B ; LC18 ; C7M, nRES, D[6], BankWR_MC, S[2], S[1], S[0] ; RA~101, RA~108 ; +; B ; LC17 ; ; nINH ; +; C ; LC38 ; RD[4], nDEVSEL, A[0], A[1], A[2], A[3], Addr[12], Addr[20], Addr[4] ; D[4] ; +; C ; LC43 ; RD[6], nDEVSEL, A[0], A[1], A[2], A[3], Addr[14], Addr[22], Addr[6] ; D[6] ; +; C ; LC45 ; RD[7], nDEVSEL, A[1], A[2], A[3], A[0], Addr[15], Addr[7] ; D[7] ; +; C ; LC36 ; PHI1in ; PHI1b1_MC ; +; C ; LC34 ; REGEN, nDEVSEL, A[1], A[0], A[2], A[3] ; ASel, RASr, RAMSELreg, CASr, RASf, comb~39, comb~43 ; +; C ; LC35 ; C7M_2 ; C7Mout ; +; C ; LC40 ; RD[5], nDEVSEL, A[0], A[1], A[2], A[3], Addr[13], Addr[21], Addr[5] ; D[5] ; +; C ; LC37 ; PHI1in, PHI1b8_MC ; PHI1reg, PHI0seen, PHI1out, S[0], S[1], S[2] ; +; D ; LC64 ; RD[3], nDEVSEL, A[0], A[1], A[2], A[3], Addr[11], Addr[19], Addr[3] ; D[3] ; +; D ; LC61 ; RD[2], nDEVSEL, A[0], A[1], A[2], A[3], Addr[10], Addr[18], Addr[2] ; D[2] ; +; D ; LC49 ; CSDBEN, nIOSEL, IOROMEN, nIOSTRB ; nRCS ; +; D ; LC53 ; Addr[22], CASf, RAMSEL_MC, CASr ; nCAS0 ; +; D ; LC51 ; Addr[22], CASf, RAMSEL_MC, CASr ; nCAS1 ; +; D ; LC59 ; RD[1], nDEVSEL, A[0], A[1], A[2], A[3], Addr[9], Addr[17], Addr[1] ; D[1] ; +; D ; LC57 ; RD[0], nDEVSEL, A[0], A[1], A[2], A[3], Addr[8], Addr[16], Addr[0] ; D[0] ; +; D ; LC56 ; CSDBEN, nWE ; RD[0], RD[1], RD[2], RD[3], RD[4], RD[5], RD[6], RD[7] ; +; E ; LC74 ; PHI1b5_MC ; PHI1b7_MC ; +; E ; LC68 ; PHI1b6_MC ; PHI1b8_MC ; +; E ; LC79 ; PHI1b7_MC ; PHI1b9_MC ; +; E ; LC65 ; PHI1b2_MC ; PHI1b4_MC ; +; E ; LC69 ; Addr[20], ASel, Addr[9] ; RA[9] ; +; E ; LC72 ; Addr[21], ASel, Addr[10] ; RA[10] ; +; E ; LC67 ; nWE ; nROE ; +; E ; LC66 ; PHI1b0_MC ; PHI1b2_MC ; +; E ; LC70 ; PHI1b1_MC ; PHI1b3_MC ; +; E ; LC76 ; PHI1b3_MC ; PHI1b5_MC ; +; E ; LC80 ; Bank[0], FullIOEN, nIOSTRB, Addr[11], ASel, nIOSEL, Addr[0] ; RA[0] ; +; E ; LC75 ; FullIOEN, Bank[2], Bank[1], nIOSTRB, Bank[0], Addr[13], ASel, nIOSEL, Addr[2] ; RA[2] ; +; E ; LC73 ; FullIOEN, Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[14], ASel, nIOSEL, Addr[3], Bank[3] ; RA[3] ; +; E ; LC77 ; FullIOEN, Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[16], ASel, nIOSEL, Addr[5], Bank[5] ; RA[5] ; +; E ; LC78 ; PHI1b4_MC ; PHI1b6_MC ; +; F ; LC86 ; FullIOEN, Bank[6], Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[18], ASel, nIOSEL, Addr[7], Bank[7] ; RA[7] ; +; F ; LC88 ; FullIOEN, Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[17], ASel, nIOSEL, Addr[6], Bank[6] ; RA[6] ; +; F ; LC85 ; FullIOEN, Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[15], ASel, nIOSEL, Addr[4], Bank[4] ; RA[4] ; +; F ; LC91 ; Addr[19], ASel, Addr[8] ; RA[8] ; +; F ; LC93 ; RASr, RASf ; nRAS ; +; F ; LC94 ; D[7] ; RD[7] ; +; F ; LC83 ; Addr[12], ASel, nIOSEL, nIOSTRB, Addr[1], FullIOEN, Bank[1], Bank[0] ; RA[1] ; +; G ; LC109 ; D[1] ; RD[1] ; +; G ; LC102 ; C7M, nRES, D[7], BankWR_MC, S[2], S[1], S[0] ; RA~108 ; +; G ; LC110 ; C7M, nRES, nIOSEL, S[2], S[1], S[0], IOROMEN, A[0], A[4], A[5], A[6], A[7], A[8], A[9], A[10], nIOSTRB, A[1], A[2], A[3] ; DOE~5, IOROMEN, comb~34 ; +; G ; LC103 ; C7M, nRES, D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0], A[0], S[2], S[1], S[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; +; G ; LC100 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7] ; +; G ; LC98 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13], Addr[14], Addr[15] ; +; G ; LC107 ; D[2] ; RD[2] ; +; G ; LC104 ; nDEVSEL, nIOSEL, nIOSTRB, nWE ; nRWE ; +; G ; LC99 ; D[6] ; RD[6] ; +; G ; LC97 ; D[5] ; RD[5] ; +; G ; LC101 ; D[4] ; RD[4] ; +; G ; LC105 ; D[3] ; RD[3] ; +; G ; LC108 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22] ; +; G ; LC112 ; REGEN, nDEVSEL, CSDBEN, nWE, nIOSEL, IOROMEN, nIOSTRB ; D[0], D[1], D[2], D[3], D[4], D[5], D[6], D[7] ; +; G ; LC106 ; C7M, nRES, nIOSEL, S[0], S[2], S[1] ; DOE~5, RAMSEL_MC, AddrHWR_MC, AddrMWR_MC, AddrLWR_MC, BankWR_MC, FullIOEN ; +; G ; LC111 ; A[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; Bank[0], Bank[1], Bank[2], Bank[3], Bank[4], Bank[5], Bank[6], Bank[7] ; +; H ; LC127 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +; H ; LC125 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +; H ; LC128 ; nRES, S[2], S[1], S[0], nWE, C7M_2 ; comb~39, comb~43 ; +; H ; LC117 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[1], S[2], S[0] ; S[0], S[1], S[2], CSDBEN, CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], ASel, RASr, lpm_counter:Ref_rtl_0|dffs[2], RAMSELreg, lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Bank[0], Addr[8], Addr[9], Bank[1], Addr[17], Addr[18], Bank[2], Addr[10], Addr[11], Bank[3], Addr[19], Addr[20], Bank[4], Addr[12], Addr[13], Bank[5], Addr[21], Addr[22], Bank[6], Addr[14], Addr[15], Bank[7], FullIOEN ; +; H ; LC115 ; D[0] ; RD[0] ; +; H ; LC119 ; C7M, nRES, S[1], S[0], S[2], RAMSEL_MC, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0] ; comb~39, comb~43 ; +; H ; LC116 ; nRES, RAMSEL_MC, S[2], S[1], lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[0], C7M_2 ; comb~36 ; +; H ; LC120 ; C7M, nRES, RAMSEL_MC, S[0], S[2], S[1] ; comb~36 ; +; H ; LC114 ; C7M, nRES, RAMSEL_MC, S[2], S[1] ; RA~62, RA~65, RA~68, RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; +; H ; LC124 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +; H ; LC123 ; C7M, nRES, PHI1b9_MC ; S[0], S[1], S[2] ; +; H ; LC126 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +; H ; LC118 ; C7M, nRES, PHI1b9_MC ; S[0], S[1], S[2] ; +; H ; LC113 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[0], S[2], S[1] ; S[0], S[1], S[2], CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], RASr, lpm_counter:Ref_rtl_0|dffs[2], RAMSELreg, lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Bank[0], Addr[8], Addr[9], Bank[1], Addr[17], Addr[18], Bank[2], Addr[10], Addr[11], Bank[3], Addr[19], Addr[20], Bank[4], Addr[12], Addr[13], Bank[5], Addr[21], Addr[22], Bank[6], Addr[14], Addr[15], Bank[7], FullIOEN ; +; H ; LC121 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[0], S[1], S[2] ; S[0], S[1], S[2], CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], ASel, RASr, lpm_counter:Ref_rtl_0|dffs[2], RAMSELreg, lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Bank[0], Addr[8], Addr[9], Bank[1], Addr[17], Addr[18], Bank[2], Addr[10], Addr[11], Bank[3], Addr[19], Addr[20], Bank[4], Addr[12], Addr[13], Bank[5], Addr[21], Addr[22], Bank[6], Addr[14], Addr[15], Bank[7], FullIOEN ; +; H ; LC122 ; C7M, nRES, S[2], S[1], S[0], RAMSEL_MC, RAMSELreg ; RAMSELreg, Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], Addr[16], Addr[8], Addr[9], Addr[17], Addr[18], Addr[10], Addr[11], Addr[19], Addr[20], Addr[12], Addr[13], Addr[21], Addr[22], Addr[14], Addr[15] ; ++-----+------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +---------------------------------------------------------------+ @@ -703,8 +700,8 @@ Warning (20028): Parallel compilation is not licensed and has been disabled Info (119006): Selected device EPM7128SLC84-15 for design "GR8RAM" Info: Quartus II 32-bit Fitter was successful. 0 errors, 1 warning Info: Peak virtual memory: 287 megabytes - Info: Processing ended: Mon Sep 02 01:41:31 2019 - Info: Elapsed time: 00:00:05 + Info: Processing ended: Mon Sep 02 20:55:54 2019 + Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index ec9bbc4..9f9e13f 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Mon Sep 02 01:41:31 2019 +Fitter Status : Successful - Mon Sep 02 20:55:54 2019 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index 8f5b61b..e71b494 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Mon Sep 02 01:41:42 2019 +Mon Sep 02 20:56:05 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,7 +40,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Mon Sep 02 01:41:35 2019 ; +; Flow Status ; Successful - Mon Sep 02 20:55:58 2019 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -57,7 +57,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/02/2019 01:41:21 ; +; Start date & time ; 09/02/2019 20:55:44 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ applicable agreement for further details. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_LCELL_INSERTION ; Off ; On ; -- ; -- ; ; AUTO_PARALLEL_EXPANDERS ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 52238299365.156740288102732 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 52238299365.156747214401988 ; -- ; -- ; -- ; ; ECO_OPTIMIZE_TIMING ; On ; Off ; -- ; -- ; ; ECO_REGENERATE_REPORT ; On ; Off ; -- ; -- ; ; EXTRACT_VERILOG_STATE_MACHINES ; Off ; On ; -- ; -- ; @@ -98,10 +98,10 @@ applicable agreement for further details. ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Analysis & Synthesis ; 00:00:08 ; 1.0 ; 303 MB ; 00:00:08 ; -; Fitter ; 00:00:05 ; 1.0 ; 287 MB ; 00:00:04 ; -; Assembler ; 00:00:03 ; 1.0 ; 275 MB ; 00:00:03 ; -; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 263 MB ; 00:00:05 ; -; Total ; 00:00:21 ; -- ; -- ; 00:00:20 ; +; Fitter ; 00:00:04 ; 1.0 ; 287 MB ; 00:00:04 ; +; Assembler ; 00:00:03 ; 1.0 ; 275 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:06 ; 1.0 ; 263 MB ; 00:00:05 ; +; Total ; 00:00:21 ; -- ; -- ; 00:00:19 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index 6640eb3..52cea1a 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 2c66375..c4d2678 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Mon Sep 02 01:41:25 2019 +Mon Sep 02 20:55:48 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -42,7 +42,7 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Sep 02 01:41:25 2019 ; +; Analysis & Synthesis Status ; Successful - Mon Sep 02 20:55:48 2019 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -156,8 +156,8 @@ applicable agreement for further details. ; I/O pins ; 63 ; ; Maximum fan-out node ; nRES ; ; Maximum fan-out ; 50 ; -; Total fan-out ; 962 ; -; Average fan-out ; 5.83 ; +; Total fan-out ; 971 ; +; Average fan-out ; 5.88 ; +----------------------+----------------------+ @@ -260,16 +260,16 @@ Note: In order to hide this table in the UI and the text report file, please set Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Sep 02 01:41:17 2019 + Info: Processing started: Mon Sep 02 20:55:40 2019 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy Warning (10230): Verilog HDL assignment warning at GR8RAM.v(33): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(130): truncated value with size 32 to match size of target (3) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(135): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(159): truncated value with size 32 to match size of target (23) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(136): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(160): truncated value with size 32 to match size of target (23) Info (19000): Inferred 1 megafunctions from design logic Info (19001): Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: "Ref_rtl_0" Info (278001): Inferred 2 megafunctions from design logic @@ -323,7 +323,7 @@ Info (21057): Implemented 165 device resources after synthesis - the final resou Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 14 warnings Info: Peak virtual memory: 303 megabytes - Info: Processing ended: Mon Sep 02 01:41:25 2019 + Info: Processing ended: Mon Sep 02 20:55:48 2019 Info: Elapsed time: 00:00:08 Info: Total CPU time (on all processors): 00:00:08 diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 185a59c..960dac5 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(40): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(48): extended using "x" or "z" -Warning (10268): Verilog HDL information at GR8RAM.v(175): always construct contains both blocking and non-blocking assignments +Warning (10273): Verilog HDL warning at GR8RAM.v(41): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(49): extended using "x" or "z" +Warning (10268): Verilog HDL information at GR8RAM.v(176): always construct contains both blocking and non-blocking assignments diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index f1ed407..dc81286 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Mon Sep 02 01:41:25 2019 +Analysis & Synthesis Status : Successful - Mon Sep 02 20:55:48 2019 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 0777106c014f7920440d6f3509bc18c38101b1af..5a6bfa92ff9a4a6282c11c77eb8e8f50860884b8 100755 GIT binary patch literal 8022 zcmdT}O=w+36n-kLmiVu<8B#2;}6wpwaaSD&9Q;w6MJ@yjqiE2{_w-~b$zP`hsWy!W1}yO?Re#tp|SeYLu0QF zkB-!P*WJ7J-rjoup5d2w)%(`<)z{v?rnhfR-`d`F_3cAruMO`Ust@eg`BJ^NzWtH< zGed**t-FTDfvjC#yB;K42Oizf+qZH1wg)$^U)_6O?TXq8XrCGxA0B^sXjg3+%(&f9 zJ9w)RaEN~AIH}O)X(GW;;DvfDTxx1q((g2873QkZjl&u;?c#VeI_Z= z;vCY7$S6w1q7?xfZCd~sPfR!%MSEv**q;zF4A%{?W&+0&MifmZh!P^R9f6`6CL@su z3lk7!4ugkESVXX;m?@MIlZ*|RN*E#oOs0TYQD}%J$eE-AOq24kl%gD_+prx58__ZR zXw-Kz5hjZA`ssa?sQV`jPYhkUc7~7N#w4lhGD`~wi^jOEXg$=^m z0Laah->VA={$6v<)#tlk&DLD0X+xm zJSwb5ZpFe{O|{lDbqiyUDIdCHGYHu=%&cTPJ7rwBnHm{~@QiV%Yj02m^Qi86Qz{El z=%f|%W06g!Sd_L&n??+9XGsvUnXKXsW>-PfI^UNEvqjOb*O#@CmeQXAg}oN1%;s-F zhFGzUAwG{VOXL#Ri05V|VV8&zwM>(!I5%UGM3vVO>!9=8IA+_V7s`|Gq;+{sL6PRy&P(5veK_EdkPRX2a#+C9k~Wxz?f9r{uJbzOr_q57Iu;JrV&Svw*YfD}@)xU(~PY=F{ z^REiw1?~Y|_A!CMwy@u@Hc#YIcDHBvZ+euut01v?6#YR0%Pu_muof(SD}@chTF-W@ zYc{`g!hXZrJjT-81U`sxnyO-$TTBvVw`##}A}DJ8L9#M*v#I$0v0DSI&6We} zP18^rhHyAxZNX32Agslj^lT(j&`;2FE)07=tb*lpLmvEFs_|gpRj|x=$v}B^N$ZtF zG7nNR+ulNuY*hgh87yoN)|TqV-fguFu_;ysjM;>>gq{w&MvF zQnKZNJFooy{afCCV{G8s&r5^VM^gxW+uRYD7w4q`AeUH?5hvwV8>$NP@Vu6(Ee*`InI zo4)PHzSn10-aYv2i#^vk2lota{iSE+NAPxFcGb6R);tajcuw~3-+j|II9Oo@Z;ka_ zH@)RU4A$R#`~K%|9QkZZ-<=zd-+p5KTZ2z-dyo?=g)sTWe+E^ghy-%MYfDP3MWkg4 z$w7w^1f+_uLFj&jCF2rmaEJfd01m6oq%5512b^R$URF}cDF!?Od;;H`(M<}N!a_;( zllZYSaH10=SOTtrK2;2+bENUi2zzv>u{7+lFzj;-k5eT`r!jw=CgAQa$=ujoP`W}b@iif)SHI2FY6L#OF1;MBl)j>Cu}jQHbN%JhV*JA7ih3CNl) z;Zmgt2@P`aFN~jp|%Bs3I6zp@=A2t_@=X)zc{;@&TgXL%n>q-zz}bOfFgnI e3nLW{8{a&&S<^;+is+Ps!$w?X{%^he+P?u37ul@< literal 8022 zcmcIpU5H)P6~4B{HjGMb(D)#75eXHH$xO5vK^QV6V#J?mgZdEYZN?1EXfhKf6BPz? zz#s)*ddz0bM(Ci~p$ zz0t+Y*=w)8zV*A$x#wr($%iYI%5{|+X2-La-!P5?Y^z|^;d_Fj33xn9oRNd-TLb-{R3MDw)StU?jDd4+_pRe{;cRyNvW^A;&bKm$hkd>cQegP*tM;_naKQOravEK~dzq$W`%1`+1Xv zh4wIduYl3>1)Ft(B{7|H-qM&paBOz2gSSW`o98T)u#?O-I>9JT6lJy~ajM7&yVx0~ zRN^WbM=G`K;<>a#N#abI9A;G3gr%r8;te+dYIQaY1+WYAmSt}Z%Z6bw>JTRa)6BvL zEHG@b9gOCo3q|~)<|6*~N3`CgXiRi*_%>&33DLnw9Pnmm999 zIVom3<;IsFv>=Iyv}IGUZcldbhTWHYa}DX_4FUB;bzHVUQQ0=~+tTX51r}BaOX;75 zH#%xN565(}kcMFyEDP5&-UOP-edYxQ8n7=Cz|GH!;MAu(Vk2l5uB1sogSfiv4gE2{&za zl!-f(z*M0VRtQV&j#OO0rh&o|m@rH(dXL&daU;4T9rxq0v zDQtVvB8}^oRk-DgEQZ}c%v0RH=M$`H)acO z%6a3n^ICFacn_4el!W(}Vk??23(X)Ybq(OfYbgofKL8oA;cug+(XiC5b5gznF0$Nu z*z=`LW5NN%I7_L{IT_o)TJ(PO3DPKn#1lx1KR-x?XGurOmQiL#XG&RlM$D}6o_vnv^ZAUs`PMF{G4nFY+*ogZgo4A+%nDQxJ@$$6xzKq&X8Z~ z&YR2Vydn}#Z2OjF3Q@qIZ>-n2T5zeIh3$r=%Qh4)C$rij#%Z_1uV57)babzBqz_<&R zS{3T)Rej49?Wi$C_-}QG#futHecBkVG82D_%)zBOwS z4ks*iLH07Z9TK)1mg>d|{|;VJ$%ewt|12R>R^JA~%RcL+B>bYQAXK!K!a;h7utHcW zefw9Ndx9{G`|K#J5SHecuE!#~u-&i}Uh>o1Q&8xedtUOSUPO6Gy4=bFL%U1&L)aT- z_<>N?eXSWq#O#$n9nEFzswPYqy7v9NBwgYyFG$&@ErAjHn78HRUPSokH`AbY-e&nN zuViszQk_rJMx(iJ7+dCz*Se=!uA@z`rUBn$T^EK*z42>W$p!GJ_z_uyDbBSNhPCcV zg0!$njq#a0NS=UxSZ{36mON}XEVX&hGT2w>*lur6Dh5mErG}23XX(|%RaQ;0ybJ2Q zxkv-TP)8D;2eXTccf^hdfAzDk-nrxWv8j=pKWdq5zPt`A|9_=+Xv4&ZkH55Q`gEYUH{6`#v6zC{HJf?!+TD?`tGN<=#=4zIhJT{0`fmN(=D)xC?$V|+ z!+ZAk9h}2tVQJ%;;Xfbhd(xjwez{}dk&{bzzj?fN=$9}sc+&@8-1hJLkNx3ykG-Y{ z?-0*NGT@z>=5IbP(`m|#VIdjh{5HyhVHSho4ZeyRV-YgkaHDLd=HPs{m{NptW*9W# zSvV!7Fo%%g#PEwT4Pn4@J051RbSc8EXOgXvhIf3t11w1+AQF=I3k*IAXkyj~xU&Qh zVg_8iU*b45twn$*Mn}*|`0Ekh1*iNd#*eB-VNNsnN6;MNvg{L$uYM6Y`An!`7b~-r zBqqwVS>~61M_D+OQ7T(WQ=%m!MO*_MpaOsaj+54q#EC{m&?Ga%U?gdXXOTf@hGw+l zkF`SviwgUi?+;VpI34KW6CYaxU1IMEtuYThA$Ujbnt2Vlsln0L0!LUzF+73p8;poF zA5l+@!APyO!M9B`JR+tH0crGO==8-Qy7&O)}gdOurL9}DLl246or Wl+j>@W}J2K(?rqF<-7ImPkaZlrNu-5 diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 0ed479c..93591cc 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for GR8RAM -Mon Sep 02 01:41:42 2019 +Mon Sep 02 20:56:05 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -97,7 +97,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; C7M ; -47.000 ; -1763.000 ; +; C7M ; -47.000 ; -1816.000 ; ; C7M_2 ; -27.500 ; -33.000 ; +-------+---------+---------------+ @@ -152,10 +152,13 @@ No paths to report. ; -47.000 ; REGEN ; Addr[19] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[3] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[4] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[20] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[12] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[13] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[21] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[5] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[6] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[22] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[14] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; Addr[7] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; @@ -236,9 +239,6 @@ No paths to report. ; -25.000 ; Addr[10] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; ; -25.000 ; Addr[9] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; ; -25.000 ; Addr[8] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[7] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[6] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; -; -25.000 ; Addr[5] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +---------+-----------+-----------+--------------+-------------+--------------+------------+------------+ @@ -314,10 +314,13 @@ No paths to report. ; 5.000 ; Addr[19] ; Addr[19] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[3] ; Addr[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[4] ; Addr[4] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[20] ; Addr[20] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[12] ; Addr[12] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[13] ; Addr[13] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[21] ; Addr[21] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[5] ; Addr[5] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[6] ; Addr[6] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[22] ; Addr[22] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[14] ; Addr[14] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[15] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[7] ; Addr[7] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; @@ -349,6 +352,10 @@ No paths to report. ; 5.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; FullIOEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; FullIOEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; FullIOEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; REGEN ; FullIOEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 18.000 ; S[0] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[2] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[1] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; @@ -378,13 +385,6 @@ No paths to report. ; 18.000 ; RAMSELreg ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[2] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[1] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[15] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[14] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[13] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[12] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[11] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; Addr[10] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +--------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ @@ -479,8 +479,8 @@ No paths to report. ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; CASr ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; CSDBEN ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; CSDBEN ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; IOBank0 ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; IOBank0 ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; FullIOEN ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; FullIOEN ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; IOROMEN ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; IOROMEN ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; PHI0seen ; @@ -560,11 +560,11 @@ No paths to report. +-----------+------------+---------+---------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+---------+---------+------------+-----------------+ -; A[*] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; A[0] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; A[1] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; A[2] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; A[3] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; A[*] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; A[0] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; A[1] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; A[2] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; A[3] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; A[4] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[5] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[6] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; @@ -572,20 +572,20 @@ No paths to report. ; A[8] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[9] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[10] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[*] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[0] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[1] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[2] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[3] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[4] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[5] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[6] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; D[7] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[*] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[0] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[1] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[2] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[3] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[4] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[5] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[6] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[7] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; PHI1in ; C7M ; -12.000 ; -12.000 ; Rise ; C7M ; -; nDEVSEL ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; nDEVSEL ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; nIOSEL ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; nIOSTRB ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; -; nWE ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; nWE ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; A[*] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; ; A[0] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; ; A[1] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; @@ -1041,7 +1041,7 @@ No paths to report. +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C7M ; C7M ; 706 ; 0 ; 0 ; 0 ; +; C7M ; C7M ; 736 ; 0 ; 0 ; 0 ; ; C7M ; C7M_2 ; 0 ; 0 ; 14 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1052,7 +1052,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C7M ; C7M ; 706 ; 0 ; 0 ; 0 ; +; C7M ; C7M ; 736 ; 0 ; 0 ; 0 ; ; C7M ; C7M_2 ; 0 ; 0 ; 14 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1078,9 +1078,9 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 34 ; 34 ; -; Unconstrained Input Port Paths ; 428 ; 428 ; +; Unconstrained Input Port Paths ; 449 ; 449 ; ; Unconstrained Output Ports ; 35 ; 35 ; -; Unconstrained Output Port Paths ; 264 ; 264 ; +; Unconstrained Output Port Paths ; 267 ; 267 ; +---------------------------------+-------+------+ @@ -1090,7 +1090,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Sep 02 01:41:37 2019 + Info: Processing started: Mon Sep 02 20:55:59 2019 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Warning (20028): Parallel compilation is not licensed and has been disabled @@ -1107,7 +1107,7 @@ Critical Warning (332148): Timing requirements not met Info (332146): Worst-case setup slack is -47.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -47.000 -1763.000 C7M + Info (332119): -47.000 -1816.000 C7M Info (332119): -27.500 -33.000 C7M_2 Info (332146): Worst-case hold slack is -1.500 Info (332119): Slack End Point TNS Clock @@ -1126,8 +1126,8 @@ Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings Info: Peak virtual memory: 263 megabytes - Info: Processing ended: Mon Sep 02 01:41:42 2019 - Info: Elapsed time: 00:00:05 + Info: Processing ended: Mon Sep 02 20:56:05 2019 + Info: Elapsed time: 00:00:06 Info: Total CPU time (on all processors): 00:00:05 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index d2782f8..68c274a 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -4,7 +4,7 @@ TimeQuest Timing Analyzer Summary Type : Setup 'C7M' Slack : -47.000 -TNS : -1763.000 +TNS : -1816.000 Type : Setup 'C7M_2' Slack : -27.500