From 2382fdfda6313c8bd553313c46ce133a35644d43 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sat, 7 Sep 2019 21:16:23 -0400 Subject: [PATCH] Made AddrH high bit variable with mode input --- cpld/GR8RAM.qsf | 3 +- cpld/GR8RAM.qws | Bin 652 -> 1217 bytes cpld/GR8RAM.v | 38 +-- cpld/db/GR8RAM.(0).cnf.cdb | Bin 17092 -> 17328 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3460 -> 3407 bytes cpld/db/GR8RAM.acvq.rdb | Bin 413 -> 0 bytes cpld/db/GR8RAM.asm.qmsg | 10 +- cpld/db/GR8RAM.asm.rdb | Bin 1312 -> 1313 bytes cpld/db/GR8RAM.cmp.cdb | Bin 31403 -> 31255 bytes cpld/db/GR8RAM.cmp.hdb | Bin 18816 -> 18221 bytes cpld/db/GR8RAM.cmp.rdb | Bin 14921 -> 14988 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 9041 -> 9065 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 7 +- cpld/db/GR8RAM.hier_info | 12 +- cpld/db/GR8RAM.hif | Bin 2146 -> 2155 bytes cpld/db/GR8RAM.map.cdb | Bin 12811 -> 12801 bytes cpld/db/GR8RAM.map.hdb | Bin 18399 -> 17669 bytes cpld/db/GR8RAM.map.qmsg | 80 ++--- cpld/db/GR8RAM.map.rdb | Bin 1180 -> 1184 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 14552 -> 13695 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 14471 -> 13644 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 13660 -> 13725 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 16089 -> 16011 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 29425 -> 28377 bytes cpld/db/GR8RAM.sta.qmsg | 44 +-- cpld/db/GR8RAM.sta.rdb | Bin 11459 -> 11507 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 35585 -> 35566 bytes cpld/db/GR8RAM.tmw_info | 6 + cpld/output_files/GR8RAM.asm.rpt | 12 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 241 ++++++------- cpld/output_files/GR8RAM.fit.summary | 2 +- cpld/output_files/GR8RAM.flow.rpt | 17 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 21 +- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 2 +- cpld/output_files/GR8RAM.pin | 4 +- cpld/output_files/GR8RAM.pof | Bin 8022 -> 8022 bytes cpld/output_files/GR8RAM.sta.rpt | 488 ++++++++++++++------------- cpld/output_files/GR8RAM.sta.summary | 2 +- 42 files changed, 506 insertions(+), 493 deletions(-) delete mode 100755 cpld/db/GR8RAM.acvq.rdb create mode 100755 cpld/db/GR8RAM.tmw_info diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index e9bd59c..deeac1a 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -160,4 +160,5 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b9_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI0seen set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1reg set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC \ No newline at end of file +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to IOROMEN \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 728260a17bf39ba79fe19a84a78378b3cc2db88e..6292ea1a2039d245614242f6b57567c2b3fcbe05 100755 GIT binary patch delta 303 zcmZ8cyAAmP#n-1V5l4+xP>Nen7&1h(AzjJl346 zb5CaO%ssE%RpcC9=fv7L+e8e2OT-a|Az<&R@5k#_mQ^ZqnD{8vSV|4d;G@L8lDT~h zkVTQcfId~8;S*VSa8NeNp(+p_YX@YPk!Nns$=nObfn?NM9h&+GJg84ET`Nyg8XY$G zFyx{X#vGMGjrRysz9>&b+q8?+{{2c8>NnS+SV3L7BEe27LDFhvq-Kg?Us!P?{=8<721b{ScV{q)PX>yD0Z`%}2!l9G F3;+^D66yc| diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 06d46bd..5933c96 100755 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -1,11 +1,11 @@ -module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, - A, RA, nWE, D, RD, nINH, - nDEVSEL, nIOSEL, nIOSTRB, +module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, + A, RA, nWE, D, RD, + nDEVSEL, nIOSEL, nIOSTRB, nINH, nRAS, nCAS0, nCAS1, nRCS, nROE, nRWE); /* Clock, Reset, Mode */ input C7M, C7M_2, Q3, PHI0in, PHI1in; // Clock inputs - input nRES, MODE; // Reset, mode + input nRES, nMode; // Reset, mode /* PHI1 Delay */ wire [8:0] PHI1b; @@ -32,6 +32,20 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, (~ASel & nIOSEL & nIOSTRB) ? Addr[18:11] : (ASel & nIOSEL & nIOSTRB) ? Addr[7:0] : 8'h00; + /* Select Signals */ + wire BankSELA = A[3:0]==4'hF; + wire SetSELA = A[3:0]==4'hE; + wire RAMSELA = A[3:0]==4'h3; + wire AddrHSELA = A[3:0]==4'h2; + wire AddrMSELA = A[3:0]==4'h1; + wire AddrLSELA = A[3:0]==4'h0; + LCELL BankWR_MC (.in(BankSELA & ~nWE & ~nDEVSEL & REGEN), .out(BankWR)); wire BankWR; + wire SetWR = SetSELA & ~nWE & ~nDEVSEL & REGEN; + LCELL RAMSEL_MC (.in(RAMSELA & ~nDEVSEL & REGEN), .out(RAMSEL)); wire RAMSEL; + LCELL AddrHWR_MC (.in(AddrHSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrHWR)); wire AddrHWR; + LCELL AddrMWR_MC (.in(AddrMSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrMWR)); wire AddrMWR; + LCELL AddrLWR_MC (.in(AddrLSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrLWR)); wire AddrLWR; + /* Data Bus Routing */ // DRAM/ROM data bus wire RDOE = CSDBEN & ~nWE; @@ -40,7 +54,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, wire DOE = CSDBEN & nWE & ((~nDEVSEL & REGEN) | ~nIOSEL | (~nIOSTRB & IOROMEN)); wire [7:0] Dout = (nDEVSEL | RAMSELA) ? RD[7:0] : - AddrHSELA ? {1'b1, Addr[22:16]} : + AddrHSELA ? {nMode, Addr[22:16]} : AddrMSELA ? Addr[15:8] : AddrLSELA ? Addr[7:0] : 8'h00; inout [7:0] D = DOE ? Dout : 8'bZ; @@ -78,20 +92,6 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, MODE, reg [2:0] S = 3'h0; // State counter reg [3:0] Ref = 4'h0; // Refresh skip counter - /* Select Signals */ - wire BankSELA = A[3:0]==4'hF; - wire SetSELA = A[3:0]==4'hE; - wire RAMSELA = A[3:0]==4'h3; - wire AddrHSELA = A[3:0]==4'h2; - wire AddrMSELA = A[3:0]==4'h1; - wire AddrLSELA = A[3:0]==4'h0; - LCELL BankWR_MC (.in(BankSELA & ~nWE & ~nDEVSEL & REGEN), .out(BankWR)); wire BankWR; - wire SetWR = SetSELA & ~nWE & ~nDEVSEL & REGEN; - LCELL RAMSEL_MC (.in(RAMSELA & ~nDEVSEL & REGEN), .out(RAMSEL)); wire RAMSEL; - LCELL AddrHWR_MC (.in(AddrHSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrHWR)); wire AddrHWR; - LCELL AddrMWR_MC (.in(AddrMSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrMWR)); wire AddrMWR; - LCELL AddrLWR_MC (.in(AddrLSELA & ~nWE & ~nDEVSEL & REGEN), .out(AddrLWR)); wire AddrLWR; - /* Misc. */ reg REGEN = 0; // Register enable reg IOROMEN = 0; // IOSTRB ROM enable diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 527b33003cfcb7f2c4e4a5b334b8fc16a1bc007e..d8119d62d59aa3a93941f7c7158ac020602e2197 100755 GIT binary patch delta 16990 zcmX_mWmJ?=*ES&~rP4VdB_JRzH6zj>Al=;{-FZ_2(kTrh-3>~2OLup74lvBTJkR@n z-(Ksiz0Y;7wSU}eo%`(S`Hox_iX5u~^v)t9{YTbC|ErJ~QU6z0iT$tkKt9gIZefyA z+e0{Gg;R8@8EJTa3wiND9M$l$g1@qf<%B*@EVqMQu#5hot(v!Eg>Jt&Hr02 ze4zQix&JV3Po+hwyrm8Up3(uG!ZNR-&x5gs;CsHYe!W({lfWwHg>NrJ~53k z;9p;4c>Idk>p>L}W}@C-7r6L@82wS`c=kJIX0)}1{?8rr5=Mhd9`*cmoR^`_v)3r9 zVvGj2XJGjm@V-i^eoTzAuv_vFj1p;*s~-E)5nJayMNAhe0lK6C^tTn4z24-}Ugz}B zx!VhF)dg1ZU(DjF2xDio7%esFT0Nc6rAaQa9o~yh=gjITQWtnAw3uxX#&3!AeEie@ zRpb}DpQWQ?0F(OLcL*iN3)+y3!f6A=ik+##$YhB?oJ4y`<4ad$AAAz8SGz{w?9E56 zVMGd-7uQVgPF6iSz};HLwfry{t5fGwz(c-awmy46OxXdEEf9ZIfGpaps^Xc;xnr0X zl2Jezr;%l&B*7!4^W`_0D5~lZNj~GX0G>Sewk{r!2Ad}pY70T*a(EUrb`VoT&}C0 zn$1XCi0)`024kn*9DS`2F7KAw>C6hRTG&``jYPdSG(4kk zZT||ap(6V|8W~aX;)J)si+v{@2adUe_WWw5-7Kw1Y;r4}IBlhJ8>bg1D%kX_JraDs zWF1nVj14FERa2z(raO6LlrEwSTgp@Jbyn7*Ikaw!{kpviJbTIhwII(4kiK8`-1gmk0=}G{xAXBPR*i*bln01V8JwSfyoyQ!VI_j!TIP_yN=?Z&>X}` z;M|N2`k0RU-;?#nIQ#U1TkEdE_UU?i0>2@HEq%=EyX^xD;Cq^zwEyScIUuKUQWaT;I6=SoaXq`7l}tT`xs(;1ij z0$b$5{`d!w(A^I@?^Xp}5qyi?1h#?_&Razw44(5%gINYDo|z)K@}}{x0>2neb@=+? z6ITu_tquo!{@V5E)qUktYER*(y*3SA1*S<}!qNLN(vRt&q<$0#MxS(qvPD7!BxJyR zr*|Uk5p!n~@<5jqx4L1N_=M3*wWn9Jl}a*trbXd)$`!G$mWy^dy^G%q1k^EmojS** zNO0?G=~o@iC86fN(Jw7MdqL#<0kd65X(BiGccm(?h){62*oRh)zMh&>_tE>Yd1j}s zIkd*N6@w7|*LWHJNk#pmu8J!@P96x-4%lBF@+G7yr4i}$s8;sb4RbP8d94?hq|m$H z)gs9V%4%Jaiq|a76H(OOK;g+1hA{(G#J(0h(B$t0KgX)$YSX!(@F%4molRS%gP0qRb6rmhFyQ^2t=? zm;HQ!AO1^=rV`LBu1tFR!2O?C_1FHi}}nAX@;dgo505q4}%CY{t9oU*V$8%hTB`4SwN65{tu( zi)!P{c=-Y-{P>HXA)dAnH+>*N2hws`e!YE(Bo@Xr&}(R48W%Cbcp0vnc->jH@&igX zIm%7LF`m*n^0>LoF04sAJ+*iPn$vurgYWFn%~X%nj(2TDjrZ@PW;^Lf zZ37?B1>yg;mng zgNnkUM~Ft>8Nt$bQ#hCdRq_;7OL$EbpCEQV9qT>rg-K2kc_h;U zmgW;L$3pRg#4vSR`1>i7l&^0cuZ1VPm~A~Z)p-4b2+J&Kv~aZRCq72nV4$_gofNH@ z1$sqA`W2c5;(A2ZJ|t*w{7HPAk-a}rP|ljulJ(WoC(kcZ`keV?*jg`ZMeC(EgX&U` zaXVd+Oo!8r@}Dtd=7*&<3!Fx{C%nuYdqK?_5<(nJ$ zye~QtYB$vney3F}NTo^dl9uB;lGEFH^xudir^nPMFBn{Y?f|#7s?)&)?~@K}0p^<$ zo5v({#m9&PMeo+!0{!FSiQV9l^KS-Pi_w0vZ z_vKNd4(i^kwPuQuqxRWlKySAIS`3ipL^wNuwTa$`5P=uMQFaU+6FWMm@O+7jU!a?# zNzekvqiAnGCXj#coD7G09Vh@k?UP9b00RClW=PCZtl7Z|Wvp5BS}b%nSy5Db7?cgv zZ9Wklc<*Y!{g9Vqt}0c$VeS&^tmS%5&=~{SyHX-Z;h)KC``gldE5N-rM%|9(igT^4 zGRpQbj>r-;mF>5&m!J={i5V`>H_P7arAB$rX!j=VX6qe~!LT0JYGhBw+}ZcrwNHQp zmPSPBZ@AB075Nh)X)>EPDXFF6(s!j zeySW>do5Dmoi2V1+Wf}rE)nKVjL}Dp^BdfWE(0kApGwE_id23wd*#PyRG*!GXyJng ze7z91L6`2b8-&-SID3hlH9L2G;gpI&qv0rXK2aPEvH1lgzHV8ma>vW;v~U}ETx)AU zz1*h&a{bmJ_GtteZK7)$0={gKnA=z_?h6eTYzuL)Keyd9`w;Sjb|T*uFwSOXtnCXz zid*XyvKKF+=?Lt%i#_z8{+{J}pjN&v!Q3_()6YwOBu_z)?v>1$lTnl@zig2mF%Xw? z^{-UBM!o^i@abxjZE<4%xeZ%(R1O3(3mozfx|fLEI_7ve<;gIqc!C0(?e|Jw8(KY4s)%Z+7oE1*xFmvHt(YN&XQs!T*;zMSiG^cWGr1_rlIxMNy$%(7v5?) z7oGUj-sp{no*~tHD~w0+oi#(;KbwcFmjY((x-W6|D{4lmbSobyw9|2y8glCAO8u(r z6Y6~(S`RUn`Lt}kCk_wudFy?Yze0BFe^>)ck+Uo%ek0ZduGWg!#Pua0=S6W5^P(cS zN#BK-#0`jRH#GbZVob@Ys)|6~s>=EO5JPeYVktp5IA;H6LwrY0bC^ffoZZ$6v_DKd zLwBVUUzMI7XYNi9??yU02yy1y4W5qv3za{6Jk!ty@8688kybtPH-Z1+t|Ahg3Bos< zSEEaH`F?wzOSnhesHV1yzId$2g^#JJJ4>c|Lb|i&TW2cN?!M>Thj;kNu4#K#_X4dy zX?(uqc2k|EPPtWZ6jz$dT zEes%tB9idq+CRXH)KkO(4Mu3^gJah$qYP~v&d)y17(jT{cPfUWRes7O!=HSr$Nqa@ z+c<~#c2F7jcE5S@8d795m%G^g`F3v7z+m?~uci)CUhDOSP7y)d=EZ^@?gjshenTHp z+o~>+-{wiTSJ26{j@9kKWSe3fJhasB*j&@p)&c*0S)>~B|G zoQvUZ^UZ$m^R%jdTd(#Fw7OkzTfOqT*cZ;QxTivOa>d#Oq#R!|fi5$=Ah zwol`2)N>@p5uvh>>uK83UT;8P-AjOam7%szUI6(|8}8shyFe!i=ErKx^{Y{QYHEWs zCF05i-&2BNSf$e?_@a9gH>x#8-7UcN%jy%#9keBz>(9m-!?HayTn`s~W`koFe#uL9 z=Jv-t_s2!tdqXnLB%$PPsWmsK*Bs|-ghD9Cqv|;W(~IK}IO12BZV+&FnpjC4;H&28 z-5vvw(IM2aaTk2VWCuwSG3LM-j!_IaYIQg~`mzcJFH8;wIqYNMo`o%*X)+vb z56njyrS>HpkPC@7ns-ER<0pnx`EWB^m2Q%Wlx9&pDSIi0Ypb*|5YvneA~sUwKUpAS z1woUH4+YfuC7KK;V$CmS^}s1E}@ft;mo?g{+U{k{SB_NYWcRby}~4bj5YC z`%2F;dx(|}B%Oa3uS7$`-NUsg#-r!gY4@2qAzjuzP*U$bi8}U1P`&Qc>cGW21K!%A zqkt|=9%bc$HL84k`n`my=(Z8IhYaUokeTShU6?LbcbL#8;-u7lE&M$X)ZtR?)Ns^Z zh2gS*%`6rr@&ewH{lJ(IP0IHaI5XVW z6v{UYRlgr1b?N%^)fF>PcLX4E#-BPPL4=fz&g-wwaUNbo6H`}a2`6Z==h%>HWQi$;3BH-UW$H4~pn03ZE(<1u`f9w6^Lw)n(Oiv-T}GCQjr zZw3qT`ZXx?xP$WWakWLE6XjO=1tsV+sB6QsYt0Zu?dV~8?ITO*v>6*Z!X?wxwJ|}f zx<*oxH6JPUQ6qF*ESdcDq+P2Ys=$bgM^`Eh28 zp?ljC;#sx6e+fR=e!Owk74ibNFLZ?78Xs}Cw6rfc;n%e4+QF~cHaI+dbQEMSQhPfn z{0jE&Tz$WdnkZfM{LV%h`<-?D8t@0^E#AU)D8X{?-xF}6({*22WQZrTs@l0BAsFKD zw}f|ItPceB^Ee!U%u)OLkI@m>ZxM0{nOJvQHIeX=q*_YtajKXCX;80LWrEKV$Tz`}u@R@<)7 zu{9~b?fb-zLu+P-TGV^m^QPO)HY^AVEa}Cg^a14Ob*zu%!Z-~(# zO#$;Bs&z$KZ4~(EEMX7omdcKi0LNLplfB{b0WW=^m?B2Ma}vpDNTZ+I;vdY9_T6@> zY8m9ElJWIT;<&bt_qIvIJ^|d5?oPgE2IMB&SKf(xPbM=n@m%nKJjws_4Z7cvK+D{@ zohI*WiIpsv^q9CYw(g10vldD*s9w%*z>LX*2G?WX@dlwziP#?pH?SI~7t2dk#@Nbd zbxe5+=irWe8M0dzQManl7s~ML+)A5nLl`x8!s;94z^reH2XfE6dx79;q%M9bDi;J^ z=fQbFi(oa4fo=e7>#fm`l_SIL-n6Py4inbex0oh5O!SHlPJdy>L5I@_+G@B3U#Xq0 zkwjIRSQ}sb(w9|Lck;Tpt5(dN&tr*%M?O@8WLvZvCVX-2WDV>om-AUKKlxdH9%ae( z9c;B=TW0O7^^h`+vj*0QS@p^UvJ`#xT^HX!W zl|qKM>ZdFv^y#fs3EGrDawL{fCT{quz3@>O?-#WY4(BX|o>{iM*aS#*zlK^c`J8(JZW({t^Yc(1TuJq%56Wg z#5J_4y)~+(2D3xMQ>Z*>(0VmL3RzO?Vr4C}{6_YR6vm@!;$`#lQjES+x^sa!PcC_7 zGuxLk>fgT;QTPqKL~C(5dJLtH+RwX!FJma$4J~miL|xO7FRsxSp2V2C7acLV{1C@> zovbXY_Hi*hxhi_0Xjf2+HbB1P$$EMq^0!_H!zpNMFxO>O{C<7#pQG)4XF`9-l!{`m z3kBCnQV3Jb&ONb7(bafVl~i>= zTrGp)1*-{wyo~bH(S6d(cy7}(eEw}rH{5lL_@JFnBi^TDn&$=hIc8ZHN13+ zrsr-$9=tXP+Vrs}AmtI_lBsN{4kssLzLhZs7plM_1|mKSdUo&wP*U{K5BoB?wshat z)!29L29|64_#>u~drZam-2JY{Liey6aUgP0dHMrk;Jta3F(ZX&2X~x5F7B0N-EKG* z$2^gRT0mzWdmowakSQuXW)WNSZDT6d&<>){&F!ki zr$8?)5(4$Y=yfPx0}0Bx!8jtBIdK2Jv@Kw4*o187yqY9yq~8Cv|5Xp_nFc+Sf=)l& z9zK}CyBB}8FzG)wMGs3|^M)CG>u7wW!RMw1;^EWXTae-a$e8ASw@FF=9=NN%l7oZq zOb@l+ZuwCKmLCkz9q!!(w0|CNX3b~>_+7ooTl3dD)+*RmKo049TYDD8ZFO^dNe{Rr z^PTIVxp^oyyVoR(AzVishyqxxD*C7^CqrdyXJ};Q89Y$MfQ`v~zq^lJ8AUKHYx9+kod&#ZE_2vCS^$gUJcyWy&)Do=$K4n>V z1!9g`7;`UJzhNCXMN1@nXN^UnNwZS>VVE4dF?>h^4F2S2LXXfadr^A7?s55t)IB1v zFs5IcPgz;I9KIu^c;!8-{HkF@kt;wX#}jSSDQneH6{YOeVQGwn=;gK&@PHvfOtUB8 z^2Re!K?jO-FC`Q!b+A?uH!3R%JYNP06)fpSe0sxx37x|VTv`)X#8>wN*Q~2fyzT1K z!%maQlffeSdrQxIQ--hZ-W^tSF_W$_WC?!q7(2NxQrBHwx4!{c>2Zo?f;M+q zxbA9ouuqqFU!?qYT(-}$qBHn?r@akVDpR6inJa^-rqJ~FdIPJM`2>-hH^M8*OkZQ< zIf~eN)NM=*MOqDG*A|D3;$P2=%>2AW|H@@eNASA$1KVc@6++nu+jBuf&rcGo{snQ$ z0lZboK{!EFi;Lbe^nn%tse~(XO*zfe$Tt&8ETcM9`gh$L>KeuIYifivr4ck@^;28{ zFa1(Pz1~kth_QnmK2z`Mf34Sk8Kjc5s5p9Tocz^p5Dd(L#Q7s1IpV%GFTfrd9bwm;GJ&7*q>Qy5Es(=Qr7lY5+csuPk z5z-eHcuAKC%V|VNFycp-#fC1f>OFb>Ab6cy?8`ksf7uS+hyf!syAfg&;C{J^$}_rP z#05E=66NyUM!{`oR$6rA0Y2DGh-Cu^JcsCXgM4SP-7AM$z%j&NjzHzduLjT~*2Qpm zKX$g3xHae)5a3u&CxFMi1`DOD!0Sk0Dv0Sb8S{?ReGfz@DEbuun>wn@%3NV5<)H>W zT@-hVi;)HR(Q4>Jg?ce&J2rf!abXB-xIg*FryD3mrftJ!)er+UuBXD+YA9;eeCvHt`V> z5@T?1V1t8r>goqgK5Q~Ax^Ra8QRiC6vKLnF4ITM6t=iBLHx(Fe*IYvy&L3Y_u0k8W zIwZRJ&4L;u-FnBdK8r={7B0;A|BDSHMD-3`la3{Q%SQ|q#ON43BCF|s}#agl1%Fu!O_91(M#qrWUS0_y0uTc6^W#_C8i6}J=y z!Cs}@*Ew`;GCm;9{e+AG$Z+R4cYq2MMIg~SJ_XG?7y6C?^lHNh@xV<=_R4{K_B-er ziK#d&R7^?n)}nKrDWGJCNuTSJ<(Eo#`863LoN2Qo^n>3Qp~I3@|Q zvr->N%Pzc;f$rY}q=UijA|j%wu%I|_=HTLp_2|LjeVE1$Z{0i^ToMD}BIzdZiG(!S zQ&5cK_o2`m1@!P0iN8_({6tMlMyGE-jlS9&EcYfCNcbhM(_pvIauNBQ;}}8{3hpIUfr-$`sJdeTuMj$=e`g-URTBMJ8drypmLZG!t|6k$38MPOIuyE z^D*}Q{Mhs0GnY4CH~a-rNniJG{NyFoQbp{~nU^3jT3CN@t9qcCu8v8D2qiUtP8WVa zF}5DbmH}tZ{Q}|qSzzTo z{NLyNfxx&3z}8Y`AT>$ZZo7yei^ej*g$=RuT1zY=y)NM1HR;2-7NO;f+2`aId6+mh zZw_VRWrU1Y5lc#PSh7*vZ}pQ!;m>Xz7?ZpYoK_ zM%GB3mR#=Y^=}n?=U+Vi3b8IU>w2F-l+H-eUR}|d1B|9CB&xCfS){%XP)q6~LJdk2 zshzxNQVJK!kH#^`WoZrdYMfag)5CQm_bh6RwxEJ4%W_e$%^pGrn5w9M)6*$_6jp?$ zbH0r;8u>%}g*dR9+mIL!ght4Y?ZbW?H-Lll9zSrKw)RUrJC={G9j%!RG-4@I(QY!8 z%G_;$4fs&oJ%YE2QV)+^J zZIS+6Za1_itR66~|489CzPdbG`~*7O)wo>_shHy*=@)Lx+Tmh3cuHXSt#T88={Z4s zTcc~a;QL~$RM7Ihe~d!0{v&yo4wJ!VjoWs)Bv8WSx{~dngR;lKdGg)QxhA`Hc?YfP z6B(y1$zlZm7wS?R5TQWR#XK9Bl!VQp(4QY_VprcXJv6(=U5^*MU26lz{XyICPc>G zSV-DFcJbRCneJYJoib^e-M9Sw9}N=b_LHhL-%nHoeaE!PbKjKJ8yP*C;>nEc0-9Ab zgXV-Sm}ay!U5X^6qmg^Vx4g>@XwwIQ`mAVhRQKBvi>+JHYn!wU6-iCz2b*uK*8(cG zF|0ceGTp+aKWU?Si68+59mFwXg6)^wrYCkf{-IGj+o=L35r3s~Qw>i%EQ1!JUXN`j zEu9}zX#7iJ70r;cw50Uou$~y{1O_DP47Vy5zH?od2dR))!rWxf%cI8~Gfy?e#D+$q z`<8f2N9H&+Zl{8DACLr$qhJm@%y-)lJD>R$?~w-UmFPOTStGQ~6Dhu^V7BfrwVi6n zQGcN_fIj)Zk(N)3i>h}wl5|`CP;=8g07Sc+-f7gj zee)-vB;$ZH##OJ0>2sZ}h&LAfaA`Y1s2+-l@o+@1hB`N*xd@JJG;S#Kb=x<-x?RYF zR#*Zzm%V)9gzdP=HDvHV-$}=xC#Zr@YfRSJrDLWT;x>HCh)|OHZsLbdxh2ue$VqkF zkIaqJXI&RUN9<~-2A!xBfzx6Wg?cj+E$)>TlC1YSFp1%!Se4A3qRn_QMzbGu|B(91 zFqcuXFI!y|vU_?xmvhwDm5=X&r}+LEJWq?@hRgLIh@v0M5%l;Y&OwP%@ckyh_YZ1fBt%9lD@D|;MOhGOne^fW@jnIb0Q*$u)$Us@D1XWIlx&>JjI3~ ze)4!$B}u zIJVYpI>f?ky%rGuVL)2OhL%kvN-Vf|UWVgyX3uHgYho1+35i8AhyDp_f8d9w%U6sO&~pz5vn z(9bCJUNF9DVx?Kfp%44`^tF8sMw(!IkonSWZr`p6ALH~5ub~eAH-+Z?D5to!AM83z zLl3v48-U!-5%31<=#artq66=2WWHieyr9E#U`};3((x}yis6#a_m1&Fo17h=vBQWo5>#f^k1%*ho$Q$QW}e) zf8*IoXPLuLbojw;TV(o#i>V>5QP$5BnAfkE-?5zL)8+D2bt)0t*v{n0s za&tg+PMkXXCW`5190R7gr|>Xx-df*(1$nzPtXRe1Xl(c+EJ>I44HNpT%`}4h*$9!s zKQDGeJ@Z#ZFD~8(*Zw5{V1sva-vj`yH<;*0z z4OY27n0-+f19q-40l^Y_5l-^WW*(bB4-(>Ig9Sm{_m24~62ysDWVgb3_O?AULI(bG zQ?aBw_F;8SWOwFbZ?z}pOp{Eo)$%iigZ(C%%cIha_o)^+hud~t=Femwot~EB*UBzi zNrlMG)9uscUNQO{Ld*Pz>in5JWO-K2(`@BQPD5lb9;j#qLODV+?g_#@k(^EeIswZ4 z?`?A0+4oLu0aOY}h+2EsgPk&t!=Q|QS-d5`pZcSYL;{5}3Y1$mC+c-auxcW~RR!x? zlIwJ_LHb0Oe${;!MP@wjQVM+8rbAUSss+xJFlKhSrsw2JLE{9pav%#7uK4Nr!Uy(4 zzdNz#UO+&svUlGjYtaRgM~ndV8Z@mLiZ%9{es|hZ!8vbnC$V7~ed*GNAv5J^Jke5{ z=D@N;mg6Lz8rl3!2~qW%#8Fc=-Hwn)M|9^~lcGDKaMSa^wK*hP8xj|>88}BEM z>5SVHr}_eg_Je|`x^1KFTW>v*8tdljwZj_r=QER~EJ!8eO(O7l(7M^mVNicULc4xt zlz3sdIaX~cO6e?yF16`I(~z(Rq+a#8sZo8r)}k>ih&^lBt*P)aQLw}u#b~tC-E>%VO&QZlb}z+l0JOW1obqP9=Lc8fKU}Zv%!V$= zf_$NU)%f7TvYSo|-#UEo*sbq*y~z7-N*b*s7d|UO+NfLICw8-{{H0eo9ifdEbFMaq zvv*GY26>{D#J`WdW<+<<|rnccQe zq>juUQF*8CSB+kdBqHYFC%l(wrlgtB$+z}zj;|(dlk?T>HLU;D8zh?tMIwZv2vDJA#4qDMa{5=^_VO)=o6`D^38jFcj^B=YvhOWGfLONBijS)@)%h40 zCUEo%A8f*1`l~V=&iMScJPH*B#R6djPZ&=kU>@6zqe+v|3;XW;FRab2&|Eo?@55$$ zX(7BU`L>v2jNLbD?%{F|+?sKFU^CVRwbw;|9A4d^+TE>n;s1v^d|Kq@cv?dDb+OAi ze>Q^fdqMz)h?R=JJE#$A)DgqsP{Ww+0mT>d)lhzP;!y_q{`5}s{#DP>2&mchW{>rU z#T~AZ!}UGprKNj*G;V}q#`N>GjiUl@fVPpN;p|GY<7!g@D2NvBcwnb>4HQAwsv>U% zbYbmPkuX33=1HkjaoZbu9m_I+L|I`zC-;$zNQIe;OGfTuZ8|&(30UM z7Y39>5Gc~wg}y#0-~iZ%Whk9ZFwvN1(M8&W*(4c=RQN+|gd*`G8#=;=w7O!1CZt^L zKYa`F)Ks@klb==)k@o9~5I%wx-uG+^6Mh~x9E)Ov*#be#W} zT?@s&X~bC10?JFgSv6T$>}x=|l$;r38*q`%>$zdNJ^_(QN7+NaJ1|&&n%@;9xmUbY zJSTbyV-&#|1-$ty@q5&Fr!ZvU!%pqj>#uE=3eq{&*+Eb5{ZA}7s$=>avmq|dSYwPC z@%#6hIc>I_5WcU{8(a5lzV`Z&%ifX{UMTCXusgaI(unb?Y)Y-d!p5cM8De$*^&tyc zl#x;6J>LvQCo&H{#<<2_x}XJnvd;cC1LQFjKl2At0N3n7=KL0_PxfUm0UqdhA2R`xANHwmH(k1>G|!u`m>pd0a$*1=_h zYyu3d!gm`#4>^s%IGR$xa6KBYK#HkN{$^@=%@rl_`TJK%o~zrbR}_FjA99cD*2p3{ zthI|Da_56b1b%#rV}9E%3)Qc%6dPAjJ@JqhIf7Oa{vmelyS_V#Kw#(WbMUcG=zIAL z)AT*p!6x`59(TV!A^mkYI(~(pKZY}QJOW(lQh}?IUhKWXdMG)tXMV&#yv}G?DThp+ zeS=+}^um=7KFsPzF1bEM+?n-Ztek**jTdxy*4B&HuJ(elwh&%B|3H60j!pt6XBYPe zsNIc3FSe`R`y&jS8Dac8b-yrtPSf`ngAv4`9KN4hEm336PEgxslI@AIS#|sH)&M)$ zD3-(G)YXMsM~JA*lhMg0a`>^y6SG9n)ag*DpOLrxyKZxh;ZdvBmaJn%nUjoJR(2RV z)s|lejrNn!{NLwd>uGpQ_Al@7G2hq+WA1BCA#N!_=|^rA$iM zSbnSj`jSve@kBn7bt`M)dwTg3ll99rP3xuCz6oK|-f~Uxo6D%`-&d?U7UB6*s-Ff> z=_N~i&{B<$tUhO#%n3X74oLnfFEWC_#;rg3JS8ByWr5 z1Ii-_{&xLnWD<708k}*y&jUJA(2EEA<|!e4yS`T*X7p80=ANQ64FV8 z5S0q*SVY+aOzEV3$?yBCJzdlYC8MvTtmd*TA{&X9QW&4^awt46wotnVB0#jHlKL>x z&JUNHQdl8zBoKuUkuA`;K%?#67@~b&4KvK3m9xQO()(7iIHnIQJ-}KsQl03wpYU7z zr?cd@*qvMf5fu5v5|SB$cJG<#JQhjlH&4J;b&IcxYvWM0ph1fRPf5~Dt7K@5L1|V7+ToM^Rdr!L7sjanU1UMI&D5qwi{$hKe=aa?e3Vd>qA<66DEhA zYKWoitgQzq@BU`~F~9Sp8m%w=X8+b)M5bqFJ+2WWfhw!taO4X3-ak$rnCa7r@A-PP zg2!?+(AO~ix7|Kwmc8=bmSeK=Zs54ovAkiTe{dmDc+|3$VY^zjq?!7+BKbBffl$DT z`#8lpi*Q%lVF0zHWA9Xn2ps&Y$Mo+HIzy@{6+$xY1agstwp; zUswMz4$=D8Uk&dA)>=IJJ^LG*p&X&+0bnz%w-7l=B__==l z;?}yWYeUt@G0SIBt;0Wu#{-by(O2vX7bDmw7RGg!MPFwD$P`f;$kI`?;pYw4+u65j z%GZ&X1%6+==f=}}Wd~ebf01!dYZ&l2W>4VMxD_$udg(Tco)zv&TgbFf=Oopc>K^=s zx@!uhfGFN(8E}h-Nqoc_aN%*A9bEahPc9?tP0pd+Q~w)F`!ywVvQwe#IyvhK3+sJ2 zPpmzmU~W7ba2YD+SwIwNlO+*#`9_tKV7sODkIeRipBbhAsK;07;@D{0XUVsAb1F2h zT`UjU@wa6k|E42Mt_AWp5o>xnyW9hA1r9huLw>H6eh zYl&xb*uv|{=CHrL`-^tF+N6AN!n}ngbv!MWTkjIt;-h%HXDv@`28j}7*LXk%QL?IF zn~QDZMZ&~9M&e%i&{k9GsZ2n_G|kja!2TfUXT4cd+GNg6z^=^6y%tl1 zW2C+b@LD@zFr8b($9gITji#kknr=q}4Z^asg(=-ukq$c0(=4Z0os4R!z^84c6Cg5V z<3fmZT=FRD^K?o|q#D~AHn|SnlNcmY7J6kAw6Y9ZTfO;J_EV%`PvBF`s3-p=$8-rb z*Fz+hHIZrl>v6pH#L0wwHTbbRU0EhWe)0tdLp#(MjwoGwGXCh>RHp4(H zY*Vui$mgxbn$%GKold5bjOQ407^WTe-xyO766R~fqaoH4=ypk<1Yxq6Qqe)@Gm+By z)FY(_g6eb8XL^KCaPY8NR~y}@&+vbX+L@Xsk&E>>?cvqI~jgQBx(8FkF=R( z=^y&wC$;?@);5i)RWNctU+nR1V^id^#gWxolGp`SW2vRMX7He1?onLFDX-rEswZ#U zG`HfmlQZ5w6+;)Uw(_;1dT+Zg;Wu{-ult-$6Sj!9YphWnDApY$~2m+ z7hGLGlwz2xdr-w__dhlH(F9MySHSxK2xSX@yTk{($FcZ7t-XejF>Y-VyIBE3pH(%o z`2Iatmb*9l+e`dJ>sK3cRBg9d)0;;G_u4+?O>>)959QJAvxPPn^}3o7W997%0ON=& zY(Y~g^z5v573|YycDH!;ewR{B|8I7a2yyBleZ7w0?e*OnBTNWy7%k;V3qaXsLO$W1 zb_9Q~D;xNW13j%7C~y!`_xB=_rllKsF7nDuk_qz zgV~sepAJ`F5NgI=r67zDAg(0PbsP{w;XnU)M&@Tk!KJlR(vs5YtTS@_p;pW;AeBn{ z`0MDE*4bs)Hrbvhve|ia|DAjX?(US;MuP$`e;?r1e(t6Yma8~%LLXz}{7*~cFPgdA zJcir5Gdg|EKS3kMXY%p)*vZ+Q&L;og>1_bj&`q{SFkqXQI@SpI@Qu~+4ZKgtKPt;_UZ1{5e+5b<;m~ zHYl3j>(;H?h`9yW{{bh-a{;#ZgmxfnrD^buWp6*^P>}ruPa&8$Kt<&4xc|x@VW<88=jq83Vi*Ml9Z-85&I%4@?17V8fxv9Od0#%DANI{B4_X6%`;F`(Q{1JyRC{ z6kopPOJPDHvSRj^P_3WKjvHJ%`G;MK@0|R@GU$cG?%i20IeAgBw$AwpQ-AOcdW4^s zMS@u692>DiDBlXR{YJej9Z90dQml-_-zRN$$YL~z6zv|I07{F_Dr+Gc_X4u_EpWA= z>|)`)Xnl%<=;<4ScK{GPbRY0ujUM)CMhNXutTT&sp6=~2OJ^J7{L|aW$E}$_#}Kw= zPODO};76;@tV&^wV~0%`Yf_t1l|4&uw0R7VZ?prhd8=sq?>cBLp50C<^G==Gnz`>) z-2p=ltIvqvJURHw>&mb60MN;^%x*cqJ=$XdB|Blc!<%x-ya4!rpQPMw(v82$u7I+3 z1@S*2wKKjA@^Bw47&)JE(Z4?Xn#bYrKLM-(Q~uUDw3^ap+eF9NoKIHdlu-K3=M+D# z{1X(v8uM=x%`Yo|58;1D`Qw$pUD2LkETzq@UZgtAH24cT-b;}~ak0lX<-Lxt7tHz< z=^cuy#>Kfho;z_UsW5De=dlwuf6akXw)>L zv!%Py+Zc|Y(!Nhs#1|N24aZUrMN<=p$Qhfo|AQ6ryN4*fRjKZY;Yd`YvGj>5H-Ab~ zbbFA>Z&G@A#G?;AMc1!U`umY5{*p%d+=A7?Wc5iuDSEFDy4W>7PXD3a*n@tFADa$- zTmjZcZi@Tw00030lk-6IDLzsWxU{`|$PaM)LPuZOXwHlF2K{TI74Yqq+q({6GNN_M tNZ)srXr_}8LKGXZn#39YS|UEB$!Sqb3%J{#S{um#n}~_VOMm_$RNzP(OUdtC0QjjY|E~Pe$o^9p@i5XB`VRx)q9E z1r4{#C9ygLqWJkVoyU2_e_nmHw{2)FNd8ozrhw?;I!S*pKT89aIO?2#IsfkX<+||O z-nZ(u!d}RH{Pz;(b8`PE?8Qm?Bz@FLsD4HR>xr1Q41urliMjAW6_gXd0G^4bxU()HUY6Y4D}YdUErZZI zb>E@#86xI{kD85vyR;r>5$zuWS}U9lDM{{UMOKfb9R&-WZnj5C9tpltRmTJ`??>&! zO?Wsld3G&Zf8ZrAOHn zPE(Zcx-cbxD1DXP`qr$LNlgR50(q};zSw|H-{%QmL~~=&_^&h+;4=|4-}hyQxlKFA zG2M#0+`HZRd1Rg@L%&DZ`xTd3fCU6h+#OVXDtkWoHPFMsUfUa+7nf)-*7-r$D>4sQ z*W1s2yyzP%*LAr)3-Wic#*vd#5?h*t;5Vi((@}wcC*J-Z66;BRIgN}9KAXC}D;KlS z!n;fOwS7!i&t(tY<7V7%ie
8}y0jrCB$ z27s>;v0)ML_|l^#YBln}qYieubKZ;)gzbl}(}k zkaQC@^Xce#D?b#MUdWjDD85f7mQ=y(#$kp_T-tG79+`}L2FH3yTA2ewUzS zfz4^+VJ?=|jmEE%^9sQF{lj*hC?#Yo3o9UNp%Tzcl0-7R3>IeEz|Nj~3FDs%{UFGR zyccQ)!@v2?AcEqnHK8a9O1@E@3N;hDu?JtcgkE>hJ%V|ecgtQ?ku6?!xUk-U*SX5k zor@|#i;8mmVP_eRESnF74|II#Pb=|i?|(7}@e62YqTTkbpaI%tZNpV$bB4=O>+xq- zSNHVgY@;}UHYV;mmMgO^($nV?UVrcxBv$!sE!AXU%fC4ARQjl28m z#MnzCMEFbTVhE5p{x2ZkBNJCB-+-=_-_Uc~pZjYx9(T3}tkp&0t;;NhZ-L+b=L77x zU`@M5uqE>S6>gOq#KYq|z-n#=DC7;o- zOn)hWsL+vZb?%#?pDu@q@x-hH{T%(N%2Xam6AymSM)d&SW(FAEwlT!%*k&#$ zQkVEpjG>b%7gf9v!_A*oh{p#~kG!UTz(l z<=(0+Usab{zX&x{yGZ_M8C$0lAiRNEFzt=nq{0Kgo3|{{E8H zNiLkygHz74leIcTV%Dr(pYxN&kJ@*ei`Fr7ceT^!{r7+^BK>RA+(0O@ z+n%^sI{ZBOpAMgSRDhg3Q1Metb?!m!M_z74`S|hHc3kKfyKrU}(DQlA@EBpa1PPCb zdJFsSl#bF2e+5`k2b-6GhvC%#iS@K@JS_7zdi^giMX0c_% z#Zq)mMswS7kv}k1=c9O=T5>f>ep9uqka#2Wg~gx&ooE=ur(ED?fWyPV0JK#mAfGMQ zP4L@~Zr#R;iqPA`auW74ZQl zpU@@3pmrA0UCnf?UI0^59(9`SSHNdYgx16C=ZIRaFQZ(aS_>tV+w8fUt{A?lYBDpm zw!&T)PDQ+k#$A`wGVy{7Z!nj^q>B#L=uUcjYDZ$j+>&n5g3OXYd}p6V@2cC+m^SSC zu4v2k&5r(_b>DpwdAFjz05j6wllsv%;x!7x$PQFh5#M*}b8?}vq>3b;N)dm0zEhkuTj_w~F_G^;9F#n2Y6xoa$^4)5rHC-c zQzhjmw5Yz&h+`20LDS-5cwc{xxWp~!GY%=$F{DButF8d(g0?)HyD!To|5OTewO&7~ zJkCcvi-7ww(E2n`|JrW4%W#eV*|6Vj?6dZ{=EgBY#5}}=h4&yMfqNl)$Tt1bo|Nh& zpTfdP#H-dYO}kTm!L`rKmK82+CfADwXkLYE)h>8vC1;y-Np3|ROS#?uz4iO2h6X0g zps3Xx?37wM3*9CDgsX^c8^3vo(cB}Az0n6?!6`2lx0dr?`(W8A&Z-I{H0;Pt1!%JE zN8Cin8s%QPnhQ$bFO-ZF7Wo<=4y1vsg+B)>vw(>E@rh<$Ont{aUY6t^0f&{qKOHx_ zIhKZo8$1}5JK7<7KNf6Mu#Ds=YHu!QG3^(3<6Y&|=BoV0y%&-)3&KTUo-AFQ}02rN?w1&HoE zqzf_B`DbC6*vk}Lgz3`O%@N}XyY$Ar{1Y)QGC2WdmK6ZmoNV%OlFu$0+9%&K2hlD`a z>1552Z{o4BEL>Jrekqn-_+Gm?^0OwvPDQ^$n6{NzgaxAG%7J}yzk^YrCLhPC zWy%NdDKVnsO8*+z`*bdls2)W(D#G4b_@tWyk=CJS8~gTla`hOWzdgpNM7UI8%WfOM zUw3F_|BXx-DF^>$B)_}gBUu_Ni_DTH*n`cX2mej86NGXlmRa~uI;+wq z8y!%T$g*?WZy^!{y|h*dZnd@w9{K`&>8aJ>q_ZJ5d9tb@kd)M`DBgaHzrs}a5@TIL zQ%8w}tAtvk44J@?{3=y=LyI6d<=8kW+xGho!AJF<#~hW$KI|iy_i7=_xx?vM5z8tO zCL&eQNm#EhV|A!t(l`buTQkA}IMCNk1#cnTQGqP>wQ-k2kWUhpm65B<7ktJ*kMsfo z0&B}pFJAJr7x8Uti$?!akw~~zZ#rJ&76l<&a2N36*amf2RrD%On@%Q$x7{IfOql$_ zb{;%Woj0-goo#azoK>*aEZ-yi)t(2NqTh69%X|`lqp$5o3R*I3dp9ZOq_JC?_5sa; zyp3jgu7nik;NEwZOt>PlbbAJ%B?tC-c$mx`Ko@&A0Zmr%Ylyq%k~|;0eGn0f>LdI` zMqvGq(?(3!&P7L7QPUyG{;SI?C&a|%rI!=%K(!ghtSjgVZ%SrC9#=8q1 z0shS~mWv*Z{8yV`jM#@z8-yq!6w|>&S#onh*N+l%-BV2dyRQQ15Cs)h63hTvGF^vVN57K15 z$zX3%F!%iqiEep0a!CTn!e3W_-9X3tN&0~{7*laT!*Jsopv8^(qN>o1BO3ul{R8jD zqj+j$Hk-raZQV3k7e2JQWq&+PvK_wx-G$gQ?wR#&Y8Re?cjE9=WgYp*{-RBO7M>3wkJ1R5a)IRT3unz zs?Bl(^kZ-!YGnkCw>q;`q09fKanbx(HSd0~kxtQwjLJ?KnIAh9(`j#7SK{iXoiT($ zIwBgzJfbQ5C;x0zjOWdF|4!Bb=}yo{bU*@bYhm@WzT5ghp0_ul-v+aWs8B0SW(7;W zA(M(1?Jl?1T%3(k=_^{qFX^;hRN10`%cf>bpN7LFrc)W>3j7&= z%tD`Vl9{j5^nI(M;#4LXNU)+%%?DUyyS(_1&~OtbGBFk`4(4>b23+n?B+8IS#E;3& zNo#U|)uE-QCigruUuZLKR>-Y)I-XD@uvf?COn5fF!B~`3zT7oLJeq8$sOJ@E%h9aD5dJ2=O+5d*OsU@!L-07b) zT~HCJhd&&W;OTT9?A)Ps`s=;D^MD`&0o}($4jwiMJSSe8mGXr|$Rl9-V1{*!G)Hq(}@CJa;Ma4x_{Ia(Cg~X@#;~(w`68UpnLoq{pS7E(7^F z`0qn@P6*;Hd*pZsnFtu}XYxR+ONYkC!xjHFN~vQLE(>Pv_~+ zN3eo3c~6`|np{a}fI7JvAf^gSaCX> zx7YC7`sNWqR8S`uf~82glDYF**y9Kw9zH`Z$r6<9Dv*GN#KSCp7nBvhYro-1C}HPj4UQLsbP!f^5|s~GU(#qTh#n0_f3FJ1k>@} zZA}9OYaJ@Y4_OyX{Q?Xe)i4=oT-e6^8QWQzLtec`gw4)ggs9XM4K_Ux3Clwg-%ed4 zW8W4qQMHJ47Y29>`FdA;~*pi!@1U0aqL0*R9>QQ&MXx2XvLCXGU1`kk9^&&Kb?+b_e zt~EPeW@DO=@OK|X71KKlvA%S;GuiZ24n#mueHMU#%{r81=i!HMy%uGyxNw1YusYJ4 zoUB7};1-rW?~nKyhWPgikz#YvK=2n^3w2}8E)x5|K`K*Ey@AL_E(opxl8X-!S!^iq zMz*^*ggh{mvYb7BC~!lBWMQDJ;$S((N&q>we`?@P=~t7gB(4v#--a1YFQ|7;+L|`! zG=awf66hiw$kT;Ws3e_2P4)znnHp+dOy)L}6Nwypzj25Kn%1 zF1lS2#Z(`1H4K?g{mU)-?whA98nPt9AwcpMH4mYsSc|O=onle}Q1G(4nhY?>kv|)& z`}59mGUfiv#~)UvjJzPK*8A^T9(ib|5;z(EWpMweS2~T|2$$?-`s2e%X_ay~RBfIK(Cuat|5~g~(`Zq(8=X0^^_D+(QgqKTyptZKf zKVzD_zpFg)ihs{!?PSO^gNHVI#ATmbi0ofq_{z=ut{?nYaz(UFkMRMnO}4oKyPymO z&o+`HsweL8OTS+Qm^Ek;zbh3Z0B0VTQACNfb%5X%6?e-(x0hEBRrc~|K*U?3T$xK> zW9IthA&mH0l-IVAA7M)HkALC@V$`>2U`V}lX_6Qy3sX8c>`sS&xO5tpF^$*CV>;9; zRKJp#u`bJ^GH*Q{;zsUu9sJ-x8l)LgvaS2}ClF*Fmv!wr9T$1;8Berc3RL#pv5u)c zYBa3L!7{(rHoX=HDH+(bjv2XCz0A;Hhai7W=sq)heNh$RjqM>5F?sxgkP?w_xxz#K z^U|AeC^EPscT9bz$pI37X(t-&JD>W%CxM42TBN97SJEDKpB%!fU!zpY9ty6ef(DU7;A z1GQ0PT>$wPT}pi*--XxiDI@K|v2TTo_*9-sSYY#yj8G7x&p$x*spi0X%TH*lYMt1i zQ08IQ;6m}~ns^HtVRLzT79t|31-n$NLg;J(hOCx?!q6SnP$29l`^zV>O2J9z%^;i2 zi%xrNm~J3Q4cVgQ?`U#B%TBDwY8`5fFzGrY@hO9-ko=_KS>O zI=F_^w=E4`58C&28V4#0SPO!7VnN4+j!`0KxgCjYJ}_B#GZTm=h9Qrj2?T=PG*|-H ze4Q;+KPOxd2pHx|Uy6M4(dc1-U-p7aDzTaY^WiK3*1)s0Ph$6!Q z98W_2M3UWNem-|Mw8;3?{kUJx82&=o4LQ40RQ5&Xds?xbht1ILv7!*XyKmEI5J2r= zvJYUss@%zQ%q=GJBF)B!({A+`))0z>1jX9Tr6+Da=(hAEp+0qF_MqY5_}EeBC4HB^ z33Ucyl#4dqT_4g5&|G^_ze2RUt#kUh?r>U{Wz~0#V5c)|XW9DiOi;9T1IY2n7?4?& z7+s~(J&|9jtnuT!BB?{8>tl)b+xQ9h3e$aQ|6Rtlyg)1O#!?xiR(?4m^;)P(Y9h3q zG1zLjTo`PvLC1;^v%fGcemZ%et9!u{ns2zH^G3K2=GVEnCky-su*1}pdeus zELIwXbnl*gl29w1vc}Me)E9OzDT|wm&9cd;_AhFDbn2jY2ra)*6)=`!gPJQw+#Zi0 zqJpO??^ydhVqqp)NnI9|JB=M205mekaG45ltNC%!@$Wk=zE`=`pJ#r7!+ZLMtF{* zX6+%e&&1L3gEV+#);8xS+}LHRkg+xrskQs-&>G2Yqu&Mm{wBm4S z6O(FS%(rdm9aMUaVEb;LVnhI5Ahy6YbjVcN>N7eP1It4r>C{Lg(*d4>um-{izp8T< zElc3~@mlTdrmjwF4_le>8=QY{%j^kUvE9X_h9^F9i1ctm(c;TBH>6CzDmwM@C`A>) zIx_R-fY8?H>Ju_@wnTvBe;+V#@PE!!57P?;t{V|VvVX!~_Dkq{iPvC#e@eZ9L6Fa= zaXk6-PG#ucG!cWge%U;YfHCPY^zRo%V6ji^OC3H2OnvHgtH3mp5q|CmpfyR_RSZhMr_w&6KXc+<^qjyL@N(trOW zk$b`Sn|M2yV7YZ(?tXL(F7nGqYFYNHV6=U7AhLib6AlfbnoU+iSwNQRCsy861uUDs znr{YDacVY?$ZCCP(%sx~!X#-6>1rN_?9yc}eK!wXeaRs(cDngl=>+$|=W^q_58QD) zQ_#oE&t-n1cts`PzTuj;ld9p&ahN{gx((8VW1?I&^Hewp9L-)i+ao(KTmo}p7${r>jqht%ArRQ#iDvXVa?jm#UW~^R7S<2AL3{D zs1EXJc-OD~UAM{aF8{;c1&rgdsSY~0@^RlET+_vsv5O}j1i#xgB$1rp8K<(q)t51x zy%cRk-_5qSfmz*w`4=vRT??g|Iit>FL z$(!MzFup2|RIN7H*Us-c^B$WrVxtaN6b}rHsGKU)+sNH>3W~U@K?9Dy zTV=*1j&HVv44l0oXIwlGw)WPeYf53gj??}*2Z#;pVKj^Uy*xdnzxdSr`i|>B#7#Pw zVCc!@z^DO><)wp55+h)tXH!{rM$JtKxBbiExyL5xeXkx%@Tmuy>NvGCM%9rP6fc+L zc@l5VGBVYKqoDuia*&~?iC=8c)yo(gn}I`s)P6Qj`HP`;9VlUM25soA%`O^)VhcUk ze%fhj0!_LyniM@tTYIk7Bk`r)J*(GLliB>y2i)Y}Rj}`Ii2;D|%#d!FX&D}Sf{~>a z=`apR((Ny6Ep2E#1y|JR;dfJ;pYaqsL;rHj&lg_|^0Pw^-m_1556NkG^TazVf;H{_ukKjoINy4J)NEjqRf9N#Fj7+U5@U#>VXq;JLQh9UV5$`oCc zoDFg%38wPAloQe9 zXKzQiwWx7ER&Ka%Nn=)%=O$5mjOcs9n_$63z|`rffT5!$;@sM$GjdX;bxz zkYH$G2tfI5HV2C>l8>$Gm~Sm0KHBFmbQV>tBIDWSu+?)FG%s zV0!{u{SX6cxNKmD)Ul0shW0G0Ji~In`z?P>*yhAYI(Fy?W(7iO`OXzY;9Uhrcb4!~Tbee?BXKado=iVM4UlCKH#eGqi* zg4rs(-dZCpH|QPio<*v8Mk!ShkqC3z_CV6tQu9{=w=n6E70Kf8ZkJ}9nS|w4Vd>ZW zQTuOZ-c22-M*N`k|7lo{a^Zd)FcOs1xTQ@3L5v=46m(97W%0843-nd}W;pfFZg$KR z1!^XHBCf`@v1hieH4@^GW;T$Uq=l zZ@f=tRZ6(6ZHK>ZYJ$JV0vGav8B>@mI%FyziL89BUElr2vwMN3l$|Atf4)M~vRXq&1zniU-vU{b8u81q)_ zRr{4#slQ#BcIha))LFrEC$c6;;HYz`7D?{@`;ks$B`~IvlKq1nU+zp+dD4&cdIeGZ z#rl7aCkmIjQxlx!&M)!Q&zu+w{uGN5v0CrQTC1tsSmG`;4qICo=9RWGprTjo11Qac zZU@}sUpyAG%R*Kxw`;|k+y?RKg6(__im(h4{{67WgBK_?@h7A!)fIyM~R zdl5^7(6rQM>cgW)g@|ZeIz79U!ysajoyDvGkztUEkL9wMq0dd%NpM|c z37y-Rc{A(z1$Cb9pK@-8@N%i0`B%c&7xIKqPw)PAg3$Uz^~@d0KVEA9Ex69jrZk_? zLTz^~pUuK7zwC7c5z5-JNu(!E>twi{I(@5?A66s&=TMqsQzXW{3Wi-#cYBG(NV-`H z{hXa@+zrdLZ|-U#G1uyiuKCcI5S2+Kw3^ve-F_<}+@>{7J#+7brY45|$RtOp z_L$K=DYvPwxKQr|^e0=l`5KOo z3mj|J*j+|_K-O+p%gRS>=KUr5`)6SJvYjH~lE8BhD`zD%fVT}%t#w=Gr`jeL>axak z)Rfa)X482$@4_MGQ!x$Orh|x6g81^v9afB`$@cW5qjl(%+TALl<5d5Ko5<4aT5-&X z5Jhz>s=EuBAVt}6b1k>S2UnMqiWfp4dNkdlIU`$!vRSZYwiqu`mmTN*&FVOt1~r<; zTKU_5ihYv50A;7ip=c;tfi_;!)-Os%8wqq0u&d3hO+wOG#a_@LUQFQ3D|k-cEG} zPu~5=hO)Qa^&GtQ2KoHkgKj#5z-WddA~To>ycr;rZ+c%M8e9=@*|QVrk`wL!aFR?} z_Hu_MOPkD)5g7rZ0D^c5#36u5`~$)U7halDky=~GPj~Sl6r?$pd22DIl1YdH0ygvQ zK=7`vFz6qt4XAKe5&U?k<9G!Pw2F4K8T;fKsVcZW?`rb`5g(zsXFC-kM-3TdFjztc zvO&@w^0=@KLO&>uSAy~3HETc1-6q0e3&^2`lLe1D6Ae#JeV>7h>04&s`8GiAdPKVD z5t_{G2yi6A7vGF@`){}Yv39SS0m{P^I(}CH^s3c5qfUySeTiR0Si+~punwDTsgX-i zynRP6Ga$^*_7x=k*`Y2dXX(5-8wU_*Li++Y;g8cx@7EYCI;NXC6{ih)rA##sKU8u3 znZ(1_=4qfA=$*?-fYL%H z_)o)W0-(aX4!rf3 z-s|-dA;b_eoXXh-kmc*+5YWNE1az=-op7rN6!4&T4u{%dysz1M^+L>I8Vpug<;{sIviA!7f6 znGg{ghR`I8M+Eg}@AViTA*e39{N6r@D8af~!(dB=uw|OdE*YOkro781VaPomeB-{| z_OP$3O8BbA06KYblr-LR3R?NGt*f}1eGbd{M?ttock$D_<HdO?5z(=a8t(i^y!3OljHNrquMca`H` z%Y2_52Z&flr9`ebFFNx1eU6lFL=`vh?4{1%#U%B6a^zK_(z@FGda({@8jLi1f-U>V zReDcuUI7fa7ZBYv)kT7r&JaD?r6Vtxh{Sl4? z$K!GvU>VQK5`phLkg-5Kgs_<%DzfB#iWBC6QIELZE}V(F-_4G^$GP;NhC9fe+0>lf zGp_WPKv(U6Fr;OPe!dPz0l2)YaWm};xMsb$fVPcKDM|7nkr4xkZ=?cc&4-3}>FDLF zC#R^f%no(PJk9rb*!+-g`L-kE)zhV2#A5dj8E|ZKw*A2B?%h0m=V!*MvX*Ij`2fhh zAOqH;BM)|kpq6GdU<`NkjT0+}3qC_raPoF2<;JN)!RGozFFKNtQ0HZifgDNY9p&uC zqwR9+sV+RUw6oQBlxm+tDI! zeVr=8+EtB?%TlG-9*fJ`<@qHpu{P&l+ct580@XZ#u-JO2$nRRCgVkxM64?U2yjA*pfMR2DJz)Hg9x%WOG8oad zG<}_JkEew{13}?=Jo1genXeR&UTM6?>-@Bfh{fj=C2W$j~iZ zaw#e|cXe2;#l^OV^Iarm-9Cq~LQ)*J%*Uv{Os{7x*l; z@GB^cMDTEqJou#Ij`?k^R}5p7A8KEcqvG!}_1cN2(v}-~)CS-z3@#rWXULl)ebVw~ zmlkf*y_~Dv+!rryoIheQ^@8>bDZos_|MkU6Hf8=g8}+&9$WxvS=Zr{Vj!{l3Nff6t zME(=n_{)c8auVCHe2qdYF2wd(jl~b%9H_o918bqEbuAnBU{uZY?wi0I^O0_I!^m^h zonb2un$Njm3=u#!gC_0}py7MFb=I}w7yjlz4>|Jtccsb2`(V6L5XFnNP`lm!SX?Yx z7QbL4V$VyHSA9c~pbx~71~A?p)~jtPjGysDA{u`V^pmKu+`1Tww%>D|Rh~rs{Ge#0 z7S`pCWLYuv^RvC4#;Fc-d4${_eaAkq?$OwHz(qe%L?h8?3fU=N9$62zCf zC(p0X=i{b$!-F}gnQdK5_@O~jRCj*dbZAOAT#P|rHi-+|nfMG&N*b2@y823W4lBKE zC3Ji+(g_9FWsB>J%a72075a*3s0+l;ohhXG-mEOxfM{`*v9V;Cxtd+HhUlt}&3|ro zSK$M)!+pVieJi|vOrnX}IAu_(?r2Q)_1+JUuikZ(psDd?Pj4Ufu@(?Aw0dZfwLa9y zkb5h%{dH_9r{%;pM!fSV772f#1=Fe%<^>nbQS=bV>-*Z9N&eocFv^(LopjM&eXL|G z0=r?Dhx%a0j9*Y-KZ6C`<}>dulKQ4|Q!&Pgx9m3!m4uW#r6A^C*`vg>+Z1&KhFWX? zW^5~TsA!EH`@hMsxqN?eooq8Y;Tx=PgOucGZ^ofHCA5p9X%8$*c&UjI3G*+p4;*A# z8%{<7N5|r&jQskg_?>72t;>nUx}KeeWt8;qqy5eNe@|fC7SS~Dni{#}?Nh!xwkgcr zA5@-d*XCwEFLL_P{rP^c#(l|+MDO*c{ePn*I-kBpO}t}Byq$Cj*?-iTvv<<)`pFc| z5Rs)E1D9%a#};BJ5B{e4_?xZdoWd(FDG3PGh-(m+x0=dZ!S=G}vu~A!n%~&ll4yD{ z!zuheTih?(##D(_1h8kKRVYi^YEf9@`iQJsEp8BLsg%B)~nd?8}t4HELy-q z?s;)TQDq`dxmUC{Te3w-+H3ViR^?opzjwbu$;f-V+=` zKG*wS!+05^FQ7gJeY?AX7M835+*s^1E!h zkQXhSlUdwLBvdAY7W-J3nAvd+X01_&6~g;iHp>U5*irM*xZn@~WHjwDtGfnTvLr zy;jEIQ04RTccVjxok-(3FT4O;Sphg9-d+YwMgZG1E z=|Jj6g7T-RDeH7IjdHb^Ji@E-H;PMA~5i5kui=AXm+p4)SKVCT)SSaee(N-xR zh-jZT`mNVY)Ii#_9X?~e8qr1dk?`w5!A_(Xl{#emv)o9;O_U=eCAn++xxrLYa@l>q zHyLBS0rcq);E*S)KJAL+B^}>8lnJp#%t)2m}Jp^BYmvVJ{#tj=F-@094Pq4$=;ag%b zy&YG~(c~&RfMKWmx}Wy4Y^>;Clti-0E?_G=2={~A_I5qR>Ae6T?LYhP)-mT}?nsY$ zv&D2IDn)ym+7(rseKq{OJllQFKYw}W5x^C6P?-9^Z}_W=;Yt%kKCM&)qr8eRX_e#{ zL=3aDr&&nabA*8mf6xJH+W%>;3!?@dw774Q`S0h zyMQ!`yIhJ9&%capD+eVy;+jju@6pCKIRrewsSkZ)pu1F&MIMIs?$k+ZBY*RYRo4GJ zPsHgOnTMuPw4)HtgRX#E?#K5Cr8*IjPC(^jGC_+qU4RWeJudoccikmmp503{WSUfV zWsEv$%U0JnhSP##eWvs;d#<8?N%LN$$S7*ye#IT!tbgomB)=iae4r_hE9ctiP7VL6 z@?z-#{xE|jLVy%kSCpd!Y!g|sn}tifnBcH_vAHt&@~BpW83ODy4n24`3m@AAIM-cY z2#6gRVZR1V+#6JYF@!QRk)Q8cC)FhpkzcZ$NAlr<%&+_JCviZJ@TLB30W<|HcB^7$ z_5HGM)pa|4cj#>4xFFp-mpE^o7>F2SMpSJgxB6Qhhj^R%p9wR)U^Kwk0O7>72LWMH7O=zL&zA*rc#kV&NZUgE z@`0!0=#{P{Khad2T{nX-IyP3Z2Y!;Y`2Nf0vkt*^4d0Bz&zt|v#yy>n!fpM>POXS0 z*U0%VG1=j$24mMYtpl;YG=a^_K&SZmUOjfM8qv`d6ZH_!pgq0QQsP09^Yp=gwDf9u zu!&f_lq5i`w51lh2M$xJiJa{uuRGuETx^3MK;DB(yefsBPPpF zY+w}m{hk$dLYja~e2$mnm7##}O$a}m4-1&rJFGI?ts-m8w6>e;a_iG$@wE0QkJs^< z6I*^d=zn&NziKGYUL~<%P!{rX?d`nO_w=UJw^9KF_rvf98jf7r**67sw|eN(v5a0UA+;3FS#WirT3^TtjH=t1EJ)aNaHvrpcvN<(n$o zc?dtH`fN`3es36`JffP^+FLs3JI}DL5xQHB3^$O~@=fzyS9V*V!v`X6`m@ zNworkQQ*6Id5+~H#t4HZPC(?cRqRq@%U1{b1$nYz=E1s$=Obn52^yo0{Z!S*&pvX^ z2332>T`9*2%ej+WqjN+#O5hLdQ;+1xEPed242z9( z86LyN6iUqJsUQ}paqRer_hY9j>-BdP7x8hAcw(xt;ADehh8y3pY zDbDI6BLd+2_HCLzwxKSR8nqI#vH~uGx0C)eU^kquTchXco zSNs^G>DedMm&6M{_j;kz?TYjj-pZ!F)Q2(1`?A-kkK7dZzW@LL|NnRabW%G@98r_# zLF+!6s0duzUOwapxP76cuWU5uMSFw(HPQ}s aFno|jy6mdAbJ>ETxswq?83B)zD?^3fXIOgx diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 2fbd6967cbf0820a165327de60b3d440062f760f..9fd40747f1edef381bd3096c5a10e560e7e59c6c 100755 GIT binary patch delta 2894 zcmV-U3$gTs8_yb$Q-7g400000004sv00000007+r00000002)700000004La>{`oj zqe&5Wme=xrNr(dn&tb%jkdQyyv7Hr%_QalvB`4YW9Fmc&kj*4c)*|C9iL)!A6*taE zoRE+>^Y{-q01|%zhy#cl7dRjR0(NFMd|dkclaf2f@H`98`1O|sc%=7VQ_4Says>c42Mp65fVh1Oi5@K4g8eP%wGt%2DZ zn5}`+(|=Gr{5yRs0PdH(M1(b7gfmES#szij-ETKl)Q zM_-=8etD^r-G49n)`K>)v+3;ebarJryE>gcKb>8h&aO{qH>R@}9%ARs$hV&&pZtyd z|4w`gg}K7q3sDC%Mq%z<@ca8|M2zRSVUZ}w; z7yA0N*MIh1Ua0P~p$xxL5JpVmSd1uylYcnkxIuB0!xcj3`@QIXzp)wUdPLVEdOo77 z5nYMsaztklUD`zFFylokeGJw3*zPh@A|;G#_A{x_>@RS|CK-qZn*F*jYrdTKW!0Az zUzUBD`LeXhp>U&(PIK-6JrT9A>4}CCbtP&_%zrCURidIqS&2-E5|10H865~s46Qd9 zD=A4!6Ibpgl0fhkAe}ML1%R=V-w&(R_rq%S{jgenKde^Y53AJ|4^ngJp+)BcHE3ilkn@482C@>!av-xn%7nv8 zn19{s%LKzl!7{=Rt#rhLK;)`(76F7w5nl&ftiH+a7@bJn3N@f$?BJ7iwG@SMCeE-Ti_+c{7kl{(6Tj!maQqY zY)zqMYYHt}Q|PGQEb5nbnl2gI3Pv^pDSy+UJqb5#eeemL||@P1%GkL9;P!p<|%C_y)x7yU^)0zg7)>1At=(@DzHEPRfHek zltu$R1;jVO$B8-lX8ErqkN8Nd_z-@WFDLNBd^wB3PfuXuByH-MJyFX}c+^S~0A*Nu zpbSm{am|Stdqnt8v69FyZ$SB@5*^~Tf@w*3h#|#>7*gN?l(P~W90+lF<;^8pVVQaycfA;>vjQpVGXdeOvtK1 zXg$-eV>*b^QGatQ$xR!*7(-7FW9YeI3_UT7p|RT-8ljA#al;rIF^r)npE2}s!?}d4 z8*frzsGU=kW2aaac96?L2w0{n;K_4LriHT{^*c5+z0FbRU%mkP>=z`aZj^6W6dL6r zBH;(ZptBg!O{OtP_>GQ!jDs2`SAMdyO7y-EG%L!Fe1Cu(VJMP>a6^En9kKK-&oLUq z0EX;0kLdQI_4z$K0LDT+RKkM65HwgCLJGqWa2SUD$uI;fh9Q(O zlsWke8R@8RecT_2VE})0G!W;%?i0i&AxqOb%0)eQHb|O2TP=~q*|ftvsYwn?OCR?e zs$>A3)PKk6FPmVvM3VHAfNzklG8`)EEA*ud_eY|%o>MNXoh`wibF9wq zVvsD$_zvl+T7gQ3f1N0H@l7rTi8+@6Nz+LKzkfZ`f>kvPh9+A^*BTUD0+68UN!D933yIq2qF&R8^wYStFT`rdE%b3e zkJXfm(%p!VLx5E5N=iYE1VQrS7(`mGb?bI#?PouD?IRC-Y)A2Dn*A53oJ0D5_hkEMd~#gubmp*p-xN=ghOU+&aWCND ztX#Xahbvd=;ZM@Q$itQ3Vc1?IEx{#>}Y@j@KCxba-LxL&=qi|bD#P}HM9LAF1QRs13aC(Rb{ sQU8~^|S delta 2955 zcmV;63v~3)8iX5=Q-6^<00000006iP00000007+r00000004vy00000004La>{?ro zTt^W;n{Wwt0utgXjU!paLPT?BW@lz2A)WEgIJ;;s@fqWdG+4{p9y=q}W3oFN1B!U! zg}*=~!~;+K0Hi!6`~ZZIc;W%^fXFKd2zN|zUu0#?&|L9 z>T~wy&6_vhmu1<1shs!wDb@Xl>W+WMK6upEzz1oq{+rh7-TX*2TVBYrf06w0@7M>& zYv6bdyxZ5nKPeXegMJ^U*ofcS@?dT4*h0b6*bA) z-Vbg4!Fk%|%4c6-h||^0Dx1ZLeXcz1#AS@VI7ZeDe@>Ts!@7z(Ztl3EVA(4W=4Ko# zw-;t|05kDtGIbt+8?GPB)JpV9njK~xiyb>eV!&ZmNQPDTe;%A%c(4M)b;Cfe!nLZ( zOD$OBP=9|u9i)TFL$!T0gyC0;!3aMbiV<*d@K2^3O(=|hAaE{@x)&1NF?8F|i-v9) zx@qW!p>spmFTf_OKS5=Pk{SUAYs{2bi0<}AxisjFmbhV$ItT^5Q74q`P%ehD70PBP z8==fYS--%+ZfgLeB^!VxqLwZMqN_wliMA4pN`JJJXe!ZAB3DAXUiLQ!thi5o4n)w` z9|e8=QP9^P1%3Td(AOUYef^Pioz%fgeTu`RFp}*=E+(>-$YvrNiOdrz-9<0q;r>WG zi#`e#&!UHd#k1(2;5w@c`7KyeIvBYumxoer4y4=)Wp4LuL~rxVQ@^!;Fy%b zF@Gt8V^RjkqzsNp861;!UV}YKvPTVBn?lRl6xzt-OG!SLJ;5@$>YB{&>s*Wk^dG;=`~qhO}^bvyHFN+EJ~NIjSsj%P|rZr{UODfD~=dSvIuYyivZWJ2yp3&09UODaKVZI z*Qy9`nF_tCe~5aL?x`5~;H86|-Fl?*NHrqWj8rR9i%>7q5NyR88r#4;@?}+#+-Mca zy*FxWN2(Kgn)=a=bxWbHfw|*;=YRD?a(EH05oki-2$u7_b(H$j3O!}((x=5#+YYs@ z#L{6KDIT{hODsp;;qUqY2ajRZ9mNr%8{Py<7ev!+Eg2Dxr-&JtRE?t=S2fNeu6~&6 zangV9@?>v(X(llDu;F3T!w<(3G>nleq0;k5L*YnG_L{Nf9BL6cLh15h0lr5%)i-ME{OD zn+%C72L5)kG!9DlAsIzKkm6y*-zkx2Ia=GW>r*|cxivX7XDFYVe4O@~%P&$$kzNFe zY zNjv^SEll=-_0j?ZA zi50_sV#Sj|6(LUJ3ILowceq&$LEkkEJZB;B;^8t!rA~XYnoSx{86X(SP5Py=wif3G6#bXexFxq%jCNamV^hP&=*l?~23g;PdeM!qN=jBte zpu3{N*6kFmxB*v0bt?wZnAKo}A?$(VFb4v07m-3~OsNX=m5IO%S|VzsIMhHB6ERAo z9tRZEQW&$4_N#>yzyReSM`!|q9=<$km8>#t7w)7A!);YzxPL+cVzl()1|$ZFcS1^I zB`S>!P-$d^hK_t>kIF|z3ECu`3ei!@BOR1!hA`JQd~MUimWPWTO2CP#Bp$(cau-pv za;xbAhRI#@RUK2+@>OjQxnWS!^i{E8xT>QTGgsmY55SX3uOGx8io_j?#2bpl88C;} z7tkTJv=Lfbgnusa9HKQ3nTHioWh5+`rmXG_BF$_>lvn) zz)&3j7wQ>4pHsm(XQ2e~G7rvx6`lhB@)*HyEclYLsq_k*YW=bZ=>lHXr?6?Z2S2lR zY|icNIDc)<=l_yj$>NJGiHehT^xj##Cg* zO9tJ+&JV4EHwfr3iZ74?!ZP(nS*6#%w{5;^7)^ zSpTv%pt5+?ihbYK82a9v_#LYnaa14gw_jPYXHe$Ph;vrB<-{Yq`Nvv+kot3BO4b$7N-Hc#xIxRa5S`H9OXZg1yggQ`z`f`7(E zz3IBH*NB(j+@^CYG7=5o1m!Ctq81FvxxqnL+t2mX1b#XJlXBW2~hKpOq#nktj4*gIr)Ot$TKH=V1hjjtLah_lL2HpP-$i z*#ch5U?VL&FeVu*ULmXDw9blez<0 Bnk@hT diff --git a/cpld/db/GR8RAM.acvq.rdb b/cpld/db/GR8RAM.acvq.rdb deleted file mode 100755 index c8cc1a606a26fa3f9d2d5c7a1321f0584baf128a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 413 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR?gAPD0*@dRgDA*!AealKD;R(M2ZDNs zGYqUfJv>Yf%ZlC78l4>clH%gBCN@>CQ1_9V$)=T>@PqpbH_KOtZ7gE7TnCr#+}Y_m z^~A4)oC#%aY6>6OGZ;9ScN$ohDI8Yas$*;^s>D<@IlE!~&V>_QH}r3A?OeA|6KLuG z{}qf$=?N(h5)u+RjJEi&1@YW*ka_Y*ME;4?19=f9p~M8Hq_j3}hf8{D0h0wiyqOF= z0t;5GU!NS1Qq!?`a)0)o{gbD!Hg@6G4q)VNW|+`(_u~iA6AUxNIxan!px|%+y&_yb zJU-mMMJ$X>Zo#EllU1KObUAW#IBs*;;&9byli?i3uJ(qIcU6j{DBrmrzzhnn|Nj9H50v8o diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index ab6629d..2b8f10e 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,5 +1,5 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567734309571 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567734309571 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Sep 05 21:45:09 2019 " "Processing started: Thu Sep 05 21:45:09 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567734309571 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567734309571 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567734309571 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567734311414 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567734311820 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Sep 05 21:45:11 2019 " "Processing ended: Thu Sep 05 21:45:11 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567734311820 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567734311820 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567734311820 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567734311820 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567905339785 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567905339800 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 21:15:39 2019 " "Processing started: Sat Sep 07 21:15:39 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567905339800 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567905339800 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567905339800 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567905341676 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567905342082 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 21:15:42 2019 " "Processing ended: Sat Sep 07 21:15:42 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567905342082 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567905342082 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567905342082 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567905342082 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index f6adbaa92ee42034fac7119f0e112df76f6342bc..872e912217054b9f498a4bb714b5064196ebf733 100755 GIT binary patch delta 1034 zcmV+l1oiu%3ZV*+TYpLf000000027y00000001Ec00000004La>{i`x8$}R5^C8MN zR00Xa!#p6ANZ2`BiIPS<^x`-vAttTQtx#Xk`fks;_P%<%8{)q3e*-1_=GKnSZX8I& z0}rfpH#>VfznR(j*t@v6xcC!~<2d*6IE{w$E{La;Oh<_Ya^NiDY1Fzp8%+GBYKrohbADZui)-+44<7}+n!s;W>@AIzu z_zX5#EDdV@>hh369l3*OqUbz7>s!U zI>+3XXK^6uq<_$Ho~d1Wy1OxuMXoju2Rj3=zY!EkxRD!O82xj^TBjdcMINm%fb-{e znK?6YF)#a4DKVBS^YUYeS!-KDrL7mK8gr%Cu~b}IXrt_xZ$Nw#1VE2WLQV38CSMlh zZd0ed+uqvU>`=#T-?Q640vX`26wZl$6sfe!?|@k4mVf^oWSAGtM4rindYO*(_wzNS6Nur1!AD-R|u8$K9RHW_xSC(T36TcR+kw(sqG8 z*q4zoiKZtaF;frN;>SvoLfg?4zX@-mT3Udoa}Q!@XWHcqLNspk6ptGOX$pfi8*qhn3wCoB zbRkzF*c`t!5C2w%^P`*O!E;i%DN!R)Uc%rkipK_3$S`45JXSeJ?)yy35-z^J!oE1p zw|FdMRLU1dX2FE=i1ewv2AC6|?Na~2Q-*MyOMm|5tBU^;@BR2J4gpe`JI5YPvx-|n zyV~s3rd&6k(K#7~hy?%ONIrywF9XC5Nj-|Sgf6>N*c;@U3E}eYiS*=(*XiI6y;cIs#n^AD+^4g4m%LP&|aM3&Yppm zqkr3g9@jx1$a4|OAWlU>Yn)a|6IP}|uhU7@jAyz|09~Tya^UM zH_t}Fe??ur`s#CTFZ{bvU1GE}Y#^H;H~DX{QryR`y|4HJoBp0yiIrC$ce10QcUW~Y zZe(Ny-Uo5dahF!ZuDu7+J(a<8Wm2+BAAs<-_V(UAZ;So{00960cmd*IU}WH6V3Se= E&dzN7N&o-= delta 1034 zcmV+l1oiu&3ZM#*TYpIe000000027y00000001Bb00000004La>{d%}+eQ$k`as`L zpaoj=Fo&YBfl4L?8+MHzqDZn+*Qygy34&aT6}gm|@D=W^Yvt1a-8OZ<;Yt>zSZ;0f z&_fp>XJ@(d&CJeYd2w-Z@h2X~aqi%88V%`5kjS zzXISBe7*!A-=wK1r>h{$Gfv|*yncf)Keu53!C1~6X#NzmrdetoXA|`XRv&cy0q?4h z&%iWZ$7`;>GU5M)fBih{p>u$~z;qFyLz&4^Xc^K$oJhqde?^!$K_F_wbU6W!!I%f2 z3(TH8ivvj~g@2CoOzqQ?{jH%aa~Mo}sl+Clkr^g)AvFCG)RnwxW0iB{e#o>e;o_?+?2F@k zi^oDnrF?E=7ECFRNT14UfH?s?mj?HqFofe=@_#R0R{WQE_u{iS1W0A>0((5mDsBm0 zwb`jnxo$c}=VTNj68wWB`2Z5W3=lgc^*GiRa_OX(7;ayjTI)}(9^?A_Ye;slIBOC& zGI$#>^R7uFF*b=PX(Z2e)j?^arFFDP2MFv)loP3`UTI6NEHUjm>`?qdcjF9q_8hbv z-+u=5xDI+xo{LZhaViqp;IvAburd{TlTNB;JlAz9&(WPXtK__q51ih0Ep=F>xfO^o zfAqGfqyE}TYtbWg-#&dZKuISeI(MEp1V^msf!|`cFUc6 z^K=~iSJc(3uRiDY!oM5UB}Oa52C@lqlm7-Q#eMAB`-(5H+3$&!SbOzxCp#K-4y#Va zjf||odm!#P?$T=5wRb_fr!sh^OiFg?eGuN}?e@0&9r_CZ0RR7Z0peg_WZ+<6V3Sk? E&A;{N>;M1& diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index 4b86b2405462c524732a23a9e21956887b003125..f68fe6a26a5466d693c7b28fb44e299456152cf6 100755 GIT binary patch literal 31255 zcmeEs=T}ot)b3AF5D*ZMUZqMGPNE+9Q5q9W3(bOMH6BOtwo z7J34qBoLCzd+$GSKfLFxb@rE;*>m>V`sRyITDtoOy!G|r zm6nx!Bq`0S8T8i6jaNoihWC-2l(dYL%p+-8UUPT<;J2>syr#~sp1jh$<_5f0?k>FA zZf^sxXZoj-T`%+Be^J5z*ZfCA@&7DK`2Vw^H}(rDawW8n&JfFn83Kw)hU9~60$fj@ zeiqPU7-YMDL)-N8?Q~uSflnE?68J>F@+wB(;WM~*>y{))5Cxt?Uj^mv>?E{B9DW4K zB4m{T%cWs$Wv#7BBjEbz>nEzv7{wn#_{`r$aq*Y!I~_2>sVicBWr~=378Zo`4v93> zm6`$gpbIMMn+}0mEb|?we}omu9j%fZBle=@$mdz#xPDFfAV*#&{fazzmp^kf?S$be zPuZiY=Yvp5P)4OMca(h~d&Dx@+2l#l`)`F0-qLfs_~DDn)j#472HqZ&Jm-A>fXME; zl1MYI9~5;|oMRJ=@6C4fAKWC+cv7o6Dx>tm+Es@h%-gCr0N<>?I+_1~(5^)2B2eC` zo&oj?%f|etau&+?T~$l06FA)KWDXEpL)I4x@ zqW*4+JAxrxe)!SP`VaJZBCl%b3yTMrPm!ylTgN;WtxXZf`!rkP;!WED*+Cg&3w`-h zfz^QCx==9}QFz_Ht5V}q2h+C7W2X*fT<$nJ`n_|VwPGR5&RT7SF=ODx=v7TM2^%0LeBeFSGiyX*IcS+xCt-ZkDqohmg2t#|vtE ze-!$1Y@v6m5fmq$+YGzwh~ZS+@D_EHDcVO@JdL~}d5W+!P=m(`^?OkQe;C?f!q@2$ zZrjlTX)IjzBRn)Rbq9g0_^{W+Xc29so3S2h(Gps$5fX$a!;+H1var~CqHAO9^ry|-;`h#cNBr% zxnBd~-rZ4+mU^4trM%_hx7=e`WYp2$=kyl1Wte^1C>?il@uXIwoYdw6)8U!n(5ZG+ zkl_lGJdn+MxIiABxs#Y1krSOb8!`EfoC4%+`)^T0{3NbrvgmMsV5SxX^FXRhrAm7 zJM=XwCgcO7FD#4yy=Z&`?>cJa#*N}(V3&PA5RC2!~ zx81F`CgWb=qx!6lzzzmNcJ|SYYHK5(KkaE9alK0_YwfP$j?(3O9_9W!(Y2}U?m`5o z+$|^lm+!RopZ~5y*!*OJb4?$IKB*p}^$Y`t?4eo1X_%MHrpp~%?>|gDt5dGvs?hIQ zu7tVPepGHheJ3FK+5^+1^(&y<;tl=>pDuqjlRF-Z+a7MZFj}-(iM*o==>}j;yt7{|!-=YeEt_SWn_%C|x_GLkk)1}qbdYw*-z_yt*v$mYG3S#?it~>XF z&A-Kw0As}BC7#bc{A?$#G$f!vXjk0Li!VH?6zXB^F|F)N3-KkuY`c1LhxWduy~d)R zr_M15?N+$4`cM&8F0=I2ed%Vj`)2YBxS;V*#$@@?YTLR6~emzoNXq zM+3ZUU9wM?{wzEnB}x8bkhMogFk=<^WWFVXtao5v7j*XCCH57g4_WR_5 zcYW3vHS{%`H7MfhWZVl32*SO>@C5*@#e&5T(E8|+m8BWys&ogmn}F@hs{Xpo^PuhT z%3L~A%M_!-Je=*_UwEoEY%Pyl@Mi2L!g{@|#|0%bWOj54UdD{N$2>9;& zMSnC{X(JQ)cDNpmF?LFevjiQRAys>lXI2zX2uh9Jvwvay+cx=Ods^pxg8>@&(ZdsT zR&1rSTVCilT9ZkoLJU_K@|1yx2J)J4x=u+nOsp_nh((~3qt9r5c9MsrM#iYT z16Z27;r{hi9fv(%`(4vSfp~P<@a-1dg4XwjE}<_`AJm|0m;(Ium>2Vw&3Y$ z!;ps4Mgk3&e=YrmpD2s#o{ka^0DZ83e-;S*5in3+v2G*1Hja%sq+NT;g6{4Wjl@|1 zW@ybgtIArR=6pu|q=GR1pmRsC9}!*z)h1!n(o$qaL#IQ6!p(Z>OFf4d5{74Xiqk<| zr4%hcB3kP`eVBG#A)X6jX$h^vsL5ii&jsOw&xuSAa%XLBekb{ApqgWcGy4?c>Ev7p zua^7#<@fjcJ)nhw^)yT2nBA@5C2QxIL&1ZqAH0@4K^I<9T=2TX46Xv5UAYh^ zc58|HCu!Flg?2iVKp>D`)YEt-TmExJT6g{TI!;=5fIDEO`g{0_717L5UXcoF?lZzT z-CO+w+j?8cgnc{GC}Au>A8?}0vyyEgmDf(c8(ECSqR2@1)ERBs>tDaRd}&6AQE5|L z05Q(NYWJ@`D!}DJU&pF-<{a#9%AUrmg(OfoXhMWVLxI}_+2?ASFc9;7vNsq<9D?F6ob}C6yjsnt8 z?JynFg}(*MtrF&<`0K<@u(xjqBT*JTvPlZ?;EMw)2#Jxdc0pap4xO3wKXJJ&y1N%L zc9zKxDUONYxd?~WYw|d;V0FUQd9Bd1TUXc&?u(SnQSBpHfPMriGxT-hewhcFlnx?V ztU|*Ip%#%7%jFm63t-S1u@Ik>M$^aeZLjaDZMw8eS@u>VmTCMQJkbj}xS5jht@R$d zD=___%>tt$5p_7OO0Df}D%&O58dH0upnt zkJR^+AN~Z3yoA_WEwGI2hF*NHBXT8QN%~!g^IhjCM%MLfjnv=Vua9Y+GC&P+kYS1N z)Cp}TG!K^;DxC4lznR3bvxOgRO;N`Wfn6fHTfsR2jig6)S0*100^U^q7iFAAP$}45 zdp-F4hbOE8s@oXvUbz|wksNT{erNb7L_wc8UcY#_VP>Pw6!*LcTD}FZX8I=*^(VjX z3b9sXzXE$aBVH-h3GoB0@`M6;7m&E5=Jtckoo)qZHBw9?!saX8Imz(+G8AUr=y|*D z65?~$)o2BO_$}bgrPTL2dt!WDz%N33-F|X^#|m>CY#?-D3JM6M4QoHRN_)L=Z3YQ7?KU1-FrA)S;7v`!W!%l2pG=T-G|hq1%3P4|tC?{omCPhm&&{?}7P^a=;OCY|sG<8zTwS@kM>KU3hl6HY z*)dY1Y|@=Nl=dEZzj}}MUDHnWr2U(^alz6$!A!rC3ZsLi(}rxF>YP5iYVkNqEOd;) z92Qx#n5J*<33%9%)oTYK`{lL+J5M#v|58Du5_G>Uh3@yO7&p&>9hXp|yKBMyvKlX0 zoajRBD}s2cSMdewJ87Z8w4tA(M5eDgsUY9NIHfziyVj3Q&!?#%{e~yw%6bmtc?ZTN zhMfZG*KmN7%E2>$_mx1t=gi>83kKG}M{IE+&5X{k}eW^1rw0n};z5{A#*W0er%Vg8=h%t1=ECzSmX zUn0z3vJ{P#8vvH3X=bhhms=#?lmwJj+!p9=n=2ZVx}%$|*1a=5)#_aeRp$@$B5ADh z1OgouqC#@_epb{(*$#R-C<5B1(<#=7du{&%Np?z6mUmA6K+v~NgF4D5h23AhxwPhp zD13xKn-^h+#}HQkPai8fX!?Y@owdYs z6lv1jc8_;1 zR&smefZg1A1^|Y-l71}(BUkYySit7R?0zbW=C+wNdW8Y;J>3CRR36EA*AI^nz`DJJfvOgF8z#`Vfi;9L875T{wlU5 zh=!!M)S(SNb{;w0K0(FdRm%X$Rh!a}0Mj5^gcD!XYmwEk&W`}21Smj!HSE{N{=G?_ zo%;6mB45j(g4(~s^mNT$JKNKTEN(OBfp^22r>CxfoWI*$jHG4nRg;X0tljUjS3Nn^ zm1fRM#*LgasJ^DDDZO|68ryutx?A#RFeC`aj8p?#Pc=0-} zG+X9Ep%;o~URJZgav?$NRY?=U+yO1IVbO@Z0v#e{n3_}+ZE|27ig%|Q{Nc9{LBFF1 zJNXykl%ZB{Sf&1nX7{8-qfl*!faO3scVepobyof&EL`X1d$kJV@OgDGHe6VURmn=~ zP^-Pt^7{TUvDCJIxeKq@KuuB+EnEW2?ySotyYQc27bPLC5MXcpw$k>-X^TlJmvF^H zfTYj@-RA>o1hOvaNo5U;Ipu_MZ%+`_{h2})#)$Kyn5#OIzjuitM|Ic0mMb6bX;`7( z*_>0jJ4mw4_X_!IvT}eJx32aVdU?LpfmcjByV=>p_{Ebh@>lSA(vN|>kWLo7;z=5) zfBpBX)<-tjA4_#*eeOLh0j%e76K z!@u!%W!!HW2QI^_!!0j$vx?;r{}rAdtTY63a<)?B4)giadt0tcQF9(31#Jtknz)A!pQs;y>!TTmht zjusi_e*46#4G&cP4l*Ge-ne3E{hHb2c}xZAw7F`F*8vh?Q}qE^&7TD4=l$vF6gIb~ ztBARP6J);Pj1cAg&btX4Q!6x$`|gRy5n+61-?hTS2Nrh?nt@w3DLCbvq~RHDFdbK_ zHNc^tv7fb(sKM!+Y>{$9=*xjhQ|e6Xx!K+C)b9A!U$%Q{R^F&*crnMl!;s)Bxf;*5 zUy_<_azWC;4jU+qBm4VvLGIcWRl+3GV9*92sz3a{>jPoB>@P~A9F&UEmFyd%*>7#q zgbEvkewwQ1Z!6o%Dj1N2yzK*SaWP(3^TjVsXiMQWR#faF8EUOvbB-pF)2ow4F+NP^(_7>_4 zJ%KIn;DBPf)|lX4^iJ&Qn6+V@VpL35%ted*S5uof?xj>^&+Xx51qb; z&yhqC83(Cz%L*Gve5!NTguE_G-hef5EnD6v|% z(U*RQv#-xtPz^k`Q?L6Kfn~Z#HcviM^90@JkRRe{)4q0BTk^%hU&|-uLIH(xxEZz9 z0(din?kPF~%0og{cvV9ZPfBg=mY;LBi%s*)JxM>c1H?atMvD;wO!PV0?G_iV*XZ5t z6Fry4^G)jps1v5=g#H3%62n&FG5$6_nCa+JDhmhxdQ9#Qg#Ne8ZeFSby z0GosYt8q|VjF=YD5pAp@KtJf-;WqW4vZ5y~Hk_hrR!;2iKZaORpWy9oBM?2(oo7Tn zsM=AD+MOJpNy}b3^teQ^Dz|H!bR=N&DYWby_6B{S1E_MqMEdvYL2(g+&dbdfDlb$4 zENHVki0y#qu^{WFIo-`OT_W;Qs#eXy)ph!Tg_kkUl}yahk?02y%&`bzFjU_8SM^<6 zsLrfGI!La16&-4kZjmvUz4TF~~S)u)rZU*8<|MQNue zG`UBImF%oLcg#z$5~rQ@`mg!sih-jQGpb~VfjWIt`sX*JxJw+aLJ!-(Apaoxswd9M z>o>VZw!*4@VTw?cL6Yl=EjLWsvtRr`V0pfz3AU>po!cjds#UD!O`k4FBitn|-+ewu z1TzIMSutQoIxBLN3lR&fJ3cSzIfPrSQ?3ZHUzc-&RZ1+j>%o;PM#*MQ!W7ci%U7?q z)Cd5#DA)S2Mz+o~T(^l2woaFhs?O$5V|S?KA!BeVhuYO>7Ny zINpd+usk&c=qEql3N2eM4SlWx75R%Qeh=X5H-DmLugy7fv>vkTL%Dyf67dp;Z?aTF z@$||5tUE#RSMIHKmw_?%2_S1RjEb)kBvzE5Qj&!@MW3WWP(l5&?_wP;vl`q-A232t zoQN@|i+jQs>?A)nnL3K4mF0(yz1Fyu`}^Ib2|+0x|Egwk zuEF&6O2T7ZX{*n9=N*sv%ptz+Zd+1ckX$~I?Mb!IeIrZD^9>rk4=}x6FrDf#HJ8~z zpDq^h-B*8P6XVHA8x7NV zg0YETVOsbJQRy^;yOtX|{9KLy0UPi8S)b35~mJ#7{`P<7A23fS)cURJqs6 zN%BV~8jZq=@(Z;xMy8trCeTBC{`1N3yvqGmzsC=BpNkPV=!tAdC+8iO0AH@aiD{S6%~q3v^^ji9825oge*l83gh}AYt>XAbhYE3O0IE*O=SwwPCoZH-Z2}!sV@Nx!y*VPi!ZfJZ@vRHdH9zUmPXJM#53| zj3E@RWF@yp#TUnMs#WQ~ccgrhLz$V>VmEf0(6o`wm43UaK;@n$dLk44 z zzA<<=;F-!WB`JPVdBesOVsEbU>XIUOyb60IuGpjgd_7fuL*M27?E0ebn_p9Ej1iHU zk9*{q#;kkOLYm_U)9{3jOn!W2Od1n$_zo!_^W44IcL4%0M0Sc{axK}(L)$jGJ^`o) zLHfsbx6i+(S&oy#gSYVV+5KDh=uki{;=4iAr7namywn&aa^+%QQr{$Co}AZth<40M zZ3pb|ic ze^DuWdaN9q(a)@RX#NnNa&wT8TG035X92oUyM6kkL($LnWu_DA>XeE&noP{%^w!vI za0#;k#F1A&ZY1E)fwy#O@#dgcr0q|y$dAsKVYk5zzaw`pS@gJV9`0Pa4ofE~E*1y3 z*3YjM-$)ultCZ(SMU7U{6O%FCvGE5KVZfa6%(pXyI=!>xzA3T z2Zb<&8>cr2qBensA5^|O$;-~Dj#QxOWwE-;{c2q}BvNDRS+d+t@3ev6Wm{G?HPn!u z$R`q{X0CvsB1#aX<~vJY{5KwhHsF--A{&%qTpj7f9WJHP6EiTGv7iTVCwgE^{q|!k zIYJs`r!I~WenyMqVIoExooM4aV|YaIwFx1#e;xJ=c4Z#Oa-1MF`lcc|T)2?Qvl2e4 zm@gQS-U_&~;a;nbTI9aHa3mNL(jW_>(9*K>1&Vt49%T2gMRIKR!(klA&}5r|T6!V~ zo)&Bi^&a}I(#{oL?1rLe&W?ck!JZJm`CZ(urt^KNCIM!<|6MH&yMsAwFBf=ycP#uv_WkbF<=pLi~ z0Q?UAB9+VJ_;Aw<&YEBY+`9o|`FS4DTB3s^gPSm%b=)b@iKHWWUjKZ1TWIc(^0QeP zjg}@Vltb?(AAuQ}^C=?Wph%@N`j4La=?LWbZtaOx5!ugY3q!#(1~V){#Pe&fbI)-< zTzbVkS;O}740{Q=80`kx>UE`jZ1!jZ95YsNW31_!O{ww&_|cDLgM(v3p&|ko+~nQ4 zy8F;?Li^FN?%=WaP|YIG9d(1_c*E?bkajf+YLFSKXG5OMK8=PP@=x)5_dOI%u5PRp z2Xcjl_#R`yM|g@9rbHbC4Ee%nfVG0}g zEKI!sG~C}aEQ9s3%4PpPOTZ3oE!Uz^MY0KUGYxk=9PG`UTEWzCjVNxf4 z&Q`iOMR+NyQyVu2$8)8u=;EYeNe21m-+0njYh`1h`~>kGtW5|Pfd!txw)VIOaSxb` z5#uKWBIECLO2ADRi7ZG89b75=^s4Z1XI_hF9s?D|KL#DQN9S^i>4%fMWKCbacgaYH zKcn5izm5~(G zo$Bx=CSVDMM;9jtm&!QYe?XdE%`m}=@+Ttn40HrIWcNUx0_`c?OXy5?!;&wknE+g= zXjTEHYs5uJ%WtecAazxi(rF2aqQE7?ulDnp4>tk#fNhUA7JV_8D=n90SI$4DRd057 zH$O76;w9@61yf=YiiiK%9wc|2ji0!eQ+D$G@lGDk8Khs500*n}4bYLk2s!d#Z+f5?^lXPPk}69rd`Kw`WY2k|OKmc7V{Zg|N_!DK#9 z8_kIU>Eh^ufQ^{Kn~_dPlfCxqq-Q2J`-RV$67Aq&jv6NS*V>DEn&V1nAHbS0hOwUB ze`dd;HGdsi=KRx~0B7s(3H5E6rc{VVj$fB2nuVCrc4_Z$4nbX6wuj~;KN~h6^IT(P zDZ*?o?GM%bY_I5II(~MjcZKx_nK&~hDfgV;13v%u`%c53`nZ!$>ti>%M{<63{X7Do zr19}Ca$&=o@Qar*nHIE6M9Uc2)(8WfK0UA*(;ow+N486i{u*o&u13(s%BEg92!MY1 zy;fxOXtH$~_sDRuBq_R1bw^hi!M+RIJ2(S`nk&u>Y~ye5hW{Lb$6Na4 zGYB(W#vG@(oLJd~)yS&NREn{4sJBVB!W{W%qrwK`x7(` z`)pmwrlHOcvK)s!@{H{iihEo(#qaqY-L{hD)_>PBQ;HH`+_UY;ULwL?#Sd2KWxoQ{ zNOG=vQm<$!Ovm6@Vpldd9H*a1b;e;w7Mq(@f z!egq%366lBz1A%W2Mq=OPn3Mu1W0!IvIM!`Vqg%7H~b--3Ym6mjsqv*L`9c)V4 zw0^6JVqDZYb&4@5Vi^DW-BYd1Cacv-;Z()dbWH}H7cDM6cMtWv8BJYctQ9GOY@-Bd zi}&Q*Cw^X!TKMAW>MD)w%vL$&9Fmd*TZqU+HovI-<=5xhla%5-T>r^WCYYTAJ18qe zF36n-}t~3(^0TGElWWOxS#C0_4f%nFaGZ{9QFKQqJ4?v#eLZk5zKqi0XfEm zQr%QR`d-xxy=xoQiV8gJSZ!>(1Xc6XewYLs>M%W)rKTRfda6*akDw~aF-zBhp2x`A z^1?!GcaK71Cq0(aFd;D{!_axa>0Z^G1z*TT3VxsT_7;u_$@0nh#nPWA;!#fDKTX9r zaUs!^PEHE0$EMf(U5u(z5xzn{W}O!1{*ct@_uAwON`7cbKfEq`O*(aTPtWAF1^AMN zV3$Dx%fzVFc}AFysL@%+kUW2_%rcOHvp%vkA+F-lG+6hANAV=4g26VHy1OXUDB^e^c$lqeTqe#jnc63#DDUCIG!%^;SA)$nCAUAA@CZL<+_xwpv1k zn~{NRn95|vwlc2gzuI?>Jz94OKe{G5e&7*;=pf`LwF@=Vj0aaw{Elb8u^FPXiepGYx11!uZ&|BDiEysW8z1xWd_`68s{UyTJu(IazG#l2y-+gcXjlOTXI5beVmsU};>^_Nt_3ZtAraLu%H8F%IQ_r3q(G zA@ae$%oz`EsFnNe-WSv2267OSZ;skfwrd`5BjrzQ?81qy*MkgE1OIjurb>CdTS;rK zG81RJS<|C>j*|LYGB8fi#(6TqSKE5u_*zCatXaM3*l1iMM8;*VWPBz|>5;mrZ-8nO zX`Qs+3-rm_Xgk~oKlRtuFT>IZ_-1U_8E2sTlDfT=4gF7(H%>Dm`}7?-_3Gj}jdhNx z^e2Xu%Qi5Ib1+ApV;Oxlq|3iV)#B!zK(%sqLIXxW)6;dt9U{CewWZH+*3$bHy;0rA z^vpU0TkE`YrmwWe1OzL!A%BAjGQ;0n`_F1r#K0Y&vE4VvYS+M;_86G+VI8qhHDQgY864Mnf)?I!i+qf>@5Ck2vlz= zL+hF1PD3mhS+I1LBE7KiLcRVOm_&~nUaNOi@q&K)j7`6(ib{t-8F)?^ckwX_D+7MU zF9z`EH;s=`0&4|&Z3pWW>O73X-U6qnTd`m?i=XjBV@vb|T`E+Oz)8nU2;>(Zu=E?=a1=G^!I$epM%C zVcFKtnJQa#nd=)|W?8FpD{@Ox<%<6rN7dfJ1jeTLjb$96ew3cN2#rmTkaAiGHsz+O zFbgWFHKg)W=Awd7H{R?4AP+L~DD;A3-k6!I0732Z?>L;o}boDH_ROW4nse_yEct8o)3=Uye5f zxZzUf+ec*B@|3CFS?PC-4`zdOi;RYnlN+n>o*F8tSJbFaV_q&|5W1G2kZFIpPk-n5_?cW1u~Jw6y9nocPqIAvRC--Iqa&t zq|O4&@!Pt-G%t&6zg^Sr|LY*2l3)^xefH5ey>nAW@yWZo>*GaARAoa^AIAq*&*~9) zr~JWS!RQhd7;8~|?ml^gT{@NiZ0c4MbCe0LEn~r~xl-D5T+wA~@bV9(&l43poKs9c zf*QE<*yaU$-5D86K0+M0b&1u+iNi-D)!_h!vAe_7!P^ISY#v+Mf*Dvtk{S2Ny^4dl0^m68y7l?6B_ib3dZZT*I{OPrkCZ|53cRfnpx%MUdc*Q#w_2H8&kp)a_ed(!tyHq5sM zq_b=r{$qm;sp8V^lZWXN3lG;$?cj$yF&aw+xW!aUm;fC@o5OD)$}>pe8ZE-5i&9Lh z1ahCI|2oZZinno=aFFGDv=E`^CV>NEJQ{ zempD3<3*1+2i2>m*>x@xGXRgrNNP1I)8Ck4Cfi0uBdyGQE-nMUQ!t%?5sX1U zP&pOo+%|B)j%>c}_F8$oMJd8xT+X-{e%ueQ_Gh2UL2QGu2|tDK4`~NJL%!Z;l5ND{uq#9GkAPXe@0;~58sQZ-(rf1-IV0L4(ra& z1sMc?-B(kfKc4#!YK8j=4@t#@#qbD4w%mc*DVRiVzte=Y#GnOLPBGHQkEHQDVH2OQ3}O#Y8nbVq{x@}qu*9!DLb z^hZ+M{}Pcqv{dwUQTF9w_HA4kTwbCJBoVoElOTg!x(VhUO_R`!Kr;aKKC}Z}M8oQ( zzmSSgNRWL4PXiKQq#+hfUAXv2Rb^oDi#*v1L$KdwAZ1m-qdt2t9pplW8K0O8~=GM|WuFM3t0JloUq+)88gon%;+L6?&qx0D9I$0T7m!ph0=VeFl3=6aG_Lcp>U3d)G{fc= zk98km_2x9QTL!G}@A4r18Nv2h)JJ8Di z0EJ=MHwhw06<34+Q zofNRWEaJ3y$PhuqX>$28Ujinjs%-O&Vt!JWEIrou@IyT!icw{S!V`|_LI%D57$ z^Ok=d?DIAzJ<<&ZU>XafVBHeh-7c~36oyYKqC37dB`996B zqN$+PILS4-qiZ?!GPDeTIKjC>)KgyB1PEyTTw6GjqU4Vg_lX*l5B9at4`^dQGn3AY zgj>_n_`E3PtUVFRQh;LYV({rV6|UAf;UvDu%%=et8q;B+-JtsaN>&Ihn3q_GANXNS z?u>ucK_{|LY=@GRP@}R*cB6xL<&|`1JGL5lbttST3x*tYyUQ)s+TlCTEh#-n4+qbw zOU@6;uUk(E0pXWfWCY#o{uaao_Wf~xQ~Tu~_U|)^R=sE^CKXP*5X?7N?O-;K_f3h!VLOdA>AFovWVTGm1= ze}5z)?cLuL987rf_PAYOaDX{voR9FLe*o9zNwh#FtK$W`!xn=cJMU|ty9&hGkN5`O zz*;r{EXJT#*Z01nV3Z}{c;oB_EYI)tZi|CCsyi+pspDP*25SO_I z2&CvES4+VS3XTN-ne8fJHdbdM9`Y!3>I#4G!EI6CN@B5B^!|0mqvUGEetp1XO$iTt z$vt3IXy0~zZ_~>Z#>~h`Z}*uYN6XjiAu$l9vGd#4CvBt$^4}|u^n>TqEZ7i1#KL8P za`59hTkc$Q93@-_kU zmc@|5pR$FTRLLJ#5=gwf)#T_d5opPe3ahjV>rCa`G)oOVrALY}uQpM2J`432CfD== znka^fX^^xf*qZ)2`7>?p#E||#I4UM>oCZdY<0OOS{JAweUq24hkLLw@?Ij@V?gHKm zyoj{6%E$o-kO}bP1@(#2{A|2zgFyme+BysyXy!pZNg={evH)VZj}rNuzVE+({7v>n zxnV{)*5ArW3*m(C7;KEJR_(t=Zgnvyhb(8}w^?o$|H@o^lsck4jg^}TsP)nAHRN8) zIp<;k#qbCtpSu6@%Cp~<{i?CDblOSXWME;9ij?=4S-iW2B)O2^y`xW5rPD2El=Xki zu>z`u2>Hny`I`2XHqz%g>@9NqEphk48|`l1Pdk9_}L?|G8p!{i71*&ry>$vY^U_fTZfU<3Rul!dC3 zf4j}b>?kZNomY@oy<-ELuP&aD*ZT_UI;#?vD?k#7Y`oQ!Pcprh9qM9>Fs4^eR$k(~ z;XBdrrkiriz$8E5x_o5PD@LUJ9bMTKr*BBB7?3TldL!?1{OK%Z3>E~|0-sv@^P{`t z6au_ipg-?kwgLY2hR*|DM&Xp!!VaV1az=ihBIOqGZr=uMhg#W!0zZgnz+Y=&tpwC7 z{W>#xZet8zvM^FQDQ8?mK-A&{J+Rgfl_$yB_}q*|*WmcZY0aClk!@q`aWXgvylRP6 zj#+s?sD`u1Gh2`5-w|Xa(INHDONg`bfANp96;f{;^$2 zJ=4omwx*U3sx8126slN;fmei!xUMYOQ>o|2CE=GbPE5#lF4as-03X=tqPKhP$)eK0 zx64>eOz&7PH+!U0knUn#enT_nYJ{sXl~vZz0^76EM&6We6zrXRQseGtk(LLv?WNa9^e>ySd+$0)}XMxJSv=?Z$W`wN6{++Pc7MKOkFI z|H7_E{iBhGi}$wEW@6N;)Zya<10?pDLUzV)moSyHqK5U-3y@JuHi=p^L}_qE2t3Z~ zZZ3&tiZsS;(yMcCEkO;VYsHQ|Df_!G2CBTZYt2d`)wF=ZC`@1PQO3!4{^j7~brkdt zDK8T=yV_Wl#z-u=i=}euYijeL`~Jx(17A?1+JIH2h2(j4&tD$Y^}_64=dsmJ3OnYn zPM;OWWcpUqs}&8z@S?eZh1Dp3fK1X;(M{1RgkeVRL-5jEp@!EA1LI!#s>(YB<0owyV(x6~ z06Qu%S?j1z8_=NRFGNNpg{^mMql3wVz!^XwOHMh7^=eqVnWwSkrNrh7eQBCGh=sf( zf4*sU&aU@DP_kOlhN5b*gO7o38@wPodeipn8%Dd&n!%vk72{|9>|&>J%nMMK5cKTH zk|xpy~B?#S+883&>D_7%#t{qimd^xx1NM2r zgu(O)p>xn^)28{q>-yr@yz-XD(ya z6hd}m$x;Tl`{L>CS{aP?Rgdg4{K(z>gOc8SQ#>nLxNOMzQI@1e6Z_n}HC-578L6po zt~!%lJ)Y>1?jbV$QeXV}{PAtogB-xV_AYEFyA;xjdAl9*jom-BE7JE~$LZH_>E2FhaFjbD8@s+CY4PeDCNU|MqsuJ$W;H&t zv!aBYzvQZv$M3Lm(CK%^mdEd(EM?3_-=@P)2j-0YOu6Kz>MY9LAFsq7DSD;788Y{N zOV;?TY5C_BBxcANsN(mP2YxDdK>C|TO4}|Kj!@=Wcwt~U<3B$2YpZil*|Y=iP0@Yv zHadUx?bEi~&U&}55-WQ3I}T{xWaj>}lj^Qn`tn)nm8yhO?%6k|Zgz4z1GgvVqE~$; zrL?7mFP=P7WcxiDeIxQ@=A~WzkM&#{0jW9X5SNlos)#E33CA^Y$ zP0}Mpm>C7VA{Ca>a&~o{%x-8mbe{fhk5<~&gIzRact_8tJ3n*m36A3l-PgOdrf=!+ zje`T+w+M6e$x!B4Y}NqoZcb9ymum4l zDAVj=F0@63g+y`raNy9(_; zY+0!x*Z%qMi$nrI^^7~t=LWseNXy+o1=?qWIR&FTE|qspE+{N#x7als(_R{OjB2(f zuwChBxn>&9F$kVkvMTp@%w`X>6&d-jrWMf4{-2V*JF2PX`CCQgQ3O;(KuT147ZB-0 zMVfSwUV;>n8VDUiP?6rG2Bh~6(xpa_8cLAfkpKx0dT0rRynNr^ANSm|yLa~N+?hKw zXZJI6%Vwh!r1m>LPX7HKV>dKWtw^?wiZ?BSFtWjL|8@1%ZGQ2h^Rm&b_!2k?8xO+# zybpO>cceF*GO@`ZUpd|rHp&|*mryw#++U{(D?t>%kaGqc0l249C^0Y&RN<&y28Qt!xl*VJWTw%FZ;xq|S z$mpMrS4yaT{6-QZThzMp!K6UtuS0{YS{vK4v-86DotK-&9FFg*E-9!URPZq;9%r_* zkBP;K)z33YKpm8{oWByz6C2{QJ~Zwbe5G1d3fwrV7K!MI zZv!ak%mSTfKbogb9mk;FUH+oRm$ z`1n!oN!>Jx^px*6*)s#SA~y8(8$YU}HD?pJ4itv}{aAYFkd?JH-_xkOfKI#!=lvTl zLn;lrOig(at)1oaw@lZ`CQ#mNeY=*=Opn@M4Yqba;qBhTjtB<7@>16U{;LF{$hS>A zWrlZuY@Kibz~#?VUo3DCR&novm12iJkOUd7up_M|*^BS6w@FK5O{DEw9_N91fB6sR zDwDFeV$}Cj469^b+NoPwWEFcKSKKa~7Lrw9b+tKmMiK2jG~e?&31eni8U5^+I9JXu zobotwHB*9P_Z*l2!8@O1A1p=-Y`7+Ll2vCC>P@8ET}fE#g>CRVo&((B6 z&GM>}X5*ozamE0JiM>qMqiLl_cL!qB24(7PY1~wuF@-9ofwOM@s?KVeTH9uZw!8Z? zM=S&Dl=J#elEBb=Avx%t1U~D?D_nsXMwXgu|9<#=!xW9I-;xi z%KhB)S^2l7`fVr57(a&_*i+B?qGhgxknJl`(Fg{_*?r>jZHzH7XNxdhNPltNGL%^~ z{cosg39GoI%cFt8$iDY6kn~!vmiX|p^13g82Z-UTWBtX32J?9H?Ia}DT8q)<_ts`|UWiduwGQ^tM5doQ%y4Q<ozvmT14Jnu=}{Q~4WuXtoD=cyOk+AG|P1 zeC;I~by9ZmDsa7t-0u6yMi0nW5jmB2?CZ#QG`szl{@^Nm?{n-Vtb!9WB^s+n+IPVA z{&US#X2~#cza7v{n-yYHK|$%yc9yYxu}BbjMA#d@9s_g*$`$%okG+Pg6L-m#zGs{h zJ$~DssV71~B)syz)KRmCgTX*2XQDd07p$wV&iE4B~S7 z#wg9eUcbr;gxhE*3@jtKWzO7LeF6xcl}rx-(ez{lwP$MC_+$f%#%zmup5g|W=}(N) zvCJslP=4U;9YV`5_j@GIfoNIjkCNN`StR4;k1{_oRMh@!UOyGSq5|Skn^P=oM|+t= z8D?a?!k;ewz~?90gD2Vk`4m9Fb@Ce-m^mzm)-{FJE->XZxJfQxI|eXRJrtfgrMFIH z!4CF%-TH((;vYYoXR{S92H?xtk>JgqX}I}Zf%KVf1~}rIsbmR;b&!M<7vH7RnbZ#Qgdoyrl;v+ivB+t)@zziAv(XCovN z>E(&NLDWjSRNBh9Wd3-C{P5av+>^^iIYU3@XPR;LoXMEBtQ`B6j|o)M``8Gr0+5kj zXc?)#+L)llRM&PqW5%Z;CRWg070F%grh|MIySpk)??n`10E?lxroW>x$6Ydr$)2UN zLo>5tJHMMKTm0ji8}DRyVwRZ*y)48exF)SldyQ~bWGaEmX4CM+>S+hBaCWVO{ZRTA zQY6y;YSw2oI2W*ED=`I-oATX9LLM?w*FI-hh2pN~6b0RO6e2dx$H*X@=C0OsSrsg!V& z7am)lPVc>+#Y*x9aqM_`Gm7%O#VE$V^+UXA-X40sg?xFG929DF;&xtN!T(3V3<%O? z!Oub>N3Qx7X^_2=N?b)V`^ZNwbldDpOp(6hB2CjNaj~VDC-kR9ERE+G=)dL_Jgf@= zaZ)C$=#~Eq;txz2tI^7K zwv>1nJX?Z`QP8v3;ge!y#6*R@Ye{02?&3`(kIM$0U2lFy_6#jR-LqCQwC32`&o=lM zr62=vS^BsXu%AsjAl*e9C4}D=x!)T`HfQG%-)auA$cs;dZYG} zu=Q6x+D>Gi)L%-xkX+S#Oig6dvd!fJV2}g}+d}I}KRHncBdFo7J_I%#@wWq%mx3+h9RRiKiW(m_`OU72i3rQ*& zh6$Htvxwe}R~bEK@xoTjfe#$DygRzvM($nyMwD{=Nq`0Un5_c4&xfqNG!BucpuzImT3p6(IpH12q%^1n0Bw30w`X zprT@XWWJ2#-Vr%eU(mK)?Mj-3@5uV+(|0Usl6G$^!NwYXR^91Pd`PJDk;xRK+AcrD zZN+YcH#(oCvToq|)rt5#8^6UJ4j`N98qcEG3*f=gJbdvjkL}>`occqmPk_*B4YT9N z1*mVlsC-LQnN5xB`+QDK3Upn{g%7OFh%4*vDPtb;9unuubIgy`?%NL2yi9 zzH0QHzr8gZbvt-y{olf>U7t1LuTQ7x+@oO8FGKyGf^V51V&pK)EDse}!mT@g8wJiD ze_on;x|-Z2@m~5#bma{|+6VTIT9zq-%wZ}J3=ynWF$BHK=Ak^^P^XETb=L&Xb9?pZ zT_@C3(R`C3pk_q@d!l|ozuQ4eM^cX!SWV1cDb{+gv|Lrg`M$#u0Lnh1=+>xG$Uph# z#4APnv2U;)<XPh8xh(Z|IIwNa zO)~NEw7HNAHR?D-pFj6DG7dbx!PB<`}4+l^`A@g7_4x_=2iL3sN7O1nL27 zJ6ABFr(zOvunVQk1ddS&Mvog#b2*y=p5^nQ%eSZ|Z($kLwZckhKAr>3oKNJ-4(9hf zClvfX!pFrAle+}<13tZbQ8>R3RSMKBq%Gdh{C2CuUp9ZTADs@Bh`2a-6%X{(bkj5a z0?dO-Ty70xE4vyhN|xSaT3FD0bb1HeNI4SFdL|8)sp8v<3kQ3?!kS0ByQmVP3=FCh z6@Cn7(_vLp`ca#&PRBcfPclYyH9iKGvedf=wZwqP=xN2ZWqbqHGsgN$jawO(?%ws~Bf65gUa`FFKs;h)e`V4>`|m( zc2e1pMG|P$`=8f~9vmI^;FeQM44L>gEPuTr?^Iuv=>zbKhyLPru`@f1Nvjg0|6Z5< zy6z>4IIuAWAGQXJ=NhWcZkEW)4U-w3|~)cC{F*X&}6V_RzZJ- zU!)%|+w$b^4?(KuJ}{x#N#@VIXs})^0b_Oxos20D9ywAtwTQ|_N`hdEHReFiZjqY3 zAuFwj^apkR1u|~n7SX-PCYkmw+`5d|BkN=FslQ2&Hc}`1wi0?i1s*)w8eQ{f$O_rZ z)UnO6pV4Z2X(GRwxLO(ETBFfWRsTEwJc*SZT*I!3DnAyA)Ypgnn>VH(sq5fMKv!xZ zAnjH*BahB&;$DqDf?$^k&Ah{cIJo>ht{06yiUnO@>(A(K`UQBfFy2 zhe&Jt7akcW9`&uuj#E6x4*cD9>x02@?T*1|mF84c{_>xCYG}<*WuCwn{j2|2^XI&y z0_&Ek=(9luA;qU=ri?iTu-}ra=9($zPklnxU$vAODU6C#;~e2{x`4ICPLB`|SQ+{VN3H-gosG?O%40P@QH|RY?f4k*AN8Caz8kO14r4Q3)aHa=6$#0AQ zc=zr2aMb67@LIMYs6>05Hkr++e5bOpWQOG^{*3>;FN+IGW*bqPkO2JHG-uZgeEMbJ zlKFDq5%OhAR9;n?!u+nI&6t#|zF_A_+oCrbqefxh$fI?m?la|oEjf}W?;Giy%0iPd zmM+2%hp?mXG;Vgg^rc9 z;gcjW)2I3jcc)(X{dY?(Vr1kAharJSXmKgM1WO7F)02=M|G0Vn(?~TZ)BVe%qd&S9 zhlQdu)nArV0|) zJo^fjC^|~H?xmUc+~C`QqQ0ci#8Rq}WWsY$Nfb2O2>uF5TpE2l$09tg9CLElz79(AOMX%$=7%gzU36}a^goHT__6F7$+ z{7l^!(Ba5{(YuF1ml1DQa{fHV=2wkQa4Qu_&TV}C&Q~uju+=yu0My(Wb{eeK(e?F@0w_knn?9FTSI>K(a@Pdp%{%S?t%#Y4Le_JI&>y0F0X1fpR)@N9CzQmV}*$ z)@b8h6>CE!fhc2^n=N3wQd#Q3VMgHsNj_fQ=5Ec>w>;IZA9i@QXhm-N-!GQS?Kk|? zT*UFd$=*P;Abgm`m|Z&Ji+qelhjD_Jd(15p2_^x)^qU-tmJORf>Wj%-Yz15OCMI;E z##&SVZ7U^2{ta=Ry*TgRe%RgpGvc$=n)MMMQ6F1Wuk=E7%ukY8{#ia+e>RcUUzACH z93tJ&^yJga>{sn>DWU=kz2Ufjl$OK%<1x3@Xb6A5 zY-_cYZ{E*3WQ$7Emm499^!nC+0q>@bO14SSRxQd;a}-9Lb9?U0epWSh5lT9`RvLV( z9i<1BbT}$vf~IUdvkfOP2FBf`eFW8O7%Kklll1nxu4|ct|KmX(*MGfb-uB#O{?xxK zwSSze+RokRagP7T-IkQmQuOw`@9cBboMuP}W9K+kM#Sa7+M*#}bYt(QO;cHro+*qY+7*L<~He@4Lyx=$t^D}mfEM_ z8}AVfR@AhQ`5QC@#0x2ddjI3e(Hs$N9#Q3Tc&gbEnR(IJ?7F!1r}GO#pXzm&?tIz( z<*St^O@#gis3S@*Tf7d?H*niz)XWigW3<N%a)sJfkYYpwWF+I-_p@+H8zVJnJfH#8Fsyv)Fhm8L!Pj=$j?91(rKTF^va6i z;&{~_q~w436tyX&716TU_pRca2Z{V(1m3I2*4${@#xU_W{9+bz(#RG=7Zh5VZ*;r_ zF{L{~X3z5nS$;=(m50GzX16}oOU1@qbN6h4^uJ80W7+WIRcsrYq8V|$E5$RuFZ=5z zwi&%3h9Vf+j11s2uu&dSa@h13>EeEm%Xb;+>(a}ghNSJX@YCo8P87l4W<(n+9mFvb zgU_gzz+~{F2(s=lX%xZHW~3CK0T1^Gmct5MQ4e^yKGh0g{L9#j9lUA|du$2O{$X_Q zm`L?#kt;UQ?8gzTZALa(JUT{GJwkgkP?DI88d0oAjI_Pu5W(4IB#p&GVLa6%MGh;0 z9DvWJ(qY9eB&W8gXtaYbn7fD?mkZ{iWXmjlcwQw-mXU|nvk{+wA?6fzC_9`DWuxmR zaUrGcYwd!1Heg~KKw13pmwQmsPg~%pn$lcOvaI;8ro1DJ|4XLc1IR%s zNoHL%A~lS@OF|$&hk|!{o&V7gf@C{G z*Om;+Sbjs-5UV%uB>?#_Yvk#hW|TZ+7w)UH-+KYSZqrH@T$*wAy=V-d>`Hq)dzm1& z*Ne_$4a+J#T~^-SR7DINDe?4GMf_}EDs;W7~kRKV)A$iU(m~-!?<9BUfpDQ zw6iq5$#398ks5aq7HXw$X;>bfSgXMTrDy(S7@e2<$rI$)R1Y6)HrEtf@oMreAAA0|Qa%OiN#>YY*4`9A zX2V>DS7jWT?z^hGJ{eFs%%E&`ZSKujLp9(ZF?5gFqU8iZEoANn{YUaa!UNR!1pfji zSJf)v0gA%}|4zA+i`j$=P0QNP_@^fIZdOVu=dm|x3Uc_XS<)l;JmYb@GnXh|1CelZ(G6`fwDnW4Eo@)Ia+e$D~;Bl zbdh$e-eNGovu}-y5c4zKLw**fW~nXowkM$?$ubKrxId;FDZ?;M6N%V#_?jmeGOxVk5UyF}MC%C3mzqpvM99pwQXKPZ zzfvl})YtHdqJM6RWpBky4KL2Ph9$ojfJ+bxDv0W@S!K(4|NSj=^Y9KR!2vf?*+SiN z2LXs??)wdQtCTZV9;?4^`PRCy(i8_DcgnRXz+$H0Up{9lztxY(SWjd5nmD7_Svb^Z zD7+fGR&cD2jvNY`5@Gh?AYv4^D=^Mqn zi2DHfPxK02q?j|`GopHSC0M@hHa6^E;|2`7w4Z-*n;S<;UNb-dq^|Ov%$fJf@?it`&1;Tq?-R zPoY}{Zc3y6JD{`u2}x!DUSs!RTG2@_K}3c7nt~(;UrUBnzr^HTqVQ=YuNH$n+sP+& zLmiA@1*3^W)9!Js$Sz=e{k?1SD&Gm}EK#;A`P@2&&5}InxCU*zkUzBfB=WL0>rN5i zk2cXz;Lq~fvZLp*b>DB(IcmcSPB^ir+O|qBe_6V!O$LbC@qKZ;o2TooJ~&wpu&Amu z;P6QoICb<9L@V-SZP-*=*nST7pmvaEmNjS+mLG*Xq|?Z+>x6dmBjwMIE7rnqJ2-J9puET z&UeV4vr!(f*6LoALe{2Yo8*|y5~|p+pQZ;@VRnHI`i;WM0?Bp%8$Hj2ZrLal!cK6~ zbw>RwSQ~0wXI;^OMswWOZ9RtUU9nXQ;zp(bQi-->oYQFkqed_7n$~vi0vB5UdghnM zz@VBjkyFh_{rUGjs;4=lZ-a3m1RgK{L>NkJ4WFOu^(AlpjQZ?+Mycb^k>MdlRSsTF+aD(a0= z9RDm)u)!}iEg830UZ3B{Ojczd@L^{3$PAD=xxR5nzo=OQ)00TS7_Yhag^~b%c)a zaYWt7jou*5wS54D=p(l%Xp}-n-c-u}f|kpgqJmUAoWcemrp*VNK#*lJnRj{6F0PcV z8$>Tn#EZ`1E^aVhmPgqm?RNEhs2C5zvIE-rAz>DcLg@q?~k!37N8;hzB z`i8x^k6xfYm{6Q}+uG@q<3Vo%RGx)t4beYhz&3;s+u>(vSWn=1M?kK5*>X(6Q^bTk zPcG0gL6V8?U}y-HkKE>}+FJX0O5q-YPZ9*p1?5};$On*xSI7{0=_99SDnM8{@&v^; zh+H&;XkKBv%raSFxl3J_VrH(7sm=<`g>i6h$XQ_oE6m|p80bg;3S6dnRHO%IWP;cL z$W8T@#$lNY?Wg)O3NQbNRH#o5tT3)!_=*jt9l8ie_wIOI(Q45m%%3%%*Nar5lG27z zr64Bw0z-fDy8SX;Ck@C1Rw!==3>f3vxV|7>*A&0W4Q(0ru@B14OV zZ=0KXK=X8jC&6X|$CBUk6@K*ph1ow^7MECmU{t5NyUBjFGEzNWzNwzJOWT2UL74RN z%33t_c`9x(9xmw@LQ7fq%%0n4h>>I9-{?rbVK)K-&jXFc)hm-*2zI96ZoZxA!J0Qb zqRV8z{FZJ$h!WkHTpx_O` zO^(AKF)ANAMCyXw(G2MM_bR)Bb-}RjGjlToX?h93Qnn)kVq9yR2cAe*%8GYb+dBKs zK?{}Vd5=*EFhx4lF2 z1WT>vdf8OrejP|<%T7*QVSkS=h2W=R&vRgECxYT>k~WLUJJA8U5ZI+I#{Qz~g2Sm8I7kff-8ZW3dryma5&uU-b1iibQM?#8jYM);W=-wx z2qoGKMUWqrIsgLHKT0&@3&sKKPV&eRg$l1<1_HxilgpXFBItand2b0xOJQD{XVpZU z{SFpxM|we;sz6VG7t;?1(N+Ni7Cg=C7R@GXm9VasHHqHVo;`R0#D;T_sACb3zhwF8 zci$OCce1Zm;#k@8GVw%4)^{XgAyVd}%er7?92+NIWCymCSs7Q~u8Ualt*lUBl3e8I z=(&>1aZO$8ZU+ufno3L~TDfA52k#U?&X`GChn$dly6o(zv+f^9h>m3>kxQ2R-+2>1 z;>l^|E%!(*EMw#FgeCejR0qrG{J~t0M+Na22Fuc0WBg!2jKLMm_e!{L_2JHB2Yb>Q zC>Eziy?Tv~aOML$YCANa5wr+?$B%*e%gYVZv#$}K7aqub92`nf5Bj!%W`Inv_}OH5 zXY+?Eky8wN?U}V{zZZt2e@ywR(Gw##iL(D_{=bH48G#*#tNY-KQOx z?BP2O2=?xsH@LE^KdQjr{5S?3NYS7Rx!F^&3BvZ=p_j_C3MtYbn9IG}q(2KXG-org z*_%fbn@%i=l;p`64`ShT=@=OCL$2H03$&v$J@dM4Vn7!l5P@^w>BzLlaioX+h~8to zk6pG60en3Gy~+#1d(a%M)xYdggVxC5w;FYtaADKcuY8Azx0a zWda7zJ|X%8Ym{S80t{%f!HBaSl+%^C*``Bqns&#%a{G5?1H3iQdqxxucFB`7ug@(w zN2`ZK>X(b~_|aG~g{_^3v!b9LyxgKwZ+NzY6&2|oM0+b$D6#H^mIJ(>RID89>+ozk zDbCxn4?9n|NH|{o>D<-s8{%QP)(F39iO9sa92G(ucs`Y{6 zBcO@host9&!21~O1M1ZW$P*H5N@UhuO^UtjkQ$OWUC+_GiqOkQpsIW6mNIu9`>P95 zS6j;J&Jdf9T>B}_>XR}sEY!SMxXdR(h`ZboOt!G^^GJ9d>)W3)U@}F!)>!`iPiQ44 zMKLIDc*^W0SyW*k@;|1x?hc5?D(Bbwe!RG`T=su%FlWj2?*F+PUGV;7CEiAyl!7|7 zgW^?Pc_z&|!G8A0bpj1e)yw<&a*O3T?Cx-qe=mJQJY*f2Z5A%v;15vTJU#y*N2o%Q+D~X4w{rqt#M{UXc#NQ?_C>QQR`X#-4~(QbkiCI( zOWeuMyhetIAu0!O>xQaD(&S!BY_+So21!h~YA|R5Tmmv+y`s*jtJ*pp_(3c*$H?QP z0tS&>wBH3roE*-%XpK=T(wZqzb?;-PtS$|Wgxz(Dv*Re%E~ErmsAdUIR-cO)F;L~2 zo3K7-N4LkfNIfAhG9;xRYi==a?@0QMTRSXT3+MVt3|`A)3aBB7_8TF*zb>+>q|?{ zvkuMO%hZkl`ssQ)^k;Xql)mgj=$<-;T;mPPg=^}vN+Q-~v#CTlqLux=|KPd3D5<0v zJ;piKkLdc&D=|E{=J4(@-7_RL-Psebo(1`2E};6VNV|AjSOZUuH>>~W7*yrD6xzDs(Fx~NtfGROnMK9wPkbm6 ze4CaatVe*|q5>YOoKp7@a(G#UvdL0reQX_yY$EWuz#hKgplMEAJjv2JmK|kGX2Qj1 zH(dCH_D>g6zC;>zJ_UuY60RSR40@(ErGzaMrsz%{g{HH>g=TC#4sZ)lL4cu@= zVPre#0r2SRxu)<&g5u!mq3I=%F+<-98hiQ!x#MHVgo%-6g=W)-p4pwMiVy>be6n2K zJYdUc%muqS2NeJJ_{pAc2XZhUN}~#3J5Byn^V0mszm4TB>1*hLA?*PHMUkrw12`Rm zr&lJSKMcTX==ZWSm+HJ$g`O2gF1zC=n}~FR)A@r-7n1+wsIcRWzy8^yD_cnT7mlO5 z?enBl6x6&h52W$m*h7C@nIpU);`hig`5tL~X8!t@Lmla)t5`j>aXt+?qVS$fO;PhuHb77CX#Nwqcr+d9T@D5Swhd{J`uieDBX_bik z%?_(`O2xYks~d5S3t1~asXfFA&Z~?&4_3YRL(}Wjqta`sVf$)-rZ;FCaMZi`&tjQm z#%c@;RF>wmx@FKzh=b;aXR#6^|7&PHbW87Qv;57b2ZGKndT@Nf_kbo$-!@lx?fz;~ z+VkIOihfg(xkQ0BSvmL9RajCp+z{=Z4hbHK%HM%)%n^sCO2}R4cm$Vy{cR!L$5rd5 z^=2pY+hZOJf#`r*b?P^<9LiAh^*Y^=c$Bg9uFVHw`egupM^nTI<86?xh1)Jph5=pC zpO`{sPm!ndIivMzGgla_wEK1XHqN7ik>|*D+5^+jEM-%E(U!?rRGW7v_dD-ty zryiyq5(P0CbRTNY816s%?ad&+`$!1dE7dbcsNKao?~=+!sSnN8nu$cB^lNL1DbJBG zMM=vMI3X{FqsZ;3PsaVoEM!SPfvor;-+T%p-|+}@>w{2-ShtRBEUbLLU8R0WaK8b@ zF%l`~Km$GYk%n!=bwFXRx3iy}Y@@EQC+C&h3&A&yFJ(&^SV^r~tR%BspD^16k?pdb z1=vRCWw1Qu9-U#0DTiZ02FaEWI~3BAC1BR-JsS}3((iNn zP6zy#!9@yCV#E^Ah;I(3^78&IO;E-jC?MNxaOX|X@8zuSIrsm4u88+;y*~EvCOoBz zrSzW_k$*&d!MM$Z@V6*`(?R!{Gm8&(Qa6H1yIHOPm4q80NFw*JqkMlcVsQEOiN`8* z5PxIs0U*6#lbA>4Y2*j#b7$%LN6qY7?Y0&s#_EUWxdR=ru+aIP1{yw43fn;iEzP-S zVaSy$m;16rhk$M{8IW;!(=18V-9TZWO_9r-eB;_RGTHa~xAzvR4|N|ZtZF+DKxxSY zeT+7<@qJa*=OarN)x#qd;&oeh+;1mYZsoG`-{8x$yj!qQ`29(L_(T4~2KG03Pu|`` z?l*_!-P?ZsKu;E1A&$emp|Ia=$C9Dgh54JUxwozf2aMDF`VA|n3im_5o$>yLYdBC4 zR_u#?S=`8@mWYt+?`&vw{henco^apMNI5K;&pc#Z`Zkxp{EBf)X_x=|AGarkl;RTp zrmAs&4@p8z z+>>}TbIn)$#v*wgLR^x#5W^W;YdOe#@z;%_szqOCe>g67({39XAi|SpUl*BDP(3~s zDEG}xH11JN>U=z>_v?%GOP$yzB6jcoU|+uC9TlJG*l*y3|L)f2Tsz(EyHxCc_E2&{ z`G)JP_pjL2tw})4x6JDD0Ppuo$ClkylA+Mg4h1f5^yD}r6=l>R-=^ef%;T;X4Pw9I zx=vB{I8Sr+@4UV_oGvbm&MLbz=u(9{o6~6p(^2~z~y?pF$0 zu%|O~O)C_|>ZI&zZ2I?Wz$iz<)618Y;aMxD5pRWc|Ax1|Z-s~7W{7{Pm7z&IyngbE zZI_Nt`!4-pHBBW{v+{wXPOSjAIqF3TjT5X?uJU`nWm}T`>2*AwN<#6y!>52NT&=<@ ztJk_n`kps_3@ZLdwa?!|^h~3=742tIxbA9~V`fcE%ZTqxafdbA-$xyNLwOWP3YueH z$MxzWf*}J{YTtAoZ2KVKw8QAFxZ59HS6yNc1#*&~#|nXQgVEbB*wV&I*P+7EStd`b z!{T{y;XmKEM*owgk1P9GsxlWoNdG16*AQ3%CU9nPheVuFK>bh388eG$a=Rbl5kUw`e( zmZj~w7SB*`{h3Yfx=(0Tn@+gyk7xPak;l?Uu<^oW>+FD6f$}F98?bkG?@<_fryXL3^QFQbTFuQ4QU>(6N~C!R6~YA9$hd z&)=v34|JqL;k_~GrRfHALM8j7(n0ADspCuFGYmbd5^h3?>tN>x=Q~eCDGDVH@69$3 h>ywepfXaw<6-=+gwXfcknR+`d0`-WipEQ%n{|{aCDvtmF literal 31403 zcmeFYhgTEd6E|%6N)Z$Rm0qL>ND~lgfhb59P>@cD)QI#>AS9LmB27R*TB0JN^xh#D zdJTd!X@=gD5K01py!@W$uXxXScF)<_bN1Ys*|{@!K6hr9{oJ{8B`oL9u7PK#_8GNu z3kdY^^$}K3lDjLXAgmqi;pHlqP*f=1tnn%w}2227dPQ2PA;Cp3c?mf z!q#ri!n&>=L1!ahq+C2>bM72l=>H+-t}y;zS`_|&Y1sL#T;}HcF4XSTNbqrrxbai| zM+Y)f+M45)aa*pvDb@C)0q@MUzdkdn<&a7-csF7C$c?2_+E!bniEEX9Jl8*JG;e5u@tptMA`NeE#La)d9AQfAOg?pseCUB39qZF*I_oIe(6OEyr+_bY6*K z0nGA&-}`jo$UMe>$Aq>{l9;_MQ&>jG8Wm)NPFk2qfA)e*+C|53JHW#>fxl=6H7e7- z_G;&JXlh;E%6wmy)@i%aCCzEKa9g+rU8tn zE?-*#%8H6ut)~!gzTD4AQ@IASH{MN>iPbIG^e-3m|2isIRvPZU^y_3bZ6Yoj=CXzQ zW~XJ|x1E4Z8JqiNjysRWWHb@RSVcvfxHF~Bw-;Ywy=70)ESnDMon~cg-D@12kqAVw zgYinN`|&5oTWQI3?sUez&^;ML=irArxRJ6|5;R5T=G{HtLFCfS_5l6ogEEofnd$=( z;aRTo?G=8Xr*rb2U&#}tq2#^QSNrdpuW&!{pr*L~s1-ZEU$ZjpY4iMtXEtFuA5c^Y zld6i^+lk4=qoJG{c?A}CeHE=S;*#_EXnw{mQre@JDS+!A zkH60-AGA%+?qx#I3Thn=iQ;pG9x_flzNdw&dc_PAjOy%hAGhTfFW*19K7BxK*6X>< zP#3AUf5WMDjd1zv4~+D?_ot;{-wBFDeT3Uy-dJ8&KZ2kmJxw3csUCYNXW{Fcv~_N; z=2ID2tO6P=*@!g`bc1!ebtQHm4Q~hr>H_B?haCLvh1`nY7WOv(oj%%lX3_OT$hz69 zv@NUFZIU!R80kP_Ur!YyT&?CE=yChLB%F5wKiaJ7nLPfJ%s$OHJPwdP;s83aQWqP| z7TVoKB7ajtT(naBSnn1jYQ9zD;g4wEhO}0Dr0%misb0>jJMeOmk_C_S^hkdf+>_Yn z*5Wrdq;fb4H2nVfV2&894Zi!AV|PnbRGv5F#BhqoJ3f6DoFVV_wcq&j97ETXqaAo~ zC8=MF9B-k&qZA&qSW%&H#52b7TH?i1N3H_r@GTNWscaS5k!aI%GPnDytNWWPPag01 zF^6MQy0VmQ&&Qibk#~8#H+tm0>t$Tt;%2QPkg;ogGUR_P_~5JEpWlu_Y0Y^1cg*}J zr0!re)#{^|Mpj&A!V$L+EIsR^jE@nsXP{r^!B}#)2`mN*+oCRyCC9K7mzkKUcvt3- zOeYI=HRpbe!L~J(c6IX>cR2QICU*M7Diqit80!T{Q;2e-bY^P8VVYC6*XAwH)fc7J zi@IGe=1fKA>x?$WCh`QjTQ<60Wv@R5IIk2g+%R;WsF3`*eZxw+)H5P#|J-8vY3aYl z)6!36Y#LoU((ciQl?L;6?Di+NbC|Lhz>~D!^cx+JX~iwCGOuXxjiz#mUgM`9xra}B z>ypGOJn#AQ1Y1ukzn(vM8l6zYcwCPy{o2`DINBHPY8{K5D`~?1{cXlG-sCSfo^~r0 zq~}|d2J)rp3AI*+JTaeE^osnTHtl#m!g=MAcUid`td^j-U}e7wvKQ)3vvC~LDpd%| zKKwEk(6tNx_z|zH!xGMi33zVZ_G!9$e+=`O$L#PaLvV(e^x@O!?Yz>hJXStyf4bA< z5QKC8_KlN2&-ZUwDu=~NWm|DX)NNe0XK?XFbUr>xtj1h0LhvKP`H~G&)>CyJgFty0 z_d-8yM!i;w_CF2#;ugVrvdZX)xZ3Vc6tbRNtW7~C%7%p-P zNi=iZ7ay23Cp4GJCpfs#rdfi-4?jYM5zGCed#1H$vU>CVzysTv#@=n0+Jj4Vru?Oe zLl#aYhix`mWWj9ZFDDYcdVgEGd%8$g*3Kx>6ng|la)6K*_tsjUTTQH2&E=q?Widx= zK&Uw_Z?v~88(9)q{dk-_@TS?+g-q*kt&D|R?J=~kMVywKB$?>rdU}R84N54K5$h_d z3q3Qb!dj1rNTI0{1zcq1w+%*Ih=BH@rpW+>XQq+I?z*EM97_a=Xg05W(S@>nZ){zfdyrJ?2%DqaX^wl$ zA|*ZQLV`GEtaEgQ8dk=J5C$ufTyBdUOqHK5F6pYgY*Hl-+Q>Rg+SEUM?xf+1xfhT@ zIxu7W(f?I1V_Osi6Uaq<)Wk%8OQ-23a1Vus;y zKP6XGdH_QZX53bz;gin5*06gjLV_t;w{VSRla&V}1=4k)x>s<}E;!LFU08*Aum+S>(aR8owWQ%r`mMFg1mx?Hk;k#ThpnmLlK_-mue<2l!aoN#1) zIzjqzr6MBT`OEgP1}fL?FB}+xiaU2mVdqP(22aN9f z1y?k&UfKR@RZHNfT+0R8w<`rkX#vNBKUUS$6V*-ul9kfMaVELwnA`40ekbzap$%t2 z>v12fPOjOt!}L4)CgKU`w7g2~X*13wJG)z`{l_4-NU03UZ++h^-sy>=cdNL`+DxxI za@IbCR^G_MFfDOZLMQw9x~}pO%^~C`7dJ}2a8%cou`#UaN1Tu@zzHO?Km%MK>(pHM zXBUe}19@+pXx`X|e=9mf)x%nFH>U(Qs$j9(ORK(y-G}c*iw}Lrh%~DZ zP=V)4(ngC!)3Eqb!`EoYCCG%n2rAEY;%_pdwh*8Bdu-y#HQ?mF#(G}TQslzO^6mSj z2^%c@JfOeRg~*sd$a=U?3_3tvUKS9az-G9rEXLK<#rU-n+gR`5<{@X>gX1-0&68g~ znzU)|I^YALcei^EtaG=E;`+P0%sls|uv1!~jw(!;6{o&Uflvv)!3eP0AN}Q>zG%E) zBN8w=Hdzy0Q^!y&+zYZyH z2yccxTyU+uA>&PRc!RVj5brdd38Dntk?_(W6#u5GQ=f>gI?fQ*P~CTr%u9VW7$=Bc zj_fW=LaH~pGJG{Q3Z%(2^d0B73M0-7Oq=%vh1B8ijbWH(y+0v|8Cq*^SVNNI++(*c zZ!VBh)R+INb+*^Q5M`rkJ7$EyRUxYu=(L2cTa-FI4|`zQLH?|&J$+b4!q2=%$2~*n zByaP@F@lDtCOmT4l0Ix_7#8@&n`pGmkFB@7264XJ3ZI&~(WL}vg#30XzV%DPTG`G(6JDz)#-?>Cw0qVnVf1bGnbAl5zYY5|2w z&=v5sfsY5?=@j~~f}~%dv|l*d66_h|XkM%2Zg;;YxT7m$yQVjIVb>cH%Zq{-2Sr07 zNe-fkce`4xEx6)0ugd<66YY2__x15~;>zW;ipFmn3kxZYlcQsuov%SLf7Yr>_ns!V zv|B2CL07DP?J2c*8FurdR^4Wns*ul@Bkhb~;Pg0AMiwX}hWcWFwm^b-Nq+`0JHa8H zfTsS#j9C5q1#o!19$LpO<52`AX|JJg`G<6et`}!rl`i1l$2{YRj$430DTQ!g;Ld#z z!R2(c5+vQEY@DYtq|qz}lkWqzH1qBAC6=_oq*sda&h6@^$;xp{~58G|DS zLv=14h}r!Z?H!7#7Mf?^N`$TXy*JX5LFE~Ty;Im@-Y&HF46oV>FY@AkzY%o5Mj+^m zjIT8)URDex8#D+OMa^q8VP_Q058R+s{!%cweV{qlh=J77s>M6kNT1W|5 zDfoMTc(7-9e=l$ks`JpcI!=1KhFSfXqGoGk`n#c08CPeBZV4JZAE5W*II1$Y@$%wo z4zJ+;W(&E4^H7S9MThGKx(f$Y29Wz=vU_86l0kKijr9uUo>M>Mup$1{TSv}@0C`c? zNbRN#8trQkHP!3g{**rVncsn)xNvQIj&d~Z_=U{MoCTb7Kva*MUth9uc;Gt-Ckfe* z5m@k_f?n>!%!w_XXd>xVyT{1aQM0&7dVdeV48WM|1WCdT1e4 zl(8s1N03U#y}bOVsV2-pjrRQ5~&)zu%}DbD|IKe%BL zTCImZ$<-Qt1aIwJSF+_VbqtT0Aj*6`mRbWq?wSfuwCIoqxP`s?1JC?V<^&;1X%sRNi4GkF`v*H4A8?kLQ(n2Zrg!)&Nf-U7A!$Ke2ornRM9aR5E6r) zs5jm{r6!HtY7i&l0m=Y(Spzlo+7plU$EB>1HWLKc(^GrvBbrQ~EIM6ljbk@Qkb1W` z&g0HTkkmR^VpRxOdhC1!N4;}sPJMFPGq&#PwCjzXnNFLYE{leI-TQ5E+)mOQmp2qz z>H7jITl-QVwIIh=_gDhu*2$Tqgyv#v{G3|1$*Fwwguo$NT=%?;xK!F!dhul#{ENEv zmzM0WpAfo7<)~|agM+WIk#i5N|7iSgglANis_V&@;?~lD{r$SOQpDIA*`izN`c!a! z*iP(LVccq@>x6ox^vV>Nw#M=IMp-J7L<^)+}lr``o}h{&_^l?*33|#U^8=@z;CIfKuinRzal9x_0kbX0I9kTIi~QgU zj`;=vEQN;}U%`++R1~L>6GLCFNlrtki^3B&1~_b8E~H24V=7ynd z?sHBN^A;|$n)1_e6A8ZN0Hxc^-5l-7T9=J23OIvLZdArWLv09@rS1jd;tw71zS@T4R=LM$eJHIfP|<6wvAp3+>v6fKI9d8JL{&cmyy!C!rYyz$^ zoUNPVqq5GE@iH+T+Kb2ORmQ$!-0nMxfXHU8%eW^|q~`pf+o+f~N7}2HhQ{ad?}UED zQ8Hf-1LKU*smr4u^zZfFc?gDB5-6 zT~Mo;dYZ4AaF z)vF6!4qEvOeOn3N+IY5@l7rY|xnyBle>*QCNsW#SiF|f?J@|{9>4%}Y(uUlD5e`5+vxqd^{DGe`19%z~gb5x-b^^{;=EUm~>h18D7ysg}_AY|?Sn7^W>S%M;wq5HePh z>hLe@YSPwGZdhXMR#rQwguj0XWU!*r3VOzi9Se?Arh+40A(7A0I=U>YVG)9Uax6vutcCDZ{l)Lw>WFa(3eTD>vJIw9Z*`0^5ghq!Wh~{{Lf~ z9ozOrvYsm4nWhsFb1@gjf7;Yl$_I+{K2yRrWLs#R9B_s05PNFD5o6K$Rx5(x$ib?; z-R3dZ^##cLu#lv^%!)2xG5Dn65t^rjo;rIW^85H&d-(Uc2#b39sf#~>W4-D@f>zS| z81=-HVlZpAI^FLx?$C3u!JI#IJ*z$M2wN&38o3b6%*FOhe^-SxBZUeZqU02nZC{KW z`uQ7D8Fng(lGi_K);;p#TMvx9f)iYrdUX7xL+4m~zT{#g+@ZZHdodP`gXsc<-tVb3 zMz7W_{KAm}2|AT5k+n>F4d0?yWk?3i&nNa4rT`-nKVya9o^6xPFPvM2f^aXyvl)*5 z%!X1vZptsLKH$dr@~>MQHY0cPxSJM88=T{FuI&%dWY4X?mPh4UH-YWt-zdOq>zrkE zND`o=Bm>LKv@c1Q*knBVvk%TtZ*m4oj0Daapc|pfBO@^+*Z|q10H^+13-X5o*?)h! z>DP(1OV(2Q(}9nyjMLikeMMYlKS*S~5w{bLNUM)1&qqgp zsH=<%!ew2H6}h{Zrn%oE*VxcwvSZrwN!h!v_ou6JY zYNvAHo~j3P-~vGj2%mtE&XXuqtRZx6XHTuFt5j-1$`h>eU&!Ft*Vb|K2Dkm-*0Iq~ zD^R$#%f$9j>6ch2U50;BIc~IICzO984&n*oB;REq!4CjO-cUDwt%beVRVmudN%G^x zJt`~#UG{T0hW{V*wmPc&<(}GSk+NM{+A37{@X=mNDC`WXS<&Ahu2voJ-oaJ*UP;2B z`HrFUZ9r5(Y3uEeOA00V5=xAK@UEv8&?+r0#f%|;0)sABiW$W<+uHM7l7U{ur#^rP zEd9ZX!m5xaW6tB>jv;LCpsA+&FgQxr?YN>K^XcvB|CAv+zh4-n?!i||jZ-r6vrz^n zo+_!f(8h0dNosEghUC+Fn>8e=Jb$vfn5};z%9uLSGkzfv2D|N7G2>(Tdq$^sW@hUQ z9ZVn1FWtnWQ)$)Vt!qlA_Cz%#Ij6q$rM}s|V0)dK`xo~JUCPLAnAx1BeF`n$J?CAR zgCH665;QSh`b(Zu2~(NE)KnYO0jT5Fl6O^!X}z;X$%nU|ol8Qx#|9p)FN(pz?oWG0_O z%DCl+kUZ4c?P66k27k=oR3DrOwr&sA@30P<9Buvsj`Rlcw{9Qp2$#Nc($)9^uH zPof#;zN>?50p0H)M#7l9rMfhlM0HI4PT>`_s4ec71i*gnZ%t==#eAExv;cf=K{GB@ z0>T+kViU&3ur|6&w8G9tIHZg^FyDPr5NB{|?o^yF>}z!0R12eBFXa0g@kI5IF8|(7 zvq+IPo6_){c| zVJh_usHv#=Yim2p*2&$ltBfu>rBD&V%ztbp_GECNCcoFez}~MnI@{}?$G%#P zOquV4My0PW&r9cotxoz9VGjT-YLT$p7bdDMw#(Z#Lza0!0Co!Bo*GooY>gE&tuQ?Q zww)NhHPxG|LYOC-p^@ayWOXz0*{3k>@?cn_Z$z>15* zFDCoM_wIk2F2@A=*rGlz?Jc+lRXr_yJ?ANGy5VWos5s&gg-a^cXz{wxk4M)8FYCAyQP*x!Cxf8l;U+by6T7uP=n(tf>@SCk!9cKF=tN zfd`m%(K)N7%i(j*$IZ?9b5-56T)ifM^IXb@F9+F(P19)6pAfZh9%qb`wV=-K0Y`7X zk+_glGR*kr(yEbI4c6NHh|O4xN9g80Ej)JVb$&`rn!yG&iepGV-9XUlPUZyUD{zY{ zf53@Y*}o^+{LKMpZ)^nqUcHxRBz7pBHdFOzx5K;_WGuG-=Rs`7=`#^(sd+CZ-Jmt^ zS!E<_*SKt;pEhTVX?x~F%KNH}z1ZOU1(U0rI3*f;FPNwzQA zUxws#k)qEJ3EbJ~dvkmRHTbdDY-rts8k&Z(%tY6{T{GVvC@%XQ-r>xCu?pMNS)NRE z$-UW#eKB+-ytpT?OA)~yvbXvoboB)yn&({guCB4OX_H#!kh~wlk&w97G*Li^Clv-VTZPYEZKV*1855VrLJVQeynT4hy2DSu|Q!}LHk0BsP)Sa31dF`ir2 zN3*J!2$>lx*la!=m~;LP+^>Sx|IUF#+p_#o>TdCiZ;T->B6ABP&AMY~j#xI%5Jw~# z_RtbU&QXpmkyGIV0Kg~SB-G2G0YB8f+sq1_oZUAsoa5huK&5gLi32nbEQXInoTjx$ zfw}P$moW|$E)g0p9>ac`#)Pq>Od!n)auriu!DCDcR8WG~o^jCF?Cg>JMZ;Y-L--R_ z%;$>w687<5-;?)`f_-q;>TM#QDd&T)9dciC6zFI>Nr6OvN$Z#kIqpXCX_GO zb$^{4nLW+!xQR#J0K|J@lNeoX+o_@8GEjFne%qaGPtBH+5&ZajrfeHREN7Md2kF|X znkA|XAdW>y(loGD#aQ_V@5UHw2;Y^$Qq-{eY1Zp3JT0~};Q{jaKqF6{6hL9%Ts<|87ihNl7^dS8Bv6#*DsjkZQM!zIMnfcT zMbyMBpPEv>q!et+a1p^GIhHX3NQ`tFw12oPxH$qzw^9v#RW&(nDJa@izIJ&#EWYBf zbeA>nKfCXpCEX$HS|!QGDKWuw5%CdrsX;Ws+=!8m@|t(^2PxK}V-3`cS0tDU9v{8B zh8Ba_sAk2e9wOmerH5)CCs#rxi_1plW0vP+at>!vvVtdNADv>eY+4K{Nyx=ulr&kD zO1*~PdvWV5b)Vf|lTgO?){A&?2POz?zVTVjgN0=5>#pYNdqxnoY zr3{PayqGnmh7`C6Gagv7B*yM=vNeCU+1=z}>to4b1nTXA1G;zC&EE>i<}|<00gG#& zW@8aMeY2M8{(?D^r^%`GpeH*BtRZ!~{_esO9{YQ)=V8$| z+6(xLlEnY+{}-dMK+593mD9XeexR27{RPDmug@%cj1%|=W#-P)a_lNffR%EvvFn{6RYQeZZ^egS^&cO@2>*{-@zEx;`SG$x8GbuX3XW{cwz7~; zf>G}V0`RS5(I4;nZ{XtQrcCgA^w4k9x#zA;sWNW0pI1K#_-K~r5qY9oj8})T6Uy6e zDR=K7?nwGO;`J|!-q$|Mv}i6ZetaN{gzs=h)b3J#???_uhNU;jFn36bCWnvvrr<$R zL((6rV(KoFySA_eOskI1-{Ef4WbpR-lx!sZ!O_;ONNuWZRBA<))oAKi2P@fcnrlkS zQvvFA(JAIz{r=KdtPp3rIo9pn{cItd&Me7+GQj`_W3+jEBq(cm^lim!pbYhGR4xae zjakAshZ~>7gfUtUXqo?KYjFe=o;lGT%(+0%=sT$*)-3Z}++d?DV&%CKyR~zgek0gV z=a?V>Xeu#5goefvI9u(I0rw~!tTGf0o{}pv`I>jN7BDS z*VM6y&Qj^NiWeGCcO|E0rCS|P)3n35Tz334)4U~jQTG=B?rY^$gdI{T5YwHepXv3n z>BnBPQ6>NJ6`0BT`our&oZ@}B+ij<(}xSN?{S-U7D*2 zOm~zsjuByy$rXvNIm5&z01fdSVUzpptso?srWoAfjpV$j!BWr}4d`gI!t$&lRTZAp z)cQ3nwaB2?s?zuNsss^Na~j&S)Qrp0^J#X!jY+ZjZ10?|#305zE?zVf_F418xHGqY z_rtVSgM~5CM1fq|-1*iCr4huVFLEtTGqs`9zrIvv%W{%5^F9A|GW z-c`EIV5<)9c$Lo<>lBRNyW|v9B4%>`nkkxNfpF6_7cPT^I{Go5psn1?trt^fNgk{Os(v=G#1<)z%%&6oFkg~TkMAjIC6Sm<#n;Qd zhDPk&)*J6^Kc%|C7x|jx_V}nF-gvr^|1_VYB+NaHqHR~WeWk%z%X0D&i1Prmw-^t% zU9F&5rGlB~t+@kpuK~>{ud#BmL~;~(abxHZW^=E~FT6th&oR-_*LVFf*6hYmk9r5> z`jC-;;8hY25-df9l~pHxTj9LuYYgeoWo?#8DiNn`OZjLjSyEV>pSzuxrKz4zYWc>& zBP)%@0glQF3 zavbn7Py(9?c95l|oVj~o0kKMiW{59f!T_;Ud97|koW$8GuXT&2-U|_QwB4_%Erp{2 z)OZnoHYJPWXuvpUqVml;K`nENSViggK*dMd37X^ML=joM#6wn?3FQ{nXGCylCvyU( z$1PoQYpB^B;S~A;Y?@_$LD0o9FixY(QxN!ARrFqqb=~3UXNMK}P$o1tejwEJ<|&E^ z_(+v}dZj~PckPRg5=TFvw%N$m*qp%CzCPqqELh&9i^i1|o5SQvS45zgPVQFg!XYVH9A{gl04;SX*GOPCd&J&+YJL4Mp2bb6u=O z#W>@GTiai77~!1X6uAXE=^vxVQ3xYdsAz|+iFi_^HYMUB6~iJS@1(Zr4|86BjrF+X znnwKDc@assQw{unZ62&j&V!1;fOu;rh}Mlhf;8w>jw9wAeXf0#hNa1s)kBGg9B1B40mn2sl5g%Dx+(P zA>J7VBV{A?^KW?hP3gcq)(;M1hIHDhAp2^U+7OGFp%%UoBw{$nC-w<6T_)E1S7Saj zQLJvraChexEy=ZBR#v_wJ+;{}#jL5PJcX?{`#@^c4GCQAQzG!Q!_iBb^MfBMm+A*zQpfK`(PR|ka_{1WQlh5Q`rJ1-v*?)Ueqrr*>Rtl0G8 z9E7gK4w!i_wNb~-hvq-}`3Mh*cTy`=!FR1~hcIzucK?kZ5CUk(qOZ3MkehN;9u7Ci zF@CPp$dGdwOZF#C&AdScehfg_VH>Jcxwz)Q`FVJAjvKZumZ{t z^b=fDhaq3DYJvPRO^;b(%0+?nn`@fA2JmD1s(o|!Wso3ALntRU0F0SK(dVx%YrK%D}NRMKTta9wW-2Qk-Ph|Zrz5{;;d-92u=7&E^!f!9tyao=4es}mrB5|<&{muQO& zb>eU^W&7%CtJLamVg%MUV=>IYjmUfo!k6wZ@66^1(^^d7nGa4iu%uUY=6ON34?%ac zRl6yDc6+`FBarL0I`8e{P}fd>a2G4DIc=^nI=c^c*thfC>oDKRgL(oI^OtVAo6RXM zsoVf=2H5Z{WHajr7BiovW(>H#%q)TC#vbgC6x*&U9v49!yL}6BJ>wU)bNI%=xcsK~ zx4CUzg}jRSVZUHfYQD;qaDO7sI5i=v>4r@pGldJu3EFAZelH}GqilY$9@vAHg^%BJ zrtx7LtW##WbW+qCAO00u+DMEjE(V*(=R|1VtV?$krfqQ;*QMlVqJVsx9hpHk<-T&k{<(y^5KH{+i8 zjOOmgmqbUXySmfBeOVt*qAylHG;1=mGQkpFWmuf@Yb9_sHoqHLl@*!j*YDy<^uf=J zHaw%4zovna^71q`Yz7mt2}zLQ&uxe{qX=CeYUIb%u=Ph8P$r^;glXI7*)VD+Uy1Ee z7}++VYw*v0?vHMrhT>zGfnrz!m+7>c${DOI&{JeX-(dsG|CewgKVVxydoPx0rZ7HZ zEtAvzwLJ2aQ{~b6N){Oeh-^;t+j~wxLwFd zXXEIBVW}^-SF4>x$|w_1wbPrm_*PElzP9s27W8YhwcvD*(@x!5*H@qcTrK99ha-JX zZ03+1SH$|M&G&k1Xy28WB!UrcU0???+2dRExb;yiEjI-LVIJa+_{l*F!N;ZlJ7j`< zOcaFYIqbB_*}R#T2apq5E2pW4^<&F@%E1>C@fHJCXmd`hM7{EN;`g~!FDPR z9hqNM6=@UVJf(7ILPcqH0;aXsqVR|ZyI{_tz{2NV#LbfG_W2udFj7bgmcemq(Lo^8 zVSh2;aNp=!3q3D4RBw&`(7SJ;i2zwEl)4ljT^(D0gtz}zU-DBIIl8$k_s}Mi4`45t zSDTRn3p4Y+)vF|uYq^^~|58yb=jj!C#)jv2hd6o39G+!!?0Tf+`9ZF0Lw}XKo8?o? zh}0;AwklLv=Fl4(6Gi^k9e5rG!}1spC$>kt^t~|zdOM(`->;Oq^g6qm;0g00{3K1& zn6W;F{+pTe%$Sj}&WwamFIMm!Smh_^%_D&wKo;&BhX-GLpA4pE&AC^p@&rXZxj>CW za!x~->0YnsR+NWW-OPWNwD4#>S_e@Jiw$V#C)(nhSTdr2(!}s!j@A}p=LOhT;$Rd) z6Dz?4sZNJ(dG1a=f<~i4-r(|zv;818nqxp4J-?eCNk<)Htk zc9TQ{in{t?)GxtXmu9^*Gy91)Y&jA$tJAs{Xx7;EIQ%a=ExfHZt@>&ri5LCP_%h^A zw}Rt8PMANQkp&0v`vkcAFx^K~D#C0=i+Uhsm}rnPBIBb;G{k50T?7XFrH%(h-xDK{ z9!=>!+=Dyp##2|*VPUvPA$+k%vks;3HLV*dFO?&~fQ6hpxOwV^x7kf^`>Gj*c&w@! zV6)?hZ9pF@;4}WCMKBeN4U5QMCC)}69#S0HPucPDeQVy@)LG=Host>c5GCf0`mj_H zJ$0ss8+66eP%CX=JT>O>{6k8pt5lu^p;w+6Y)c^^U1a%!Rf>qgNVMq8uKigFpk&Jq zPs1?CBi{L;fBu^Mr}iX#*ERGzbrtz!0-#V?s@3~r;B(#ec4_sM9lqfF{c~RoEAxnl znJz5~Z7)2?UFS7`2i(pI`6x{g4*r2~J`dh+x4y+6^@j zyfRrHC8@iJ>8S$;O}rkBP$WdCVh^tksjfCK6&%vi{Xkhh(cmM3I1Q9?DA5*c-c{Y$ zlH4Bq@+($fazfZ_Z-i^@2iU*jXE}V4#y0Z#Ks;ZAbkb#kCdyb2FU1?l|Qo; zyOWRQcpK35bt`EWQA*u)_4_paD7u|svxHl1@DYy!{mE_yQ^tl~giA6&@1D;c+P`xM zD~dA~$c{H-ktl?6hDp-niHlKYLHu?u$txWUd|pgZbP7sHunhq4?hi6trBhd-r}j{QDa z&`ft3bpZoXr?S+rD@%vif(%-~cM#U6f0=7-U|?b187vR8!W--FPA~%>QXZu_8KkuQ z^cV0a9Ny0Oby{NMcDr8*7;%~Yw!LC61fTI9ALJppP{)kW-krI@MzW7GJ8LU3V`TqP ztB5Mhkl?lPbF0=%RZR5fNcvOCVH6~bAT=1*EsaF~&YH5Z-RvhO=}wQ*BA=8B`8?RUu6-Wo#I_h zv!h_K4XZ7ouarcbXV(Gv4Zjuj~=%ucPodzGx+91h0LokhqlmZy6PY-j^L zjT!X7Dh-CFtWeT5fi{S>_5P$wk-rF&!von-9<43+(88|zhDSF$m|h>oYs$~>hy!5O ztg=3Oz2rT;$x5G_rdOxru)V=%aWMtYu<6DuCkabG^R5*{om%WN>hD$$D~Y2$S`+SB z@9&3XETs! znH1(P`*-0W##*B^oe_ukS+$4~t^7xCR)EQ3W-@n$_EdRKZB$#`PDDG*xJ>Px{zo~7 zs_bnx=gltTeq!aXg_H$w;q;glNoQ5lm|z+U2}$Y2;*w$Csf_v5QEi)E*m-(WanFwx zDSWkm+_Wm>S-aAQkFF|wtHwWCtx^D!eT~s(_m+m3NCW>X{pWt{6lnE%g|MeUu@c|i zyzZ2oiY{4BQ9|F&Y3{yZ;+7rrQ!XRoQJVG8io%A}h$S<8EGwFkP*rB^>`(FnDIcqlvC;fr`*{^Tu zsEpyPqb7gzY!*@X=ylih)DE+yy@WbWiV^Fdl3O}UR%USOc3JYDYcDpHMU`~Gie2gJ zMvJUjLbs@)%t`u2FI1EK%4#y^wN(Sn%4)hR{;YU{cN$0d=ZC&=(0lA&!%Vf7PX8=o zAtq;Tdk1TlvZA4zWhSwbCr-~d2-N!>zf7cxMTVQ)X6jxY@H}8qJWyDG77#W5F)v9N zo+?IosiwFJc);^hU!`3coR_BU=7@!UEPXGQ>}Ww*$`Qk?)Ym@R)x?(d7#<)2BCdMt z(C@Lonx56>mVKeW$7WhmvQFOZq0PDh?E^0*EB|cyVCPr-G+b&ZrC8|u0PW$d4`?%r z!*_rtVqxetPI3mCSD@p4RCYaT-v6Hvyc^&ATj@=g%cNp%&~ZGzHgMXallsAL=k{bP zuIn6?Y&OJTVdjIX%q30>4EdTk>DPH9!HY!33ov_p+~s-uibpHNU3+Fk9Py$kO$ST5 zrTI(}lTR#?#o)`8kIQva^i5(9cDkCxsGr;EZhoHZQz-*U3~^aKwV6n-^?RWMo;?u= zzZ8RGZ(Y1xK={qYL|M1oF9Wpmfl>Q+a@f`=#nw?|!>7vURM^(G}y74SK8{M;&hUC~&l|pQY5)#CpRmxa2Ww6%Q;knBy zZeq+OZ&}SSim7ozM~9GmBd1N}QPn_6&y&J_`;NOA9_(bQyo+IDl31KQ!%(Ih{yO-*=%sH@;1}_}T&I>(+zXp5 zXb`1N6sC!%Y*3iM))W)0<@Ki8G}rY=ZFn9>gV0iUYUKk-iE43(7bLR2-0v@My%5WSw0pOb z+DwwbFRtsRfHlv6yityv2(wb%Pl4h6n0sR2b=Sts7xlv+Pt`^N1?Z*c`*+sVUePBBDGD#S9&;ve_}T3!loYX)fHK=m#-dB5H~ zVZd#qglf9u7WxeX%HF*mCq?4n3<_^Gd}&h6J$?mZaQQOAOS?gkdo`_4k->J48xkY* zx_sOOxDfGt?^Wii(^|v#`oCn#0oFEKB<@YcEi-u>>~5T4+-SCv#VOpbv=b-;bN}DB z3c1KK830@>=Jy5MUm=bJSgU07+H19rws^`dD&rOE@~qkQ2o2^{Rc7biApG7_d&5&F zi6mVJ6U5CFJXIs*D-I5Oa1_{hnnW_p@3Ha?@ao2zsrPeFVa3Oj z4AakAfIy2cTlPS&!^ax;GctEEitNkPn^zV^^?HA06;DjD4lh9=Jg<5&W3v97VRRJy zoR`OsV)DpmnWzAL%hIR21&tNpn^HXEW&?oRJ6nB~z9;1#{7MgIOS7Qo8c(zdS_<%K zy|+z@$BM-z`Omta%B$N2f4=xlGiO}iU3G!_Fg&2V=JETUW-DsRuUy5EZy6R4FK18T zuj+E7n&xp~jna9E-d>j~1~K5P%63aiiGdsfQ3*7h&03qBZD zGdEMU7Z_;4Vt@bp@=dRKYkBw0plgl!@aZX7UUZRmRcCBf&$a_bb`bsK_vH_*3CZ`j zV?)NbrxdDqyobHzXT$jU`CNJwsv-rJhfOfIc{J=d4y$ov$jArPl2ogN(Jrq5JAsS- zpLvjZ(>LCh|LIWj>VLs>&)sbMNCL1{cLKfBJ)>MOv4Koo(}@ zao7-UXfGrw`j!z(?LCCx_Zi3A;3VC~{MQoz7RkjD=jr$76lNmny^<=w|IOc{msQ*6 z$6g+IHxRr1?rOD~g_D<^4>`r4bbV+dU-HbZwgPE8(XjEsViO*Jrf9j z`6YAv_+rhT#hKl{xec7uur;sL)DH}A*)O&6(e4bko-jB*ay2rDsj*MElgyhKui_Sg z85ojT+qCaWkM1)~=)aIA?&<}GL~FmzwQWw@{T;ityY{GXIya%HW-4vaD?&xCz}3rb z{xS2qIa}SwzHfcyMzbU9Z7zAdi*9b29OK^@CUM(!7yI4~sI;di!UXxpxaISz$0I@o zmKeVt_5OO%eK9lT_A?6E|MdAWG+}cj)BSf{ahADRr3kNKjpo4QNZ5Gl&AjIFNnho>!?>CE~Rv-}EQEoD#x~o0&c9b-&%e7Gf@>V9}dyC1>k-y(W{VSLGz; ze#Q~Quk^X=r;TuB4folR|F5H~4r}sz`}##hML|VGB&O0JjF65A2uOD$NH@rkhD}AJ zL2~qHkd$`7m?&MNks3Kh$Hss$;^qDQ@m$Zjp6fYvpU=7PQ}^dQ;0ftYn;sQ~`yE+3 zoBk+vI69&j2~l3?xQnn>XL}Rh+@#f?(p=;d_}DtDdasSf{cc<_e=>cNqpRg-;7X6+ z-&9VLeo150{c?pAl|w5rcXOw7v8`zhp8C^;dM3FBJM+5v+Q-BHzeJ zF=b(MdQ?8_Vmdg}$O@g>s4sgV?K?jzHKEu{VVC*pw1INPZskio|A(!T(c|gJRER6$ zt|2=0w6bi&-em$>*Lf1t@u@IxaqYh`dhofE==zL4!6+Iz18I7F~>!e%+63`>TcjgNnGk5bu?LZDEZLY4Yt1BX_+N z2xSh`X0~_SLW6p)_rb}M7nY&^zAxSdxK<=M*WxXdoABetk8iT&#DyP= z74SgDXlJ`~`1Bgf%z7SbFcs-K@2ATH$&Io;O!Ros^dFucbOp0wkVisSk#54|o{2wh ztbcsCvbZVbZvIF7?8M?yIY030SSmjYa6&-5!Cu`Fi|X-FbqAu$#vp`)MoTZbe<1t$ zy4UA*MFr;+ArE)qY=TqfWw4TXB!A&SDu&Dy;jy9CZ}M-{{9j;Yv^%w}wREpv*oNTy zmu?$T@>1$gsm;x(H|o|YtjUr7nu{@E{FPy{H-#VyUW)b z55M*2)AJdeZ$!JBlmVnu)-WSAhD7R+nj+cv8H%-L>(M=yYyAA_lrf)U9XEv=2AYI^ z&hHt&QE5+5c4{82U4Nz=x)HK@OeR*Q#3wNK6 z5nC6l_N1OP8Ks@QXKGY`JCq*e^9LpwMWSzuIALvhdFx%;wwFE z#6hDV<05|Z(^ZWf0=xV4s1S*P39jWVpDuSI^u3|DoqUgt7m5elx6wZ z3FcM{1N_&NMFZ^(7n?@#fhbwf@WS#&*eeR=dzOL`lDP-ckl8qMF6P)tdkZq;k}V{M z+_&kOoa_uIUW@doc#vo&^-n5W$Z^BTy-UvJv(=#;v;D%%Nqb%8nxlIG#~;UyiXA#L z4sN!-pOBBzPdU^#>+h-frZ*k>Q4FqDkCO(u=ato~XWwsq960X2UFQu}1wWd&)(bm7 zg-#RQk#?uaMIA?94Ng6$85&P%_-KL(=Be!Y&=%+FZCgiE7^?XAQMB}Cky1fylGxPX zgO0pq7Y%!79ih!M8lTt|b88o|-u)w~d!%|zwI`}GnzjWWgEVlG1;fupn=o*y?b~64q|z*{k>0aj1)^0 zHuSDhcz>bdJDHz4CUZAS{vvpx9P~ORivRkA-`y;s5TZ5DrZGh#bXCtRd-w9T~=PLiMjVDm+oNn8)AJBw!g-n9~a8em>3C=85^y~i=-&!<1iSfyO zT}`nNor!Ap?|N|=B9(ivwG$q0u;(MS{!wY+97Rv-`L)?!c9!x;|KjwXkf|*CsfCO| zY(X&ONqkzxW?d@{Sikoh?M+;`_!pW$y<#y?vP3)S{RfCY+xjO_pMWcOh}npE63=izFvG2nvqF@X(RZCASu>%-}v!t*MY)nyO?P!;sYK=z*jC+4pCHncR`QX6o61kEf#L)H9S) z8l#pap;|Dv&;ttbnyK-j$}uSxOLfp9#nF@q>mfWiG1`tD$XH6C2z=bsJ|1?gs4o)} zPIIa>f4Swq@6(Q~n3872-15oeDz@?i-4y`ow9N51vQcy~*=7`Hi@^b|u@SzC?-2Qx zt@{r`+Snsi4u8bw9IZk^99N{7cII9%b}_xIy;t3Bo^7d={V?TQL+e>`)3q+CcGk@f z2_DP|s(e~Z0-E>a=@x2%1NJtObG~nl+5+xQF|JwoK?Jl|rz#%#%B?!qqhpxKP<)a) z^CPuw_1x#`*oBMZt>hd&%qEx$x34Bw(k?jZ``upr-xFe&dC2rCaPn!U&5xM$%gl6l z`?`?5q=&QbcC*Y8Ns{{dB>S3}-D#s@>#!XGak)ISn7yOD-9p!CQh`q?s#Yn6VE3AQA{lhcm)f6(eUagg5I zhlr7`K|9S^x6o9{AxXi%%?$LX?QOL$7Tg*ec%|vPnUc{fxsSav2X)xwy9w6tGA*TCj+Pt@!KQSiw>d-lf) zzvO23ThKhL{4sf>sl5$QJ;W)17~6>Rj>HPYa8R%xgxUU@Lawcq zeGFLxyKBMs-oi=d>-wWd%J>JMCn1GHZ%t7k1$*v|{NUAFxry!{PJiCiH?lh47~|Ed zWT}0~6acy8B(Vtu{g)jt#^;8kxM;b|%E+NSD1Y1W6?SXoFSI(2?!4sGPIae7;AdEK zhx<)wd`Q>(P5bNg2B|0w9sEO6cYCjVx+6#bTWPU1QB4c-8#UeK=it!IWd`u;ZP;y@ zi2Om3RBX+e)XW_J;r{6%!L(A^8!;;S`rV%!Q(HOJpwPHgW9uF#OD<>%NPt{1>1;T` zH&+9)zURaHWA-+>=qa0KR;PKozL&Xcf-QO zYRS(E0?`uUTqra|!<6bpz^-`Bzy#wfDKdll5IO#fmS)~aCiP&t<70d8omS8Fm04F?jx%;UU@XKX=)laKR39jJ9x@5zK|9$E?WJO}8zwA1AQ@zt4y9 z0PbAzMG-jf)ulR!^miwdmcUg|fglrspoduGo}@XEP$ z!hk0$EvWzes}@&}HhjXdU0Zkl0n11#Eg*>^B;9fp01HXox^Zes+94fkoxW8+Soax zhSm#*Uro>;>dOvdvAU*uZ~cp6vb*bqkS26cJz|${Ow&INsQyu8N8ZMR$xWk%75`X> zVN=(n)VS@PsUdzOKCIxtv|%BF#Uvp9brR%ZuM+*!hji0oM`@03I-BL(YwE$G&rz&` z|D^079p(IY$pamt*wL!Sgj97AI(b4ihqB+-<1*j!Iyf6w|lw$ zm&j!F%2`^xV_MfT>Hxp=Z|1+9s0!JVBZYwmCu))$c%P^W(lLYU27Nz05KG*vz6l>2 zj`r<&Xw&YOix?7_p>TYO;rK>bDTg@=)_xjLMZCI7UatjOThKeh10MwOB2;vR8S z0FtCTtc2UAx366iA@iS0UpKFH-zSEldaIuX(i5YXRbSLVMxLI#CRz1XCdXD4$!+r$ zcj>@+;iu22^Y!In+>OKHGxcsvFREiv+%RVOEuQr!6V9cvWDl_VxA?YuT6z!PHEV?T zXp=6`sb>m9+SR(K0%0dOaI5n-G#Ql7X$}fe&SSZV~N+K?aR%u zk=2m@%)0jL2>k>f>EFAv<@7mS*G$TgCRwgFLq(HGgCDf>m z=rhdbp}>eL4P}TKVRW?NWj3`BZ*LeIx_Bf?pn|W%JX`C+BGMy2uPwdBxXM};@vDAc zVo!1H$H7{^=V`?xEldCDE0HvP>5`6C)^r++O!tA7yP`#x74WJMn$V(6Fl(=e^>Y?3wFGa zZT4O+YBV;_CcmzOg~ux zuQ&>-O`@EHNBNiwen-)s95y;&weD*Ls7GvPp31yy3DT}>`=L#@I~|2>@3w3rO+>k{ z{CdjrKA*l@>XsUR-;}uH&2kDNGRtJ^Bk~tBiH=HWkIu>`A6WMN7P2ik!HYrQ9heZ#?a;e zgt%2k;f$lNMiuVHKOjb5(*o$<%I~B5d$sua_UF6zZ)zSv{+dJ@{gMDDPVD&*LP}Ed z?{n2hj13v@gea{Ej`of-sWxy`wZaBJ6H-_9%-Qs`C&skIS zLrFzBC0J#!!A+05Kn-kN?2QXIUzUYs*M&64t)0p##2ix@VIX@$oXmkG?|slJ zt0IkR;WnKz?mtZX2w$N^7ju=ejzxf z+{r4?$X{Fe)F{KX!BlqVcvww?JN~)A_^!a4^6`w|H`DvXLd=UyrkLl+o0WIwD6^#g zBtGfEOexe1P;#W|KT}{VpwE9R0@kcEDpo7|RoB;Jbl$7h1e3%HXJc8Io(P_VkG~|` z*q$K0mR|W!cJQIyKXxRAW=ZkgZ2__1A7-C-EGhTgIJbX%cn=Azq!x#=zz-TyZn#(T zd%GOW)MH0RbUaNfAKlY9*LyWAT%xRENcZ$Du`o`M-sb6hGd?NDCIeA`p1u8(ypOrr zl7o*w>pHjE(_5RT9!m&)#;&!BE;zpjwK7MsIsWi=NPG6DH0`g|mWoO`c3Qj~25?}M zDZkG@wShEvr-9+|L@fKjyZxSHC7;`ct$X)0 z3z190?&D5qz>K;!L(5<$Eubj5wvZvSG&KIxt|@S{u;FgktKm-i^*=nQqLGbqvGTee zI=YOIuv7as;na+W$j_zDU+yROiM=3!dhBi&m0J16`snMT-u{tUN|}mpoe{wnruQ>E zvdZF}`t#t!Dz)%LI;ru;+p8lp*Ey7wA1a4L4G0J;nel0OVU9xuzhA2qAO6K>EI^dJ z@Y%H$CaBNo1S%sx8_66?nx7P{XW10JIly_!-%uAy_?o`Frua;-K{Fve-tA-FBX6L$ z-52phLDw|*9ex+VpAkLdE+cxuD+2;|%+z#yqcVD{Jah{^1*Vm}5}XnAl9_j_28jbl zuT96AHa-W88nuoTn~=SJ(+@^b2#A&!rzlnM|2N-MJCI$3eM}8V%lwkaH^pi0wdaM)AOGw5W)*>KIWN=UGfQoD20UFY($?R>|iPj8FR@E5sw+` z);SAQ>KMhMXJZ>RhDx)+6$>1 zR^M#Oyq$3|E?DzLz(9UvnyxRD>yC7JMT;>Lw~o{+A&%7ZypOU>uGNk=#zgL1*Iev* zY*zLCUPSW5q=FLdcgdbs-_lEE&!~3C9|8)e%nD~) zdrF=#Og2c1=xTc6OiL1a>M5h9U$krb^GFh7c#q-_u5&eH-l5k1;^_BE|9q^srBd&S z`%-ugc|XgWrH{V$%5?uuy%ZFeLWe(HG-yzCJ=AU*dmrPX zgR6hegm++MLpC_rju}WbXz+;?Q9?QIaODoZ{<|v0mQoz{k`z_^%e`FPFVNo3KQ>bD zHPgYm+~g>w&7?vhs@8D`0TS4r3#S+?<2aS$9ikIbo9*}I*LP3kWOPUF|4JUa^_x+x zEkP2Nq)lYc9r4o%)PuLhsYxOoy)Ai(j%F&=VW3D3SuU2@A=D;KbhJyIfi{~q(vxGz zie3`g$ym)Z0hsjmII{Xf`g)kG)Kxngc)a%XHdM#6#Z{dJ_X$4=jRu8$+?Omh*_5cH zx`{=19HW=X@*2P5t<2OFJ~$91^!C0k9*rB5FD51 z%6b^j8}%%ZxnI9~>m?9y%X76oB$q9zjN+bvI0=x+YH*P#u3l-#D$2X=1+KqRvV;%p z0o1}(3d)rc3-Br@6%g|X3t`Wt>R7zSo4NB^}4<bhvqT} zh{>$F`nBLjQCvl(B;0wBRQv+R(btQcO`|1iUJzX%TVdhy7k8~gxPa~@Y0#RUANnJc z)bU%Aj*oEE6l-_YY1_1W<8d|I5=@YHuVj8U;t~$IYmwjdMdl>XB`--pGdCBWUJ%!< zs!ARtGG~W_H1)k*?E5G%@EyO4Cz(kL?{fZIA!VdM;Y(X6RGh(W{6PzRgR10&>qGl< zJqP|?ubU+&Ft_$^t8e%wsNc8M(mZjytY#-@36to^>N055_o!BbrGSei{ht#j`t%Py zE;AG1Jn>Q&_S)}d8lfu4yj;s`gcRscI=8ow=By-WIGrk_{OWB&=O&tU7L@+>p|kw< z5~sx6_fyXVgJ(yD(m}scOgdchFi!udI}ed>^iaL5zP4DMG${4~^U8CiZmmWlgQjv~ z#T>bzZ!!5luGCgFw$z8Jx8p3qDqa}f`fTXweK(WCn%k<{Y-Rt;y!XD_+bZ&A&wf)> z;#u9-_lkIV)r*1H_AmNp(xHp@dszx`J4!=|HG^<0TKh3aKoRt3dq5jIZK~n*0b|QX z!0Wdc=7D#%Cl6MwfxHZ`t9cNFXSG*WPoM>J0jx@A+MWAE^WVw8{s|wXR|>~zqJmi zJ(uphwbVKL0OyU(YNe6>$9-2Wb&XnbsGBwhnGZc7n3Rbmj zVs`r=P<-B-pVN9xGV!eFa87UZ`oPrL{ef|E|I^Cz%ASpj=RZ+7qf^PC^O=lp;t%UL zzn&uy?0W*>)Yaw*Gw(!@IJcR>L-o1{!oJPM1#VW$^zf{W9sN>mGu7tm*D7{e0X2No z`0Gc#V;lj#y0u^R_p+Z(UW#o|-UyDheEJ_Qf4Q}~$5c;6T@AmZ)SJk<#E@vbXTQa2jB$ZnYAK3R6Z0f~o^%B`Zpn8j+%(siofhNEX7j}c>b%PB{m^{{1Um_7b zrpra%{qDHV&@0)H8_-v^pMWN;23!dw7x-^6*esaC_NFDALA8p1h%LGZcH;fZqP7E0 z#XDP?WvTPXD!|$NXI{w#s$*ZHb2Px-jJ=n)=6?u;Wl&}=)$1Xzt(9wqF(p=)twt(o zS>AaZ@dEKf4b)Uxa>G{DC{}=5E!BVHtx|T>2Bp$L%9IHuQxV`9FxzB%#s9Oxm7l_% z?p3lr`s|2gegwnsVE#xFbrEh&N%pwcDl+Gi#sS-IYWeb!+gqvX3TT|X@|aX99x7UCKwVV^%`E5FgdO$U(7iwf=8qSy01Gz<`y7&)LE zy4B_jxt;YO`22DmwvsPaQDJ8}&iE^=x{Yh`p4=+>tHJ%E(4TTW@MsG|dzj+tnk!EUM~P8zg~8)HQP=v2J7Q8P z(%ao}jlmz*?(j&yF0Mp+UGU|J94tGq+n4CafM++4m0`2uQTm;xe^}i&?)3dBBEI0; znQR$2=sXXV&F`_Wp)qGqW};&&O1@<4^1?0vu{o^U zPcA+kCDQY~%O-VJ+ieb_W&H`}oPN!Gv@CdGW_$472b?IeDrKwyuy#gfTCMWJT5ikn z@Xxv-);m~`cjHk-dm^HKXd0IH=`8N2Z^4_WDIB808cUG&qGTPNdSgxG5tHyuj z`f>WP250w4viI?L&ypj6Du5N5+zB8;;gkOkX)rmU%n%3cG7jqMnfMo79X@wk>vEpy zY#T?fc<(4z2`E-x3b*e;i{fBT^-e zyGjn^1tEKf!b4=n!u>t%;7TC43ENaW+_XsoK?_3fZ1;X#F)s!j;D3D_BQFI7q~~XO ze7&c!d)F9a_4F(T;v_tt3%5d><|@;G0QzRM$-h%OMX;E1>9-um3LG$!XYC2=_(fy} z*hikW&68Fq&I?yFbL_-@tl)Ria}z}Nw=Dlgdl{l;zx<v5_oc{mr_M0pSqxoN5~@9N zXcqR~g|wE`%L%u2va2tc;~%+bp<-PR@n4dI!haohT`YxcVS~oIQxo}`j5T~kz*KLC z;%X?s}gguXO*3EZ# z_VmB81Rk|2HSef_V8iSM~|%#xx^qx;lx(`7kST^ z;l_xHA~LZs7{OD*Bc5E&#~=yXtLB4=f@K{?3zD0`#8+TmW5cTBx?^=y1TSYS2&ghW z%{lHNJGmQ>Z+Pififi8%Ng`}@Y6e#{d7nR9U6gnmToHCcjBULvK6MczKJJ?!0h7xK zR@^XBhpFTB6_gnGgceckMR#F4XOPofcltUG<`95N-Z(ZpMZ=77DEt%d)9P5}bJCj~ zO~_~JOVLgdFLYLM9GXlEA_ta7gZhX8<-8X(2@q=t4*`H>eId|g0zsY%U_r78Pf#|6 zQ~>Yylh*rgjPUo~fX2b*sCdwokK;7w;P#~LJAB}d=1T7@`(F+p@IUpCV3QW0_@3kO z-PqNAAaQA{vJc&@8V5fARn-Sfj%KdubcFP8`=ILbXoc~H;PL6~nXQ?kDpBGHr>Bl( z67vO{c76e%8hZ{yC*@hBT*^Hg>hEZZBdDib8chVuB*de5QSZBRS6h+$B%j$F2^W>R?_EC7?c`U}v|aH0m$e@&=dh|=%t<0kvh+8Whl)>m7RairZsf>_i}K%XyM%YH;!Z5k+a)`;cn(O zV&9XqyP49p1rw*k8xw?AciJ;q9gYn6172<|G(f@hK;D--Ei)h^Uf8sdsqiYSm<%{n zamU|WdA=J)3NT1Pb{HlxAGN)#`jC@|>p!JEq{i_CAOPt*V`yYo8aM{57!U!eQyzB-fS*{CuNsy^W_P!pVI)i+g* zhgi>-@Mwj|Y^5(-V!D~_Fa826)J1!j7*@~xh0*B;J1-==b~M2!MXEHYGd*yViV~yE8(Yg54Cz}l)SUci%m zYFix0`IwL%Oddol{v~M`k`1q=kq~g0VS`nlLkt#+mvT4~rhP^`5gWdEnr!EU%K>^) zY4>Lg4#fWnwz8*M=~#&HbPWO9r?4D`c1)&f4J9XD^ls;(K`PQU@Ek3a9eAK5!F5?N zPGX;!rI!w51RRVecl)TKyHn!H!g6QZQ(Wi21Qz0IeYK?TbTb+5M4Zg&NNG)S*&C6j zloe%9Jjm*ihr2MeNyj$!KCqT!j7g9dTVxE|4i zE50%PC|nU!7gfbhB9|$syp{qqhIW$m^Oxr`WS=I4Z@Bk^Y9((Cv9hb2}JDTTL zXOydW;Q0(YJ=K4)?nBGTkk1ln3)AKtDyZKgF+OPf^%>Tk+E`}7ovvxkb1aTs@qz&9 zt!|pdJ>ovTzjK+G1&11t7*T?kJ+m9}c*t|sv-C4Z&Ty-lo+X$Vc;ML7{9vV_3>*my zZwLx1Uwz`ejIw8%>2_qVYn;)|=?0hvja;6n!JlQy`YEC|s|6qq!7XJLU8Raza`36q zg9=94FhYMBBm?3K@!DiLDn2Wd_@@a*CC#~1&9%lEfvjcmPgmygICWt}WTJgY=?|o? zXMEG5ZLoLsrY-hcARExC9N9~=>;0nXn;fALoIKI3!cH*Wfnc($<13K5s3rf(GPx(> z=c>VwHZwy&kQ8#x^Ms9F1f&lb7;J?Ev}@3S3as>V-oza(5xg1UCSw;<$72DgRUE+j z(g??}O5^mPWc{PE^X$Mj2Tc!^CZzpw7l$VJka``5?J24 zEjKqDC%3)r6velE$a-al7-k-b1xe$@9EoeQy80peXEj)i*?~j@Nv9!@jt!eG%_W)ova4^a@6P@<7@ohnx~YkM z>QTw~9(IP&^Dk^c7^UOsJ|+IARkthL>P%+(*z>Ac$j)6sw7gYu zZat)|y}$$S$bIAoQe zlX-AnyWFbe>m)q!_gk67ye?R!Y_8Z>H46h+r*dqx_ma{Lc3(5^@ODz^>THVfd>af( zVhMV6NIkx@*C0OKE^!ZGNK{oN@$ozqjkz&N2!I?=8KVk%%NY)jLS*d_D*VA%QI4udqUJ_0)B zh%t(*^)EgbU|NU423I+wN_Ng<>IUnTwxn}SqLzyQL3^SzVnRAJk03iB`?DQYpxRZJ(>r7^KyV3>MvTXnCmN9Pa>kmRK*!CFS32G_l+XX{#VOX;vsKJ~`w;eTQ_DwpmY7%{juYZt^sJ?Q?(xSSkhu>0&R`$PV{>hp%k6P|m6x?#sR4 z7_Q8d(go2@`nYPm7rdb%AZ~{n>pP98hEfgr*^rPyYdL>W{VPp`;f;Pp+s|9GT zVh>HfA(G?2ofcWF$uda=mG^PbeCI>EnvywaOwdbmnmN%08gUZuFD4BHyoL+m@f53P zS8Y#4B0XCX1R66?UDc6UkaZc6P%QE6a{f_r=CS4)!)C#bM1sr)!Hf|AIaqlE=ejZ* zIFulKl0=DMvN$&)g^?y>63rKSQ#QB;Dhk4{fd0VP8fw51KIpzSHpgJ0v||faB&S(| z4{@5l_t7!58C^`{KvFJ`<&*G+{|%f@zLK6njtF6`m$gUjS&yYN4sApBwR#U)U2>w? zn9#{Bm39}oATuzIu=)mma>`X7#r~dS|$bp})jz2`I`D@PU8Xp>*}8Fcpk9k%B{`Ni_p2XDSkYp_ZYDB3wfo^vX{3j z@%_G1sQT56E-JJ-=gX!cU}&^6CC{(Q8Y6eR=Bkp@NxiO_o(R=R@q%I#s-uM&IZ3@O76+Wy4lCHiWrhBWl3}%di{< z&Rhf3)2{Jo2KTf#ed4DVnpSD`l2X>0BzZt5u*Ca*cmKQHgq)|HkCERhDnQPN< zDq3X+<$`3rq!)Pv<*!dh*+)~LVb+{#nwFf}ubgEfBB*5U>k{(6z2I20&Jj%7(9+ej z`Q?AVxee|O>7UukS=eiuT+N#CTL^)`TP9~*tC3LGJ%Y3dy~hYXqCCoSx$MsaV@5}> z39wKV#A@s8)p>9;_S^EN-iEC4iuI-bh>pcP=Wgz4t+@{~wV51i1y%SVGTSwY5CraZ zG;CzYuZtWP4BqbcH#i!rpa4V-;!T#ZU;A?20Ov0LQ^_*=rPTiyNRRAr4r9|=N>w?t z@j5M&@PEPHwc!#mW_wTkQAq`pRYXfg(p6{`Q5~&_JL_Lb4vv)#ZUl8hx6yTbio=da z*C!WBXNeD?AZLi1#u}B^Ys-gNlYZRd;8gq~S4g=|O#D`t{*7^dCrq0sVDdj>=8023T`;J2Pd%o0 z<>@hKEpXhC|1#(K5wZVE?2)0wcE3awP<;>0>kE$~jP9@jtv#*h{qkxOII_{PwYS zf_eQF9V2?1t!npyie+uXmP!ntRdt#t*HaJfs-7tfou4k)vsLbHVB7j}KZAE!7|q&P1-Xn3gvk-G zJ1S>}R}IH@A&l$*oOeQfJsyM*GCdZ?rVcNw7bPjz9FHya5tl}tKRsf+)Th;;6?i#iGGLp?0WYuV?Jp85o(*jUF+ehlfYUq z28;-}B0@T3B`4cDuCULO(oGi!`3VeqwiH2TFqCK#DR1>9e?R`#$A3FqW=M#Zo!JYe zj?MVWR=vRIA-QByJ-16l4W8z~lgc|Awwz;dR+pJ7CAD!)R{}^65hqs?QwL{u9f!Pq zBj)wiJ%a@9W1D5pMrXu3-tmN>BSQE_`i~{|bOLymhJ#Rj-nUia&eQr5zA9pTQ%dM) z0y@;UOB&{Y9d%}OEe~CB|Fn%I);urgUgI@b!BvT^921Q+ohJmJtP@v4a}BZns^i3; zB?mB)o%#2G0XJ&ilPTF88oXmvL_N_GXMbh}0vb1>A&~*ntzNbeXT)>VeX8)MNKQYa zAm|d!V@dEjcej}?D-Pq!F_~a{(X}-h#e6K$@^Gt%h35mGjitbcAkoKg;x3}*H}N6R zMKk~1q?FIDW^aoHnLp{iE6lIyqtPKmuRb2l&WBH1iC+F*ha$}TA=~5-lSHTusb`^9 zl@hIhoJ4q;zCY)%S@>cl|)*q zX7A5UaqzC1>T>a4%DoI?#VmQ2uJrHJ)iuNfso?#Gjw{|^Vw;DW#Zn#nQ#Z~RKRDXf z$EMD9XUJG8Bnu-QCmVNj(yg&;bVgPvy*rVB$5E$As3rVWaik2u# zSa+Sz2?7dToeSCgtFnAmJ#d^T%u%Ekve=>q@<@4U)Ag!zh6rB3&HTfa=W}IwdJRo1 zSV6VE&wUD#LWi@7w^;zUlrmNBdc|~KP3K!dCUJa4Y0{)dHAD+XyE5Vl2Q|IXNC-NrcE!*hnNNGu3co+yD>Rbm@=IV| zF;DPIa+~Av#rW-lPTMa+Whvo+fx2CWuBLpyl2vBz^#=64U6zsl6Jhj|CJ6Z~;IpAn zvWW8Ph*ejpsHpT58x1crq4kd;(MWPRHy=hA-s%{N=ifUy5e~O}kVy)YC|wVEQ+q^z znAmO8%X%<=AX*nMu_&qD<>w8=;X0vF&d0JL_(RNL9rq;Jf8OjWXNvPHs0=*-(#QG# z_)zSpU+pnP-HiZuJpg_<-zx04z0m6DgqZb92A?(ldl~=Cl9$va&>L8r3#(JDb7;$% zE`g8j$sfUE3C0kC-=@ol?nf8fL5F`YBB19`iEO$G-TaOKvK`@O%Kj*2BCP-(PHYzK*iIbNfq7 zPwopV!gKb(h=?zceMETv{cZV&15&sOk*zfa3dda}?lKrRH+y$BkLKD?fG3mJXW6&& zx`dvDh@D$gTZ?v&ruJlm(N7qwUEnZ^a2a#jJ zYNUG~ZNCX>R^Ii<+n-p`CQ4OtynQ62lh|lPiS5a@keF0?ejaENYF01i!C{cU{NY_^ zP?S3PxBdI?ciIw)P+SYz-(3OvD%|S+#UEwuirX}Yzh+WfaXkhso5j8n&>eaE%b*1o zLrtGzt(B#Ddgr?DYuVl7c+J=c{nhs>gEcFkZtCb6?zd#VD!s=C`5{voQ9uJ9%nk`B zkwP;#%3jrHGxvwQdi`GBYlf~f`$Gr68y1FUBj*`et8FO}o2b~$$1)S`lHn=n?o3L4)R6eKkRjoZ-4we5_w6I51vM4W(q{Z^2agr^xC6f26u~$u%U8)^ zV3Ch@W{wOvkyl8-me`4t6!^qwa+K2BarNyeo5H7v)buFl v%npe3+kw~4nlf*EAzPVHY)t&*06w%X-s##GU&?H~=kxS4R#z8CUtazn0EEFQ diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 05bc474e21754ab78fafb496ed1b61f5d566b2f9..92037f2dd7639bd157ee83db81e2c97498e1fbad 100755 GIT binary patch literal 18221 zcmZs?1yCkIvn7hl;6Av!I}Gj)gS)%?hr7ez?(XgmgS)%C^Wm;<{(JZCZoK!Rqbj3M zcV(Zd%BZTy%tiqL0jY)q{Z~8xyM+HqHB%>NOM5#aW>y9!24*5*S4$fcA{JH_A|?(- zW)?;kCT3P5Wm6}BrLif|Z$o2iB4#3GX(Dw~BO);qOP7Bwg#^L=sR04e75py&qJaG0 zl3wD!r90@Q4{VmHnCsUC3YJN{k8{2zB*K99+vA0~j@`l*JVH1879Z<=I8fWv`S{DQJC69CpO`qW=lmT|emM zS*am$sJ}lQpT%!ia^mCfJ~UE6)`la~@-;H!Nhpm|>@WbiW!=U2UDijUb1TCKWGO+% znge&kOnVZw{_$?2L?~msr?4gwX9F-fDMjIjNxsXBUhiu6POiP~Ow;SS(IP_~{F;_A zcjHlQHd!iPW|n=pESsRWf^+C2_j`_4MsA5WX^*7NqEbkr8U@V)lI=jR4rtE?#5)BE}K1kE#^k&*)wi7NB|E22hml5dnf~R)BhiVJzS%uRe3r6Gx9-%y<1R<9Xe_PqrZZr(j7P760fV-7eOW6*VHVqELkgM~Ai zDPNh+cnKuS*AzGjT`DjAp@}7iM4hdty{7#+Ta@84?}RCpbOuU!KpN&Wt*_Wls-p&0 zOf=gY9Xo?gsa(%_E#370jLHA$a@{_i!{63H4-j3(tDW;#pLE1)FGlgy&|O7jZ#@#HLsAAGe3bE2K7Kqy6>L5f}1v^lT@wRqZ9=nIUk{pa=Hjc-8FJAcL9HDvL)OR zkuE#hl2qrY1>!=}|1*RChwcBG(n+veOpW(h1qTBE+%${jneB#dN2!a340kpE+;n8O zADfnK{m6{=hAPYTana2~w`VSVw$@Pz#qK{d0~0W?XmLDLGwO5HOn=eC7LGruR$X+~ zy1=Z+WH-cV8Z>M9e|2fO&OH2Quncyzh`IFI>I3OBv@XCPA@Q~n`xhA%2skP z$#pUxhayWZs7sl@pVi7P$p464l?n4W>m97ueK0IpSjMFkC}#*!_nGik>a=h(vQQq% z3|0u*b0>-c9;F$)tw>gO+>GhZs9Bza^@f?_>>Ghux8t)DhL?_sCNuwxJ!Eploz3;s z==md_JgeU6^nKDIsQBoiHP^K2xRA9#N@!l9hdhF^FI~chu?v0WRuM693Y+Wg7>=hy zN=LK||6RE(&2l7_{p#wtkIj_$veSu){yk%uFm)o1(S>B(=zs6E2$jDS^7pqx+BZD> zkFT~`O=bNz@?$i0eJyQB+X!hENK<9&Ir4xRBaxB^gmNCH9D11LUhnF27vr#7%6u+! zia+NdDdP@m;)hlUxsPDgsBed@>J(^*h4*s--~K-q-I}+MY8xdr#Y)aRI`YaGe==-k;c( z#3!V3J_?*xiDRSOtOGD<_^My(EfrG1^ zXVn3`;(N_rE2dX{Hjf-LEv84Flc+>k^29?b{+xNP`rZOAr%u?;B?+-C#u)5Qqy z!Rv1r+nRV%%M}laQ-;2I&)zLQg5R$T(aqw&AF0#@&Q;!$H-!i@_ylHy-D6PCS6wi`x^7~zBYjVI{v@7FE>@^(KO-LtG zKcar4=>JGPv(<=U=_cgTszr51I$`k(CMA97vO@65cqq%&eY~-oq}OfFH89wO2*!k{ zot$8<*P5t71>czU{i)QPYp&HC*Sy0ze17idV-sG31&sT=W*@`HW$A4KCLYL*!(SA{ za_T%U3{>2NDy?JLsKj zDVd$gmKD`HpP|JVF?`Rk==FmHCxh0$0<(Ew#!kBr99UGYA8V<^Ge*JFdPb1U372~# zbk=i7JMSCjPYB><6MKu#%hB}lG*b4{6vow<=&X~8B0W# zk@*j?bA=s25>DF7f&Wlne2HH?eaV-2)jKK`1dI+;K5d%ZD39_K(VKE#-FbzxZ0$1f zuWqHKaHse8-uvKub!@enefreToF(V|5v#3=>sP7M^^Ktx2>WwzvOi&hBsKxyuRFYh)mJdZIe>X0uRf!>=Pl+TkPS$?AgA0*t^xkB|tf$mOhrYU%q)RPS$uE4X ztzY!(7VAl}N$H)RIHEIM-Z9&0`|=>S`O%oKA4vQg?xPBu4wL(C=plm2xrl`yE8E-M z+sNC+;t#3JGWStxCpD$)Uf=H9edzs$b~QH3II*8R>Ha|O zD7a%f`m{##zN{li=O>1=#s-7w9!O_3o};3L`7kqW#?#RtE*c7D$B$Kfufx;lZcn)y znJYAJM3)4tmRmb5rpn#2;EH5cJHJNm3-!(9i(hJadNJQ2s#XUoW zGT_o z#(gojeL|pjf{6OKpAVqfm%USD@?Wdl6BL-M9_2pU0H3BC>5mdjl{R)86%vO$QG*9x z8%h{ti|%N5a%7NTg$f+V(h(xt3VH^+Qm2D6m8-vTGQY{0-YuTq9h%+^ncnpv&FU`3 z3qRomm7s5@ojx z*)p$Ep~gKK@=(S}D%CQtt62T9CUYb^ql;pd2ST*b)I?*9Ddn@hyvCdH-!sqY+7odkTwz$q0mA1UhML>Oq1w$Orhw}ZR43!W1@36w_jV)Ce8A=Eg z#M0^2DXdVdFGOYTC}N4a@H=Aj{C(~0X0!E+H>byo;*HXLVHDF-wxy+H2oa_o;Psoq z#iFzxzdjDG%4gQW8$m_Ml@J;vZ()ed$s^`U*gabp91#O?gPw~u6-QSxtc2W*BGiGi zOP3{+leMFIO&Yvp&5Q$~IKb)eG(4hh7G8f|L#2@OBz$bCDBPZ)f?QUjcw3W%pQqQ~ zXeGI`es>V8d28l4X}EIcl^>mFWE{G+5DcfqC|Arkzw{*FyS!IWz-!lC2meO7;xpgi z=>CJ){sWEvgWw$hL2uexP&rPkY;&LkqTf{F2GUS^l6wUndgnCgBNiigq~bA^O?;eG zNreOQP3sGd&f2BSp+~5NJF480NtnX$y89&<*+Pa(I8(QwfGAiJwqMyN9R?vs5Q^3u z+WY53*p8fZ2FA%~H6K63q|-aQ7-U*? z1)o6HSn63Wc|OJHuEynXMoN=x-=lGhoj2MV7~2#f#C5v4T@aOz&5P@hIt6RVUivIM~;j&yC|bEx&x4#jeeLz2ZYlA`>F zDmi{8y$8hsqHIFwMCWYzF!2*6Aq}aw>OYU@Z!-_@jbSjPVD`~sM50xw< zvQr_JhuxpUgWTIRQ@`2)gy~0%@ONYJwY_#((rtRnb6^tqy;g6ihDtNf!W13^iNqpv ze?59qCnFn5ozbO-5YZG}_V#s$3n!^M+;15UUL&bGTy7ca38*nP zR}JyRo^`rpE;rk#Ft}w!=Z>P>uxI4O=DM-213z6lknQ7i+uhZNf|qNb=Zps*`EfQG zHbY9A+u#(eov?D2j~RHY=XBk*Dm!nQ%-r@Yfz#{~n*mVjwGSZ#ibf;9J`PTeC;yTo zoOGYDWD$e)8OjsPNOe#W@GU{7k~tG`O`d%M9rGG1ZbA^bX7yFuO0t7wLM_-js^Uhd zF8v|5)J6w>J#k18&95ptVwZ*VQFHc$J134jx7!;?38Ab)#B=?2relJDa@1} zE;)}P>xMKpwRCOyg`6dbh)v?|YORi9{HIc#h${e(g};l2@Z|@{wtsemuECtH>sB%vlk6$5y zWrF2&QuSt-hWn2SJ>8|8n6|;-g;?&!Iwp=8EaBK*SRl!-iuK40A+B;Lk-?DB7VCq* ze`~ze?OyVUxYku&GRpf57a%Gc;Z7~~A0Z&IW&_{?EAy#b&_#!oKzXt7&Msh*qTOn5 zFZ;2D(4rW^OW8aa#mwF5Q1Qn`Xy#A|CPcyX1foVzXls?p9YGEuoo>b-Q_`F8vYZ`; znCY`9j+T0w+#^q%;%AL~bmRC!lbJyYfrfO^_&Gos~+Yeqzm|A?yR&tF|)D`8UEiTJC35bXzcp*M`s3I2< zv>`@2hoge}Gn$ZiWMY9LKPvn4?|6qorbcOE$t0n|&}u4JYP}~)10{^ zI{Q3OQE8hICW=?Y>JU=CUbSyW*se^Q>1%p_gpS0eG~@dHiCy=I6Q7kD&ylHjsDN4ZO_d`M!5RQXROHwAw8z2-A$ z`4Q){RxyR+zoPO=IW`4m-P_Qgd}K33mqMyK*e%LjEwHYu#5q5dQgM5@6Ej6|-DNvB z%-%9d7EPU&<_7VuE4n+J|LFgnij~!@hl&Fs3e9q5Lh={3&M(jvT^~$Vh{`U)P(p|- zDUMa?udYUU1y}yO*I0C1Cx%~ZK-dU4e9X%Up$tV`c_#GWlu^Zq&z#_-0%(jz#Q+?_ zxy2al>9OhPJ=P1FzFlyOaMP@Rx@lEXE%_r&wa{F*;#udTw8Zc7HgwXAXw(^%)I2gP zJ>-yTNF>(PuH7ztuti&N*iUB^u0?eg{8}u*2i8kl;YH?x165&-NcdXnF-i~a-Id{3<+OB=0v`)f}EIr_$zMT1M&zI^};)i>8Xxan6_Dpne zH697+OMh3D`4d8@a&R{5=ek8Wh1h5mo&7dFgL~Xm{^n7P>Q;W5rsLd+x<*o(o*iG3 z_i~6F&tFbHCU5-z41ODG;l8o`x*Cc^@T=Jy zy7G^jpI$xcIWV#yRHZar4-vBuAkRLKfo#5EsV&`u*7IKt-_pdIk*@H!?1!^r%=)RT zkXBq&q>?vm6K~%iDrG>|9XUOlaiPjJ79Z0oEp|=c zW4njXLc(CYcRW+jeAwe3RUZ5E*QfJ3A3R;JNWei?iDj@osMKE&=UMTT6i>OPHvFzs zdt3(gC~GD3_w;L=D^+_F678?-ddxW2I%ckYKgbcAfw~RAv^l)p4qe2RUsz+QK(MSz z;UO_K`1`4>4X{; z&wTy4TZ4}+iN+cH8|>L|>5INy6YWg4ks_I2yb$h82U+9Amgv`Z zY+!d5saBL&%t3qRMb;`Y1j8H@fZX|TyLy_TFRnl`K4o}dd8>K26Xm{MOp1;Bg{dMa z>Q3$jv`ueet6(HVT6#s3xdL@#fJlwv@HQ`7yz}%LG7_k>Tjg~6wJd*BF(#|@#+Oh?K zXZp=Ilhw1oeTyZrxn z?f<8F9T}zrQOL@Y9B!@heMXJt0m32G^#6|C&=o>*2t9>0y*{On`bkYxR^&zpe_d7- z+>f;&4e#cHzd;+FW(xWF!GE^r-D}f@gMsc4On=J3XU9%|K|Ew`HgFQpybtn+MRVfc zmmJ-r?1a0c-%_I7i;r9&E07!?bl{@gPfLo{?=|M#1rT-Lh>Y6&n0vUR18VOMNZRR; zx4gl)Y}HA-JknY*x+SPP!Geq}S85}nlaJsF9tUHpC``By8p4E%nAN}9qR|BVi7 z4{>B;6T~nB9e3F+z96JJ?ayNbO@>l7xP7l3L$?i6Q+)&q>OvdA+QDIJ* zPRvl4I(H=;=}>jXR}_WL^mqMaxu4RK4@Tti2wm|se8PB`dg#k&%B`HzSSQ{@E0?dr`^@7B4Rr^M+@D zgpSRZp!&Q}xb;Fbko6HC2(ZR%t(d%TcgxW7t5IL7Ts=*@+W0x&P)7mGM*IL#$;d#@ zd@l&o*ItD&-~If!vqd%hT%8aQL`yX`o}!^rzIE(hUv3Ac+E~u)#nV&D6^@Y##ID&0 zFNuoGp$(c>st(=3(m&rerg{7Lz2fP`K6+XByzzK(J<(3Q|tl;7KKK4@qM+45z=5z8btVm#wXQ9sIGl$huPL5Tz2K`fu^dm{{4-9xWK?NKI zHKscc&E5 zEYFLu((TBV{|x_VaGMqL`i7nb%Xm3}&m z^7q3NzN-u*A78U{`F2#TI)>GdR*wq9bJNC=R-vNZ%O~2Z zJk*W~<9UsJ6 zQCy*ZTlsfJWg5nfhm|)bip^NVJy1AbiRvMRHrh;~KIC>mxuVHSnr|t`%06d@{>Q)5 z{{7(3(edxn)|sj0kwqje;n zmOx1D)+K9gxMJ^yk8MDqPT8R~U$PUz*2eS+ZZV*7Ub|{h=9QwCihQ8g${Cc>aT-Ls zbtXIGJ$FYSiwhjx$PDCYJFQ!O%9XH=Vp-sgrhCe>TZ3+8Ry$d#y;V2JijS|l$B13u ziY?iU<`|ynsbw=&gBwc(5sZ)<}*uO}NRbgpSzEJcQB^{Cdil-Cj@x!9vfEp~5~J*Y9w_4j4E8s-BEYinCW z^vaT>9>dqDbv;@x<`yda&}eBMI5vuf^Ve6-EwM79)#|rbY>ZRvSFC|rl|YXct8rM# z(@)y0urMCSL>c|UH2dSsM?k%zt;*e!XJhgV*2EFba+gv2QF}A0{K?*7Wo>r^qDlq( zdbCpU_Qpowd(wrEYL!g)Pi9p*g`<}-avdQfxVu-aW*w_#t77S5MS9H0DJ4?1 z(ka_zY*u_ej|6m^d?7u;{5us-$>-#kI_Q;41+AT;z)hkj-f!IIL#N!63*=)}P@`(u zre)1)(;Y0}J2tMN#lW1mVOqY8Y15}y0m5y^=+jmtMcTRp>dKEW5YF(PWN!hIF~>8K zDXk&}7m1hUHq!>)iW#Gc&yK=|#Boe*dmP`VfO5jX4*_(*VJ>c#!Rr`GCq;9#>5kl~ z-x^jG@yX2$LP4zDo6@oHgshK3`h00x{_T_O!Z8Wc^xB8d=E^D#D$!) ze7Ecqxt|iZHQQ|i-B=6-zjk(uQ>J{wwm>WpI#Iqywx01KZVh35y^(!hfS*vAd*lek zQagQYX4Usi=2vb+?aCr!RC2e5ua&jciqW`9Z;#e$wx1oc&oe%=es@!0k1-GR!XabJ z-B6Qh{uA?A2cwIo1+E%TuB|s+38Tq(Lul5304JB_x$IqZ5z87O@1{ZpYX#Z{_0cj( z7hR(BPVZoh)I!(kJu}8)SklldqCx|MXbD$~)959XtsjS;!osGFbx?Qi{srq=NcV6< zNJ?W%$axc7uV|mX}+-q(D!pyc0Xir2SMLChTVoH5$Bg+a? zx^8f<34cD+j}a(yf14^3{`lA6IzbMC2JVEIT^Rc~zkbTYFY6E9`dOCt-oZ>z4-zICex{^GzqY)`%pNCa+HjP7T zXI^gG5?rJ*SQ8&)_qAghj%LZF%+!|K zuNfqI8N+1PTK9*!2Y6?ajn9r}jx2(bB9h0;%#}2~cPP%%*$57Mbh;*s8j9X9wNg}e zr2p}M#~g1>Snm-yZJ704-SC!bk;hq(GWF_2czPQ&CPkza;j}n`**_ugocv6k*>2rY z;Nm~uWO#DchfBtuNpD z0wQ)K;(=(f>0&k%BS6dg5qCKJg>T~N+>wo6Qf8>Rbni8%)?e*HlQK>peB><%PxY_1 zkxn`uByv;5IfeB-w5G!w>v}u>Wu!elFCOaSyhJICV{WFn`=;X!)~M@lx<&jgX&sV2 zX8+8mREL^p{afgZacKPc6`JG|JIOCGM4-o4-`B6od)mB&JNj{B+~>9B&Gsla#x~df z``-9bzvIpJt$NyN$9H9TeWi70(AQGG_w)8MHM#N?h_t}p^F7kK!|11c`Eq+QvbK7A zkUBE4voqoIKGZ7U^IB;HyuRQnu_UFla^?5E#K_i2smceXLp zUHo50-}lLx`E5g7{rCMvigKUZr~0$&34OaSt*Wmc z-|PAZg)U!?Pjz{2ef5k*KSGUo;PX4>_E%-Mcl+mnS?*DdU;UlWrv%-X*FeKdt^W7j z%Z?Gy_~7|5b{h>6&-<0o z^P7Izm%}&H=kxX*agUJD-F@aG|B6R~fgCb`G8vyj6bm4ZY_5%_Iq0E-k3#G~V0iNo zPBiG8{)?pG3`01OBIw%8G}hh9gT_q9~Y3_=<;LV#ra%Rj_bJ8>HC3kZEH4 z$Wq0WiC4uUNA;qzO+8TJVN+@e(Nz22@hFn8JZ(|lgQ#v`P=ezHJ^n?B!$%DQ3Z=z{ z%fjk06;5%~<0Lc$uQ#kUZ($6FH8SrYtKoA3NNl-9z`TRo1{6`YG(^p?;~$@3hGNh0 zN>qE9fHw+|jkA7k!~`VsYw;~NM|SW8QJy|!61y80KY0VKswKFjz`O_34TX!=A(4~# zx~!7n^Ru)I!+!~iswsC4sWiuH@d}%)L=bix-XNo34-cnn$|ta(1xIS#fZ{6*BN1#i zo^01--M?&k9V?!;p*np-ucHY!KEP4^=5W#?7 z$UuWxUyK5Luyr5e_58d~7Ev!ei(Z&HL~!6XGSFdm8g}gguI@}Q{K;dsLzpc~&~Z7~ z!d-I2Lp1z}$pSjq0x95W6dCxO$44XTh1?_&X3+}{WF}q*&+DcZ?F4JeM+P?LW#bln zf?EU;ub&9={Od5Wg#yCd7g|%INYghYFbNq5otKSM@QGwm8e;K{uzp6o{w(C>6#m3F zI}J0}1Gk<|yzVCGB^~~xpNIdm;1iRri;0&h#ut2Dhc+(83`44sU@KYOxZ?fl}au*R+QWT*x!bd0qx_G*zSwS0#yeD1y5+5q$#C?wa2q zV~uwyxNE05IHe)a9Z1sd>#B*0TJYxQVIzS1APEei-YjoYYaNJIBOf*c#84a(Xv^Nb z27);)DvYhrh*vS=0)wCXqgIWKzPTp%k9`ISxeO7igLgIQiutTEu=FGt2h;Jwoa)Aq zi4A(^gituK>zuEMhU7xkE%?;+T5^I6YMVz6jy9_{NFUlO+=G*zW=&1#`om?c`bS|q zmW&VCy@rZOBswOPfcSeYbQA6oE`Y;PKboqMbH^~%sv$Ay0c;`B<+9PGs8I_p-l~yD^a9SSOfE&q zIP*tIa|!Xqi+`j;#$!4AXZkNs8pDcdFKxizwx6+SXnComXW z0`{V&g+dyGjU$99l=o4QG+Z=&?ilOF#qM{C8Tk10TM=?g@{DT-re!`dtVY-F4ZkLg zmPLTInJ6;(wIqnZO%vV}^ z4N7QBbDfk18qL@_o$fiGwjdw9B9{U8a5T>l)Cg{T+y$ioqq>d!2w11g7 zV}||)9!I%ANHvBi+^arY_Pp^=*#|1zXYMV0l(<*CsJfH&Ld71c@5Xz-5>ShG+0!8% zZA_59Qrt`SEV&Q6=8-TcQ?CdCw7NuvUzhXDl%Cdi&3biNt~sTTKQYI;cJR`lH%RV% zMNGEsA@Bba7aecq{;U!xfM@C7^3eJez7=9!DuP8sQk8{5X3O36 zCmsrFgXq;{=(A6ixxqa~{YYtt=(YTJn<;biK0^M|O$yWTsw*tH&^JXa2hADAhQEn7 z@8aP6KL9j)Huh=mBrUEI66%jg!Icbbymc;awgSn^j`Y3ydzBnOE;hjmav*F{d4w~% zB$M2O0@OWt{>N`B0erw2p&4Tt=#-4SP0oHW!c;d|k^Fmy)FvR-GoMNZ;tQZ=YX9H|SPnf(#T_BOPMCVk!#O~!skQLEGkIprY^8zrS7=0U!M*p zM2hzENd85AWS+^ir4WuJgX}v)WErDFWLp2qTZD~g!8mF{S$!}W4IOdBo3!K)S2Q0j zX(>Em)Pz&68X)SH_>`1SM9b~(h-1YvC8K*jl>&S|l@1(bOMTg8wyhkf4&*a=w)@x2k&Tf+l-%x2MurMUm5+G)?7GNrRO=4bV$@t%o!Dsk@xFlv7n8 zMX9--GPygl+={LQM%^)+1!9`cZRBilN?+IrPl(u^bFJytH>

T4lc@VWELATLOeiWJu?iaX5CSCHDYgM{^=&7${Q~-y10R@c$_;aiHOmo7?6IFLs>yC z{^>0yRJ@9wB%MBn5+i?$t&eYbmj~d6)`lMEVS#{y`~ru*LS>h(8`^3__yF)@R}tn#cvmp9cjOB5Q)na?GS51+IT8E_{#Me54taF$TGF`z{QQ|~ z7#|^tQfqPVz zw|mSu-d090bh3uZBI3pZwazJL@=Kn+rSQ$u$rWx|65#OJwQ#Ba6D(T#7GXL+BpcrC znn@TM)me=(8nzfrrV-Z+t53uPR{@cFmqt);l%Y)lc#>BTp{eK|mpqZ((g(cmjp7-TBFY8?(0|0v1}@_UfN`Y2xDoLFH3OqEKNEKrLk{;q-DNaj z9OW7@xgZ94lxa<6hEHJAnA&GA`ObE5@_# z0B1Y;e4CBeRqweZ{|l~T<=|sp6L;DAfHH0qiui?`ipN~+vW{dMB-oVeD?)WNvnrf( zqw-am=a3#S`mY1mEh5|2VcvfcUz_poa0PGR+!_wN`t5Vg$_h?)&#e& z9TJlbH#591tWt*X;&AvvT8Gm9GDuj3bFx8nMv+8Ok_(8#ix>knS5K8hM{@d%KmRzx z;oPn8?}=6qKKMOm!NDsdYXI+T@NM%H9|z>|E_#}Xk^!PzfQQbHNkeL;F!03Tuo%e* z9v1SevZS`cfC0v_#26v&EsjGet&>~1K1X4;QAfb&EnpNDFnR#GCyLHnS>5lI8hyLeHrP!jQH9Gy8p87+Xr%s(w=!4mkEqx1Vd&0 z)g=FwAQWB#OhrJJ+t9v@t6e4jhLLP&`8Gi=uzY71bO$-iK3-Uar_0ml9G$<}X%gvy zgSn2_?rG-s8H?!{#*_3#Vw!Gh#&_OGaOkaDapzTQk`PkKZ)@?^%0s1h7RH%90FA6~ zr8tHataCL!*g8Rsg|{RRI79;t+K>0p0?#(9zHsS``?uaGKnCL|=r;Mf|LxjwPf^Wo zW+<@e5;?Y^B|!=vV(3;Qwr_!ZRmMu-)W7?X5zK+xfVZ=`R*%epN0uqR#42uVFMqYIku`1uK`KU)R54>!h#Nx=o-;hK#hVlPdLxaf{C zh#%Dd_z8~XasWZe@OJmcIQ;=H2a#Emei~E;0+`I^?7HgmH=u8X3ry@Z;wRX95xxl- z;=<0LaP>E~;vPwz6J(h#%7`oZHz!IALJ3jA)+a|BW+x??RVzptRrGnoswY9ttdh#h zecA~|0~mQ)Cf(@BdRhC)@2;c=C%ad1WBKLOI!N$<9ExuQ$;SKXvs}FS z(SXCScplUZlkc)NQUjL(m}v+-HiMi#0WcR?2@53wD>3iM$d@(@M|xz;%q^R+O`|Yx ztbco&-weZ{8qc8wU^LtRH^z59rU}>{!(jr78@Ny>#{KZ;&Q4og@C8$Evxu*G7_UVb zFT7;Kqg!T3S)mufzP5LW0>{uwVXzCR5HV!q-ZDsFJA=zCRA9m_4DK#SYeL-_zW#6_ z=w4lnPSh+#DL8`B-;#b}sPICNwoedb22%yX4UUC*OwqWe4tdbXs9Et%M^=^|JDeDd zuGmSTd|Y|(6xRLWdnkz#xFstojBpLKH`yQnPR|aAqxU4Y|E!8B-8DaZ8jiyd`jo_< zApgPlP}HHY5MyMmpyl=oQBS!DL0&w@pw3ytaai<|3M9XWz%t@!CPir1Z2Ya4U_7VHMK!8wLxe2NQ>c}OJQ*i2)Ac8-CQ^r z3G%B|+)XBbFU?R2@#g)%HN^S{g1N9!3s&Aapal_GEh0JgVT&Mp^4tK2w-KmGx9vsc zeH?1XsiZbFX&oN&E6BgMKk>~Egri-(H}n$7WG{;8|3(ypuDJMI8JveuGo_aN-PPrC z43b}X`+>D5a7Dp3_mJ(1LVg{en^(i7X(To|v{C@OzDM#A*3l#!?;VeEYedx6fQzwp z7D?rt%nnPBX+1q5IbwNh7mh!($yE~UWjo^c?(lb zZx2QS;1pjtoT6s(!_iM%-fsm%X(h87@0y}HoVgU`~-Ul+*)IEpz+5`uCjc(oHjUAV^q^W#V8S2SKtj{UPM*VH`pOz`01*#9%{tmfr zCKd;?;f_sy&{Qp!z_pBrzk0XdKP%>M1V9Ekz(2&89${$y@P_)x^sVEa6v_3ZB_P|PumCpqXwSCAB%JMVHzO&Pvej6>?=BduVX zW@!?JkKu}9Xm>w}_Jb|r9s#2vfYE<-v_F~kyJZmal%1dbf2jQdEoeV72i>!LlN$(9 zK9R#kI^Z{tD^h*(5VVPT4na@LR5Gw@sk|RTH|l|qbrSGii);5xtZZz0_v9_h0f1MqA|a`i>7A_foHscVOH^)vi)Ta0Q0DZ>d2m{kgZk#oZrYXQI{&LD%eZ;xH`PD zDtra34^Fdiz&$(`DOo-?Hr?LEuaS1fTk{@&8RvUE@+CNmDR;hSTP*E*&)#20Wr@|WCgK%pu;R}1AE8iGx}1IjlO->I`Xho>r>r#if|D!j8g zJXaG%pq1pRp5&^T#Me;lV?MHNCh`RXIc2+hhvslaZP30dd}kfQ<1fvj5bgf6+MtNq z;DDfp&68{vnG8V&mVu;W9G0~8{Z`^d&^hker{a8mtEF;bwL>TwL z>19w;#2DON|00i(C@q62+}V4z5gwV4m_OG1cFwQmI&B--^5f_EEZdkE$}BxHi|AiG z+F_&4yk)ey84-H|{;NJLZU7HF5{w zM_dP>ifIGxb=0H$r|iuw4*X=``tkNwH$? zC02yg%#%*MwBZE)nNomi26PRLPg)B9yj&seajam*9+AxtuZ+y$jKeZEQ`S!$8vzfC z8Q!{SB|Dqe^(38Sq>+lQL@v4@k8J(qi>9`w7-dV0kv*x#cZLjKCAX#*DR}F;2GXs%Y&~>cYhXIK7oG^AkkwY z<-GlBmI|3xp^+bVRmsXPl)EesDz`kjcg}dZ#u)D0yF(@X1nRIzzum%b!7yWMUcR6n zEN5%oOnWdb;UgfS|Ez5K#0|ZWrUhX;Pb;7q)9>=e^R)6;VF(kLFi;KSG!gNu z?o8DXXslq8ON@2SB$wW`yui2WJ+aqu<8G^cX}9`bLcn>9f3>zhEa<*P6Z6$?!||?| z=pykJ5}iI6&nJ5)_8^76)YlTf^t)eX!gN&gS&n;TU7Sm#3p3dDE0BNt%wk=>li|f^ zDa3oybYr1A3(Vk4!0o%)V(uOJ7Hs&%vuw-S?@yfeKa+51M*#NfrEt2LeEIN@MCNah z4+xZ9Y~b|%v@GRcxI{;t@Fv=(BgpdKkiA5d+q9p36M}$zf4hSgsaxBitD^S|bS9~L z2v`eMp+};Nz7;X?@N{N3ur^LklCYnap;M)y!*HZPkOvd>rNL+!g~u8M7Q^02h)E?G z1cJr?ytx$_Ktg4I5hSPhVnQ!C;bC=a*$~$5Cv&@+=G)59hP(nsRZeSNp~Add5UA<{|WvD0s6M3TVCJd zF3#axbw|#s>l#VR>=(~Ra(I^CmZz#EeAP*2z|r>_(t2+;UD6MR@R6%)o?%;kYdupO zCaE@Ea(2=rCeXn4M~zfmQ=PbPs+OA69ni!88skKpB1GqRi+-j_Os4_3%7^)B&o?ZJ zGmE@wnCTQzgQr|n&<}sxO#U28Z|t&ElW~92P4Bk)*V^zkkp{2`4hp=q zZpC#=Gjtb3ekz&wS#xOypJQkMZ@5~WZmKz)2Z=RAef{#EBY~7ssBY5Al>&NJi6VOc zG5j)Tm6Rfjbv?)TVp$Cz5+ewIt@C(0O=1!awoAkt__*q+po)Do2C%5d=2s)o#Aq7W zV5i|Vf0eFbRa~SoizS)6uH%eCUy`$1XFFbCL1^36*wq{&#VwQaz(R61B5PxnpBgcl z<{^h}cHmQ5j-t@;8rUL?!b=fH=70v!zrSPe!a7|^a3_P z7~WK8q?P;F6LWs~_B=@WAt|UU%2H8AtHNFY^k3&;Lu%00#y_dYT&5@@P9U1Y)f-=4;kN8+)*5@qc1ca1z09! zPI!aB#b-8Szj$ld(|5Vw#9Gz;Aqbp&|akJ<4Cq6g1- zHvE*z6KAncT0~8=$U(BXx`XD&c+`nW98urwu(n}IijX@3=wbAkAn7smrxC4uj#%; zna}Z)xA#Ulw#oCeZI?s|osBZ1Qw|DorDf9)prsMIq5ZnF>LG7}XE2|z;mSuJKz3Oo zvwIi?<_q{j^;G`*^;jB51E%0MAX|3OiNIqXXY!9Nl~YlwJBfJttg&s9z zs#s0$a`Dpw$buHml_I!2DRsPZX7}HNi69Dcscysw#A%#f!;Zdq9bd9KXCun@C|-0A zM3&rO)+hF9m#`x39Vi-Nm3r2 z9s>h@Mu;smuP^M_4YNoes*a;J@ZFO^u*^tq(KUM(uYvLsn6i_G#z18So9=Ye=3Ce! z+dIxvQhfZV{4HBS8cqXNbyO?K6$OjJWX#@@@(O&#X{_`pOf=t3QGKn!hNy~`rs>Po zlfeyHr^}A&=`~mkyp{B0s;k!)W&g@pTp3GtwXO$uBtCPG)sts^O{Kfxy`Es_$dB^S zL;fz_N5#5(Ez&t!Sn`bSTHNzFGei;buAIAnSP9Lty_?kd>_oQivpY0{5JKS3+;rZx z@42Qt1YGaCTxBJf>GQuIT#Nm(|J?2j#o?4~Jy13a(43;H*_NjoR+#G`yM6niTj#oa zKY0D~!#$r<$VvX{r+bdHk!G-w=_Y+oU+wu(7YVvAH0OT@88+*Mg@>XVfi2z8N)cC* XiH(1;MUl)v8M&1cDf~YG00960hkp_* literal 18816 zcmZU)1ymf-(k_YxcPF^JyE_C4?(Xg$oZwDycS3M?_rcxWb%4R0$NA5_@2z`ZPk+6u zzu8sOt9Es*UR6D4U|?WX2;g5w*RPM**QjCc;%e>aK*Gw-#KOc%BIa&wXGX%t&PKw* z#mvga%*MjXPNHh=;$dxSPNHONYD>aOqAE+GX>LLyX=d&Ar8#8}@nr@KZ0^&CO-%B;9xt?Jzd-JK0&C4+c|Z z`i+lK7%{&)(0qe$Y)qbm2m;%MZTry*pWJ~8PpQWny!P}vj$jHl2 zTxD_e`1%p|JXv?VzS&?oox8c>@*}>t*}Fc##pt4r1_;49DTOp*eBSp!VrCfm2CmzX za!A&%O;8W&%}9-f9N>9kf7*zXto;b_W#vu>2%@hCt(>t>+Bd`ka}<2eiH%bayek$r z>YFC%(6X4jt)PoD?AP)6%G%6dFR~dAstch6qUgA$?K_NAEfp5I9amjQQ)-D!@Q}94 zw1>TIo*B+5tTQM!m*5xl6^*Q@+s4@1;->_uq%&m)XDYj3NKyoQ1%t4$B(eWq0BGil zB9j>@kzV6+ifOZoX=8OT-_2HuB$osSX;$#|roqcktA%5v(uKDkXp=8VQ)a`a#l^6+ zZxhVCWv8tasiHxCJY2(=KFc{1G6Vj~caYZqj+bywX4^px!_-_cs!zQHRVfPB+JG~t&FaBS1^{^6>f#)uminB#5uZh*` z8i$Q-HioH||NT)02%Dd9`;5|SzU%CU&xg1TB?qo*Z!+E|FRRPHxv6Wu)#}YgsGA>oYlGZ$2&6y{Qq`~6=JBp1QKj=Z-%HOe zR*UoOM^*m!rT=f&^s>eD4iL=`oP(h>4eqa(%vpTaJ^H@Qx!1+M{Jp)q@x2gKGrRY4 zSM~olNNa2PU-bt`s8*(|3n}9VO8u6qF>6=V4tD?le1!spWhimY4Lyl`Zno^cL6{9I zDcZO8d02E0{O3<17&X!gv^UT)oP}wsp~^c9UF*LvZ~V{7{2z}0|A<;tG%F!5m}*D? z=yILdd2V}KgPHDsO#bvnyFS=^IFO#ytz$xlidN+78@MdFbVT0Tv*}qT4*fL#TGdu# z&0|>l)9>b8)#HD+g0Z%!~a^j z=s=>N06oxED{`-+>K=Tb-Fu-&{F6la(^=`;Aa#JE(`jlI&K{y;>D6s<)DUwZUFw_F zUlY(k#^te$JKeWNlsG!EF*a;_EB+ZtTk9i}p}XC?25qdiZ>sYJ`-W=MC=Zp z9ar`mmOqV|pY}o7Td-~2JQ_>guoUa(IHNXp14I$vw!pd_!aZAE zg&oHr)C5D;pT@8>9kNeg zAJY<7!oJZ%mXsOflDM`z9$(Dw)?!rmBm?D(35}bw)6y)d2HPOG?DO-~v&V;MwTzB! zEbMV$lXSK(TLZ0rMYh-gMEvQtHIAa|Otb#xx?`^wAKru9N!YXI&MX9sopUD2T|nTI zGiZwmPnck5S|CZn!kMODI&iTEn+^5R5z9Y3rGB`=%G>cWvfh5c@H^xiHam{js_z~i z64H<~9|%5{Z`%&m%xOOH z!xGBZ_UQM}bN+ojAelDuMVml!6T!(2ziOe5L072B-p>1uuDw)j-JXE0)l~gg|8Ah- zu;zRH#(vRSkY0=-kx#|*{zJV8<2VTDn5XZldvw*~$Ya|^_$_A|Ygxg;I^gJbY~*7@ z=%J*?uXT%Vn%R|CuV=I9c2jvjm94u01yP?kRoC~T!q4OL#>&6#d78Cq$uaez>GMvM zidBfI%XB)lIpC52!tlBpwU_n{rkAzqaU)t&#u0ram_cw21L5^4Q%CD2 zSFycm=oUDlX!HGKvWqwEHCZdI@O8c- z$)$P9vJlfQxau}~N(Tlt=>%pO$5BAvP{{a>a!N5rI>*C=_QVo%+P;cVQJ&-UY^P?U|~hQJz*seh@~^ht^>Ay!?tUfpkwtmCU5 zdJiDB?c21|g?sR(*1aKM4a>)$4*JG?s6#A-qVOFS)^zy6wWg%Rd{Jus>e}D?U(>em zFmNSgI<~ddeZ1i~YZJ%c@a{RxQt_^_cqD5pt^#!|C`B;*;h=ggXWVaaK8(7k*>c%| zz@#pDTz{apV{}<6jZ{t_0-QBZFjP}6XtAt&RyXdo zr!B`8Ydz+rNqEsgQ(Ve6tCJw&_W9>^_=qD^V}CIXj6Ux<4zeyFufcks&P-xRZQVX> z6JA-t@pn1=2_vFDGSiu%I@|7Hmyo|fk2x&Zb4M+I~GX#JDY z1n=6%1%?c4q<1*%>W?^0po_;dpufZZ&4e7no&;Nc?oPj}mRN2n8j+Q?6uU_=&`ojz^a zFXuSDMq*=|9`^ySlty-2-By_9MQRbMe=k6?&Q)F4>tj{SywP7E7~d}Mh&Y!t=5T$V zT&G8{qVQQ0|86~T_C_uzAeHo^wG$)a%1|8IY^G}z<6BHaY8sC|sDnU&a%c2`qY=*vBLGHT1w(~j7Y9;P3j^%b-<`T3XEDO|6X zERZGb(LmJyfJ27m>7<4k{u?Ge9~OM;U!UcN7BUBn#tj~I^TFEHTib-^_ey+C5i9?e z;8QfZyGZMayrHU4a;AStTM9ER%goL(7dj!~ol)loG$!V3(OdXo9?=jf#xMJh*c;1&EuRJ>O11VLz}mHb1h2Jxx{V{IFnb&~w%DicGNG!o~YBGk+_ zuKG}beyYUF%b8T5D{0g=j8S8Uk&al>`!p^6P_|#vTDsPs^IbSJrZzFlY@M`lY;Cc@ zkK<%Ps(!H>S6C4qlY!p^{FOAklFS!SBfyjcx&`}VmO6$}bBoO|Ya`FPpXM2&n_7Z6 zY1j6duiGZGn`o=?&2V1CJJrUtXh=21!D;h-a;G~(Zr5UbUP90-QapSHOBae;ZiMoOw zu=k{WP>F>%f}fs1M1vzJPb5OCJgzSl3H1R8qc3T;vAB+-?aM*b=lU?Ym9B(d_1*3} zqwPyyg!UI<`bGHvhgb}fexyT5TBIS*mPRu{G09a!7WlOh`f%WkbNzqgq?LR@Vb5Ez zk@b6pXJ~8*{szp~W$K`gV^a;WdCAmWq!nv<{8C|%R#joZiEv`bGgh8OTXw$y3lF}} zmvO3xm2;{`{Q~Y6NWMVx1(q-HxY{8{INKpN#Kz_-c&DwboMe0-lwyVuwYz&k{}S}S zgmTKovNt9HXn>!+zsC6yGpDESv zf5{#j@}6LwK8Upzg#XuJ%C$ug?xe@{JDIE;IQV6<8+fRCN5B-f-Wg`NZ};Ki3q72M z78B~dMg^k-vdjI%VfFO=iVBd(s@_fRGpaeEw76{md+r8v%`x_jWKVC3v9?CDZi4l4zAKNP3V``ez~HBsgJ%PPX!{nEt4 z>Q7f0y?sKpQ=QlPwv5U9wgJ6ukI=7_B&$Sfq3kRM0`=0XCpw}eD#mILB>_zwrGj$z?E zdHZK*%Tkv2N(hDU5H4~li@tE%+%#(G5@(@8eNz-3pH}>?wK`yiqiDG{p8&st9?9aN z5fY|BxXfZiI`Xms?4HDoI4NvT>C*2bxz~?`o^HPF37dljYe^jzo+$Co-Y)EW!g3o= zLx`Aa=+kAWrDVemymb8~lert*p6A>)y>+#};#>&4?#xxY<_W#-C|8xd?wZ8jZ(s^|Ak?HtoU!1u!jDhov zpb;f*p#7p0Z2>6vb)@k-A>R-r3yzvFMlfY(NqK@c2qkNkDV;L+TE6riqpeX60d7o=MuHw=V3vAap5 z&EJ4YsVHL8dt2G_Sc(NKP*#v+vx6h-!t1k-V}E|zJqbo%YA$wi6M_5r6JGjS&dNrG z2f`5E-iFzCc^h|XDw|+sR?`hd=-{^3=$~2H@3EBR2V0%Sp)>|VeMEw_Gf#Wa_c(6t zt#ZK)5_Na~jk$fljL=?b*+o6wAZOdhVh6?M3gJzZ&}6m6rXj(y|ID1P&V1M}gi_h- zwGVXVPGOankM@JNm1HE*6YG>A@H(fk*{bt*a+2<*ek{`GgE1f1#9;;{c)EG)yci}v zPaLM9D6Dw-F~J}9hbT0Gw3tHtb1=e3y#K(*eKhs9PFmYq9*lC`lRVwU&yjZ zl69IK01g#9g+;VHB<_{v!lbe?9z|x$dY~A^#`K-Po9x&9_}g!qA|E=!mI1$=hi)hr zbG+K+l|V5?`fiM_ApU$?$Ag9yaMVcZz;h09M)wVe^%2rDa+7W!}MP5c3g0tk?$>e%JN=xNM|N#QVBdA^^t^npBJteZx;UQTA(p1FE?OdtirGx zlN@$`Vr~T8Gt!1Z8G7V170l){5Cph(`!V|DTFc;%p%zK}yZ?Hv&Zb~Rn3HeCP^c+z z9w1WxqX4(2b&j%Wo+KyI5K3@^>88gW^W*s^^Wuwp`j%Z*&ri$zpLXH$OO!MWi(F>@ z=Hp0VrT0pBFxfRcd26S64HYbw@k;F2pdC^Bwe$_tIwApt_V{HtQ(EMcxSR3cl^$gS zd#gWndJ3<(C7)gqSIl0`>z@pOG=vC0#fyuG4n# z%XrQr%reTxHPvJ3O{GzlZ%3&f5?8+*m=)Y>{szsj$!taGB||Nbg8;rTv8o}s>A#gWAqF&fkpgX| zoeGp&Eo;+<1k$v( zC{N+R?Si~Kv}y<_TG|ptj&rd~yC+*LFHD;!G%->9#)YdpJO%Aw*+yQXn044}l}4_K z_m4{Xm)j$E)4U0&by5zzT{~s9EpW=wY2T`o7)nFLBtog{9tex(4FE~&lQ@PP!Gzc_$+tNZjBi&AKmdD z4I}k`U|MU<)dmj)>2?f1j0G3I5h@Qc2rb$2H zU0(cf@0?~s*EV!cJ_&p+vmAzo<)(|XnmdAklJebwUIdW7g!hW%#}R)oYmC@WmEOi{ ziLPg{kf(2J1tIW%IZZY=a|pvcT(hrk3>k6lKISC|e}5+aBMklg4nUFkfH{bl{O~z| zA?-nV?gIpbzo8!VND2kM;mvR&8qQ*}9w}|y+FvI-P8cF>ln8x4P`?L|?TvKx zOE;*ma1Z3R=x&_Ug4O-w9&g||*%yh$GMp-J&G05fCeoT3{>kOgKMZcYqE>GvdRSRr zKXxp+&pJ?|+idWIQvRha>F4N&C0cp_l)uAO)^Uv$8fRrf`{%z^9<^J16jFXTMQMJx zaAF1TiZD&f60@^qxo%q4Y}+v^*0o^XDL9luR*DPo914Q0aQp9p{aQ>agwVlG~oc7-kzYSEc5I$s#ilP$}0 zm3mH<5VkKH^s7juWUPE+4Hg!l_Wd6E$E*5X@kFG<;-e-WFaOs>MiPgdY;-AnrcuJk ztz;a^6g95`R6s{i4{z8sr#;M*9)h*_skz-tp8y=MJRh7o0{+*tF7s}-y;p`E|1uj@ zfba2qnEwW8HxggLK%#ecjGr4k*QF+pl!DXLHJaM2t={N;gOR~6i@Q|>o?Yy;ORXby zM77XkyFdN49bWt1D3KG-N(726v?AXl`OAWqF&3JjW#`dP8Riq|h^uBpJuVReZ!jd! za)i+~tM$nL#pwDT5Wr8rqGb5lzYAU@O8b3>Yq9)K_vtnQEPm*huOJE*0@aut*PSF~ zt|vqKjlA;z-H`cTy{iAWBSHl+4IPhy^G?Zizaz6DDE~oY#na&tL^DW@V7C<>zn1%r z=!#g{{@9#V%I(Yf|I_RmitL5{=htJLWpJTC+UK4Fk2q&p7{E-s6WyT>jAOkRJfpUj4%8%%%2+RsZ#Mj z=CuE9rTsyBvUR#k+me7tgWGr9IgUQAd+36=Va^PHV}qMdbiYlxzPL$v`eZy2uUzK%fd6#%pK0K1j4Gbwp(0J+r+OQaQar=#?AR#SkA_cCQUJb=*_k7yYrTm1rV zf-1q(axH;8E&N3Wz=M{gk`OEgouS&^2xYyvPUTjWgQg8@Oe zFn?^iuQsy52RgkJy}U2-ra!r&%IA}6*UM3xJ&xVk#4fdRWWNaBYsYvMwixO;p5bUc z!qheO&o}$|DY_8J!UI*cP{H1B+Qs@i1dv=iK7;)FPy3Xt9HCq)8BfF5RT$4=qz3US zRm$#%wC5wfFX%+F(c3AtSZ6>l2Xl9^=(tn!j>*{Ys& z<`kVp1^R768~O|Vff_#)0u05E+ZKbW;Zv1g4bH39Y5I-7DE+5e_)UJV$i^*Z??dz& z7j-dMn_nKhdJ72K{?%e`_AN1(#)-=LkZMqwc;q~9a%t0GUW)PqsuXDrXcl#zDm;zu ztU_C~OfFg;E39*@>(N@!)+nEr;iTv^&0DLjmnd|o+BVzfSafV$y4}1V%9f~GFFtP( zDeg`1*hVwyDpbmo$gSfRK<~t9{BO$qO3W^hIBnbJQ>a8;2;{Z zw|Uh#9;;BHT9XX_jNX_6AFETfs(F6i7!6d>{)7`qK>&{V`G(!>@ognwoeS-$*gSIE zmhiO~s7)-(9(J2l>7R1kgo>3g&kT6`E}%wn&Qx;n13d^K|EX4Tzud&Cw5gokE#k*o zw5`zKgsiU#f8VK51-Yw74Dsh(VZtwp71XHMH;)Z@bgUUNsq-JIv}sh|A3h^-I2JlE zNM-|U-lpxc)^+mM-LyLkR61sClB1s$y0^j&fHgRDL$cxIO-c^x->3AjuAPyO6$Wix@~St zX(JY>rT6&8!({eNX#l@sc}(wWKqCbC>chscVwMJ*-u+IaN$vD}VOOVZ;#g<2v~qPeS6RbPGt}E|u9}a$s&_%Fa4Xef zYLY#)DNtoh<*(`%>o8zgxCKg8i3i*lH}doU(b}e;v@yg&V1)LnASGL{et3Lrnnk#m ztwV$5Z>spiDBXD5->D@Y+O1KlMzip;eyC8eXorLUUWKgjtWdO7*1|sp(D=D~MRosy z6&wzuZER~A(2(wUs2i(Lr){fo+ay(yGmS(46{%|U8f#Ov8e_a(t=^}vTPxsd<=p&} zDowM?%fr`Y951#j%=gB_3$;GwAT zrqGYRO+&g-)K`n;cMHebM^7QrZubhX18FkaW*crpQws_}@v@ov$|{pu9L-j>Vp_;e z(js_>lIcprjloK`F^E!G6J#SpUK2-8i_V<1*#5+M?7PjJs%k!5UO1p9P z)rm=@WM+y}cA?6m>K>(Dztk0fB^{&IEagdUqG3pCHe4e(A=Zp5$)+@ySRIW1ZfPex zB3I9f;lN#PcvRujeRIcEu7A6``aTxD+$YqkUa85fu;^(=?JgCIgApKPf667K+M}k z>?s}tJ^a0c_QTbY;9dKOeRo^MG#{`>?WZ^R*Nj);EKeVlF>HbQ-vX~Zy!+3lsYCx` z*l9VzPRQ(*Gz;b9IiFZgkN}Iw_KDlqodt$hZbT*CPw&QFh#s?VAVO}m;qejY`hFTb zhbumnohUf4n2_AuxlVj9eq6g_V7OE;^~vtAKenXx{NHPsr>fPfonhsS58>1k}l$w$rE}h`+f;=NA&Dfbiw?kE@-E2C{%*VK5u|LEL2w*rwFNe3X9c$ z7}WpDH8tJ(Y(&f)dLFYsw0fS3W9di>YPUCRagi$nz6LXIJ`8N7Mn}7EWXJ6Tfn4dp z$h=K$Jw)@lrJHN_(Ms3P6!u3Tu;6$|j@II#Rfy||K(P9=yCWaSzKtJwOPP)H81u75 zfZsw9(Y|96LD-`9*PdlVYNipOiT5FS#xt!FfF*uU;@uD2!7@%dML-(=*4yui8cuz3&=8n5sA zi8Q=|uwAplH$)Ft$?FV=&c$&g7s)k?qxnLrDD1c4-!Z2<7)aIl8hFi7j>m2UA zI-o*qByGIs&wnEKAc;G+X6IhDc^Xp~WBY#EY?)8+otkfuE7Z(7H<1^e!*c9-o7_CeC>I>FeKvZ(R;1YN&euR6khiC`fT@& z8*Y8Ckoh1Be#=4vqI|@~5oHYLmA&5{PIC)Ued@pOg7kTwrK~<%U$*T}@|-^9KbQ%H z48;h!ySF#xmlMXf;Kgdq&jmrD&oA3k4$98KW-F69;j3^?2Zbq$Xuu1$`|*50YT<#j-OP- zF@xP;3O?v+m(y%gb)lo|pJ44Cxg!^rL9iU(bj~Cis+3QmY8D3&dm@d~PCiwlS6uj) zs^KBXd9Gv+*LsP%fDlO7zh6Qdp0Cr<3blwmGNv8*PBN2d^@o=)`Mg-?H{~agT%xx! zLnNH>v2pxXYI})j-ch{H zPzOJtXu|V{%Q9Gq6_a$G-?SpOuKF)jFe2ml3l~lmq4@Yo`qRAFcx4xD3ke~%RmKib)ocm-;kbGwv-hZ> zCOkxyKu!~Mg#EnM%4W2vL0x6MCS!KJQR1)!d>{-Nd1SJD@_Of4GU^pR(`0yh$JvRG z>uH~d>XTwBa8{<8wtnzMVHG&_svJFp7k**$FkZ)%FVb;?8>ahU+L(=rY z59-8KP-_9NR+2N_M@3j7ukG=vFhG2vwbOjRd1-#6#sNQ}Pk15Xy`p#MMsdGJ!(flv z_y^sYOVBD0JeU7f5h3gvvu`80=xa&52b5LM%`7qu(0~}oGUNZ{0{AsY-$rqf*Q$6A z7OS4yS!4*H5HYYW-)~%+AFI*v)hYmP&GXAiK@Y-0YleXj`ouuYneOAF9K^LMp*2;} z*RR?Ty4A?b%f7i`2} zAqE=E5FHo26Eu#zS_wk}VTplh`Nlb(59Dd1lG~&aT_JI>sBsCH(iBdO6Uxu7+Zhc&(5z?qc^Y_P5J@&ARR=2&YTI;BcHHIXkK7=RVP{6 z6P)IYr2nP#Oz{YVVIP`e>vX(VOP*7XA+1o-iTao$$g6TE^Y?PRzQ088{PByV&?yew zN$W_wSG}Qh@0bed2GH}}Kp1>vb_=z8E8eS#7=$5AhFTYJO)j**44U?b>h3xPt_K2C zB2R1HbGN=iR=}?}9`+7Fp|f)nbq0-OM=VwIz`qBJA;LgHL|Vjbj`@c2=P5C1-hQ_D z@T3Ab#MF0&+SXOLQVVR^jf*?-pJj!=1ivlHwPNujwktldpTH!FC)~%7KsNTrDV}+; z^wI%f1Ze!5cFUPZmHyA-nY2wZV;8Y1G=u-(*g(70(tR>V5cDcMQRl_3xo z6=Hc0GNSi`(y5e*B@yNJ)tNIzqyeMub4b!zaes?Jx+fU+sSXFOy)+L*OhYt~a2nAA zB8SK!Okd?W#T>N;I{S<5Tw3NA4KAa*2@5fg@TqI76f&PE?4R z0I3ZHtI5?K2*WrROCd?8?-va7sk>K*|IB|r(GoSzU{E)@Y+TyFW*7Ua1+~i2BkY!~ zWlfEsxZ0+n>`B?z>MHc~29!R+98Qt(nH>4g_N1Nb1WApI-9)b3fEG5G$4ePslS;LF zB0{OMUfbRS7&)#S8&@3_kA;t3tv@jNaSHC>ATs5cDmfy z%fH$AoD3T|qRdTf%|(Ox^Jne5^e&nhnSSV{wtljf!~4Zozxzzp<5zKLovJF>5F2iPMysSotyYd@_q3z- ztGNxTR*n`trb+v#{V3ah(l%^@MB84{wiiN`2ybpJ~nd1AhQ zQxTdw|LQnH5==ZBMyfi1Cd|n8ZusWQo7k)FExaC^_R;#D_$JGn8nr@PtQ?gD2M#X4 z%s+2`wJ`^GQj>qn?M{w13|W%X4z03`o)%<^fTK3Dl9D=9Q1scSQnUgSp<0X+cbetV zH~z}}Ugwf=dX+}s*g}H}BWB`f|K(y;G_BeNSsXDvUl1##7|!(~K)7~t>tv-^gR7?&13$I47!=?bo|W+G&UIg=d}j1236KN$jS<{%2M+?i1oAq3ZXm z2q_E!nf=~iAxa*Y5n})N9atQKRmCg9MzDTY;i_PH49yH)$3>AjN%FbA9!-*CR6~&q z{^wnzqeNKz4ErePv%;aIUhbBr^{ET9Xi<8O8y#3e6Vezh zMDo=Bh(i_=%9s@#smd_4*MSJmSb$Q!r0)80MVM<}27wZ1vxAEf;=W#zNvVmJ4~+b9h$ta{?z9X9cj5Z`PNxTDc=#GUT~5ThtnhZ z27WhjQ1#@(Ic)()f|tBkG(`@6C~w=Y`>8PFC>7Y?3anDhv-7lQp0dw%j$vi1Qn1WI zHV>lUj_YWVJ3~ip+tLmB(xu=vf3-UWE1nBN54pu?KHq^w*+}9v$AmNm*H$0 zgCPm*=*S}_PG$V)NF~3>PUaEsn$$?GgiL}%vz#0&cr5aq<8h=mZe4ypnkkoG3n1le zieraHN2Ypz3KjwBQ%?xV^4z$@h~N7SQ|5SAr4!C0e9lK1{;B2rO(hU)f{atbSiF|J zB^y*CC!=KwP~Q}9@8{83NfpxdWm-6pzZvIhF&&tQ;3fyT&+ko)?plR0MJnwZxwiE{ zLj|;18GZ~`no;^9xoS4tnz0|yS^y#~05XAt+$Z0h5b{O1Yg{%Dzx`o}hz5Yl<#8nt zgnMeSpl2KH*u{^)A{}SUEhw2;>3(Hsk50oW{5n36W{DK?m>XRo=8?b=R=Q&Qa0~@Un|*X4E=Z@OZ5_7LbV=s* zhws|JLiPG(GT}Q3DdeV$pucwrx_zSnWWoSxor6uQr%^eMCed;%viw7wmuG18*akbP zQw)8rhl>ekbx>SvOwHxc5CPH&tq_7rXSV>SAd3(O3-5uk4OXYK5E`;$Ft?-=80v?K zDX@280r0ZBRkl0&CkTLLhcyEODagWO552z$qE*|kx*1j9cfb9TB=2Zvyd?cxq_1;7 zG0Q>U0x_+8@kzdYH$?goH2er9PY#)f0}#aa6J!%&6J%!-5@hB4ha{@#yisZ2kC?$B z{&yn5S|n~CHRmixi@VEX)9q^J0N}0AxtN4;K1_bRz}Ikg9~i%qpx9d$i2|Y6|c3ZXj$x5>+#8rpJTQL%`Y3(LFpRz$jWO-y;Ger=a zkrz(VaknW?d5j(mGfcuermN8%naCdh?vMxtPwJw8ZzKo4E9q{@XtGZAQko>qVqBB~ zQUuR;C1*TbLcx*69maS4@7F0{`^+!BFUU?v?0X9A2Tbe-4(ta+><2g&0OrYrSFlK8 zexC{1e|@NJkl?$WqmNTJzeTOQ8CW_Qa01wz6BaOAZHi9?c)*WPjSv-xZNdmF!U&AR z1dvfd@&nhsCjhkxn`^IzYU*@SMGo;?v#`5N(l42QGJ!gaRFPF&fkRw@O+42oEZZ(D z8v^#jgh@NsTBCjqQ5yvyQyUDa`Dv_n753+pvkN~AX6j5o`dqHGTDM}7SkW0Fd53v; zm6zP41I?KdHSF|Brzmk553Pnf>sK(|jTHLN#+ec*LR`i|x$mpmhQmlt5#SM><$Tu$8uBm# zMi=mRE04zE=F(1PggC__*?zxavL7%{B;d$s(rxuxdl-&x-WD20{fM~HjTnItim>+egH0J_?{ckWH}ri;{vU=woJl&rx2e7NI+Zuq+*dr zz%;O3xCGY>Mc4TT$oCpp{qG;P7Jx^v-Qb0Ft`-ZXm93c&!69AneOcXYp%zNyN85&> z{aWdLSK81$>l6$@sm3voj7U5r4aHVw<_KipQmo=#E-xEjrL({gH5l{=lU+ zMMGj~CaEH12$5o5gNGT*ODjmNh`&@ug7pS2V?H)Q05z=j4lkv1tMUK|Ju$xf@R>^_ zH?Z{@4s3%NFPmVvM(!_+lx5+il&-_^SLL{mpNwQp_BI1H!s3KaWDn-xeiwFf;FeT_Q=Nz{7{~}y zhe^O0C()3OISl5A=g^c>$RS-{Y4L!YRW1h@a$Wt{;;aNKLvd!J2GpQSlU^X1Cp>VR zX{+Ad;jd(e!@hD9=mHc!kRT zc~aGZ`T17j6QID)&lH-5TMZ<6r2ixsVI_Wu^@ZMaFES&$O`2+`Tz1^9-=EYoq=W_5 z?}2C_QSv=;sX=uSToaEXJeO#^8$ppheBTP~QF{wO{A5B0SONWIyp9s6xI;NwdIJ(_ zUt>a=i1brb%;a)l!!hh_6!EzMuO1=c>EtR-qj2i-SCk_z{o9awD`8173@jb31WcSG zs8{Eh-x^Z%l&2TUE1hExrR(s_i-IJ3DaZ$HZSU>`mJ?O=G#@si1kCFV4E1s>m$%!z zxeACXI%g+{M{^mnv>%x@8@b4itF?6uU|=!lL({5`IA2DLox-$fN;HYkQ+>IBz2bNi z`Env_1(@+ax|OaDHAicua$2c8R%CE=A+BV)k2pc70#2(YH_91X*>5+0oETFPekt(u ze><3h=x14wj+K4d3S^()u-xbq7ZreNEIaUtiaL+@^k)z% z&WKTS)?OJGC-Jq;ZDd{Y~K9i83rG+&1`qH4Cl}r3Xp%(L_ zKs!5=_!m$0^*_SzB}fhN(nXC09&E!A#_1Pe#O)@z2CLQ*dd3oOjHsQ9oLs;2o=^sA@%=ppXVKlDXOE&GPh2$OKM^Ou_??<7c#BF@E02Z=KZvGqTqC{bQG!$Gkl<#OAfh>a;tF1BE!ko`! zk_tCsr;WZ>3p!W&QJa@Jo(436M7rHicP96zDK?H*z3q$VfB*X2`UR}&PT<(=gi?KM z@yrEM)e)K-WH(*GPrGs1J2=j-&6ahK`$~P2Pg7@R@hdm#Z4eSSdtzIWAeOGj56U#X) zoQeo+g$4PE4dA}>=o|&dhzFN^qk)2$&m~3sHtVbXkx=urrM93SJvgK>jwJ7?BLUMY z>!Pev?|_JS#T@Qdn;1&1FdKjb=fJM`k~GEuVF)ZcK1zK)6TP+3gRiaxj+l zMFsxsHi?q_S?wim{C>`!j9E|9u!7(A%}sJ};sXb(Ei~w&>1ld=lQ7|EH!;`O`4=Aa z!z5{N$M|lc_6;5xwY8$94nzks$SL6vI!yo7%Pz&FZozPHK-@279zLbprb&%yiSF5C z){pW6>tt(vWr)oW)o;9L%DeB;4qfDIl_7Lh0|0aR8e-HL&m`JH%&r8GHc2*ge%8Os zGi5VIe+tp+Po>}5e`IQjFUq{^lMdo|@dXKhtHmczmrfiXFs&MF^R@V`|NRL^uV;U` z-C%jF4A35ywF1d&q&yc#(%tgq(cMZ!n%iM-rwpO^7G zlY{Yz(S@pcQ|ycGc8NE>Z(ZN^Ar&on?KRr5`A6zImhG85vJ%e>du&kxYDw2VujsLb zkj;MzI~;1i|6|wW`uVIH_$j=jP82Gv+)%{A1C8qO!5=C}nZ+Tjwl;*;Q~I+tlJQJ< z!7eTE{r?Ff2;KJ@>V3$+qS2MD0_fiW-I6*#fVqGvktJUQuny&J+Aa1YImV84&SB=* zZ;AX^AD#U}{*~BAF~{Lnq8$4~A(s>%h5M7Vhkd5d2Oql1u`ljQPT9Lr`k~^a=-uYO zmHLv(pDg`X{gzZN3diFg@N#ELy(-R+ll32i4_mqKBgf;@B6c|D6EgS8Tmn*mOp5W z<7d2ukNIN6RGu$}_A%EgWs~Q*svMWg^UP3vadJHUjpOS)-7h{ksPn?gPm~z0KYM$7 z6>RTqo0+}6-_P@n`Tqw10RR7Z0i;)JY!p=#zQePnyvqAo3u2{Eq##oIpfEc-yE~;b zv&_sQHR`AoD3}xoHbR0DLXcog1T8VdM=-(C1pT9;BuX$rfrJ?F@k7H85;6EdB__rg z!07qT%$@DDKueh9&fU4^yXT(wx$BAt!~h}0reC&xNt39gkx3@}v~Kyjl{5WRqnfVM z%&hNvs+V(lv7)VY^SZUItD8&LwLVjiZT!rQaau@gJvm_|sjo0Jy`~1NZ^y4P#;^94 z{9HTdXu6*=8vDR>UX1C|-k55(nYAt5@_H9{evEU?Ejg>MYosl+Pdp!t@vOQjPgP6# zs*}!vqwh6k_1oEWK_3{xN3O1UhHdq(b*VT=QmsGlY^O<#rGf1a8;wa#b&|fRT54K% zKok9Fj1ujN5ZAw*|07Le3Jt(jKFrU0zF|?ES>#Q_%r+7=cpegq=!d^~8h?(WH+EU7 zNjNKI`(`>g0px`uOYioESKIM5js~y@4hp=iZY6a~Gjtb3eyW)FDRXfSpCf4iZ@5}U zH`N&D0pd}jzINgFVL(bLR5xwKrGTD`L@B+08*+iON=lK(x}M{EiM)mtVkqISTz{{F zCNZ7{+a=-+d{XsPP{ke^{aDl^b7~Q2VgwCru(R-*ze3lrDi)EEr?DinR(GDHkBGCI zu^lh4Ahhjj>}n2?vbOPsU?Djhk+rePPmP#B^PmGaI`JtjM^R{aO>7ZH;iZT}bolA@ zlXb{2nFfSKRq5$@;6)kLqzR&he!acB;w&~n7~YgIvPubiV&+fZoB^pQBn5RvsTGy9 zdSrI1N$ZiqJr7dq*z>9XaxVi2U2s4sf1{CbRHw<$szyGx7PFTge`MP`*fBiZp*7mt zGGDXv-W)9U5^ck-ji1pJS*A;!!m=o%Q6Qe6_v;<=e;!P;)FhCB76QHN#RB@Zc*E!$ zSdoTK>Zl`r&N4h@q?k#RssFrnB1^LjH@69q8736`rwkV}h;FZGs)f&Fm|3=Cb^{hO zLnn3h_+tc|NCUPpbu*zm-Jl~F`d7!mgK)-eQko@LB1#F>|M)&QHy;YNr8&BXbnYtd zFb>5LXIl;fEHf?^yC867naLvc z4|n{$Rt;H(AgM|A~24_@-^V3nsHO8tX^ULOUzz+E{5S=4G#Q_<>w;eSL~p06D6p9_cMBl^4vVgAT!=GsNn@jWmbgfPYB5m;m+|DqV9`Oon83w#V$n5 zh!9H%A!Co)jbWwbSu-OHq>-4Uol8(t4f&Ks7_@3>HG{4sA>iH!aEZ7`l@d1PS%^q7 z;>xiKP6L@PS=FJ+<>`*1vzo2fzJe}MtqeDh=ZNdHw!#nj^Qqf=M#>0pAP}oRpRFAG z{$l{89N~Q@s^X|26U9n;SBXn=Aq)C5{2L;b6tA4z`R70)h~hZajTnJAiPNj#@C#S* zC988jqI{3yMfX7DK9^?JNB3ywu_EmqC>mmw4C~um{L~g;r2qMX;p(cRW&CA&zIYWe zM`Km_Ie1X2!k5Kl0u1;WDmK!*rlfNx5YmUL@ICVi{w0mscTFM3TmGkD@^H-9{>(b=VLU$%-u7JRRI_b-Jji zo?eH=z!_;jp}Kl~Y5s3WBNx8%9b49bJMx;jCmQHV>uW0A>+bdhGbTT>zlZ!?oQr~V z=UP}XEi8FPcP;MvoGGG|cvsKbTTo83?A|8zH9M6r>+BBAAcPS3BQ}|L?RmDPkm_JB zyIjTQDtzYG{j0EFwwIfop=3EDx9qE&4rr{VYPRL6h83nV$jf{8L$}6s=YDYd=7+mJ zXDa9LE1&K<)J~egMy8wgJ$lt%hF0$3LNTuS54I?> Q7bqiM7?HyN0{{U3|3hLIssI20 diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 0e98e1b726a8ac5f136276b9d5f412dac687647a..876db32fb18902abd7573453088ee3ce84db7db5 100755 GIT binary patch delta 14979 zcmV-}I()^+bc}V7Q-8Wx0RR91008AU000000071U00000004|S00000004La?0wmj zq{)$2wOCl_J&-gyR_wvIqiZB}bywEi!)n>=tnBWT>YB`|?wM^wZgy2xb!xIQOLKOe!mErIp7;acjTbOpzziPNFoTzcH4bA}?0?Nl!|xXn9^v1WS5;r5 z{H&`pzW5^CJv`h!Jnr}3fB*d-;P3nRTflSW%ECwSQ|g|bx7v+CtJ{gH>+=@FR$Q zqx@!>iS%>jC-C;C$o>ED_a}+JKgRnXxxYWeFaLAr|udNw0PKXb`-9ISLeF;Q0?FUVWSL1PF?daZAg z_pSb**LpY{bbI~lQMBJ~Hh{3#Jb{Eb9$!^O^hGm-o(y|N=8l_#MyuVwe%0uK=*aDM z_kVFz8=jptdQVacf{4F>zbjCag+G9*^6y!_0PT+%f}qSliNFCY1BLibV|d!^n=gs~ zu6!F2Fe)@G#*8Jt&tHEyI6%>6dt^nsIiT2N3Je>TS;X10k!T`8v)k<~ZZ%ID!}cJ$ z)9yY*yHgv1`IE%_XYrqCmHwT7FotH%5`SZE^P~jAgNH)+5&RliFSi@#eKZ&Jq|DdE zfAp1JOyRPGvM_%UK~tE$+NfrUTzRfV2^9Z%p?JG{YGdR7U->8;)o%>p&<*?bv&MO} zxY%npj_ZAAAL_>I`Z9d%#l^?H)}WdCa&i(`7dKiwa-pjN@T4`!1Bh(1Ky38SE`J#! zyz+Db43k*nA4ABv`h9p-pNncwI&fDIwr>AhyK!!!+R1SCGuWs2zv_?g(!cnhwqHSs zzug+Z0X5KWR}NCpn1s*>iMa(T!@p&r_{L-h{%s@2`?x_=bfzRxM5nmZ9Yk;!RPVduLA*WUUQh%N}R-1h* zkcVu)Gw8Mp0T+(NTsFov-sU_ zU@WZ(55sr(IJ{#CiYguWKAoJ=M>hZ3f06Kix7Oun@j27Fh+mLbT)NQKU%U=?^ZGZ= z`lrg%cr6cXE$w+sfr0iD=6{j3$I%+=bcv`TBK15^F`N4dsp^vw>s=C;30+&>2op|4hdV()~-E+54|!TBUK$^GyK%z-mLfU@wg#&q+@h=y}b>8>}QhpvSZcupqY) z%y54>J6h>rZjw`-34cfU^{9P*RzK#r9ef+=hZ}5jH`{wI_ z5p{dVc8c>+>$r(2SBwx2%q%WO(l`NoSg|wc2o9R>4lpIkRSITw?OJqhr%5r+YSO-1 zdxX)s40-{}4?0G6ox`h9*UmiS+pFFQ=VWb2DF!cE{KaU8Q-9GC@hazJU)?)7dG$IA z#OD?K%@ys!ie`9eM6ZZoMpcd%y~Z&{-iHrgFCHI%;H8cu>vO5Qu+*hkc)4P@`aw5- zb1{5BB|?`Gak*l+G8aQL-=1`LE~BPC&@e7n4A?OnyQ~B(caNyjHgd z*vtn-EMvHY!+%T@&NaU@$xtLbf;r%f<`H4OlunG>@DT#0|(`?;X_)(FPKI14;$RYf(;RF!)o^& zJ8{kCKLEl0+g^0mJ#PBRwL>5=n*zpF=RDE-a~8~5fDIg6x-{38ISb}eFjs`REts3b zd5bV_6n`;{G*<+7ZU{$&xg5N5V=t;<2hVY%cihJUzmZGNx%yj{m;bh1E&Upz!l-g2 zCq!&_8^_UYbb9P+Lv(fyN_%Qn@^2!W?KT~lplSC3BEUKfOek1o+|Xi!G|DdKaHR}DCTp~9`vyn-EQFQv(Z8~UhcDm z&3`CF)`vjOUq+B;*f^5z;y^UeV>k7TCh0rKnO+V-Y`@DEg+_7yGUvfu4(7Trw+C~Z z_`}dHgl3iJn&Ma}90SU|Lse{bU zj@C__Y-%>6vl~Yp(S7%vZX}(OD?9)!uYV6W@+0!$Av*4G*=io+gjx2l%_`e|1pGN@ zsoDE-UyJCAOV#Fp{QEdhe$Yd#Kx}%W!1v&7Lv&MiHZ$;&Iv0oIu zaWEGFoT%2pSWFIk&AAJiSbdL#SYM16l#{1Q@sH)@*(uv7?@r^W*F9>sbB7;zK7V&M zH(!i$dDxUf}Y(=V)D;Jgm>F|mrxTi z(aS%9;t_#R@I|uacOD6)6K0rtm~&~)5D|#EcFeWITZg$Y%!Oet43L2fDgwL^F3>#a z4&XVTd6yDV3k%=I-zfv!?q^fr)PF5|PX50J>fgj)e(ryXub<1w&FKF$GWWNU;)_f+ z3OBzmn4X+H7#X*53@v#J#9SL59pO0UlVLkrjB2=`qt-l+mNug0!s~^#*H@P#+~UGB zr|{5^d&3^U9aSpP%JSkvoSJ>F+3UlNGbt99@Kad1zI441-5R!VAII{_a(}e6extB_ z!`uc_+m8xS?JLp!=EJCLuQ0J0>9ALES{Ok^yEqBbMsqsL9&%>iKY^cv?)hT7`A)Mf zhvMWXXZG8TvsU|w&CbITcZzRrEG;e7Yz$M_Q_Ac+>$$wYvr$;ytZkPzR~HLw_5%rY z7wYU_)ok0bkiFj9E%c~tDu2#qW{Qb9x~8zS65WUZI(jYIT#7y$eZl79rm2MJnA7Oj z*N}Xjsc)`_)Fj!SsAh9>;gLHt$3_^pet?70qhoZWaTfT%A7sxS_R`H8OB<|?o34_V zH*7vi(N2TY+Kv9(Hi`oP>iQxZIbmIyHO+p?T~#W1c8}BP0i4X}tAA*scBdYN3MQxA zuwPU;w)xE&+S@zzYWYs3c2KU?_lu>kR(9{y%eQauRS#?;jumh=&c2a_I~Zsfp4oTy zZ59{PVXj>$9v{-P*P23sQU75xmr9ZBe?G?ortG`$LZVhn+Mfm>3^VpuUxHc@7<~6#w>u{ zDVFY5cFVKY6Zz)>-`(229H>-8Y`~|?Ygy;OjjXnbusj5>cxd~IxcVr>=z7s%Zv1yv zvJOeugB)1-zjb)~cDAfiy$BpXcr-8_<}uv>TrUyiR}Obe)TR1C*`8Qt^KCkNtMlcB z4ZO;3E<6rUOn)Jp)OIhIclWlpV!}C*Kx#_Aq`_78O3FZO7fa=x^6o($1}cVx0?j=j zxYr5;C3{%dbjrm>J=faMZte26FxT8@bUOSB!HA8iy|sIAw_K~tD!a5=`>3#;;{HC( zYPFnzNX%2I8Al`ct9xH5L(TRM5B3ia>RXj6-u9|*;eQhziZEt*u(!n?Xlf#J+Yc+4KNF*1QEtWe+a0!krqRCW&cF?e~z5|fO zJ5aImz4CSdF@0n8a~`C%sr9XDE)`frqwkY3X(T+cXh8YH^`(-5CR_(aO?lv~$aTOebdwyRa^mV%5f^ z04{HCWC3*MjJ}n>DkKGSB@gDtS{BS@o1FeQxqp;-+hYocBP31t+RZE+E;)TQQzuDy z;~M?IQ%RXAM|7AmNdE};xQ*=RB3Lo$;Xnvp3=<1R)4wTJgCy@=`x)aTxN0{e^ z8;!yG=D{P{T{ceeA26M|-x#>w4=b1^nJbugn*%OqMhqUxodI#+70Dg~6@yxQh?_Qt z=vW4b)Ya|Q!=AtVlG^X?PIw1FJS@wTW`Ey=7wEW=I4W}s7kox=iQ$(5js4E1Ec1}_ zI84rC*@s0^C*oco9J_8=3@!a--gqk4z(zJRTY;n3Z#2wJwKq6?(LXQTa5sb9Xq$4i zn*AGRDr^5nCb~coYt<$(cUKIq<91YwZW5A87a%E#FVIA5Nt%wE^jD^%s5mo4Nq=mC z9;r2VnCx@}&0cFMbb%teHAiRXm>BO*Sz~{7CjO*x1zLnVN4P#3bF|NjJ1KNo6q$3U zpB+UBbb%ro#p9EcX0tIJF<+jkwvw0v9dvwQKjcL2I_??9PWyMKBkC(N5j7HRppd%X zI5k(Q7FL#~Bdj^AXM7hI2(JCr~)OlLhWw% z?K;Srj+EJ~P#Ra9mWNNyv3Kg^>8hoHc+VLc`EI?m^^z_ffDL^qkh!yJRHJS_om~~Z0&p|x_pW}(UUl57k{V7qYD(p zbl!iTgq#cNyvG%%#mm0`{`6=`;|jE}Cn3krB8Z1W5@yw;c{4Mcc6FIq=Vv2HD`wm5 z3&qd&oO)2)2YZ*#>VC7_}kb&I7U zzP0O1Zp4afPt{yrbhm|py57;FC(*8_kp5IyGl>^Ggu?TP%!^s0dwYl>IhEay*-(gG zKTr+auy^<^J&PNw9o>gbr6lgh-QL?4H%kyj(YI9BE8KnZEt`gw;eQIu;F67HhtiRd zo!cghqchVh?vAqQhAc3BKA$xwOO5!p&bV9SMV~b%sTtGI9OE0aK!6gwV3t^OTK=r{ z%_ax0S!Uj&g850OI!u>21#tr(Fw@iQ@O-W&*5gCHPO!AXUBh!c>=76M;STJxbKZta zgm%6x6X2Kd(bIydCx1VW6z#VgM@?>lH?&zY4XlMJr=HlKsPUfeTD$o;s)B9{Cby7E zo609(j2m;J$rwFZ`F#VJYs68gXIIdSly0AOOxW~;$tsg#g}r&tZ+s*8j}fF zIO0d*&3>ZXG4#lBK7~yeQishnuf@eYjU!)&=DMYEq;jy$SAW~iusvukmbyJig_Eao z`Dx|caR8N0YtX{{N~rHzQzl_ld!>Ra%-ps2E%T;#-o8|Pr`y8FAqMHrv9tP#f_A^r z>p&=-AjTLTd!hP_T&%K)1g?699Iou+`qVZLTThw>KBk4 zPYlOr5aB@BlYj0OAM;R$9?o3SI)}MPJqK) z*{xs}b8olo&f>!tJTW@y9NK{FVGMbd;$b@|!QSlD1!0Ms$Y`jsB z<`Hbb;0aFmb7~U<{5hn&)qrxqc`+`>35QY%A1(6GM*v!gvAkm|Xm-13u~Biu zk}X&smLp8_5Wn^f!aEhqEnG88xKt)OYw>klq_Xq`qJnv(%Dw2$o6KvCGN&7wkxVkV z*&@iUO+of@D0u=-CrIL@JutLmIdRMuYSjB()8Bp_?f$=&f8ZFAuu65(O7vu4?U zc-ouKfCDA${(*XIH6IR7Psw?92KvT3sO(2*et*Q-i19i0LWx1$K}2A>PMVL=cCgQ5 z^wrh7t}q>@0p4o$P3ydO-s}(`TA5)#LTBsvaRYBnp1h;#K88B>!hVpbCw{}KRO-0< zP+wkJa^0p8u~g9*gsX(Wbz23je?u5gM${{at~E|zzqQFRspBm{jOJtM(kFSDO+qNrhxS!U;GY}eZ_XIS?`pz9RD!>|+!de33Z*)ns;B|1g z$taj4YI)6pjJ>>uFU4cdL-zZN2akH)A zp27coY+>OW9-Ul=SwXvd2hkmh{Qj{2Xn*k*7F(Gtxp_W@&-+~n_2S`qwAJl=eh`%) zm!mVWr&`6*7AVBl5dO>&5hfOMX~J|^S?*G?T77GT<&KE}Csmysm5Q}eajQIOPBzgl z=bi1nTgB~3Gn%TfjZAg#el%TXGS*?O?cF|@6ekR-@hM9C@;I z33Qm_bWU6Vsnc&mrRv?26VrwB&Vq??2V}o9S<__?kAq#Jqs)$vTtEwicr*<KkFNaE?@Z(n9EPKbG~lf8bFXOSz3VXKPJkRvp@oi2Q< z5^i*cn1eX!WNN|I;cI{{{+ZM&grjFX#Ul3BLy}}57)xZ38c&?S_?sCv@}B*;F$ty) zdKs1i(j=>`B193EEKx(uo(R)=)=PJb*tdZ=Jf>*7HvD7w3CV1nX@7d{ig%kiz2g1$ zZJU(dqgxzrlG<2Sz5{An;9Ts?t`pkqrU@7P7C=4)WR9o6B(^~4m~QXy)bCfe4({40 z)s%3E{jkH8lE?NNd(KR5S77_iEo9ZL8)+1cAV+&*;7B@*ZOr81D&%uu{n z+&(N@s5DQ9yN9*%mVbTE%~YEv(}x`W&q$ zx@j|xO2=>TZR>ZqU1k5UcDH^D`=D$-E%&3+Q7RoB*ql&yoPRS8&`wddQl+S8E*!Q2 zc`Mgz7-~!7Ol4s$q2}wmSfh`PHZ?DHMwP1~BB^|o`O>X7E7;=&=f8}dFBTaSqVU+F zb0 zVs9F^QB-Q!41cqWZ40{xh{wasZ^?{fieOW7Ab7vBU*5*{CX>ZDo_UH)He%$>?%k^G zm!&XN{xzFDg@u|YoAb(B^&%z96=#&qAUFMX9B!1E5FJURY?W&DnDHbo*Y!`4`25s% zc2i>tfpXq|tA>){VO{S@`;;o8(c*)*wp}Gt(QX%OPJc{Nsq++#jB-m|>b=e*yx~dZ zW{rooHMbG`z&62iW0N5ib7OOCw8gAgQLVj=d?r4^+}L0*w_&|y`Uk?_pBtOGv6*`| zbI*qT0BhFR(mtiZh08QH8$1la@H3Bjeb8gz-?V)|UObOsj=((h|p3!tBO5=7!Gt zycfv%@$7Feue*^F(i&es&hh;06P!pP)-gwmix`UM^ca@B^dm&#;m8=NS;nbPm{1GE za8k}?3TGsn>t83z&e4wue|S`o*xX$uoU6b!J%15X*fh&F$T973N^D~7JGocz%=?8% zagU|+Q=W-z#Jgm&EuoyMlw>(ii6x)88f7r-J?w^MZwB4E$5&Vh{2@r0w2ZBS=isL- zr{~NY*ZeebMGTiR*ezF=6^)Sg?cs_4MFiiLE|pCI!oeq(Zr{M7e-xB z#V)uy9)a57yOdHdYt%U$cN8gnDmXjCS2XH%TNlYvKPA+w8nyEspAqUcjatdF7V*n2 zwN)-HWg9vCRs>y+a#_?`$~JQN{ghB^Dcj&013by9eoCmdlx->_p{v@VzBG$s`G2j2 zY%|A}Jsr&JPP|#5Rm1EQx0U5oLB*db?YAxRhC-ZU#}qadyeQ&Lg*ZpP6gCySDB_#i zk|hezP1>$88ivefDzfPaU8mDP}vGdj&@Rc?(=hsUEXXw-@Yf|}4Id}+ld z&^KHS6KGDWaoI)Yctr<`CTenu9qCwhSaJ%==z^o~;tcOp_F~94G6aoSlnfLUpJrDu zQ*y+jy~}(-rP;ccnpXaIfSv*N!COOIJUM7LC^7n17g4r}V*i zwBun*vjR*ABHGEY^u|=ViDX*a!aSY(W&DqaIOL=`jgL5#<`rBmE7VLqw%jad=zZ{Q z*1p__krzET9BrBCfw5v6|!PJuhK&T+|(o zHARaUPfGH0u4vseS<7kB73I%E7NrYA`CH3r{hl)yrL$v5RJ6Q66@M+=B158blU^Va zbq8uShl|fs7CYE`r98=%9HXNw`ZHG-lBl$JRgtSJ`gxH^=c-fLy^9|_6vbubz-bCq zbS4vXeTs|v5r)KSv1TYVB+4`p$<-t(3C$#t>E(kCUk-&OMd7Nda!uiLt>)@pIq+~* z>8++vMGLEPYxeSasDC>c>p3lpihkx4&e{+81Q=g>x^qgG($#sceHtqIR&0iAMQOAa zQm01BTbrPZnUvOPd%$!dRi}rqTYKgAoWiI+kS&MqQ>-YhdfCdMJ02^_e!Xnv(7lrt zH7@kBl|y%7mUA2)SG*TmKXgB5Imi8d8OotMLvpznO^ueTmw%xgy2rGfW3N;>Tr2z{ z*3fw4~D~WDGHgY-+svZ;^l~{ZvO}!51 zdUun1#p6q&8L^mVrIcDqSD2Z-J)^im8a^P<_A*rL_n|A!b6LG$q4Qvrc3Y!c5?mNy+GOQ&jHA zCUU-8sp1}UB5LvGrEr%pSfc&D7nTU7pgusN>5{F_%0TM43*D@ zK@ArQHh*0R0#!4qv*A$Mm9=`;;Sis5HXKU5WW7bQ9MtALlm`!SOr^}GHk5|RiV}^( z7`Cm7L0~XUX7qM#27^JVSV3VEo8&kpKJ9FrQhFxqNOdV34)Hc;!=W@z7XD=55I=A> z9LfbQD0~TCiH9~D4yAMjg->wIYM;mpy^2MyUVqm-B5c3xWhUzNsw|C7s822BnhlH6 zZjDi}&`5=O9=59X++_st7@d)||G|{gq&jE7@JeZS)+~{MvQskm?DgD+M1q*q#^+31R4_i3p&X#LJRw?z)8cZSG7d3=>uxVN0ix)%tHPaVEX`4nD zM}MJ}dwLW~xwD1=ZDnX1H8qMAH5!sNVrq82;RvDXJvm?}sKDqDwL&fiF=2&CcyvMN zO0P90flhIp#Wyq5Dh*pvcm%d(y>5c0XT4JBtU-5+Lbs&TqfpwMH9uidXt!p16iU0Y zrgAI_*&apRo*sp2JF{lJ2vn^*o!sszzkg;$X>-=1)yptSdI^TcCo*Nq5ms%?))47M z6dGX?iSw#wM526hjZl!V(mPd|^q&!j(z>iA0~gWmRi)jl3XjlFiZ9f&rU_Y9`mGTP zl8YHXy+%P}66m%HWL3kARiJcR;}aYdSgc}|?%j+?ly+yWqq)0fX#cW9Qy4I`(qz;KR7c(MJdaV%(zZ?>AYGy>D6fSEKr$Zu5#*9dm zhG#AQCX$OfnR+$!n!=ahmNc(37DP4S8esy7IDs=FQHq|m^w(91xcxICQ3|-G5GG0@ zPWg;Tl*VT*zjY;%F|QesD1~2B2!Ev{7cp6S{ob0wBe1Pqmlc1r(XZzmG(N#$m~_Ej z-n!CqjZbipM+b5&ED=sU6s2dgG&aHDN+HIIuT#68dY(z+OW+WhoehW5aE&j4Lo|Fg z97^A^<}(TOqUKPqNnBU>q#RaqWfkL$lB={$;}aY#M|JCgG_|wwN@-ozEPuAcAua7p zIP{8ztT}&&Lz<4+aHz&y;}c#P4cv0W%~Mo|>NRaBCErl!G>Tb!;CgwA#v`yDi^NTs zjYY~u*Z2enxuQ3763JwC>Mf+i!+wB1iX>|%DD@)jR+|llQa6oG%3)DxX_~$is`bt~ z|G}crN-;eOrQBKPJdiaPHGfk25QhzgFU~1#Kc+5*KCD5bi=)uye0mg0*EG5~3f=Ne zk3y+-)*%oV@p1IF|4oHQ$R})nPQXkGp||#HY=VJ6)oB1zSU-uk1Dw?5 z&Pu{2TihXsLyeA__<)CJhOJy*S-cl*-}8G(Nr_rW{gZgz?GAANWq+g9=H+B~$3ygz zwY0NWGm&OmVSHX=_GLa}GITtw3M=$+;j2A=NiN!W5iV?Y&J$-pVplt}E}q+k%|sry zLvWxczE+0R#tz3~J*d?%Y0BO1>~@aN7>+iv07$$*=2df?C>+pjB?zCRkOqY(-`+@CY66BqlW}< zF0ZO={&kLQn?43$Mqv(rCyb2Dpu^2eT0Qjjc*LrailRY<0NDC9Y8 z9+f#XY^etH>wlgyHxLU9L2Q2DzlJzR3V!~v5B?XQ?qB#20!}qU$Y)rXH15D>$)Y7d z`Lzsef8^slkcD?b(1-pYBORSk`ve^SBO~2E$cQ78lg}UebOBygy6^dP0m64f(1!vP zAGPqCK5zK?eIFOn{hf@sNoff!QGoaBA?QOtPUkK0{C|fGyuX2JwaEg_{|C%UWL@}^ zjJ$O6lMh!@C!fEajKF)q7C!#l3>^QQ3TvK_BZTBzHoVJD_xls_C995pKcj5_kTxUn zWa)V@!y$1Cw%@*mQfBE}R=s7>_niz{e&BHgyhpwq0dJP<{;p3K@@ADSi&gq>GXn@t(z(XAyTjgMa3KpF#5%Gt&LXjC7yQNEbYwQ1E9F zmn8>TJk657XAuYXrDTYmfb{9-6#PnuC-vRyHBT%T>BEQf_w834x(wkG}Ef6*geq@rZ=c0{g&~?BVbbXmb&?SiXO^o9oPMhb)a9=Jzs`35F zS%1NXvo!4W{QfTz?gxA(u$58{v2DU5dg<+dM zmD(~!hN_CW5Zx?A*zZQjP8u#u_0Is--rx~-;Z?D0o>wLKAZ}29ZDT6S^S@ouHxd6DXuVO ziua66RWBn`oMU9Fei)e&0!F5|OMeq2Lr9@jHL*^`Lw~`C9J6ZpfX3ijM9X?D+Iq13wQEuF_C!TS@65nB!2Jal1nA<=BK{&*td|l~oN?VF zUt-S$&lk_C5t8Q=CS4w9l3F_w*CkhVkCP=5y2g}<$)tu{iDoJYO{1yTb%v%EV+wio9Vk zwgPItzU;mzGZIA8OMh!l;eZQ|E|se;*J$_fHKtP{M`Mb036=C$fZ9~j8ia32tcn6m ziO8w0tX9eu%vq5~$%2V|jVoB-t=IdB%=T?5&MJ%JD=mNWx9#io7XwYu7IbYQk91j!dj!fQ(0%%UVKb z;hXpvt0-ajn2J*BEX}Y;uJ?(9FCq0qyKCwvrdERT!2}hR$Ji05P!wN#);7`03Oi-IJo>OEe_QUdIZdR(vB|ptf5dUv_PHpD)&0Efcg> zu4P%=Y}6-K4B17`@zGQxQ1{txi@Cp0@(SJ=iY4|BD72L0OF=OcDV21aQ zF@{b|+BmMU(vw{CO~};z<48@~B?G&zMMpT-`Mnhs%m#8xBuAH|7|0ThWgv$QC*zz9 zgk3=n`%n(U;U5`Q>n#3PvllU=b9i!IFiIuLfG7gpoDT8PKC=QYvuB>Q^ z7W=-3jDH{m=}%~}RD3X+UO&CYW@)+?19So$$es6`TTDA=DDb)y)G4T#7aP?n_9zq9 zO)!W8$^!K?i)5sbSFS3qZ+R;w_*2P${{t{pU{>DvX4>mDefPc5m4f8XFv2fnmJwS`GQsiMAE0u%d zyH+Bp4?I)L0B@KYb6TcmWv+#glVW})Squ@jamAqUCM6<}moCujQXt*@8HqqG_?}yi zU?4A^41sXgBqb0m#aMy(3}8|OW|Rau!zqQFIy@FR#b|PAG(sBh@x+@jH%yYEg?4K! zEq_1j7%{ajIMc>{dQ2C@T88^{9r{YaMhCf-jYtGdSQb$lshsoSkkUb$5UFVB zgHSlJ{1&OaWgvCN%OPbmHz87~(Fev%8-If>_TM6vw+y7NH^lx4*h|weAyUH>-hk*@ zIN307G0Iy8MrRhN;7Ts-*2DM&LU0?|wGqdoxPuEP&6ER6DpJg_^m7;%ze4HP?P0rJ z*(-BI08g4SnU}kYuRPB5VF6f7+65oA;-k{}<iG%e-QY?u70_hJmJ%;ju-Q!xKm+lz@axrUZfM-YJ!ij#UGB zGr|(b>BfurQ!U>q?<$<7+SV--aeu#zSaZQD1Y@tdw_{@UrKdNL-BN7*MLRFYUE!Rg zqhZ)Ge)5(X0t)9GWDw-q3&#Xj>tsyu@}}HMXIU*1WG`+SryQ2xOdh?o$#ANX2VV!$ zNwG-fEd!|&K!=o^nh>dJXUvqDFSG2PwMgYHja2rv{5iYXMxtYny{w zvmI=OsXaE(CX2Wn6abt6C9i4Hn{W186GkrU&&Ae6`ch znKcySupG2-c@X795MQ7TPneGiv}Tmi*=?i9VLe1Biqbw56c4-Lbbos>m*Tu%{$LpU zGTN~ix8!t5^!^z99<3+D;(s^3d>X&>nPD0L7>^@FUJW6)?I&8G?UHzSd<*Tq$rfJI*<_l5 z1I0>*Rg04C_;KNz9r$$qeH!#lCsa(32buz_5x5R0$HwrS;!k3nz!(WPZ8CBi7Rp#p z=nDG&k&Luw&xgH-!6yfO$Dp%)gg94S>AZ^BakHQpa@v-wAAfnx;D!?vF4DrSmOa{o z+KI)>I_t!)x{P8}Y&s$T6{JfDxfhg)nhwK|w6u|7MnI*D>`EiA>C$Yv!K_}IBHs>q zsMFDC`Z#GC>2VtxrE}|Ol-CRzo$3A?X&ODSixO+R(KNBrcZ!`RjkY+}O^#Qw6AzkF zYZ;7O$3Q1c2Y(on5C$4kXJ`NhkK<9yBfk?qVKJE;*Ux3h-cglS6I!O2-bo`Jm z{IF8-!%D^v`G_C#6+f|J#_=t=Zih8fLYSeG7%Xk3E))5j3fO#N23kwpi&zG+j1%)!F!oXMZQSKFZJG)pt&$LG;z0@HwTH zvfw#i`WoQLc$u-BYSawSP+76AC{p8LQ)WcaceQ-?NA<%@!)*+)H^5^T!5Hw?$|h>p znUk65eM9KI#I_-yQrDEVQRdnArUFP0oT?!`bMi=f?6xBKzi#=gi=0s@Xy%OS zg%M9n+<#>ajU;Nq8BJRgBytLp2+BJ;Cg3d+8DL_3W8j+yZ-c#69%VmbiYb1X(=d6} z8sYTW30R`qM8(n-;fG&j(3#}2GsXW&D2+M)H%fwYf*CgI;ng*}+UQBRp-qp&I8w1m z)p(`I&WM@RqYQ+$MKNR>l{KWBkk|3C6M|OiG=FQI@sbt#pGS(gJuy5noUt-*EQNPz z+2UDGNcniC!Q&tXY?B$=7%|O$*OKJOkS!h53#FOtjV8tmd(5^3i>-glPZw3+_1ANtvNN(CwQ_@iW5y`!oWtELcM+GzW zO@E$iPFf*Aq*u{|Sa=L!WY24>H}}3RFbZL0$W$&vUXuf)xO{mFU@?23X7a{-^iUI{ z+2*6sK7-O1GBFKr;F^&!lfg^84^Z;O>DL_q$1}4y-ehG$aj&dY7|~D2oNH$jW@Sw6 zjQC8(jrdGXCvBA_?$_}%CgX_DtcVex$A3`8$_Rp%&n(w;ei|w4u99R?Tw??6t-G`z zLE6bJfHU%bU?tgphku6d9@b>1-3?M*5$HDJdFLI;K{QzRV5E zgVC<*vok2NxhF$jeN-61%o1VOPx7f(y}ObQ17=Pn2 z#`L`07SDC-z8mMI3?7HFjbPtX=dK^>1Ce;9v$(Ez{m+n5oAl>d9?e}}HZ)M7QOO>= zjJNEvYV*?6fR#OhEfZ*oG%>chk%s0GSU&>p81)*1cEXljwrBr+(4uUH?G&?#(JM9V z21KbP5@5T&&MWil@VqCeY%^0QU4KV1*2Ho_6avfB8&9b}B_wZIa%tZRAV9T=rxDmuDoflgHahdwjZ0E)F z$41B+YvO|apSjcW%zHtiQNHswS_}2C-IbMiiaeWo3cp-IQ4bqHu@j(boDOPm#S8jnp+- z^H?bc(|Dh~y1hpSqkcvujM!AC27fhO)dYcwm;!*i|VliK_QXCQ(y#Bc9oT3*6xcwAOVo-kpaezT5VjSw&OpqDhFM%-++^F1qfdT-57d3c)kRvWEwaAo>&(K`)vm+p2V_06b!J|6;1jb;PWA(S@?EQcI|X9d1T1tnsfXzKWlbp@p&Iw>F{R031|Np4JS-1cI delta 14912 zcmV-GI={t?b;)#)Q-3K~0RR91005yl000000071U00000002ok00000004La?0s2` zq}i2Lm6-)<7_i-Adxlx~-L~7^8M@FP$ z>FP38j|CDS3=#r~<(2IjB;bihco@Mm5(o(-_Dz~GgJ)sv=70O{z31NZFR^CU){bA5 z75BgYz4t8loO93JKm72+4}XBa@8jf*u_uKg8A0hqLhadhLetr$meg@h1n`e!;28~`n zLa5n3jSB60>wn2N8(jmmx?j)$8NSN@ehhzi_ty6cTYmtdUcZk2zk(9~Fn%kI(|Rk~ z?(~~S4ZNnFtKa?GI;YK}=w#47B7X;a(Y1Q}IO_BtHM&u+bJBlY z?=~Vvx#SEs`@N{JwYwXg*Sq~T652AZ`9iLn9QF2-s59uF5Bkwbv(@NDC*95&z99A_ z0)XhG(`}q~$i!=}H`_<8!7;k2}Dnc{XsBYzliLz_?cL^*Tq* zdcScT9e;O@24{_S---kBHQRu4W?xB4uQ!hR(Y1cZU;{>;^$g-i zo%V6la>HTj*t~0TiYh>`4Gv$c4i0-q-R8LwzQSp@(ID{a!uKsyEvlvw-Er&W8gHgkJ-p9?J9_x*lD7-0VLBYk!gKtZ8Yz4jStH=!sN*6YNI8WOJ{B z0?!AK5GxedG;3|uS)TWzvwC}QQa|bsy5QyE6QP5Zj#5n2HOC9`7IIJ@0F!R>o8*17 z*Y7qD2mMaBcO!~+Ta7vZb{i*<5Xa+dibPMELG)zMH8OYH=+~RA-i>QU4@5`qv^tNY z>VM$utloW+QV>M^A^cs1nq2uFRF!|v>IGnb!XN}?{v!zN!!l5a@74#Wjh^|E`0whs z5dot@!(z->;`{vdhl2wYZMH{Nv>SbjO{T!GVVOmoEgK0Y5-{7H_QGc4q&{f%qr0un zA=;hl2*{r%g0 zDeX>qKUEr&ur!v$+)^sT|Jjz}+l(!^vGpNN#!Z5v&m@T=`o8T>KZ0)y2dfRmY__9% z^ww7OuJO)qP>Au62|tZr+bj*vjeoa{)KZX1l=yE3Xk;56LZk0i==BeFtFzyi3 zdJVaESEYj8h> ztj=z2+6j>zGt1B$0?U}ZSam+V7odhGA%L-lAcN(qA;2(!ZV0Y`s~qk}`%vqe2|QDN z;y=^zf^>g{6L|k&Osh1`dEP+bA6ack6ZX<5@*MSqfu7e~yunKH1A0uG01I*l!3_78 zlc41`rXV?^nQ(+}MSrdHv)WN-(1yo+Yp-!q>-Jl<9AQ+qcJcp}U&kM2<{P(AM%3vZ z+nLNq&Ep1URxv`@HKjoejk}t4aH6^$|wr zGVn!Ne$X+p%NbscI(C8?-(K}jIOk+TN-=oR!Y@Z#oN<vO5Qu+*hkc)4P@{82Z4b20od zB|?`Gak*l+G#5km(=Vf9ez?lGTrpgki{V@hXVF54A*S-#E#bhq8^`gZMzNU>ide>Q z35S^`oNIn*l7E3DJmd<8d&+ID48~yHupuo@>tAI`J!Cm5JWs5-Gv~&f8=3<;JeQ3Z zkQ=accxWCuC5Rb}G4|1n<8WR_(=ut;?1h;$u}(M72*+GAM2F|hnKS2Q(MKAa;EmmG z9yhzAyfH59zDloD%lj!w^xpn{`W>dhp>YOL~aL$4`3oyvdrAu>dnX_On1#?B1+k&|{oVN(`Mv;@> zb6bG+0Dlihgt;8Nd~+wNVh7K0y?fll0>6<<&$;?rmY4rGyIT5nM1@i1NDhLibn3^^ z9dvr^YD08(_KQ1eR`Smwo9#9ou(yN5c5r$SkGbhZkDKUib>73`O1mPmf%9ID?fDWo z0cIZyAx#IVciuQ^o-|SNd-WF1PBQ(f7EX&n41YRNTss-?vPbZQ;V8StvgbQk+~9B- zYZ_1t9`cqOr&3e2SzEdkQ%q*}AETejjxH{lFJixTw}W#!!1Q`+*i@+*oZ}{iQGWDQ zCiw2C0or*2dw9?f2Ol5}c&GtCi(hvh%xlpO^syV=spE*V(Ly&~?z4o=XiC-xgN;Gw zkAEY`qiP&V_i*kR@UfeEMx*qXkTbm;g3x}GEeeg|{AJFAxg5-OVQvrRHt{3cE`*kp zCzj$QC>-#~?LvFl-O9rW$8;U!D1hGpd*qK@iT`4|5%V)k=Vz8;FWmghQXHC_IJ4C3 zKc_=Y9nszOAw5U>Ay;|k*RXEe$dAZ}hkxL>sb#ZqjI(3er8Wy|dlBFd0ZRopoxx#X z8UG?A0G@6cHG3$wVJtbj}wLeqVN%rD4+hnzJAv~W8ry^k%T=zxQ{2;dO4 zHiln@u-6>5kO|dyLJ0N6cmbU}NQ!@?m&c`4K<`%lsM|Sew1$p2@Z{}mZoU|Fd4Jq4 z1s%sB;S!0jKEjcDX8UjH{d2UgjqVU}V6?}^XqL!E3bh27KZbYPdKXa>G0_`-=)@xy zLctfwmfv|Klunpo>S4~MIYUGs=GrmW4sRXi!Y~(xxiCNmE~p6bLbxV#zte~3eCAzB zL|wV^ZTy`wz#V=z1rFM>C*c2cfPenC_#2-4pW*9kLvl0vUyscFZKU``CL0Bt->;aS zoIMK}_iPL-dRGX!IygGQ3Ct&hRArzbR%~oAKe}_anr`)(qfcby_sLUX@Bl{sqRMk zsQPB~pm7+L?BygjBOUfV91zB$qHP@hXrnoUWzRLU?>x$XzjMCOYP{EI$vHT~lQa9B z`dPE}#AfIDh+BoX*K)aB)y6P|J($eCvz|-4TWk5n^=hTKzPylMu^)(_yDDc33uRl5 zh3xg-X`)AEQ*i<_Q%uZhHGlcsQgkyy(b4PCdM^4x^oGsFeNqY0F$d7Et|0j;Q*W$> z)I`}HqGoe*eSteB$3_^pzK^rfqhoZWar}4RpIy%$c5dTlZiUscVJdm~)`}yMQnXd) zOm@BZt}TiK0P12Q8#!TJnPtp=mR(gUd3K*O=%F~7#8=S-?G8E$6@N@lxnaMkd~EZZ zW3ww;wY}2aa&^D7SKBQVzgphDTPxkUv$MBv6LGA76L9v8EZo9C!{E%mvv0GokPdUX zLg8S4r&cMJDwSG!yIR`YFYj#Il=QoCB2mb;(+=UR;&>prDQ>s0SEy7Aw5Mw79WT;OGAy7wo7}3{Stz^ zzOD#(s`5C|T6%kbuTb2t-7oExD?4{ZWW66%G;$`*?&v^`GW6lRxYPh>JPTc zQ&hExa=b;>#Y9-hfmb{F}=rFhFJL6V^Bbodn(Bc`GH&i4MjQnfs*?9$lmqQbTcySuc8d!V!^YYciY(lPik0q{Dxrw!+n8VQMOvF$ z+uSSPFKyQnwKcUIv;BmpGQg#2q5UN7=^JfKyM*O$X0s8;OK}lhw-)o73Mr0)1YQtae*T6(AMR0 zx9~6T^q*AcZ@3q#(Nb3 zxj3xMwUw+gU2?k7TjLqx2bKyAk*gjP19{*&_tBJhkB4?2|JthXBr zC+kPcjic4|x}%mL9yHs>oyWcC?OhgRj?=sXhsVB9xtpu&u2Pdya#`hO2+L&^J%P+z z7Jn$?PG_hn*X&NwbIj#F;yuUVT|J|#Ij3lp&1Bz>3b*P+^Ro!^gm0rUSc%+!M7ztz z3H}3SL3itY*J)t|(p+xFxrFq!( zw^362-7N?2A&7^xJZbby_zE32B1dIz;(x}?2re=FQh>4F*_34-M;e*@Q*T5yw~RJ;I6Nq7M#T1(<|+@QZQ9Y%$jF-k%U_(-j}V_&C3Xn*!v zOMwd*(XBZ;JI8!>Z^|0`lQZ!r4J+Ux+&RL1&X`(#R@_N}%fiT<5dG{hN`MO((I_6D zoHQEs>5%#IOtqDS6!4(q3;Q8wXV-A?FZRU0KOItU&V7|}n)=YJ!d7Cs$&et91>)X&)I516);SF;;369DG@Vt&qsymb(d-&O6l}my%kxy) zbuoGNpaLe;^?L27*FGG;R(Gf4(QNH}Cb;1kd88+C&MuCT2Ny7k>Ae3w34b~l)Oimp zj*FLl|NZIVl7Q~( zt+>$%!r=;4Kj&&j8>Mq)hU@$Sw}xBb=6W+9Lsr)bqGCDNLB1Akc1Vwc6@?uxTXNZbggdoB-kv z?dU#iDkWh*?sVU^ut`A_Mc-0guW(Drw`>|##&QTc7O_L=P{_`0bAQCandudGo7i+i z7Hc2zAqx(CebgqqS=$-2#}klB3BF=hMsqg(toh9b2d}xYgg2gGe$uH9(`8OU+{6dW z^fcN$NvnZn^iZ!8tcY+I<{S^YEDQzVw(7HU-djt6c2X;|z%SvW#|2YQejX{>ZPkw& z+^lYJvuK*Ku6!ClQ-4f7u|HAejoQ^#<8ibHylpW2VFG$rhmvhuSN;2@W`%b3O7*)F+L^Y8^v3{N@- zIKJSdc{BbFV=HKOyJ(?Naeu=~D_9=V5vF+vU;75(or>kw zo0*kYDifWx`0^`aS$qOf!8}s=esuS3=Cwwd(+!PCCYju95oFho`=-Btl z!jxW5Sbx0186vYzB(~E692#P5HBjn<$?MUTy&zd|bOE=W z;DsJ8m2)OhS$mx`#(~cffvhwmecOx`E;%vnD9DAX}pza_dFkL5&$A4%$*yl0&>grurm=4nbZ#H|Tb>2B| zvJ>y+>nE_^+T@tj@s=Ql_Nz$XG*z%~y4KswLv#m6{Ver~6+(}%j8@yW zD}ODxpVq@OCDh^WNzoYVJ9ni~0ambr)gl;wqf44qUK_`dj4G2zEv~pCV=u4cOW~OF zkiFi*{-bVZfKyDYje)1(ucX{7Y&rC_oaivc%_NVQHah8c&ftGOwq@ZP9-Ul=SwY)7 z`_WyB{O+LlXyG;%TbV3F^RVl`+ksFo9Dkfgo1OL-`%w{cIXV-2s(V=40)^Ndz@IrH zz{FxMjhGHA%Uvw&?Y%R?a>qn~ld4XRiiK*iuvwZkC!1)O^KND5cA+w9MpG5Gk=fgM z5KWhvjCD|}J9qXcg~`UaV&uC(HRb-(Qz$##RV)#|-5jqgWRz}GqW#9vqjvKfvVV@4 z15DL(?=B}^Sv^>thn~;dJ9}1=)M%8hNF65DsYWp>KH@6rN~3)$bMuMei)CcPV_m@*8ly4o4CvW&kEZv0V!{)9VLwDhrg+W}p~0y8fgz$~ecQZP z59aqT#F~9IqumvH?{IrLV%_8+X@9O$<&nBwZ`IpJ9xY9Q4s)E&i3>_<_gYY?TIb}% zbm6?SU}D@+*6&T$blGFxV3+79v*ROI#R4E6je`zT_FdMhihu4En(Cf6 zMltUSv1IFUB$t=lf@8uFd*O1q?ZMe0xYjvAZdyE?t0BXz2$4#=)WmzPF4mSEZ+7Bu zOR>_cj~nNG=bl`w+*`47%kB5z+)jyhOx|NJG;6m_mvs}~>#5m<;)R>^1y?)%#uX!h zM|zATo-Y3OW#;09nCCj#>wiai7PyiawyFRPIYOh`?!dPy;_hXLIgpc1rWR}+zK+tx zKa*O8aCD8QSiqKeNRkW$V~Gq>1~I-m@P!Cc)G}FT+wmnq;-ZgCN3^C2EM- z9AR3|TJc^1+bs}>$B?#d!#{zakj%!Jrq`}`x0%x`-tXM8N$EYh#eeZ8sf}gjyFyJ1 zJQO;!>x4GDX~G4*EnqkXWRAzcB({anF|F)w)gF{L_wU&#)v9oa{h-a2lE?NNd(KR5 zS77@+DP+~bu1%84!>L8)+1lAWs2n)v5{PsBQW?l>W+>b*R1QkERGO!Q?SpD*)4mVQ zRGlW%#~mc@0;wJBZh!7PaP>{GFp2 z9uG6WBQuUEgnv!V0pZ>9ZmELpO(x5gQHo49V&u*4-Ky@Egc#;ovDs5lsClxduC!Sz zP_i63qd<|Hemf2~%1j83C{nhH)mqGW5|-=wr%-%;YCF5BF+_mQ2k%rt86MX4js5&u8r5>heWRzR#QtP%K;SEnJH-Bq9w5>xM!H;YcJU2EOLNPZs z$3|PsiWSw`+sJ3)Bg~BrwqP69Tc&>`{QbGHnH!tAXEXO~*blH~jVk^n0KR4u~{RT95az=A_VcU6RT$1*c(l){igXC zc0dvbbbn+VyN56ys@2M(KTc^m9}Y<3*h-k)ILF-3S&ujPI6t2K?Zs6$&H2s zpMCHWF~mCNXkh_E@thvRl9yhDNIV=FBQ}dTtO*lp0U1upxlG|`V{=jKMA1jc1Hj=E`;{aW9_GGg-^jN$HwMVC9N0v0b0emVmUGY;ox>jjJ`}=OtoWQtH&nBZGC_Kz-*m=k3B!6rdO?WDJVbFO+cHXJV2+$7RrBJ=7K@Z`$ z!$`qX!PyzUq(QIRx=2#}lt3?Q(9U;!Mxa+TXeG;9#4np_t6W;j)`sv~A#^#)WkG8x zTN}dfrvzF{*&5dv;7L~XQv$7}Y+V@%UDXcsrCAiqZ!Ki&Lu}d8fxPO(n*=QzW`C!+ ztt_VsD*jAqzb(mY3h)p+rl6_dMFFoXz(eFqK~uqt0^ZP;L=~pROMz2Cm3T^Cvl%U} zZH0hWt~(>a8C9VttzOY>F@~1bbq>b@0D}SHUfB9nzC2Ib4I6Y_)GQ9K?)`Vi&0Qc80l27u%DS_WiVY=0K?K1Q=n%tEPu??exP)F zQE@RtvQ34%BnmX6#f<6j2<0Z#vv%Y@j=bo$;atl^_lw=KtnHMXBIlyt$SnHB3m(%c z-781CT`q&helZ(8YY&e19orHXtGsT zh-&DC^Fsw-!YU#3d@ee_V}Frh{Vt?}G|E6R)@PK3RWAyTj*Z&uUm2p!%D6sLHaZk_ z??8No7mSO#7qL9V%X?nJ=(wnR8*+Mjl8eu|qII`qCBwc`*`6~OrT;?Ctqkd@K4&gU zPsgCBaM6ZQML!RXmOha|QF$sa5Q@6@w5-^rQGA}V*v7^y<%TQ`F@HMBqCfMBCW=an zmle92i_Z&1<5HHl@7dOyqA14)GpsRGotjK04)rNUxOTM4WBmw&;$EQ|P?R~KB#KHx zGf`xE`Jls>gJDr&xU8yNGx51r^WIJ=@Nik_t;SGA3#*3K?B(-NcQ96mv@9z6nPWI> zKjhP3eDUc{C|ycd=YP5OX{hL%vFWWPrO{eQof^>R?6 z8C#@eR_z@p?%J3`3tT`U9{DxVF4QZ9j}iD02>CUrI#O1rX_?m8IabIt}s zsh2EyNPp5nZQcPrcnD)EWj3{;G)xwCXc)$@ZI%rH17R|ww{0^R2uj8B3Yyp?$0_k? zXXBL8Gg(BcscogReJ=B)V%3qrd!(}Pgjl{J-PLCD@H>h|;?RNI*~>vcgHReHRnAW2PM zhB?xUFP0QM4MVNhn5_xY%O^CzBoL>QGk*e68m$2e5LS14Pp124grW2;Yq7vZ^mFm_B929@(z}}x zh*G(%WmFfcY^7?;3XTv!jP)wq87WZBX4V>B$0lhjW&@&^wPvj!bwH%Gm=TClZhs9> z_~n3zPctJBrFB_rI2{maF=hm!)I4kLH-TK#$JC3VR}{Pix1@EQkr35_Yk&zL;seeI zL@9dK%3oI{;`Pr6L@D5k0+^sgeDWEAD2>lred{QZA+H&MD1~290ELo^m@K_~Z$-h8 zvTge+3;t%qUr#w`cml&P>4KfSRez=B8lJ!)kM`wASOT1SBuY! zV{h}JG_|wwN@-ozB({SgE$vJ&^n!(~DSrn;nvU6EsK#8w6J8k&+;pSOQ-4&48Vp`j zO1`GRX%Mq^!1d}B4M)m$ED|?iHWsNKxP~V%$Q8Yvl0YW2Q|}-p9(D%wF(g@gF{u|} zv)XJRl)7nfLWc#RrD=L9RO_8}_JakXm124jO1ZPncpz&oYNYf54r>ZtoKxC7q)a`Y2kiUx$h4aA|`4b zHFwu;clx;dvfga*VluqrA$ZAJ+}f#{NHeQ2KCdzRGMzCQI384m1%LXu?A4yVB$sTw z2$wZG=ZTXav1^@K7tigoW&#hpA<|1_h;8g(EY^cs48wLupOf_||4u2g7yMw|%Q2$y zd;)*&+_Xv)S55}V-!tF&A4}XVZ`X9|AXBT9CVTXoy=0W>ZPTTfM~dpONt1Ax0Py9j zmguRJubQE!QoeHjE`MIWs~~WU61XDkl*pBhpr%B%-Vb&5PYJN}hthb!Eb$ikh} z%wG6+eBrJp^&GE^$IV_mN_SQ1ir32pos++X2sJZ!WI7flHuqWtAnEt?5{Gq6FH<^GB^gmo-hZ<3DwC zGi!VDyPi=(NS4K+CCIWWMAJSL@*!*($NXEPk`}1GSdCE zj5snm`TVv|7s|^@_g$YZl<@aL(1$`PKI+Q9@p;47@B6rr?k{J=O^Qosi9&gQF$8_+ zH41v-2{jl#xRcZn5pe#GU{*+BL%)}SGoAe8!^PCe=YKoN2)qYq;p4Ao!1$-0j*ugS zLf&T)_unVvOI98I-wfLRI&DVc$l#@PC^E!|3p*PdTRom)ks)?|!#&VpG#! z59jRLuQ;&1XTN?DKYWX@tx7gqxeiR$C)Is7r^(ww*a-MhNVb#TvT03Sd%u5yO|Gb(e^|%|#25tHfY?_+bej+-MZu+`|v6_~2%t zB-)PVZQz4!L<(Oh{P{(Ga&nmXw8BqrtSj+p9iL=}Mf}rZo}ZRNqzw_);xa$EA%ETA zcA8IX{IrpkYl(c2HPgYlWG+-RJ~>u|VVBqODR6kJj=Q{%%)J}BO7e?0)`i;>Rf#fE zp*oa01l*PO#UoQ(VagQm8JVhHMmo;L%S_b|Q#v6CJZ5ByyEH*ETogz$u};N90VXyj zjV9Jnl}PKzN|fv9B0BalC8P*ryMGXBu=l9mZE(6O@>i|Iv@3Tbzch(*(?`u1B=2*Q3pc zi(k7Q6^@U)l?QvZt>X2lio==sKjW(p^X{v8Ew_(MN}C~kleG(bD0>qI6@Q68SYL9f zq&U88Tqe$u(p;Y z_G0wp#df7D$jJaJEmlFSjtMG=$%G&jf#(>1raE$ zTz?*KF@jjhBxuV^T#z*@eSiG=Fz>}9?)#tEOVfJ+cLVwtAPNcAw`bFG9X%vP0aONUyCF?6N%;p>8&wh~Nc zq{u6h(JiRO>Z0gLP`e@>r#gz zFApa+8MdOFfo~+q5_XTND5cHP3VTe1Gr>oa`uU2`skKTVKAfPQvb+kXP|oBJb!f-b zleg4q6JHmswL|OEkE|wdIXrWwtR^Ry^r>U|B;}2%CMHYh)9~6U3!U0X2q0`PcOo}Q zHR<;EHj2e#tA9y0`m@=L5hTUmOL(SP{JXPHK!LlpEyGL617Fiw@ zN@{A+8^uLg#cYg1oC;?Y`bjE;H{+-vkOUP56N~X=^mxBD*5VrcwS^c(hHG6p#v7{) zlEG^~6LmqX*~36`JfFrN0BtPWwvKH+UgK_ZjBBzt|9=ByjDZuoJdSG=-6YpSb$LUZ zpNxMTZA!b9VAu8N2**%=u!MHf1oYP3C`OSCe5DvjipDaKL!X9YV>u^-c~_CcKGddg zR!LeyW!&v=AYF{tq8DN~d}l|7EP}!%42RTGu+yTmqnl=5XXtgfBDX$pemG65!N4nS zsJl>~zJHEYuhrY7gh3SuqW!Y^JlLo1u)7 zr#A>|FaR+dB-(`n@nn$UJjrYL%Bh6IMT|q4v{!u8vX9Eh@0ysD3{w%t%J7!}2{OC} zUBSZ;J=0C98R zyx(60^#UO2?X_GtU$0ynOq>O zaK{Gq;v98?k-~)>9gEB7EiSW4rJSRc0#3U(7WmIQ;1lSAQ&;OD$@wfClGam$UYYZ8 zWq*!mc6GN&;5-rt1J(8xLfAtyGL0kh5LvL}*1>QG%NnFb_9hXpaJ-Nam>5tw|HA>L z^DrS$(Tj({;)%VsK; zMJe2!L912XDREE(PX=br4%3t<%y{g19F|~bF_o`uFoGR6Fs}F>x*p~;>5Kr)-hZ;k zMjj~4cqki{qMTb$#2bW-*ePK%$Y$$uXfeFYCO*5BI(ajki^Ft&nS)7*o(yJi+d?bl zB+tT>U8iZ7ax4H50iCRi$zZCUw#li=(N6 zYNKV9IozJfCR3l|lP*gR3-}SF^hZ`&1oXvEQ5*qB>|aHum^l$q*XGL3yMLt(OVX|L zt&kcb7jO5W8u0T*$x9n$U3xjd2oF0O)(m-tpUNO5aEp%^#986g=Hq~y(#8?kc07-JaMgPmDUmP?n9+zXZ%!oH3-*f>_yvD2gZr(GsCG zj1`MXg@lC3YX&vWLtxt!fPWactX&f@R@GjR>|%T+TVXJw9fL21%)yt}4ERo=AB=(T zIYBUrej}D?_~LHEVMM`r93t|Xfry(FZ6a}k5rkpj`%+`98HSpX?KEmKR)t{+gPEWv zuNkPhMlL0BtOi0KIqYS8KlFGp^?jj!PE1JU@Q)@I*j_ct$#h=7DD<8})a$0qh0J&>oeEV!T zNPo|_t!$X20sa63UlKOpGHBRv-H|UM!7v(V0Yyipb>T`$JAV@G3dmrO(FMCel|ki965#sN&@=y@O`367!iYU=T><+Zii8?Lpq+96Gs$+qbmHl2;1 zutrQS5a4QQ?|*H}*;*{qbsX`-T6Wzl{ID+Y!@9u_`G6ndjh`4R9hwea&Z(otQv}4} zDX$rL=A1pV`NV5*`Q$Y#pR+nPpI9fCPhNFCCae@UVrUB6T{d~u+4za)GB{DWj#n8d zGsZ#+S{}RnBio}zFX)dNP;t#tTmRFt635KiSCn!~! zVmp*)6~JnPRSl~rc1*%*kQ+lvwK=vG%8(7zc4CyqkaXp;+{lD}y2&70fvkurP-0Qu z`8EOHGJkjn-P>?))x8b%R&SL3a1BR-|01%w6}KGqLk2Q>ZQ5l!1!-GkGYU&zikvnY zXBV=1@z3TzeKglm^)PH>t9z<(?#fP#nbe~MfL5W&C>t{EhcN-(W{jN=wBn;hTa1_U z1-^(Bar+cxFmB9jQOst&apfkNMvVheuytm9YJbF(_&r;WLqm?su_jx58K;@@YS2&Q z%T~?S`C09#GvE%LTYHU@TDRY-<-T=%a?&$x*oe=R*%6<~iln?*LjD4N#$+7vnL0D# z^BAnCUxDowpDboMJ^CC{*qsPTQk>?)$km)p_yp2UT#It&$KOIGWhh4wM$AWi9z*vS zu7ADMl_h5c(c~*$W=!4q-s~{Z{K=1{`?p45FoHaS`DC9n3EtR^NY2VgT7(hFS+&NV z(TI&m=iMBl6NFrhAtHV2lQ5JXLKxQc4H1zw$-TE_nh0Z63CMi&jZ`QpZgCA{kDwZV zWd`%nml`HW&8(&Q$nq`Dp$ukl7FE_Hn1AtplfkzEJ}|6TDFu5Hm{9sLkSIJE20|9M z_`dm?@0@lfE)DwyC`1?ZRn$lh>of75ueH05uYb834R#Bu)&;wH@Ss#U5meu zyxN40z=(A_;`7Dn(cJa4L05ti&mI$qx1_RDb4q(deoHGN?ex!P+Z#8p108x+cJ`dGg((|=GuDkC|&1%~EgmnxV)<>?HyEONMEx{Ne8u3}Hh7F5?Jc9KY zlX1l7F&2aNCbW~*#$_?f^@XR@cLEOv$Cm!%+4?@$bJqGt&C8h0vygKZy>5q5e;*Z` zjVy6>9c-UEtWNb&!Cqt(AXnhA4?C3X=&C``aqA9lBD)>Vk)a)Ggbex6e#%e~eYC`| zXrje+UGK@w73FD!VYryNqTP^|JZ`RDoUZNv2b1AAHGiYvEa)PF6cKbOC^$GcRB+J6 z!O6+R#dk@rkm22fd!P5c_v2)cAPfMj>D&f7h@x4lVq3IQwiv&G09r4+;5pZ*PWGT5 zL*r1bZWzQ`u^CZxYL}G-ns-xX5s1Pao=02je>_F@QZ!Q6Xw74#7);}R_UiT?9gO-J zl`vvcoqrnCbX6l5k3a`cIenQ8p&#-xsG(3*rK-?Pz$-?!o2hsH_J~L2=ZVF9#Y%BV zVDS3mrgMs36yWw#G>Jj|1;hacos5ah+2%QB413Zdg9%>hcerNj^ZN&9DkkP~HD(n} zt&1ihhBA9kJG%8^3a&_7vI@k&df#tKVI zXZQq!^n?x^kK%jj^J{%^a<2RX{ii!g1R6Q~^zDx~Kl&OESYhUQl9W>MLJs1=V z%tbCVnWjdBzH|aFq<%a@h5L$4Ww)MDO!U9;q|A?8rd+&ZE6Gd}Qzd^fe&ZGDlwwqW zZvb01pX5{(#RxniEA{n=^=C~K{T29PY#{Wz#U%}e*z_+?!cz7226}um>V;(w-sGO& zKH;uhxqr^(nH;}-tybQ!>T08}NiVHrZP=*OS;;P^xt16JzSnWTqBL-+D{6k*IJ3lY zNe|=t!Sp#N?zaBG?Uc4jU;7?{2imQu+uJPgtY|bd+~`bcXB1Juztqj)QtHbu&!+tY zvkxAYnlqmACC{qOsO)#0lYBAJ+~AS{Et)#p#84L*Fwn{sPJ8*TD8?pi?)7cCw6t2K z4^HIA@TF|DKZrRPlp2tPg!7>SF-L7vzgc>bNSF2Z$@(YKePk*lCbn0hlHwg1cpkdx7m6_Y8|fA_uq z4^{^ZE`%c?PXJYM$XH+{4|zgZmz;+cZdGPAM7#U&n<5^FM{IZB6vHQCk{5g1y zQHN|1dDl&qf&7cd>_Vcm(;Qyqec-~@Wljf^oyGHO!)6?BNpawFJ!NKE+;F|v*t;>6 zl-A3DQx|m-h#H_?=5zW$b%#Xpd`R@0#apX*tRmg8KX8)Ocqjozi$&&E)=QWu{tJh5Y& zUd(xy&e$Yhlo(964HT-XMw;`jJVXWxRAqf|)@_5k!1c(#p9nPb8!jsR#+lLgosF5UE}h z_wALGEzDloy)$JwDTud^w1+j{vb8fJ#5RMwED=09-5SM+%ryuV;NWkC&X| z7$0g`dO!9#(<+KTC}^;?@cL?_JR?F+l_|-QA&*IObYS5Ita4br6e|U`Zby27!e1i= zqUIw=C(-zuq(Jn%I0?*VM*uF_>-hkoVJsv2=Ux44>r#$K%Vl z=fXrZ%1GgMoPAFD502Q66RI z%JEy#N*o`uvsiu(vPEDe0YsLIRz0RYX6o=UJuzj#^L)BtB^Kh2plbtK6gB|}rZ`+9 z&7evVEEkGRyN*QFbL2YTzm@buF<6o%s#JpY0#@YCF+Kyl*a zEd^W&Z3SL+^z26Bx_4Hu*M>d#+~S|V(<6T?!=K}* zQh4Ciyl6vrX^=`%!^Y#INg0L;e^*`#duDI%S*GYV$F>tdv{PxGHsxr%D9e~kIhdbJ zE9CZLN!9Nue-*oA>3h9=+G*2{_)I(VJ2^lzllOQZ`T+mwTLB2RpWlIexZCT@=T}k# zZX@gY{EFy?Ggf@U2KOIzSv==D1tb>?l|RcJyq`m_uly^qd-eU+homY+mIDkfjEh6o zLwR&kh1??~AQ=v`#qA??yzJ0O^#<#`8>u^f<1x>88B8-XXZRYb?m##v$rK2PlOb;@ zaR_9JEY1l1Oaoyr(bhF`Ev&h|uBY~kukE51dh<^Plw}567i1kEQ{-{()6<9BrE0bcKg~5;D@{uQms;ZD; zd`EB?5#YEA4-=R@e$r2nsx`W4Ss;_zivOgv@>BH6sghP&WfzdaZuPQyZR>Za63skm z7BQNU zr#(;PP4!$$z2>2x`5u(19o zLy5|Z%lg;>ggn8+zb6&9pkmOG3>X>E;~`53IvQexphJNr1Nu57LYLkR1PwX#iD`&O zh%|su7cYXA>BQ}eoPx7yH@*aoj~DQQ6zc5~5EyI~rOsM})r+yTVc~~aXjr`}%N7={ z#pK%5$EnGC{xCzlVq)$M8{H_QU zdUSK(haQ~(`9FYpcW4b%c9I=_e($E|!XLbsxR;z1=jKvsl=a z2Fnr_u6sHX{S0H`e)eJ8av4DdqTM-?&69}(LcFtI@;{DRs}8S_otgrybJ#@SS`PaX z(4ECL0j_1U9|7uqrI*f%epHEBkd(2sGby=l%CIdODpv#HDV_aD-g3)igR1ZZ*{KJB zIcna4L`LJqNVBN3JdLw&Q)_$@VMpYb^LjiB)-Y6@W7M|~sE=xU#QaF><9 zM6Q;D)*B*&#<_T9?#h7xMO3lN1!5GBB)`#c;l?qBxUMQwfTK?~J%9tr%||$RvZ)YG zhuqA^(Ik%@#s!m`4|1HzV^Ev~xp^OlS5cyk&+hJ74$@@OmQ%`4P__OHz0mWCl#^3e z>F4-u`ChwQpHQ$s<1jTs@ckwG>mVEiKixE71pQ9y-Fkx{#y~4(rNMi_)}Uh%!hq9H z0((A~kB|T#OeFufH^zlOz#r29G1GM0az#pNELC=3?m141y5#(pxaOz$%J_aEME8i5 zZ=3|g%nKvWAK-_P;4{n8AUG(qW5kQ6HL9n_ z`1c`RXi{XlM+$x8mic0EmbB@o~Zf(LR^<;YG)Qiu}C1T}wyR6seJ_cu2< zgtBdc*AD1E&(lQHs&r86@6gnG5qu3gDj}^1`W3)em%a?#(xuBnXb8Fv#0rV6SgYl) zBUdnwbr#&xj}0eLthN)nTtmNDlOEA3{UKvHtyZU#M;yGJbT-SA&w>&BZ>Bm#sM~#~Y=^E9;_bS5h$64pe6u$g)BpN8mav+fQkstRD87%nk z?F~!i&kAUr-R!w?>zBU9<^+~!=$8TezcNErmt33fnM6>`gv z?hnw04oU3~zq5>x`?id*dQjeTQFML;Gm)i)Fu;3gY$#W)=gLlr0<~G}pMXaWyAP

siL3|J1*REzAi#<0c+CHNGJH#>2 z>qAvZybkEf!jxATmm%wEP1;1ytCA$prT>4H_+yj-CIOSkcRUEQ3vA&vC;4|=OS){f zs*WXk5;S=IM`4`f)eGs7GdCOOig-J#a2IfK>lfPQ|E^C5eIOYKdIJ!h!wv;<(er51 zC<<>$(uS>@vdUofd@N1a`aV`7to|UY2evNEx&Rv%!#Se6dVYA1pCH8OEDfRu{S%m0H;!YTzqiyey2y*- zN3Rfd(G1&(*J(jUbVW$S$f1-@^V9cP;4SrLK^MgD`eFM)t#P1rkQvl5BIXF4C{Gk&*4pMEM?zeLi>niJxLA`(T2n1aHFxwCX0w$CHi9aSDqTq=EXZfEHF!B5f zftXpylR%6$M8O*~3q0|`So1x>VL<3c4y|MI5h(pw5xRmUoAPrE(P}fPP-9;8#P+Da zw9>ALoY8o1n$2tKBWC0piI;!Zm>3xsLY8EnXhKz8SSGM=QPw3`xDks83pZpqOGBf5u@MX;@P%*K5 z_unvrf4a`g{!@KI5?v$hzHx^ku6Rs5pn$_<0skJaJDvQs~Rj}*2dz{~tafT2%+0a?C(3WC0%+y#0XB`hA0`)`vD_;dOV<{M^A=;Muv1KB+rn}qr-qh z%$?1G$L9N1;*jMMRTZ>B%~Rqlb;xpPRR+>r@Q+_lJ~iHPlgFXS&Eg#Ixxrnt2a0=l z$CiLKWad(zecanKEx0XbMZDwC=o+At!^Q&>sChLK7KQgArK9F8NeC2Pnk4=E!w1N> zs>xb_4GV%eOSBr?{l7H$zc6qIcG0C;tfR1DD94TLEQdp)E#cGMBay%)g8mGU8FIiB z>HMBK6mXZw(GnbfvNInJLY4z`|Dnq4b9zVJ$0uq;zYCaVv*Q6}e@$VvQ&;;W-VIPE z_dWatD`d1izSs*~1qaiAj;ddLiuZUxIgAe)8a+VLLE-;P0v$Bomh=HVZ$Ju0%I+ldt~zeLxKXJ2#q%)F<@{7jyicB&`n0}3h53~X@Y*i z7*)V3o9zHB8cNTk+qItJ2a^zVIz$ehKCQ^F`-Vyr@bkuaLtqs4A)p+E=R4g+b>=tB zV(XHEwtflOwA(>fYGP^~_H2qF`Sr-x%dOn)Ox~Q3)j@^vB@H_`xCaG&1W^8%FTg85 zO~Fq>y7kCp=*9=?7zE{mDFUpr9Bz^-VDN+anY8Isu#%Vo@Vm$Lc$cUC)$mxD+n$eH zCwKK^@1K@44|J}EiLf(wZnh}bRi2+C5W4@SKs?rRTB)J0uO)^!iuH6Xv~FyD&D^30 zdT3Evrx$4l_ZBo}=v(Mck&P`b?>xW9LQdpIn+4r9ZjnTG&=&q%Xw6%=Eg&@rzWzjtb zGovW}s>jG(-h51Z+|B^Xve|y5Su{_^j%c2s5C4`ioO)q$s67#QYm-?+>XO)BWy*Gj ztt+sHC^q{>;~xLoXt<_rmtkwpzkbMVa{5$cNetO6en4mGV@ro>+j;YC4K=H;_(nOt zR{Pp-htKoXP9AQ7fC-t85sI>su4FjiCF;}{CiVx=( z6Dyw%rdBss^0UV`bC*`BP>7AyKn$|5u zJgzkxg7w?jy<)rP&{B2D-R@PQPyAQ0Dmb;l4HHX58cRLw{53 zyKGu*Q4QsAdD-5Pcj~v&v}CE_WNYW+v4sSC^^76)Y2&&nuh1;BTMxT`I<66VWQW4Y z7Qdd*!0j*?ak6b9-Q|AMDOvJRT2}Jue*c=u>5q-%Nw{aQFpEzjtd}V|ocpyc~V|E__s7nE1?YM~MhW zE}!ooso9|tXS>esdD714k_|t7(wcu=F8ccAmy^Vo2vKtkooViqG56?Rfb8b!BI}xO zTjE^=SVqZZHT+=Z8Gq-bka&~CR=JwZEp~V3aF-A`0sd2DFTMCOtJ*T>dlbW|a?-Z$ zz_wrU=(+msV5{jLt`UiOmRB|(%%!x*ycIm>!Z3)gF7)ZO9a^1k)l7GbY60;F*0?Dk0-_2Gi1mcaf^YL0{qhj&wGbv0>{__oS_dK1K-eRKLuKF>}_o zcKVekPf&E|w~g&f7hXP0Of(4m*x;TVttle?qLD^417RLBsAH)po4vG1`wzCnKe4&9 zB{87M&0h9$lZ?-D(xK5@yuAXw?ON?*X7-Io%|ELPb8Wg9WO99M!J>oadPGgqd~s!V zUDW)nBmI4Zb3*Z?ZN`VSLCIIU#nv^?Z@Gi+u@{qM7Mx6GdrwyE>`9+^X|a_18u1uw zWOm+T#(ZOenYnECI+?l4B|g%-II?XSls*emeD23kF`FB$pSQ6Y;Sm}C zPrkj>kF}U&|1)DZ+_t>K2>(@%pMIKyujNcyX=S`UX7TIh@Udl)x5<<@)uppri1bUF z#5|t6n{M2(lOiUrX@av4pU#@N+uuUWT9^f|QnC0&@mS+~LkRCbU^FzV39adCoc!b$@o=&W-tgRCa2EvtsdR`|;l) zCr;ILt!>KYd4CalarhtA2Kh>#@2Ldv=Vv?Iv-4bTTi-~heql>!)Cki@6NKx zqlLdN4YwRINXdiBKDhgXTe3(u_bK^GUVr|f>GX#O7QYN4?o+I*jRI^+=yGNk!Hc2X z+}>ekb1AG6Og8H8-=FjR<%Q38w*K%C?(LZ=VneMYL=E0pXFiln`J|KN?x;BNLbtgj z>1%{)`e^PchTA6Ba&dF6w3ZXBh_C&}dZwsBZ|ho9#OiD2S@5_TJ#MG3-2jw2f+b_Q zGu|cS;KNogY$*3?x!sRfog;>DAaNzevN;30ogkR^VShd0gG7?M{gH`U(`Yw2A8W-c z<+ojxPv~l9?i1-sFexy#8Lo8et<-zBTC9-5#a@4cJ^AjgV$ynI+FR%F&E?f>g6`R` zSIVaPm_KZ6ceQ6%dcKs-Yj(Zo4!kZR-dO84xDuY)NK;y)7hK+58=J7R@&?CUb3P#> zbdvVYy}=bk#P`(iaQ;s9y34FGjrMErP#Yfjd{gYHcvTg4YApNepQ#Np+1>KkHSmH* z>DnK}73SHVgEbbFgQLCI`wr^Q-N(0l*%~X^X^JYzSrjhXNjJ`*X(w^ty!rR(DBn%; zPq82GMv(?qYcKx({K6SJ+n55ADD&0m3Cf+1%mI?iREYcUMz zI`=xg5)A1`H#2*ov$u6;=~sWf^4KL~Bjcj;FBh{GGXm|ov?A=SFol_j?1ARX`V@ai z$x^V9L7SLoi7~#EH>p3F)ue)&X}&zntC`byd3zSv+sQK)3zAoq_C3I`fTt+L-O-S)TQJVo4B`2T9T7} zJ5(dp-c&3uRB9{yy4>EPoiioUi>mItm&#ct8a;q=H3|zXrdGyhd?PZBNQqDTOy;G` z^Uj>mnTz&#XTS7JXvGbBWv%+*WW}|f~`KzJk7PTy(c<>g`hGmf07Ud!#DD1VKHs|8T7dW=_8aeu(S^{ z1n3Av2J+Nz-s=;Zjg~X z@(Q5lg|r6!(xobaQd2ZKRZCWhKq5SCF6DZ}vAHJW0B*vx?i{z^8&;BCj72`Y9TErU zf*n3myCcJR*uKajo?>-poJ$yp4cQ;C7dsuY2L3gwljA5F(>6p@E721Al>+v{yYlQq zk}6qGO_?JEiJU0%NOACgnspFwf&NKZ4>#jOtdhXmd-cp*C643N?701WiLOvNpj;*%v6vTRTZQ$VE2m~lI?%6EG<-7KmD>#V4Kb2Az4W}@{v%^qCqg;rcL#9#o*UYJ|kT!}HNv(l1W^x+FaVqMO^`l(+z)J*yt(*)p8XHtRFB<3!_@B5T3os3WH+AK@Dh3L7LBl^=o25r&z zO;2)mTFGP0jlFYe+cN*zr+)3wln6O+Pz_v8D2M`=qmjBo0KH4|z?RL8Hghss``GX9{m23=i$%ur$a)}K09hIpN|WqgxaPNLkw4-v7xVG{ z_hj*)5d4v>fcplA(c5IPY=NM{XIi};YXBkIigT3EXN7SkG$=|em}jn9fA*;=OKx#r zh%y*8U6zxPF}s6$QKBEPrln{kj-F2!`yp6X1kVxD%yn0G&n>`yo)4fY0-Iw0iaCyTg-BgSDUIubIrxXGA^s zukW!i^thwRE-s4BwN3L}u+(iV0ji-?RbbZ(xyN7j9_}o>97x>vi}49k1-_t(XcDlPAMyeo=3ZHkRgNzKc_(R%D&*NFni>ae zIf-Co7FBH$K}NpFcCeZ+@;4sH?zMXnrc6+>;s_Gvp(%|H$J}g)k;f(+iB7U^+Qdk{ z30@*cq^v9tx!lcD?}Lm3)F{-WQFsCf!s2n0Cq~Ll*b|)&yMc(2yc0Nt*v_jPN9vvs z8aw^t)z;iKyW>hqLu;$5(_BAwjtrwHP18Grq)+ide=*Rcr>kxFkxn)cLSp_!2!o|W zb@FPF;SD^?+3-#gts;2Cf#s4=)^C>ZD`KcGK9?P?z`4|ik}Alsa#aALFi2ej6Wa3Nr1tHx=U%-IOoCjQeRroaDg&@+a ze+C`&LtM>A{;ho=HGxEg2Ue^?T-|nF3N%@9N2){+C)$R*?6cRC8~$LbJhvT&W!Do`{%;CY|~FJNCJ_$9S8*fO=!daW|AH<{~q zti?TL#I49UDCbU@?3t{9l9FJ4w$*;wDkn1f59>Czg7To$K!C18RR;33sWgyDpB&hIQW(y z0*}Z?rw0phm#?s+DEn9UdW3;rr#&U1 zyTAH8208nui``y0$2NNX2T2zKll&td`G;~y7t%3M_4v0etF zPG}l`P)O{Wgs&rwRaW&_W^xn=BQZGumdtbp-eoYIfQ5ACBJhsHECk3HmAS`9VuC%pT@!To)0J=-VD_gQwf_6RzSELJM?WrPv{Iy*|K1`T#mG7#JroU4 z4TEwA7<9C~tbayfY-&ka%6&m?e}TRrDfag~e6*5qcT@k6_oF)ScQ52TkolTwr-HkY zFnvvbjnSXI52uMwY2U@QZz!kCPtToQRP8-EFg7?m5Wfs1*jix}2s~CCJAya@gD3DH zIHw5WP}Zpv)oZ2i6skpX`_v0SCaFvFi7lPl`xR`KaH$MeBQseJSdf_Rz&_>A;0cOW zg{Sw~#TB@qNi+aYoFQ^}g3{q~f5mAl<$Z0Sr9+}c zsnk{MYvT+3r23T*NOc!pTahj9h9VjqpSVFB7Iu>&8VF6CBo52D-6a|*Oqddf1>N|G zwZH_DI4tIN9MKecw%BY|v$hZ;}rJC$|f7Z&w!V--4A5XmvJB@y7pB2i#b6`3+nhT=pE! z<(GCt8Uu4Zc7_=aHA(&WRp_&ak2O6PBn(C$JU(%PEM2NL3$DpP?U%OChC%fhR54TZ z)xHY&maE~NqFS+V;RE6AlsUfiJ_;YG9*YbCb$BC}fJ6OuQCMOfZE46g>u=Bty{f+g z_5E-!O5buH)PHL%tY4a*yR@k4qPAA&76j346a`FR)C^EwAO7fTkr#NMzJK0?9(}O) zABpr2@BV-6L1r=m+jJ%h7*A&=14J_OFhT4O(%u4cl%oF4*Vo3euI1@h0<8F8PrfY} z5+?I#a8Rmu!qbw0Q!NSO!`aqV@98@34UgRyEQ&e(!;y#%d@`v+z`_Fybrv&+s@+Eb zU%Dvfpm=TS8sKlmxdm)C4lJ`IUwI>gb$j#|u)Dh~saH$gz4i}iptmsPO}N9oz22im z&s)!DwG9LRqS)pE@gQj>XPEx*McfxVV!F_kROfQy^g zl1w#F$$J9&OITO<=2Z`TQGaLrG8(j+W`(&<7(#H231LAD zz)T`E{-74{>H}Q;K-oX=27%>zxcZ?lqZAh)yqO}&1sgTLle9fs(ttf}U$e+QngdcghEul&a*f^4J0KQ`%lP*Tz&N!^nvMTF9KcG|=sK4Lt z1%6$FFk*#KC*YtG(XB5Zjfw;n!AlO7QA1hVpY8&sNu`mZW%71myJ8Oee$XX0fhQ-Cxwvlh&ZBs0~)Gx=nu9x#%@%mt*#%xb`p z#8d*L(wQQFVFoh>m?tyWfI6&-ck=h6^tib5q`wzE6m&SUep&l{1XiX>C}54bkJh+g zjp{C_BAdrelel%7Ap@z>Wn6^d)q+(;sCIMt+W879(Usa0mA1viK*ohz3U{9Eb+86NiZgd=qDhTl|cV5FC`z3c;OW z+=Jlo|I;GAe=ITyluQ;Yim^B0Md$AW?s^0?5V|%`dl*z%DKjZExRoz6=>ptM zXJ!EN>C9}Pj>H@S=1I%|pe~*12uNixe*hz7<|ZITVqOId)0w zi{aKvqto`=)qYicRF%)(Hf^lN@elFB>t)d>l2`z5SuWfe6jYVxv0SpV8}lD?EE>R>r2EaE0kz~N{CUOA8zKJ}}QyhrY2ebW<$ARKo$N_-ui6n!IG01md zb^vk#DE30W1F^l3Sf1hlWEhz3kHiAS-bj2HVDyT*Av~`iQ4L`{h2S(9ze$!w^#>W2 z5ZwPGT_g=ox)wO|X*tw}-pW47KISI*t#JM4{|FZ{QHLhrL?=l%IFZA@oD5gzEqeqv z1}$giO(oA-|CP$;z@%jJg|z>f))l02eLl4?u{_{0V51m?r_D47>joE)Zt0 znU;QDkfatB1&n>MLrw<*KS|65Adtk20-O;X7~vfPbB}P&3bP+7ATWJKCIluh;Y=h6 zx#=OyUeCnFhXG@{)FF^J%kBtA3D{h^9KRnb{vwvve!!2#ZhiU1;>AGv2Ka%O>C6tG zkIZZVUXqxHfW8c-1>j0z#sjv#Dws3l?0bC6F>nOW7e`z8r*05kk4gpQX;EnaU7M;5 zg6LCE0E$)^CxQqBc68z{k#xxI2yshf!h%RT>Sjtj#;0||*2ZOX#!&2n1#t^BaT=i% zfj`aXqeD#sau66W;SL0L&@CQb#qZ;ZJkK)&`49NV!D`gKTd$$CtAKS|q8W?khynZ& zmiLaNj(@N!6uxj5VKzHEcjpk}3=zYxbw3aJCKc^AU$eawKq=fXqRW0PA`d3vfMari(pEy<{)oz=UzO3A3ve`sNN zDs89<@fNkheKy!OZ#lnUa{Q<4=gosGH7KI9Gr88I%(Qhoc*m*sR|agOHZ`JQ<;pxv zfiC$#kMeo-Ay{jl_!Ixb#X;hztfAiF5tNY$p^0}{#1Ucfl_${0N3xt=v(bke!4Aha|1S_3rYs`0@T7wyH~xbG+Y zukG^3?!?_R@%hzSGBRV-7#BRB|5m>AanYoqQst7=C2-}06di<@eu;T2F`ct&q$$5R z!@V3ax?@9J5!2B~GzlK`34U|>^g>B0OrfAENp&Vk7sJ}05kWEN>e>8D2cqhl`ajWNRpMn}alj(K-bDPn>8}nA~ik%P_ z)mYGJI{P$R=xnQ*Gk&J#wcYQ7(H}eZa)p}VP`QG0_QgjRK8G4QRJ!*YbDu2DSn~N^ zX;T!WSpSk9c@o1FJSXB`kiK(We*E9*h1yM*;AW`t^_TdoeIKF?rj3@yf3&H8W-BDN z`dW6FzdDmpHFk6)T(1G!I93>z=h&sLA{z2iU8h<+E@AQS_3|wI26pqX1X9&E#eDV^ zCB;NLz{$5U`T*?Lh_!Oq9_nqcxLV136Pq^G=&AL8i*84r#+0W@obEK#lw1|n6@DO- zzq#vTlNjiA86xl6sz`VLnq-9ceW2E-SXE4pdex)ocxUM5EVkLMKu-e0rd{-PiVy0O zX`^F?)HL-IV~g7HV!O3TcOfbxPqaPb^nR?!p7#nd>@oeGlhqds{qaF2X(VJwJyNpD z?{ocZlJ>V}4Pw@NhAr>18d2HnX<5i;%Sj(Uc&qc(k7kl?t<9yVC&`B!GAJx zf;%qF@DSxEpOz;CJ!U0|>hZL#ylwqA^-U9YP&x8c`-a-}HM~+<@*QFoKY59!R@7Wy z$iAE!ZBONN{k9(Rby2GD!*0%?67r3Z3H*j;adi^|&bIdSWA2l&^Izj~Ryl)X?E~>e zj~()>ptK6F(tgE=F*Zt_yp|ul%SfiGVd>WRlB~JZmeH#MmbGWsIV$Vn^oVNNUtT}O z3VnLsWO44{my8j&%_fT?5v;o~w4Y(5df`&!-Z@y|-TY(jILVu)+S>)9L&&#hkqvnU zrb_O4&#y&{)mJp#B~;8#*Hd2 zT-1}ivX>Q%G ze_JO+!?tsA=DmOZU&psiM_PZ*-Kp>!O=+h$t7oHssCTP&`x}-t^|8AYH09Cr6@^V| zG70a8Z_M9z)%5ErBhIrjX+LT>+o-pPx23x`jw`Dimw!X!$nW6Bio&u#_~$io9G0Z+ zJUZNjuV1a-pj?Z;DYG=Ub(1vT@;LSEK%wRlI9oBT69WQL2K({Z=Ire*U$E_27 z%KPnVe8+sg@lVDwYD~E)d*WQ7O#=_r#Wq@hJYuuizUL~}@OiUD3~l9BZ1AS>8DX;dsO02Y}xhe0?l~>J3Ws4f}w?2HoB=`Mj&aF0q zmb;JEpERZ&dW79fQqR`xT9!R-F=*^8A2S-Ro`b(pcZ)SW3hPV=&o4-9UT^dWAU@0Q zvBfXkag5uecu#uan)d>0p z`6_6wXY{WlG6@53_I9}q(z?&G`DLEO2+sBl+K#kd`*eC?s4S$mLOgmm?E7I@Vz9qM z+%;QRO#@|S!w^*vVdIQ&**oU%L=x9?d~y#z^>FJi*MseC5;;tM^DrS@4fFXlv1j9F z5?mYl^0(AB(6P;GPi;28`p54&mSzPS(Y`2LfvcQ2 zAF|vrexR8(lM=Nl&6&B9+av!XZ?br&{APXX=&w?vaFg@S?L}Fi!QI~R_lBh=FgS(pD}NT6>hvmKTG?!rdn3!Wwi9Ht+i3(@ukW5)gPnM z>V-+FGO*i9IU?D_a?H;AurQn1wlG!X0aK;trms{k&ToB{%I)dn ~NO_FANOUT~ PHI1in => comb.IN0 PHI1in => PHI1b0_MC.DATAIN nRES => always0.IN0 -MODE => ~NO_FANOUT~ +nMode => comb.DATAB A[0] => Equal0.IN7 A[0] => Equal1.IN7 A[0] => Equal2.IN7 @@ -75,11 +75,11 @@ RA[9] <= RA.DB_MAX_OUTPUT_PORT_TYPE RA[10] <= RA.DB_MAX_OUTPUT_PORT_TYPE nWE => comb.IN0 nWE => comb.IN0 +nWE => comb.IN0 +nWE => comb.IN0 +nWE => comb.IN0 +nWE => comb.IN0 nWE => comb.IN1 -nWE => comb.IN0 -nWE => comb.IN0 -nWE => comb.IN0 -nWE => comb.IN0 nWE => CASf.IN1 D[0] <> D[0] D[1] <> D[1] @@ -97,7 +97,6 @@ RD[4] <> RD[4] RD[5] <> RD[5] RD[6] <> RD[6] RD[7] <> RD[7] -nINH <= nINH.DB_MAX_OUTPUT_PORT_TYPE nDEVSEL => comb.IN0 nDEVSEL => comb.IN0 nDEVSEL => comb.IN0 @@ -114,6 +113,7 @@ nIOSTRB => RA.IN0 nIOSTRB => RA.IN1 nIOSTRB => RA.IN1 nIOSTRB => comb.IN1 +nINH <= nINH.DB_MAX_OUTPUT_PORT_TYPE nRAS <= comb.DB_MAX_OUTPUT_PORT_TYPE nCAS0 <= comb.DB_MAX_OUTPUT_PORT_TYPE nCAS1 <= comb.DB_MAX_OUTPUT_PORT_TYPE diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 2133c977f39bfae20b9c2d67fe87e2b4a38e0781..5f6184dd41b86da616257ced6036f7e3bfcec9d6 100755 GIT binary patch literal 2155 zcmV-x2$c7%4*>uG0001Zob6g$Q`^WAepl7`53bsma~@#ls?j_uSb)wN*~hZUvM;K+ zf-8cWD;O z$$Zv<_!u0+&YSgQsyhgy4)A}5=w}2V?xbe%aiUCTAk@1K?4B-8C^f32` zPkpa{db;~dieD$4US|2BXFi%K@<8=-IZQ7K{pEQj0PpCildjzII=zWph{Y#2-Rfr9 zIXcR(L^Iv0FGpOScYD?4iK!21UihhFW~<5SlQ+G6pQ-tJwlWK+T(0H|acw*;|2P{4 z!|>wx-|#=jYGzwBKXsH?nrt##n%UCD;G@YM%-0KLGCP@?y6fYWwjQ8D0ElcL6-B^T zf)m08;>b5xBJO+4Ian{vBD)m}@!q&`ozGr49`&=6a5%imPR_$PN%N5Il-@WUdhuD( zA4ZkcoAK#sG)#-I*Dn;7)xh*5>_$z0h5D|?(z4a(&bl|a$lk`?(YZ&wLFj@MjiO;T zPJT)HZHy%bOqu5P19^7Mg(eI9X@XgBB& zN7;DL&2@!*(od3nv6u1aYR|ZCbQzyS*=cwY_pZE)@K*)^Nca3>wFElTWE}SX-Z1M$ z$ypxLpiP?_C;d@&7LKB9Fiy|2H{r=Ic`{qHHP6W?ixMxr2#2G60SVu@WJXzf(i`Uf z+O1qfvm|<(UAldd=X5l5fo?ZFdGl+WZ7=2PJCBpIJ;r~QH-XM>=kAvN>@C%F;T=H_ zdmnIhMAZ>iM^GNsbgtfQ#CMsQm9p46$X!onYP#0t9qPQR_qS?3Tdt0s-m`{CtF53I z0vr&8q2yfo+_wf9?9x!)(eK^uX^H_-YHR|;)YrYAf&!u3=mpp%e5>9 zKtcq=(uW{`0ttXACDu}*6g7dH(G%CxxfD}~L1FJ(MIi%%Fe=mkWYG3!jU?EsS!YeV;LIn1BRXh8YK*n65UMlr2{av6}q97>Etjk|1D#@ZCxR z0V$%E7;7QXRNe)%e1M|L8Y3K(StO(nBqkJFUr4D4k_39%=GO~bU?F4>`jjb6kTEPE zm|21eC)@{^RL)%*#H`E&XVyj3U~4Q_hFfLwrGS7?s;L&VNacH>7V~UrKCI158Lz-} zeHYoQ*ta7)Y9Kp$0J3k=nj?F_d@UtHRJi}0Vd`7q@T4c4Xh7W^fN24x_;^ zN~2^Hme84`4uD(1tckN>cb{E9iLy8uj7M3V=3tkO!er!KMf>op0Lgd|_2MMji_8uK zXcD83v)2)?seE7j7o>6{oy@M^9AN`bdqj~3hslVC&A$d zQ9-`aw^t>Xwl6XrUG*aGG)&tNx>(#@K&`f7wwT@Q8SoN{h%bSEVV3LZij~k^p7`|> zT0bH66I?$*^%Gb>sc1usl@P2Z`!$)?WKxrHO-3~t*5sOhS@?&g?AK&klSxg+H5t`p zSjuWLt0{|#P#e8X=ks?tQk9?zI8>Mu$`N)X0hrX5S!tm|SY@=I?;|y@sLepiIkpf( zM~6NOe58GV0&JzE0%H7NrgF@)yqVY%->G6gbEU5%1}%$OtO^3(IQ$e8N$tr`w_>@p zwy-8hgTNw!H6{#NhKSWZGy*{ZjO4apwTjK|(ygy8hL8t_Sg4gjGSJpl-Bt=Kj5UYQ zNEMWJ3c(Eu!3R>vCe{UgC#4&-cDF<2yK_Y4p5pcX!ZmTFS_LlqYP$n()W+kW zOLMgmmXjISW&CRcN*e*CKTJTYyEPa6ok({g*@<{3qMZnL;x0REEBRZAZY8pn@K!=w z2|gmnn`);$!G0sb{-KY1n<^^Lu6t(Xz(B&RR$ThVNFa=|5*aQH$GkneB@uo#8$F0% zUP6KJ6pkhjpKoa09 z0s{zu;Q^LdGKe@w>@fh-jRx*&8@7D!TBTM>)GCQuAyKO%YGp*Nil`M44?X`FIkLjI3 z(0sEFUb|dKhmV!dWl9clLDcvZrW~Jyl!mhiHGnmd|ny{VwNQ_G|XtoG-8e z2Al80;h`_YUw%lxW#8+0x?T5^YxB(%e0??b=vPxeG`X&43v->_q1d{LHdUml!c7%w zs$f$E>YV&cZ^vHg{+a3i-d-DQzUzVqzwG)}-L`$K^q6kjlWpAR?2neIXwO*l$!_~# zH=SQkR5riOzZu^!g|o;UfcDRlxByZ2`{yRL`x1M-2waMeKYG9-C^=w`kQ88)N?_bJ zRK^C>y2J(!;`1BC<#HybyNS=cy)4e>3wsV0lm2*=_2P?oRM17aswnM`hYmH<^Kh7d zue!U^TyLrGH}nsV*FW3NSTqEOn{j4rn&UeDsBJ( literal 2146 zcmV-o2%Yz=4*>uG0001Zob6g!Z`()`ekZX1LBPE1KG@TDvmY6YwzVTuT2XSw`$F_- z3sEFTM`nXe{`)pb9dt-}WG8mui4g~^E><6`>i)iBQU6)V`Es>rbviAKj?{P=T-y0! zJe#&4J_g6I^=37mm=?mQ1=z0;{fYp@t<=sxj#1wd{L-4tuE#o?-R6(p`W!@~VK4I**&sgYoh6-Nr+oA;-c-wl%@%gL@P7Je^Ogz4_y_&vrmh=X3b{P*k-QL-D z#*4hN%?VOos3xm~EE#4n}S>#x^C0RUTrq?a@rAqonY-HfddmA=V?^*Q*n(7l&> zKJ$M3u(DHagCc@v7stu%`%KSPxr3U632VWGn_w0Z8c+Ads512N(zpOnDFH+-RGK2d zv=oF0i3DO6DWiUzy8#k9$Z$_u5 z@u2i<^+sX2?wFoL?YKT(p}sp}Y1ugD&br&b$li9^!}EXy{m45h9>#-gl>Cd% zcE|6IVrPu{_n2Mu+N16$^+&pH(~eIIo9Hs?j{MxoD2?0q*rf;B^?QS1HtM%?T_O8j zmgI}Q>;SG zH_XnWVVw0x>3Q}hI{7URW`njevOhU-5~LT=V7SjA(HkF3fACMbgM7SZEAP=PiQndD zJI!P2&vU%T^yJO&oost6U*CBSD9y%S%0pnX+nI;v&%r`Z=D`sRaPR@IuV{UR>nkX) zdNR}RHsZU?PD@#C2l!Gzp`NTvc|!f@`u(k*O&7~!uMeCh${8mqh5&~IVW@=AOfYAW z#Xbz>iGJ^or!6`tWv~skz|tv>5fGR#BrJ6bKu8%YI!I%(#Yz<&AR&@t#UKcwL=s?1 ziE~tHO>O9B4CM7>rsM=-P}no4Dda#BPNiRo13+VEI73`vU@$BuFjmh@xgZFPWI~31 zVN?La80W%r2?=r>a{&T5S#B^XTP){tIsRYK5nE^!LBIjY{7OOzDWZ;8=O8gu-37C} zgQnV9D?OAsBozY+6N(*^N@;==fq`-P{lXSF2swm|a%~8*mWKp$M=%jYFo4N=a32Oa zEh8bg^B%R>Stqm=PTPDbAS9F;Y9u`r+1I{rMs`#~cJu&b--IEMLB=rE?2xfJhjoSO{dP(frxbJDdlWr+B zxQh4TR{@fpe%$RO@m^&180hKvzaBaIv0owGV>XD-I;mI2?JUZ{sJ(Z zGibiR9F9)XEm6UJQ1m1?{2(gGSNis<@dbe9cQZP03iR2#V3K-C7UHrBLZlh84Lo zzADCtrOYZat;nPzr8_M5u}0CbQYQ9H~lB1p;a;2o(r>k^o#8$DMM} zBdoTD<@-p_*3@Pp6#_emp{GN}Lxv0kD8x=FDj~)XW-8A-i<_}4@ttbUxKDjOF&I_M z;&d1?>+w@kq)ebb-O9znxx$(t|0?4U!3Gl!9Y@3&2CYO;0;_~8SZ&Q_cj=ZHhanW9 zB@P;GkqV9TS+`TtN$cz(G_rO|Glk$9h2R4zWaI0CJ~RRb80C-_nggMX1prYhI2MRn z_;Ozi{urC(&0c!@irV%PTaj&VNzJ#SQFk9S9>4=nmybV)2Yw{psS4hy3f`#--l+=S zsS4hy3f`#--l=E8(>3}41a)>}HX{$IArCnec`C~-$`U{dij9u}=UO0$4Aua1VtgA>wI_MK zKXFZbs>~cH4y84R(x1km>(iQxY$wv4NOmILiD)On zow$n*-%4yN(XB+b65dK^E5S#^c$4ik2bk3Y%np6s+hkFBbp1Q402UJNj24PntAMoH zDP)DR0*mJ8mPGi?Z1f<4c?k)^*Sk^gHc%Dkx4XdO{GA=~ZTap4f&LJfS!cP;Taeeb zAU_miHraEr1vS@_go*&B2@D|sR)kn##UT;`@y7s6JMR0tP1y3IbCpUeQOP7Ki9{uj zsH72pzo#;I(>ItDf!Su7~l~A)NKnYiCbaE_;d+*;AFpev0xJ zZ1`Eup}))dp7ol2hw}v%z+nA*I6U-&_{(?c_pEz8PqpiQa;<-vg0G*Z9{p+RrzY3c zbZ)P+I}}@0(YlJ%Rk*G~brr0uK$Vl9>Fd}l-9Izk-&<>g^>1D9;E!G3tJ=1Yl^#=V Yd$NuDEcMa+2apr*o8TY+2P49!vG?yTvH$=8 diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 6cff6fa90af6dcaf7639b992be45094211c1674f..3cb69e2168964ec5c754d811d9351e092cc0b7f1 100755 GIT binary patch literal 12801 zcmeHt_g52L*S3g&h=3wRBm@uT;;p-!+pd^1=UP1J3;0rHTQAH(1(c3B@1x1kJZ3QJ!Q#b#h7cOq1kDXjR zMHNI%4Mi>7oJI9qU%WbS3S7n zc+m%f5xIU#;lk(pLw#TPl-?1p;qP9Gmg>#STI6vBM3AyE3Q!;)rewVDd91>_YtJ%E zo=CpCnhI&ZI#pd7_4)qg8Qu@W8ap<2#T(Bo%q$`fB4Hj4;gm>z{cJmFgSngB^)c`pnaYicCRct48EgL)YomD^&s+?^jmm{Bw!wgMlwvzT2kx zJ2!Ru#}MC9H)4pNscMb39!(?ucGf$x0!2BNmDRRRP0ox^r>5tTBXUz#mEUcVlN$eb ztN(N0|80x^A8|FVKmWN|Bq$P9Qv(UGI*4sSp2Ca%!XK69%7LlijtrTO+zO4nXc?7$ zvQooUnfj~E74Nz#dWoY_R?01=QxWsqs*B#yVU3pM+^fC{n{xa}f_jy0tei#D#LoPM zP`{tKF=4h1S8CYI7o`8ZdM_l2%rswNjN6kOl6+O}LxM<=T?C-|x&1A!>JF2aV@vb!?b_Lwubt-c!E2T~8^54U+ zj(?ewZt-E3crNV%9E#1eB6NhDVYVCX)C^2jo?dq!{f{<-c^BEuqg~O3TF&A|0=2&t zbin)C=^J(%RI(8Fro>Lm@L6%3ox6zDSMRZuI!<(U@Vm5E-*z5WQM7KTwJCXz*&8eu z(bqZBt3JkQ@z3KslT6G*#=_r^)~2`S09L&Zt!x+cMW{iQ=+kX;M{pE+E2r=}s*;^5~!vzd}Bm$5y%KlLw-Zy@B^1_5h@r~nw}oV=JSHuZ_L;U4PK{jfjGidAz}V-8*j5&rOATq%yC0s^-5@!N6Q;}e=K|Bp0~up zUQ0x|k@4m15Ze)uL${f(yv=B5Zd$v#{cpK4TVaC9jpgo?A1;joIW41W83Ca}5V7@K zEm#dSOa*_j@miRpaYjDpO^VQwr}%YN7(Q3n6Y;A)O=}P{V3dAO-F)(s1^J6(*Y-a1 zdrb+>TP}A+)wNyQ0FuEe*y`U6fA`YlWM#B)b(T-&wo6%^W`P1}Q|W|r+f_ZgU9Im9 zO?**(HC}`0oF$j2;1?Q`j$OQDbtu#_)*vJx)qP8R{G*@Vc~dYtEOEDVVUUx!-uUYpqc zv%bFK%f?(FTg#5&%l;g>;0*ay{Qwd>pZ)of(Q3SyyrubSsexq!8n4ikffDSD)d-XM ziL5WJ`mESHKWn)Y^Ycyz&|BXq3>VOKWaJ@bu&#<|>Z@6}AJ`JN1X6<t?MRncW-r{#oBx)U3aRNF zw8l}4%FBCi`qODKLC8FFFH&GC;8Kfv_JS?Gbiw@aua%sMY_mXmNEvcIzS!p8$8Jt2 z;X0;BPA(p&OR&?Vo!vfkn*k#>KJNYdMN_)Y6``Hqm{beZ%ji?d`FAYD{@mpTbYtVA z0Z4!g0m0{gUHQ>kvD4K3$%=-dR)4dcnoSuEr91+z znePFs4OG<9%ud;~Ws1nu_3j<9cps0)JvTd6yj`q+B*k~A)N*3#YYQjxuJ~98nWmD( z(p4G}_e(wZ9*2C&wOvLv43m2sJOk0*S}ToXs+Jq8%x}N_&Jddkd_^$fwfR)fV^f(e zc_7ape#LaaaAW{7*kB6}t-Mux`QvlSiH8#V6aj{ zzt-H!maE;gdR&@`Nu#;I^8z5dUXJo!Jzgb+&bFK107)j4dgn=IteVfh4yp9oS4-03 z;qClNklV)jHEJzHIQTKt6EE@E)OB+S zZNEoT_W*F@lh#=XvEetGPhVMkQKhaQ&qK8hatkt86$fkoMC%a;J&lM}SOQlKN3%~d zVc3hTLf(YftE(mtb1L-Hx@ujhZnDSh=lLU&D{g=3^*tV;{v;27o*W)NzFz?J9W&6e z=$)VYIpxw+tE}-#2ZWEt%LMK5-_Afa)>BeyPO5YUkdL|o+)rf9NE>iOp@^?GTm{e5 zEUbwF?Pai{Dykg$%XV}3F9eBxSau`l8f2^~H?+Vwd zUzmW2ehv6F@Yf{_Hf|#5?Vvr!SKR^8RphK#*@q*L=Y3Wn< zlJLZ%^=MOHgz4SMu~$AjOiMT438DeUI9K!y!7tzs1rM<==CaM=JA1*(l|I|H3im|g zWNm{MJF5HcDcQ3BP8q8{|JEZb9{;aS>$Ppd!Z2aIuI(3)IOF*1-%7j=eOz>BMR&xp z68qwN6X21jb<`juIXof|)sBbA8Mrb@ugqxQ6rM@8X4SyFh!Ed)&wx%ecHeV!|MHi& zr*zWt-+gb(Z-QDM51e-k7Af_}S7IXf(3iipC7W|DTy**^bd8-4apdzR;O$h8jGo0S zp$9V_D|Wu`lEjpel94)i~iyM+H@Ae=O@M2_XpW=XQBV>@S5MJ0-UhHhS^xD z*uq`iJ!PYd&JW*)K-?yT7Edm~W3NoThtK)xc59gV{b(lIugS8EcG>$P_kPS#AD`c@ zM&24ZC;ZSs`%(OL>0Z%Rrm#YWO{rZ(Qt9sYV;7=2|CCqhZP4HHO)HR&u z)v15Zx$!3Wy~#0l5;rTAy`fp?|JHBCwCI-ds?B?qKoec;`5MP4V~x!9o~+!*D?F(k z(FLDhRbDc;{u@5@yWPmpSnS+g>E;)}k!F->K?dtvq1|4wPqyAq1i|Y?KT9l$=a+zA z>W;Flt83%WyDLxdmgX#d)hm%MO^!)n4^}NnTj%k)_6@Md@2?`ejJH`~oUt-h>>J3u zxHNIeuhfPRB{cW3#LbxaG_)v#Mg4oIDN&~I!3$%V2Y-vIzLqx4{1h3Qw>@8e-B?Xr z*yBTOk*gj-ukEi-~Plj*V)?3oh|e>v|zNH?3=XB zdUV2!4;SH%N_$6dka|*+&O~I=Gd_x=y2mAxiro^6kE&8!+zuQZa#39r^IhQtq71!# z#__#mRWz#C=?WGI)~swFk@fh5hu^i@DGBa&=NIWC=3dz!;d~ZX9M&RxQ&D!H+Mam( zzRT>BR~lR)m%qu}j!0hZVjk&WIQ?A^kd~kfSZ!pjr;)oJ`J`PnxlhSZ0;KPuGxRPQSGSgk z8{We&RD#rs1*b!TYd?qc@mEI-TeY{IW0;1%XR)~x?KYx55r^g&^VEZgWXq2j-0_?# z*%R0Rk46Ovb0RkYFAX@vsCOU!I2QNO!uKX^aXZ8@Szbj%USZJc=VqEb83+)xZC7%> zTw<%`s-np!Q%j#etBOw~tt9k~iV@!xg`*UeNnd3HV1DleI&xaR)us}q-O|#fhwjU| zQ2_&g=B32_emvFCxroE*SxpkMFn2t2I0m^iD8IZJG_O6F;1&cQGUSD2B0`_pB!Oi#zrKeK!qiO|e5iUz-?TGhEqb(CuJZia7SHxMIaBpA6{6vy}%Qpq97D zW$syR9|pp?UA5)XsfF%YjSt)yoUYmu>C~>%p3H%85m(^H0d%d^3b1sD`=6Cm(=3ZS zgJ|zt<(Yj!3%zz?Kg?9#Y>e&iTToP7-fNEuopF~`7>NN;} z(M8gA_Frr&!L)@HV0O2zAn%`gyx48wf?}0j&BF@2Er+WIt82+W%7PEZ*;;mXvzDGO z)80Nz^xJ>#d^Q2vbpH#(A>sCmyY9`oTLyF-lSL7|aJaP*OErCcd?(WP_1!qnI* zgsYWN@8I7O_Yx@YtG@ahq-7hC6p5M*IrF9*$s1Z_ro~OPUy0}BLdA@1m4;|*GP+mH z9JR&2w`&@2#3%-9Ex%vnLob&J&_!(g@><*2E5w2{IJ;UIC%3>iNHJP36DLkMd&C{` zk)qHim(ahQA|^S_!yzpMwauNADRXTws&fzq%cO zr!bE(akT(YK)T@{hc8>>#p5phqD+9s*{!}VG4kd_Fnt*2yGowo7k(O8?6q9UxUR#s zMk|c0t)Y*aeqorm>PK?)rACM(b{>Cjb4Qq_Q8lW`<)BwIkini3T}2cDe%Ou#RNt2^r?PTRO;LM2l(r)rl7%}wL1CDd}M}3Wc8dD zD7`5-TyiU%=dgQ5zO{A?*U>9+y$W-LEPY5%e~AdcdZ>9ruPndTRLBj;y;WsWzr&|$ z@UQ(j94_a6JY%~S2@SOW%D7gmAIYYulQJ-YlcMyUa3K^GPR`nzb8Km`Is*|K5xCL9?ct8#^NS z53`^sbi}Ev)eH0&L=WimQ2s4pD<&9UVwJ1>@=<&>ZlhHC!B zQ(e`j5qEDO{LbY3)2yRGUtoWh)@G39{^z#QSaKRwyl-$%aBu3DCh77A>c?kcv7@GT-wp|)T?~z4JnA}abr9YBEd^wpWmLZ4YxpjtiCQog; z$$R7R0%3_(TAG^~|0cid?hOw^ot*1RvMtx1#Mv}2Q6lwWJ?A~7$P$igZ|jnN;kZeC zrl07C&zc$j2>pesskx=cpsdUjjcMwai4mQ##{D4svirpKGDhV^<6q*Vp~a8qh7&&} z^zv1PcD!i0WcCmID_^koPx@KueFGR1bLF`4fxpSSZiyDnLWFq4K;Q@cTvthjvwU2d zh?s9nbxUUjLPtZ!z1~I;B>V~v`UY+E1Fw!o%?q4Y=Axw#_%r7`ur>bx17!}}3eXBu z$BE0&rwv2m+}ZDS-d>RE@1hzn2iTWUJl~~BwwAlZeY3wRvn^~CSlgZ7oqlMVA_=~1mEy6B1R?Sp z4pYI&a`=G=Wg~c#Fea__>jAPuSfNb632g-3F%2}>_(|*T*f!1|+`1Axbw3A|FDB^@ z)`#Xq3mNkU#ixeaM4^5S9**BaC>FmWT~nxFz{w8ADnx2)&^E~rjxwkDtfK@FcwR`u zqPlf#)9aS+#Iqn;k;d?#P$M^;7-e(dPdeTN&*ei~bV?4zC_1%oQbVYn@%me!h~FCgyZS8X@g3_o_UMVRU3{So z3PuV&LEw7NhC%BRM$7P?N6!x*7lz3XD-HoJK_IEUOMRI!yCtFN?CeU{XNcnV1IU0 zghB!?HKqw?0QK__yCk@NdF)2*6#3!YlBF-R*UA=VC^L)gmM~OCVACr!*!1_HiSGHzFBT#E_Wq$u!05AiEaDsqK zn%bc$;_?#L0lLABp@%m)PO7UhKQO{j2T$VmpZ8^?ItlqXFvYGG`|Tl#yAR7htFFwP z)Cz)0gQO4sGP}pDr-jvqA^I_B%f$;K1nS^EF}l*A%jM8nGc?kxZU%x>$sjV&x)I6% zs!Pt#`)gG_$34)e1jA!3Rz;I&bhH*og2B}tI4Pdc#sk`OF$3=+Nv@Hql*XN^WRG4B zTJD={5)yUq+A&?qFZci@4Qp$;3&^+8Vj(HE-HKutGj3!i1IjVxo2ijTUTpvtC^fWZ z1J8BFHzzuI^&b938z(w^j(m*2FuIHg;G{xKKIqTw@&U%?CE9JH_6zKN0S8EZx)^-_ zoW;Aal?O-uX-JE*)gfI;=ZFSOdWcgqO+}*WZ9u+Om);StO9*esQh@RZ(|ZvGmBmbl zx;C4R@tg1~l;|OZ$5yk?V%F%|!kc}nm2LITE5}TSm!qcB!1`rQO5EYl!`>$nFhL7t z0KJ8&b`LC(Au!85Ta1xbkUun{S^L#hv0#F7a7wAGaETWEvr|7uotl38EyobQVh1gK zc+;D8lS>4Xl8{LKe(Xm_Q%RM-N0; zuWYAdFZeAJ!R5y+7E;VD0{BIx>YWGe?vVm9LT5okVL0gl4sfe)hXTR-C(i#O>A485 z;8WXE*l1TDPe4&*xZpq%WZ*~!JTk^~b1NVGTfsQtTT z@!%|c_aXGqW5_Ns0itNXj1FSgx6fmol_Hz}7ivBGMX&k<0B)?~X7ALRV1P#GCXV zC}2$JNaz=uP*G_2VYuvaE?h1!BP1j8@Ci`g%koWIxi9SNCdbun76AP>#hVX9VW=-7 z1)h=CB3kInij)0?3)=P?=P7hmqCQ~MRC6_7=vdmdRwq)Vs#s=h90FlpL+ZUW5<&47L}>s6w$k@B1qR#!uG1glILB&(jO zn#q;mex(g!TH6?5T@9iP4L(Eh?Hw~RryA7=Zpsm z)ADCVZX=8UX(8_Da1qanmK(6{-z4cRXRQ=!{q*XkVlRQKY{6tkhM$+fAy98Cf@5-! zAAsT8^q86*M4s(BmPB`xx2CwZh%%zwC+^`_VQC>ZK>%2HBsfLEL^N zw5-JuI-@_ovJ27B+Vil386ZGLTX(P(&AK;R@!NYy{G!yNHq%@edSSYEK|5z7b7~ql z+~&aJx6$q&Sz?Z}^g-U~b2(YrkV3?n4jXk%F;qo;6zdzO-o8e#BZ1ML%_a9Zln!JAX)mHF_UbuL;)Q-pmkEDAfi zQM6rsE>93vzG}MrhNiy4JW0N0OnP1Ct1Ef%#-0>@GejHJskB8qJNZ$>OCVn34A#=4 z9JzHF!kyDzGnc6<-+c?JDBp;(8>3b|^BE#Iyg^%^>=`VMux>-IP&&j_Cr>=x95w?M zvmm2E_m8EP{wNWuVhQBFwtG!2MNfTXPa6NRALgd4#dl%Ue@v?Y_any|#G{~W<_X_W znt07hT5b{P(5w%AE>iRRQ$*exy`+bau=mZ&tsUo|8v0CzyY&Qm?YM;A z8n>eu&gD7GJ)(d4;F6aMHiUQ6D8qu)O~FiK#^q@1Y6U7ZmPusy!SK zL|Hfe`zKm|{5K1W;}+Ih`OY7P_I(Z&`QJH%a?jA<-@s|$ZK#SCbBAQU0O>k(58@eZCGBR!1 zI~sk^iC8rsh;|FRdAban#g~Ic8)}S!`}@oq+Y$2kuf6l#3J&#)nN=^ry-Z|2a?2hw z0gO@~u*d=N+m_nbIviYH%!A8q%8g(c@|6L{VqoVko)D*&;}1WDZqIRmGpZVVFJPd2 zyM=GDLX;L(h@YVZ*E9&KI*Hq5WGvS(cn&fz!1oVa_@J_?lMbZogjv)aJ~B7Y_g1

IR69GgGr>9e@$Ek2@Vw8LTLK`y4)uUub|t8c0AeIkxTm<5}x zA1j*S;+v>HK>m%SPXXyJ${|4T^7lGxpOp-|lVWP`@+v4N~KR;`Y3xn22Dhwj& zcx#3W^(E~q{u2u(a)j`>6<3)C+V`I$TkiNiI0Y|MxIM={64FiuXcs5A?Mf|PWdpSR z-c#gyNqGRcBuTFZbK!SIX-;pl-!Ui2^f1xxh5LTtXB&c@l<)P2v z23^)WN=T7(vBw`5lh;mHI(KQXv6};9qr*K1`}?2gTU{BiU*I`iXlx-5T)Q!`m}>iX z>amWtS*b|lIrtWInEOBJuAad8eSwA0i#>a*w7-oy(-VMGl!I;)@ZKAvD0mWEA(^9^MM5%go@sdEi}nb?A4I52f%zT@I{ED6<;?+fbrZ5lbMV z3#U5+0{tZxSOHt&KH~2=!6Y<%pL79Endje7mGqmyPzycIVh*C6PZJkO1Xn>2Ta>^ybpEuIOfJUl~PulYA7;n7>pF+G@Fsd=s5S|)z z6v1-C<<6;^fs`wsfz-H3OnqS-4W0L=Sz$A69t$WB7R=$V&}i9h7HD^kaL#($2LZ}X zRp;qj>eEefVn5pUaQE(9!2*KklygOj><0VvIFLTCE*UZ^?-_5Pidit>Vf>`ubQ$V8 zU^>{hTv^A58`>RFfd`S9APyCaK3?4w*1&x1)TWEAmJpa?M4?2U0vCyI1Iu#3;++g- z%9v%l|1@AKr@3B2i$a`iFI$8~_M?utPD{2>Ba$T!984MH17H_MuUB%Fbn{`KktE5N zC0e|Ksep{p7MF{NyV{Pqnj)UV+P$X*&hFG3n|jS&hesd+f{~(=)fnGI75nJhh&l=A zIN-I^p{v!iAKS5CB=_>dLmkduq=9H5P{#x16X&8;@TPD9*L8Gs0Uog_;7ku^(TB1*Mwp$7MC7!I+6DCOJjg_UdWeu8z@%}} z5=IV;P6>mi1zcLLaFKyZ!P`Dy1YtiMRMSnAD|um?`L*`Frxg1yl77DTO`^cLabIRQ zaeUUdYN?MSKxy^6p2LvQoUf$4pDFse?P+v(VAAcAvA)r5-4P|1G1{+W_2JIYoP!LR zU6tM%M(-3+s<$R7^*^nMR;FO>+rFOdEdaOOtj)}9{&Ovf0)W68JO4V(?Hhd>mk4sn zmwZpF&w}rIJ34n}F&-&$Buk7%OkvIxbX$JFKVl~Kpe>cr$62kk@>c)D1gKJOmhCt< zKV862|DPQzD=S`JzfEz5WO+U*C{!!*ik}Gc3UG{8@owSdyxNK7I7g{4jyB6JL$f;N zPcgVI|Di4=bR0H>ozg#N&3O>nM+j?e{MHwCwo<9;(f)U*_nzF^8{UcDgwy3#i;npG z@stt^PF{n1^VgJ)d_vl8CZz|Qhw5~OwJv9opOUnn%Bmjf=Gm<1#uuW|3!;df$>i1J zL#f3)4iRH!`39rY_*X;jxKA952FpbrtGFt-|7(io44v5~&rXodK52~jLh@vGd_zn= zL@+n3h3n+_6D0n)wT{u_dEmqvL%?8#-U5f?$qB28GKWP& zN7S~07=lr&nQ7`t4o!^a2W&t&D$RUl;E=J;NOA#!MMcggkDWNEz8B=^iN4Kcy~hx9 zZK>4-(Q4|Jd)fnpKrIo8%&i{%r1^}V7%JZL^*A9fM>8j2^mFD8VZ)y{#0mPi%{HNt z2@~?7L&4tK@AJX7)x0hUl4DVMns~a2WY-UvBP^2uOGC%if)7{y<^O3J@@Qh2gIai2?;4p9nN z9j-r6Xg%o7f&{RqsWenS^7#@!d-dP3uOH0WU+d~_*fu@C?2M8=5_somTc@~{LqHdg zTzs{J4#26kk@uSqTA08r(P#W{ICT7lk-~@9Rt`gEAu+2MXntzx#*{M~Hv8@J@AH=@ zOz(YHY3Y5OQu@}=-POE+zhQ?zUFxv2KG3u%(CDL{vV@-f0~yb!=O+qs7G-;nf67|D z^hlo_I0>Y`ie zfjKsI4$qSIjMK~nN|kTx|0>6TUz2w?RkB`6mMD8>{zD*_dCEQdP|6phF7;h5M&Rtm z>(FpTGL0qcthVA))Q{{T-slg`S0A$tqYhe!Y_DIbu~ZgPJM1&Q3)*H>`czyB))lCH z-0=6&8ucyV_XX}J`B<9T!*ed3U3Ig{>D_-0Zyg2gWkLX-FaXb`1bqXl6qj}!E;v#lY)Ngw6Wpyt>bZ` zNTO_{9?b9K93nbDSMSN~Se9AGtHGm4e(i@-7H8bfqoYpV+TSee&kEBkU@EfeluG?{ zsM81iA=Wp%ap%k9#_(HmzdXn8-O4pP6d%Z9Q!V4J6kV(jJCJ6wc^vP({AgF!12r3Z u@bTVu)NOyVl(o)Y;rxqu?`KEQv))m33mCdk`~o{?(=+z@E3^N*WB&(d6K-q( literal 12811 zcmeHui91wp+{(`PV>h-; zvSv3c#x@3n!Tjd?zW>1Q4|wOk<~(z*bI$Xb^ZDG*eeUO6=kT03aiW})g}Dr1;tsR5 za`O-H@bwW@Qc<|6pd@-H(8J4BR9Qt?^ro7klCq-mO(hjkOE>=@4;MGl$4)MuqDrEc zCZg7E&Z0)H9&eaO2EJisc5~u{t>XVQC)C;hx8+p+-!_D0HR4Qdpiv+(j5{u>OCTxh z>0x`6podXg)CbqAe`-yzm&Id6Pl4h;Joxk3@uB_E^uRgK;a8V0CDqo~vzaBZ-pjwP zbYI9U2U`}!wg5gt3#cTf3%v7xJF-j2qZWIwEJ*@R<@AQ^)6dp7Ctp?qOx*3&aM1y`qP58&6-&TAVQ z)pVFni#&DwVE{E5(Uyw?{R*}NtSy-sVAXJcY?toGJ`WzidfcJ4^>OGek*Mts`es1*-y#7xEhZY9*Ao-AJ_}g?he05Jj}a9;gB)T) zp;8<7UFUia?|-09#DInmmDDR#m>3`r{a={>OWOZ2?f+}sU>fTdA(Q*ZL?ZFG+bqYf zLt{h3)n=vDrN$e;`O|i&xXFKwRF}=!tBKT0h|jX+0J=p+oZat%Y3b+kEtV68-gGW( zeP7G$xLOajDJjg;6Nb~Ow2zgyZot#d#|h`WabFaMt=*kDBfD|=4XQgS%@oR&$5(f4 z>O^&PyqZw>HM#Q$ltxbZ3TCAP(c0aPb;NLn`5fG+Vk*cR`1qCqsnd{fVtPzp{hvgJ zgNH|WJ5S%#RDx{8XEwhzoj|iJ!Jxh^(A_j((RMvo`qu)vo_4Rz)H>$T7v8oTJLOHe zvfFtd2r%X7{FAW37(0kwJR&@HWV(C*!8|Oixvgppvkonti+fl6Lf7PJ5&Fe1sN9I! zs|Qo3=6-7%nV`mkiPjO^4~8~OglhRefx)c@7YU`wow?(&b*5_bBk|G6h&?&G<$*rX zzu0N)7K*jqYPwA-v);VDLt)zar|yAQ+hvHjWStWi=oO)J+#9Lwg|t}y$E&u=BIGmK zt#*@tt|`HLFE#tNUX{WdtF@2edrp&n0#?t1Vz?4`QLQt=T^XVVxWnZAT-ILw5uA5%4I*`{mtc*lPQk-Sp|v`mQSn_F4M%wj8P8S7*ao5;(n!$yVD{Z@3(A$b_vDOY53R z(PP+-CG7>GEq5+xaflGn`c$)3j^U-co)|ATu#!nX3<9^B(X8O=i2Bc_Nx}QNR=igh zRjhkV{#EM$f1=P30rv{X;%@bmpog^N{oe-%6Q$M!8n=;DkM82Lf7cJSdZM@}U*)^n zFpt)4&K1)o?Bd(G^7-F1%5I!~!h3620VUCZK^s=w*l8@?QZYLi9(AJ(1F7lKK%fw`mWa8fBRTx$#r@c#Rnn<$1 z%Vb44KRSJv6t_A-#Y^GUyz1n2blo_oKWuS!oj^hfYAF zLw;F;P5lls{3iay#%NGkXKV@b!Uky=i6&Io7{Rr_B@+&ly~y?CVCYm3dZxxeTZ`@j<&=VlSD z<$!E#Y?vOtEp6)60qQLL0Y}sajZ{78KG&pfl$}Y8S5lm`;{W;3roQE`Qg^1aN+DP9 zdciwXnHlP?TVhjP_1tkrwF8DahmPGbb>B-&JK!VXZG6*g`M;JXsN8UA4IFR8zNK8R zQ#mz}n{WBu^0xn=_w1?Pwhx+W_g^l8JM4LKC57-`yav=Lt8=Q4db;n~j~~?l{oPd6 zl*U_MfY<+y#F~9mTUH+~tyw12Y1BN9 z0%7cYk6Ti$HZ1{ws3#yP|LPi%-nt~jA9WiVmE~gTSp7HGQxbW2E91FRg%jdTo{1QM0Cc zE6&=-(D$D{2X00lB-%%W`4BWyhBC_YMkXZ;Bv$=U1xG?-7EU#dMkH%ZSjcYv$H7dm z)<5XyW(rDk#tuq6+`O_Iz^&k1R2Sxa1*%2Bmy-VFlZ^p5x`~gt6nwt*lN}<@5=$6w zFv#ujo9AYPo+jW<4wjc;X#2WDqb#)do*~y>~}VW0Z*( zR<5#Z<T}h*04Fhn6zZ-wN|{iO(mLQ)Dvvg)u|pCHdD!Ff>((B zNITo`s{IS{cxl)@;XePtjO~?x5k2)KI@hpvP-W6c}y4nmi^?l z!wQGL(~YJP4<4Nj%$pK>1@;w31A^rh zk9_&oSm2dDGZOS#D0G)pm4?B07oh8n1_AY-I{9Hn+M!TV6^y!+U^;+m zd|~^g0v;F?S|#`TFM7GzNGo9phiU|q=C)nBL1jz)^U z^fOf4tDXg(v%ELG@AJUmXzlW;I^q80{VLI*w0QDf^kV*X_Wb+4ypPvA(UTFcC&$~9e-W0$JoO7E}NN9N;BeyzeneZ&KtQVj#&3`sE zF4?u>O2U_&Rg>`d2N#`?l-JKQAaVjg`cvhtgztRD>Nxc#K?xH z;_@BMxDbhPKZ$a|mIo^RMU|&{p*rds#>LN?pDbdRl`c5MYZIEpZpp=dUC`vccv&*^ z!n4NV<6hh0^x@5gjLz}nyKBewj`p=zhAAHm&Llqqb(lI7wIVCZ0>s*i_}{4egyZgK zva`iy=Qa<^5wsusq&fyfslCgf<66XKJG{HYN?AiU^!guDUia_k|5|@NV{z@b zKzG=gTN6Anp5l$oISm#itxD>_EQ_b)Ijb?h-NXy3gPr-xf`8d3X_5EimTxT(tK#YD z#_~ooxZet7Y3LcbiQ6}3sm9IRvwDZLAr$5W$T+a4Ewq4b)#Q2wiPfdgi>uh8iQZq82 zn-rv*HhWkQiV+?Es!~itl<90$g*SWq#T%Y>5PV9e{DqTz%${ahHl#b0{1O=YK4-t* z-|^!X$>?GPg?nUX#+eKyuG^d~6F1jne-RO{LFYTZ$rC&{lc8#q7{p;jJU_1D?gh;t zDga*>iuu^2d}&uW*Z*ke-2U^k^9F5N2+YEh_|rd^>yyFn*3r7t&`l&T7R7!K8AvqBrh!Si9Kd1I{RePIS67WLL!o3G@{d%e?{Bopw@?`!*>rQT9)Yv)^gBWM~~ zd~`#Gch~Wh`vvoIYOk!kP|ZlA+&mRe z2B=Z~vBmK})2zv1<<&mxHapxK^NNq6glrB7KX;#ekH_b$7HWw4>%OZ^x|6Ve^00s? zFVBQKsCxdBbxpFhF{tBXJF$IbW2qV7_X8dO_SfTIKJB6cdwP z!+!Rp$CsBl+uYP{H%kHM;_RSJP62d7HOCWtM3+fGvXJPNOR4^(g^Cz`v9*rtId5t* zYiV{VV8PrD{}%YbU9-R6W8D6rjk3<)&JqM$W`a*Re>8_^hFQBwOT$SRcD%zYBgCu^6lR`G;XkU!0jX2V&$T;NPtQzSZ4Ko^pFZKMt*|82}nsjT;f1m)xf%o+yf%pQNmTn5jve9o?9jXNxa zX%?#;$Och9xji-}$z)|OQGc4I@1N?`QG+$K=h^)_w{m|Votq_YARVmbDx5Z8zmeI; z?RO(E9em1FPYl*DE*~HP+rQo$94 z(~vvyW?-hpjyN`}Ok2tXKSK;^zZq!$Wk<}LRjyph-0z%Kj{GA}O>P*BWR+_DO=^bp zEJxOTxaCsV(7Fi4nnwuI@dYj^)Cs&Y$iwN%Hl=oOR*bsBJ1{ymb}Z=;nI~LQ8X63Y zScovkBpP#~%zL{|2d9#ZWtPtq30kQKA`*9c-tR)R>8S@mmI@PkQ)9#if@<|PTmMo>gX#EkEYBXK7fph`s}YQKMde8?@uP zIJ6Rj3Xs3+z!(snx${(V>pA% zWbwrm%#DFj#_=^#plxOe!=SJB;nBuj)=%}$uu=y-1K~8LkoAcB)_jR|5CufYXa(W32cHK~!^v*{I)c>T$J zZL%j@=+o$5sZ9q`d!YOCB0)IfE{u5p2)DN26fUm6HO;q_YgGJO*b#J4}L{*G^IJUYJ@L9L9 z$6^_&hf(SZ7TTz9Iyw?CaOL(Ragn$X>}ra`^AY{$~EQvhU5N&12+URv#5s^IK+xpHp zCWqr0IVQ-##wVXEiHeH+nmDRonUF0VpkD?f1+9oQbM*!|k}Pf)O?6-%CP~RqL$}9K zp9emWQLlS$i!kJd#JOI&A#uQeEv6TK5?|!++CId2p&$%D zMfo$vx#p@cD+zlZ|M#EU{0{eTcBt_Ah9;8oj(H0^-flp7hx->ho+eiL$Ni5!j4#Fb zHnFc^_l^z%mKqbH$L|%bCGho*;(>9aUmm54acL4|T=9Z&*Xp)^ra%*$Rm+k{bq82# zB>xl_6}Ejv-1j{($-eNIM>-O>`RbLvHsaDHi-et_=lQLe)EMd9#Ioo;1G|`rqZ%WP zAGuOuCPf5{#!<8ZOJBphrT^MNQ7G#c#DN-R*mBwb_-Ylkx*-=ciU4aXTITx)K4YYu zdo+@NVaMPb(oVqOQj)Cw&byINv7hhkKU>Z0+^2EL~qFvSgs(EVmi7|6E$fZ|Z5ecTupDy+e4UaoRI94J4k8xFs07PtG zgr4?zTfPqgC6|s6@I3axcT{C;vOp!zoxz3VU*{%qq+-0ygxz*}ajV8q9mp$$@fe2-iWg=?>+lJq)CPL8kQYQ7l@3%`D`OL6&_F7;Ers`HuRATRBTY2dGy zaD2v|Wtx-*&+|0*eQO`6o!#++yk0)Aq<({FQIH9PfQ-?)K~V_U|NS%eUNm1&eDrK* z$MR|l629IQgHdr+-agZh1LWvgPf0ymsekigNFHZgsndUXzfNAM2Ds-+iy$TDnkM%0(Gzo2M5b1%g*88g&*vb(W zvKR*HQGBHiN~Bh_wdhPTM(^dg*;kh@R?=eyShre*K#&)d#=FWrS1M1(Lg!eqhbtEl z)~Z;!{ljwSaAyanccTPq)+G&MfNxG8Zli_0Yd=ZB$sd)_^%=7|ksHVvPZctp(fb!)gI9rzT}(p$#)VIvOx~Xnav!p5e)&OTUUl!1 zH_o9Jy8n(5Sar4ajqZ=nfH-R%+0I&N6FGTA3#9Jw5YV>_x799@pQmV00+Q+lnkof815#Ek1_aNu-AZi6Ax3s#9!5j zbz&4fpliL;rfxRoXmLO7xWiIzo3?MjcN{R$766~d-vE9V0tRufZfrGnrN+lt&ot{C zF0-!Bd1iakdf4Ny^7rpqE)nb-2c-%^jf+Q0L6)H((m;%KhoMv)n-W{y!)1cG&gqIm zYpfhdW;y1I662)tEU5i?>$#jJlDjHJt)uL1fHd+si|sQG)?u8{fnf>h`XFB$r9_wc6S* zp?~^e6$yRK-YbZb(8j@ZB}=o@Q|S4`%D7`)e_t~JI@z&M#&t( zMm<-C@tKr5${dAge%$I01PydwjYoofjOOU^MGzs}mXBlJ$2y(74;nqtfYXtaueh-e zqiqI1v%?w$kcRJ?Z*3@=9~c72XDTvj_y-66cT%iN1N{GZR_jSFyap`>(4Lt%aG_BO zmBU4sFAW`}tsmVW1$9F&3*jC@hL3&Njk7f#X`E1Il)Rd+bII91UgNciEQ(Lq&E+_^ zU$B$+9+NLT=NK8k(cubsMumaLI)>-TVx~~bCt%9H0exFTvfC)q1IV4cyR)zdAZ?^y zjrIPSW^A!RLC%d}oSN$V5;>>M^c5{MYtz< zttPk*Fx)E7L=UIZZ7Ap>+`XrykOOCxRuP2e6-7#4DwhMfCWU+FOPFL z!L=+Q$sngzyu?{+oICiug&JXOFRPBORK~#$s0MvF{v@T}cyc}J47he#QIt%!QIr|t zM?)sn95-S#(TcpFVHED|^!QtsuU=0b!Q|$Xwbc%PKnjIqUi6Soxw{`QdrfF zWGzi}|9fDD{uZiZSqi)sB?KQpmRYrq{?^M}cp{YF3gAeID?Bhv4-~&y7LW=%Xg42d z>`sE-nx8my)TiX81mCJ}t|4;3qX*0LS%*BXFz)K_4eE2s21GXNtltHoN4o0pJZPPi zAYr41Txsb3Uo2=w`M$%0IT&7PNLgRzsIfNLIkzVHO=P~6@gPRR2?w5h;x0e*ms?+K zAwJ1;8@96xv*|{~FFJG$1HI?1K;d&h4v0)Rzs_(N+)>{pYXzT*fKHr`j)*KgyiWz+ z7$VS4MY3eALg%%Y%Dc0`-NEDsz@)1+Y%-h;oii{6+JI$_#@tzc! zHRr2+I`%68gO+;ypE-g#kH`JO6*g58E#U)gEo|gp9B2?b{a-nWK#dY(Jh1<}AH>jP zUx{F`I&9qp9a9}pTYs)NDs!qPbD!m$a*a1S%dccJ+OJK!>$E_J-px3C31WxZhP<7 zZVoYk?33~V=pKCF3HD?4W1O3bj-DI6=PNSAg;(7T9)K%;Kyo>1>W}_Zub)O}61dS_q^kj8usVyZy&>7W4u1^0<1aXp5c3g=ZTF zb4rDaVi3h?VHS$GL#M9&_0)XPCsgtB;Zceg{xqVxs2gik@A3f71X7* z-K~2~)ki=Uu)O|ck;HlZ4y7OUlwP{QbTS(;&_=TI@9urDJx$wlty_i$$^Tm8%!3~Q>3TR z$08sOb-ywMU-H^OsLLE@>yNdtv6ofdJK5VBwd1HV^(_TlXP76(Bbh`0w7snPKh#Eh z|FIgOz?3Pd<-rg`>b(cTIB}dS7*zmz03TTuIqkzNif&md%{+D;Emrx+XD^J`HG-Xd z1J|)vcc3}#IKg-y@poRjo0~LavjY{~NRJg6gfZiT1YBPvK3mc8hNj1b9?)oUgV1*F zbA_i49uJI2u?8z|*ROm6A4s~1xb;X9mrvn$5)q#8LT7 zl}2u#w-r9TUJ-C8hP1V}f%#g8dTP{H*884CgLx_WvC|y8x$kr|N>e$!5RB*@^>?kR z;2cl!bsjDNnI@n)8W7hmgOLzXMr+PBmKatQE`H*E2=QrBGWFcxxny5mi z9rvRy3xR}EMzr-NAfx!|*+4|M-4Hm5ILGZStqBa(7F9LWhnarYTBoJYGQnU+TIH$$_cTvjQ7^1c z2EELUfj2{gNuDeHtU0;FmwzbGh<^4(UihH(cR$MN5V5K=WeWEmoslSAXIA4Db2cJ#zzKOc6u{Y*uEIU-o^)d zQWc$nD*@Xuof2^PS+v$C(Css5Wba8WS_spNGcstkHdGI+_qzozypr?gnz?fK_T`9Y*NmQIc<~pzd zEJF6?c2unxM*+Bh%|STr>W#`Hpj^z6?_94)@0LGyp}(dVZhhrILx%GIpn%@BiaY)@ z0j`^fLKyhAeb$8YkutXiypwjTL{8X<$yFR8(}8F(px4KS{UMS`G|&=u)qm(`3H}PI z(_#7{xR3{dWq(7$#8)s|fWO|@S3gxprrGKf8hKyeB1AdKv_JBTc@g%`42L0o9NR$| zUvE2_h?4ym7vLlOf?U4%+4=zdv~!r0N4Yi6Dg5q0qtZeI!I6xiW{M2D?{eE7an&pC zf6Y)_joV?`CB9^(#gG(GCgqM`tBnX?9OLXwcNbn^6Bhv-x_O=xX_Y6;HE3iLsodpz!@RYGnX;eElo61LM_B!Hp`MV< zeafi8Mm&KgJANUI1 z0xxy|a^C}iC4kcA81q8qd>x_zx6jztXKUTiENfj2;xkSuZ~@4};mA>?tFHw-@rZWlJ|7CdQC({cugB3A@$$+FDb{TDLtG4lA&OM-Rhds!1 zDE6zW}FhJDiQmnFE<~Cx?gW?Y(B40=_sw-|d{*!YQN}&+rQ#f8vg(hqDD6 zN-=A>H-~Z)p{~fZRRe#SZ%X_w?X@mLB=A;bTCY~-vd!R_d3B&@78Ay{V!%fBRDdV&w>^&69QlxPWyA(-J8-|ES_89W%BgT zip&B6|A#w~@-2h<2LpS3%|?RR8#u}uAB`cqr^!C1es@xZB~lOpG%8!Vz|wV zD*mRwK~Xu+s%OvyncprM+?daHG&LE~e(=i?&g!I&)jRz>Tdj5*L7=1bm`TknV}uPs}%{_%R)i3u)v)AIMLfk_-c<2MFN39c6XWi9kGv z2w$Htqm=ZFZ9$JL$K9Ad+bHrM)KQ@~#NYx%2;>EwgM@LSktx}laQTD3$R9^RSax+@ z{-o0G81SY~fi?cXdmDyC1Y;-T9uayiZa}GXOp+IBjQcW4{{#RB{kMjM1}-SD5}#c~ zlJez`%Qc9F>=uetc4NcWFn~N%`|8&D?hw;!Kc=NOLY7vC>2r_hdyjq@3wwq?)943- z;%BWtR-?RB_Vn*Z;zK91dfpqS;Q48CQ~Z>sVrfNea`YUA&&}d-S2c96$%X-}Qdv5a z9Z%E)0=}YyZSm4Wt;TnWO(@|5@pQCue|NbZe4Ey&ZH;>h`#&_O?k=H9UhkKb%Ntt$<7lyV0?v z%+HpqVVPk0gLaA)Ie`0S+tH)f+{tTA%pRHdf?XVON^QjI1WLXLAkyz)JB>qAttVz} zI-e%ct667Q*{mKi_1>Xgk({_@Rj&wfSO{zLYZ-a3b1<+gT2xQm`OKlKF%IUgu=Z6< z2LH*2QNJ0pW+UV=UAt~vErOF)_RJkZBSn5WOn!qM+1PFY78=a>eMP8I@ETXTk1Q4& zPEl=J0+~M$9%=}-z|*%I0o}+d-D2Q>taU+cFZ?&ehr?*!*Nb5qL$V0JL4It%&J{v6 zgk#}9iem+EDsR1aB$&G$C0!CDgRh2as>_Vy?R-U!8#fFxNj+fe9R3A+tgp4(y~t$_ z0#OTm9jg}Qq)_VzWlg3U$^%FrA}b9QNfka5Z_}E;{G~IPkJB?4AuR8o{x*GTaakWT ztUPk1^@&RP+aF!mm)Hzk&(00bfE~hsDB8VTHP~$r7tU$?y^qC71}DAGdSqM>xLiAw zk-#SwoBciV+v!3h*^wd5p|Jd++x$b?v|Oq-ur+L+9Cs<@WK>u?5&YDT&#mL8u`xAS z>QMAI{oUY;mQO#uDldJqmKe>?1AMMqR*m`e+wE!4!^X39Rcw{TU2jBn?9`t*Abvc5 zn)c+Oj7P)unA=zTm4=)L6@Py!2;%c#D4Mc)d7fA<+F4645GrVdvAJbCoiwP zv=B}N_glWb6|-e@;r{gFzeCzf({{!UV>?D;_c!i74@|XX3%K<=^!+6bkw=W{mTM>L zUM5|9748uD;jc#4Md?w4EN_{^(*kTO6v|*F$@T2MKw8I0%c_miIhlJbfqxRi7}P;_ zWuAm*HL20iRgLY1^^KMBvmpMU#WQXRZRwEP8V+Ydq7DYvp$4q64|dwB(+kWc`bHFR zYLqK+#(Uu{P0jv7fgER5FF2K#-83s_cle4MX{uzKc#IG}^}v|?{!wkkaRVsLBsMxg zP-|eN@v6??tm_2dSV8;uMvFEFiURQsgfM>o-GcKKkJEN;Ki<+>97~!@gqEfCB-r3< zC5wyw?jt7y1Z+P-Va1*G{(|d%`jK2quSoQ*mx1DDxE`8eiFr&o5<$C(9BIW!WI zZOOz??l?aF3jsGjtl{5}_lV4n9)0UpT;euQqk}eUYNXHJtGX?F4!i#EZwK9Z^}^$O zZ2^1cOHSXvKKy<xJpwZ0z$#pt_w3)wmfkCp^)jR&U9$X(VMzW0iEK7P1RGKF&;*7Qcmk P;`Rpkiotv_gTeTJb%mO^ diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index eb3f1f45d80c9c0922fd4b0642351e2a2fc4cb81..4a8afc4a7a6619e98cc3c9d2cbc2eb0eed8f6e6b 100755 GIT binary patch literal 17669 zcmZ6y1ymhD(~|91C3`<|Jn ztEO5`b#+b8nXYaO2ndKuB*@Ry;qwyvq`yt<9V~2ZNLksLSeRHz#hfgxj7izp*+^Nq zm|5AF*;rWFNq?ExJ6jl;kSZA%S(37n{*onCGchEUG`4X3tO?5l_1O#r1eehNM<5i@ z|A&5&{2%HHdFF-SX(E{jmg{XMuNx&t|1BDF!02|lPrHL6bA)Pa5$DJ~W#~!Y5a<}M zP*3B`Ub34L!FIwEwR$wx%-jsgN1n5F!7%Yg+>b47;?#{Tc?9;+TQBoCt#aCwo5|#8 z@@^?DbLiwdoGbn1)Z}ux-r{gLLA=EFUGX0X*zVG9YYa#rfo{x<#6;}{;ew>6ALfTX ztmHs5+O9nJ;sj_J78XQ!J6jl6EyRds?+DL^HcGK>;70iov8?YL7XFh zca?KoCloi^H1iv0e8H)vU#lg;S8HlD3#!NA)MDc-e9@r2b%&FD_Ly=vKgy_HFO_CpBpVXwT$5UeZF8jtzD<)rm5# zA{O*;w0fsm73QoLW-r*x9XH$$5&83Y0DRvu&2Qu5*Xp<_IF4i=zwkUZ21f2Y4A_M~ z^VrbRHw*ZtSnED%(Hy^^fTzE#Ddc#>dZVm}FP&+=_>c*RWINaWbW!KetQzU^k18Ns zJ)*T}>+hMy8QIWzHoPBYR*NjW@bJ+XtT{V8b?QeO#g24UHLXgBT~4y!R9^kx4Hdiko;GaY`siFIk?S;tnW)-wt9jiW%0?-m zQ%O-V!k$dWu z;%qZO$}=x5zq1W>oFcRF70gILj-YSkJO^V0+|i^07ZA;|cvdxaH_6e6P>|BPNu6g! zY`KkIufkh$c;4%hxtyz&`3+As`Zjgv*uKa3)dJiKtUhXEe_ZWpiS5e@8hCa}+bh48 zz5V}<@qha&Hv#SOk=%@$v)aF_)zQg63O2fYUaCuY;mr1CaMtRYT&-jVd6mBXpQ?YV z^Xc^dRQ@&*)cfnWPSqHlJN`F|WAU{#S=9qe{;=3&5!wLk&>~mfkYS~xndRZBSznxq zG}a`1Yu(kBHza)dejfy~tlgj8D5)hC{ENHDgnyZr`6ei$@^_YSA^1sWyPRd6mht}` zy8o-~|BPkm<3F-!Z{kJBCxlR`^SMZ^6I@Q_(!XY3p77zX<@{bLE?D|Tt)u0LGp*(@ z7Zi$5O@8SmFw6(LsVLX=*h?B|{g>yojly>6t|S!AL66QuM;z`0J%BF%@tn3rXrNEC zN9Fg%;!pqij}rf~j{G9&5B?DQX1n)c`=_2zjx$~BD8H9yy%Bfsr<*blOrJS#a*JXk zWm`F`-|#2=?Z1?7-GrGh?X=CN!|7U*@z~>5!cMins}7^%>oSpf>d_=JAbiC)(5i`k zGc`-;e_NpvW*l||WVo~45Va1flt zbE6>)Z?e+;(2(4!`V4WEuqOPS@44pZ6s?P}MiKJJw=5IS^*KYmCAFD*^*$5v=`8hc z;jF`KPAp6s1CPp0>|7c`O1<>!1r%<3F;v{)*CRK*F}*1!yb8>_Y6j-qqjzu)HZu2g z0`_u=(*g|{DxGy9;NNWVOIRV>o;eIJ84ms?0|7HZiZ^^*gTp@`NL{WN#I?VZzv!BK zv-L=oAa@(H9Z@sesvB`2h)%&2zLa6V3Cuz)S{$Rja_OjvV ze*VLWue}){bak!+e@7X9|GKTzfcCn=ac-i$0xbcUU8S>VE8$U7;;O_E-+8v;gj>(! zSasGX(nGKCNll|+)L{6433ll310HnG;8k%973^U%jO_7)l#Cgh)P;zkpCG=LTC)=mls z!4fX+Z&!b@U1bKmVt2Mj&KQtnB_Q$1Z8+1SF{Kvk(TGxo8{Of;IqTT^z-UJ+dw42h zAw>(KmSNbB3Zr^&_!vkRpWWSZ3De#x;YF`}9BgOujOo*whqF)?TuL0ku(z)xIiHDS zEaTYDMTkx>qA@!tZf|xvP@`&`fgOStXWCycN9yHxP#u3O9i=vT+GbcJt@caCR#zL% z*{*JB?i{Wb6?k&;jjjuq0fr-}Z_&n&^Fgqut+{iBzfwKt<|yXDNX!K}yN-F5w;Sjyq-=)!4eyb~auvh2m$_4A7$jcZRGoJaN{ZKKZKO1*2fT8j# zqL4m_vPmjcV)a$Djkt^sAxj5g-9K zo-LKzge}`{fpQq-Zry_N)z`>iNC3rnW%rx>ftr}f%DH*?qJnH~AR~7OsGbw%^-vb! zMtfA<-cn_M!C#>3hfjvj^m4R^QO}&hWq{s+*p(IjP8Xgq|AbZ7)BQ3i_!g%Jr%TA? zTuW2vcGWG2EBdXy|7uh@(PEVn3}-kzIs00JC*VcIqg9P#iMGh*f|E~v*6#krDD6%^ zL+5=7wSrM6HCx|s4K^@ILaWVYGHidOP4mZDD7ZJa?4y0AtgzuDialxZ>C{0Sx#K8A z5Uh~Aw^nnCv?}b;xy<$sI=V%9WVmmz+Bt`9p3$6c)KfbMCQcn26!hYWY9!{bK@U?t zplV&0?-Xi9);I~RetqIOQ?YHcJq$=izC8IS!L6~nXoBhrr(hFP5LdpB*gY#iv7(VC(tA3ryAKUW~V$h~~r{_|=p0%6D z#VJe5s_|CrI+jeHJv#U})f8N#$V8QAwK~a<9b))ecGTqo2mK96>%ht?f|bAa;9Gd% zp?>{q6>v^*1%+Y9oFu#4p}RPLVaj7WY_wMnf`tX;iT4JD1A2i6>uAZu`iXV1+{EQxY2lM zO5GILD!C$db4}kRP5X-~{8`A}Xt`>c@~x>;ZqUaH?n1*yjmUwI=t?&XQ5&k2+sz$b zyLS|a6Qo5ZE5u>`W{@OJBkpW&Th-KcCEBYs0f?(#v9 zwIsS(zeFC+2X!%m)?Lfb4)R%(>ewb<1$(nq@h4hjf^y?h|Cy0@3B7#QQ@V>0M?vu% z-KdXDTF%A*6}gg{4_=e?68187T#tylN7rTn0;2>O7B2yG^853gc-+R+apI*0Cnkxj z9~CY7noBBmDx%MR*X$ROVa14J+*jEvV}$O;VN?&o#b-Y;4&u=AAqk|dc&@`x=s_8O z-u?7Jhdm}at5QaAHo19mPTWO)-b%e}nXS2=qEzDC?s5HT9dNbBsO>P#5M^Yi7jew^oU$mY0{#16| z7Op|=WGl}W*HBkEu69&`3OuGI*qXl{=*D zx7Us{jV+yF z*;zT)M@D8Tyu>Um9i1elj|rOc`FE)a8-?(vg=rI$?*$LM_o=CkksD z82wLOYKAaj#6jiLe z^rIqjMl7=Y;W%<+l>&L{@6qy58L!COSby(c7|axgYrsc>_|d=IR6a$$;P{lhZRwSo zFOLqtY3AvgRLJ_0By3`@)qWVloeMdf-rY^9-)!Swrl-i0Yb~)(XDIwV$F&~^=V&iY z$?otQlHsb~7Hq$rFjErcbFX1@o88~M~%5%|W5xUC+@}sx{sw-jJy%v8%Z!}t#L)tDh&ig(d ztpNXNIaqUU5x0N{uB5cJP+UJ4EueMn)rB{t0(o;B#w zbR&*_$y+h!=wBD*>Z`V7({V2EI)mz-;e4lm5z7LO3xdoUCv)FdXcW4D3LiE=dD~V` zpcK=QRj#Ez5>=rAAi*qM3#2f~D-W~zZdRHH6!Y13g4lqy<9iT?ehosvx!hBw$N^918n76%qZ34od;UW%%pLPpUf{W(-(^o1mK=xbAjHMM2+xCc-BsL z2}c4C9` z6jjj^C%HHh`!IV+bmh;OowiCPH8|GHcL>f@wXf2vDPHqXJR|V7mLEf2xn0r){ja1G z{RpiEU+E~V+ZeqmcOidd1ckTd|H>43y0sFhxVf zXJBll|A+bKZdcqdyk)t_kkM3+N0g|}a@67%B=$@11e&9}kj!Hlpr4(&(N zp+7-a-@-XxJtvCzcUYA>;AOZTKA*kXGjZ@L5Djx9aqy}U#b($1+mNR%kTsaXHI}5X zkhPeQq#LJU#FIxUPuh*}f|-+}&pLc|LWAf4yu0_)yR8HKMB1fmUt$A%jjywXJdun1 zQ1IG3^Jr~*c}KTMbx`+LX6|!A+ZiTD7Ro%q6}}j9h=YHyw^9oJAge;^$RiH2V3W6% zU4Fx7Y^IZ|u3yYDb_156ceiP+-lQ0Kyb7bb=&I1hpTaGnH+8g(u@$1yyzV zXo2Y2?`XPDT(gX}I{g!gV12a#6}Y)$yFg>ld%Lt^@1@<{t-(--PJzeo?H<3igc!#l z70C}^k`2$pZ>q8=l!nW(UP>!#;M7uv4^8x=m|BZFfgwN z_raMTaG(cGAP2aJJ19{Bw;6EO%ekz5`c{{J_CmG-q{iJ5yaIzV7ox*!9+{5jP)`^; zbBlfvpM%10dLIqqov&=KS6tib$8X4lWd^$}_KDnO1IM#2WWCa)wc{J~S|# zy^&gZezR#v=jz8b7GGyWZ(dolf}h`spQQME>#DqnUQ87UPaA|i9y;AyA})v39sZPk zV_N(p94(G)2Q=CKof6L=N!j01PMT5A%p7u9Sr%KU*I%!oY>arcK(DzhC`vKS_tQv2 za~V#Kw{3zIE=hQa@%wk&$}ZJm0%g3t1!>2Wfg$xn zAm`~jUqmvxYMsptZ`U;a%C_{t48W`IF8umMk(F(M+7VI~&Vk-QvMb@tI-hvj!}|k+ z+P$zSadt&!N0*pOjrJCK=^rRl$0M>1A`4FSnkxSyczqrb!r3)%7EV5O$-L2EAEn{PW9g5ncR=0-1~r35A(xfFR;PVfo8;Wv5a zrum3x_#oJK8NLyx>C#H_3Azy;y3?X95K~HZXyai%04X^oawIgxpN>Qyh2tqJ)RSW?- zRAYT$d41rdS~mZg0;``KrY6%nk?Z-mbe~Dl)*q}QM(VF~<0^-wr<1LZMwub_@SH!0 zp2>y)5@6&#G*aQO&+kKM;@*Ip-q_9XH~76rQh+E}V2B{FvRx$lM*-bPvKV-IC_5ms z>8~5K5tL%m4AVpOy8wa7!ggyWoz3;jd!}~Lce56FUSZ=ew?TFtm?i_!@^u#b=xNwu zgxAx7DntA8k*6-9>9|&=xvfVnGv}ik*bi?w{3uB-xq>)}qsGtnzi~4M@V1%?OC!QA zHyh+ecZC-KIszxD=#C6d;dmO-WW`MQfM72N}n3;vP8UIY@*Vl|*q zFlc6u+v$I8z}6W&+MjHo&32F))-FtRN(Hl>{?JBK)mrEs$!1&XHA1=wNOjF{#)XYB z5hPLC_m-OOYk8>`C5;@!ByyO*;C=A-N%PYS-FC<%fYCcYOw@iz{;ObIMXADQ^&{!2 zE70M=;QK@7DOUX5z5f!ps~PEvVknS+5>1j{)cU~KYLHLbt41mUN6qAYC`=9e$O-gM zG=qoHC{?<#1TlOWE69N6swj}>htUK;;OZ&@1^%n>Ob!f*QQ58{sEBGtqlijXNFpEU zSbJ&sjVi{|z9&yzYMnNR&IvV+>`t^{O3@7_p1irzz#FPkW;X5?0xneC9fm9TfEZ84 zIF;9`{Y@bJr7c!bhVO6gv7YD`i2~g1E>u3rU6~<&lXckTv)RMkbL71y@=qtz*ej3q zA>dVvu#ph@o3V&GDe4VvudDIGa&sDMD+Pw#6R`8ay0O^%DO zn6FQ$k-C!a*~VUo`{K17I8F?VJAsMzOwf_`GDfe5hOa0i+H5>F+WXBh+e8`+A$=A9 z29N?7ZXr^fl6Zs1eK&fNZL#2mC| zh-#_qxDEQlD+K)~8t`9b|4YCS3dit$+v~n0^0CxSksG*+*50k~>;FU(81mp2#2?@q z?jIcIx^m_dPaJ+uWn-v13W+{+qQ5=oba4lELf$)tAA8^qnX&Xb&_G#DKdj`%EgwC$uvxbEJ(SwpG2dH&B=2t6D_vG3=Prw( zoq)fS^Jid)lhei*vDJCse@)DJo-415OS%MkyYo4>LLb;<0x|51hHV1=j|5jt;aeRz z?Ufkqr~lH!xBRfb`ye3#dNhbBJKJH};29o)*m9COB=VtsoUEbk7N9G6a+hP& zfj@rl{w@A?SloO)iD+P*+P*GK>50o%T~y1x8B?nC(U2dl+-j$|+=S~-VAcDP_#*BJ zj<#D$Axa9&Ri2GO_9lMzpVsbz))|8XJ1bvrv$)i!uwjw7mOn7>y&&T)W8=ml2AdVn>V4-t>Cg_t8a9+X10WuZGa>5@ynozBzzVq(4{=uVyOC$f<0j=#N3=J#`q z!=z5a%XE{D7=>mlv__~vxGz+1j@w_nMLGY*-m+WCyhF-xc|}ahpU41p0Xdr}#R9=K zhvF`tFE$<;ocT>rYS`{Os%8Gzz*qKvuxs9GsDNs$D4dz^COaNf4g3Dp>}~`yY@Mn) z{Yfi3}bn~8+dO#eYn>TrX`b9vdV^*cU z>8Q4osm=aC>dW=cw3k#{R_o8w1g#_M`Y9lY?%uRe)%JlytJ2C=v37}utITsYl$w?A zi4O^A&T;y5Q^=T|!}8o>m9JT*;$hh+RU2oWAiHm0s8My`ep6|mJ2u(linULVTl%;j zii(a?mQ!4;$uuYXlpt4?R&9#ZZ>U(VTCs52Z)$6+xIw?M5q67F@j{t?n!c6mdaE^_ zrCB~*hJ(~%1v!HVpDNp-Lfe@3Y??cWfLSQX4FG_bwEJJ(497$C5XU#%3zhm=zTPa$ z<`ySZb71%{YgefwM%1WvFQm2{Shf4R*KPp0%HeZ#JKj=NB|<#x3#*Y<>69wd>T&kLTJY zY*O4hsFJIJ6DQ9ik4x;_?R70CPGF;Fr+UA6B2vF{IpVClds@e{EJ(?gW>jSYTZG7Z zI9a@30c={OUiwwDMGO^Em+mp?CQ_MeQ_FlF*bl7QI_o@EpgPFlpXebZTuHQ|RAW|g z|C{IuDJKHbwrm)w)T9q_D%!B($sO-cl#!{{X=!OSkt@d*HWqxA0yQOG(sCdQ?rWE4 zTv~9-wwQ8emz$s6s&c}3h zCKCMBr+-xw=s7imw5w)T&n)%{?oB%vR?Q6(ZRg7>f%W?mpp%hpnxkEM|BzyS14|=Y z!E9?|!p7g7x~0kWiJJMT;|JmWh!1YhhO-Eklo{Q<{c4+!1F%ZDnzr*u`H<( zJFw4^Wm8zO&$9k*hllHlTA|e~0}Iv7Rmy|xTXcsHZz9D6wP+qWlqPZ4?Q%X+k4O{) z5s&u*pW#MTC|Aes6SN}T3x5N-ESG`x8)f_HiJJAw@a8rx z1OW8_se{~AF8|UcOek>G@bS{dk*o}D@Q^QUA zfQPZ<%M;X*sNHcj+qeg!fD*ea&`0bQ#mBI*+w&mo8^I#?O(zyR_(5Gsd|*d7wGU5v zSuQp6CT}2%?L5Vh)?AKga;@u6sxzRIq>On);Zl7L+Gu+p?w)FUwuzs z9hny1V`dCz*M-5K%av>zGL8fNQbdy^XjvD7$H*&v-YfRyexSW&%gwHkiQy8bY3sqb zMH1x$T>kcjmN1fvL@YyCjwl2z-KNnFHSCtr!GL#tMT+#WNHw8w@U7@ZrMq=_`Cs3*&j(FcV5sJtA1s93E*vn z@aPu5+&M>Y2_gA(_1GPNClA(VgX+G7SCCE$DJZykXOB-ZZ#$eV<41|}FbnAB872!S zl23M-uu+@vBzY4%6ItztJ5snh`}D3Bw$Cy5K(C~+wNo%8o%8J4+Tbuv>`YX1b9V!W%_(7uKuN)(OKAc+TfE3(vtPs#IibeByx6Y z{&1D zSZyD-eQ+Cm4lGU22bI@478Tq;dQphyZR1G7rZsLmc4fZR);?M2?i2GC?Qg<%nay6= z{f+f*n+7E?FZz=qW+4yV0&1Q3bh8i3=07}jv1XatFF|Ab{)+KsYdOi$u%+77& ztmi#Oivr&7tS>!}^q{d0@zwsw*!7T{ADRlOptVyduW#Ab=MUZ2iqE%?XoKSt!%e%r%+ z$UyRF*Z9=NS3R%X8+kDMwa)907x}kz@z=wb%L=7eT!pUT&i!GRcNg&zADxfL%UH0} zD?rGbR*yJaK_zpOjGA4I8%5al$TSRY+r_&0 z*q_ildt!MutG%C8>$Lo+A@jD?PIz*MIE~H(d8<_qJ2UUKaoLF?h7W% zI#~&>F<+pWY$K}Zy|W!c8FN%pJ=Usd4&^ktgE7slOe2gOcvU{sSCicjR%-?r`z0*~ zh>-M#D>4>R)5Dx#8*K~}FX8d7)52%K_NP=fHf21Z3J-^8sPTMHQ3^Y%S6BLZbSHVH z`0o!qq{KJB8wT(%TG9YyIXg+pnW*oKQB&B;G2%!1cjKB#0%FaqbH$#b^zpknB6yYtiJ>-i z2lvobY>9hVx&BFJoKeHfIg2QP|IE?h_wbbdG`1#%^7vIhn6ToD6N1j;xFcIPGmG1fkD@>6~G%60TA#<+jcamsCPMALoK4DG`4}UUXp(OYEB`vLM=*oKP?2g zk`n!3H?{C&4uC#K2Auz%dhu$Q^yAvfUx!-BiGI+Snz)+=qco@rt(b{uo#%TIG>`$# zO{XSqrfs0kzX8tqbBOoz-vQ>*FXqCK=cz&~ilY2I(y#dDA+P3-zRoGp&dlbMH`5nT z=MsSPww%nZd@rp}!2dZXt^aIM&U!j#nQTk_dJ?P4_d@t+NnW zi?4HQ^uw1a-OoOea0rZ@gmtZot{&v;KogLJ-fw{s83zh1W%&lBqK7RddKF!+KX+9=h>9}((1^+!zAVdeDt&H+|9;YLFIfM+~Nj2?!! zLq{1#d+#Ox1jijXm(c^Ls< z{3lRo(pLIOBsX_b1GEOWu;ahtMuSl88>tDh%u12yP`jYO}v#?qaz*%4$p#}t^P zu-niPgP0M(^mpa;ZPw`Lft-E~^xwc2u0&3l!j^PWN(Pl*ysvNJ zL0i<0Y@5vzA(+UtwTdfeU*90fR2>3?6sGFs3%cLC+*XzdY24%E>NNtQpYfp4X74_? zKT%J*@g0AlFL7H;j+T4)hsZU)V``LZA^-#L?BAF-%4^i#-2+S81t!#^1{5^q#$x&E zH6{M3SWN;on{>GS_Kb81{9lmDGX;TN%u)OM8GrMs%&}OPgeID9meS>N>Lvj) zH(-c*#0^R{;7=V}vApgIOt{0_O{EWR`;ZyKb=l>!UOa*o&aw}A-)`n?^mv2 z&;#zRg+kwrNF)6?U}x~Y8|k|Z`a1izeG8AMt}!a7v|xx(eqd64Rc`ywijO)Tns(db z3wCOUTV?%E{>Ik*p46%cZw)b>Ro77?l}C%x+pgN2!quv4KS#H;b8<9o7{PX)0gb69 zG7renHP_x8TX7~2Nc+r~TdW&zv2MkqYplPh-3uop4X0J#+b(23iAdW;vf9ls^Xo}R zr+a_tu3h7g&#ezg(k0%vl6?LJ&pm}LMQt35p2-K!-Gwdde=Ka&h<<5fqYlq+#b|#) z9ZtNA5$kK4uve<;B8od@3)ypzY|?re-BE5tBvvWzll!J(g<{UMUxH%w=hb9!U18a& zN!oe+JW9vq)o^h=YRRbyw<0PISG^IbUd}fYt#C zuleIoPt1IsGvcgAztlQDTWXXkKR!1$f2lu>H2XbRUg-oUr(_@X>G>! z?w}yg#H!z4_H28piZ-W?R9Z^#G7J}o>ZN8JRTKi@N+phm1C(tm!ld0eq(~Z#sahx_S7zvQV)KMQXFuawLil4du;< zP#*_Z#*b0HWB#yGk>ULkibY%Tiivef9u{^V)7Li;wtlZ3Z~eWZpX=L#J|U)MHHwVB zjn$7;Wy9halrR*cWEob_%y(z0mwzq8TNQ;%q*3y~L@{KI-3_mm%QaHV z%Vnav1(N&Re~VL9_o#bi53idOH?di%{E6( z#JXqAhF6s}_EN042d0L8DIK1Ux2nOC&-E<0_JqTFG_?$V?Z^Lu#xGP#%w6w|&LZG; z?nES6mW7%WnqgEwVe9Fq27L%1q zq2Nt>L7MEJ{;;XYQ9@^9ypaL<_qI8PgGApgW-RN`@-eZfph{SWXm;@^ZgB+`aRr!f zo#M=*E|7@GxA-~3j;uE=D$* zSVoFHrL^S^`f!OqB(u-s#^Y#?I6|Pq3Q|M&Jlh?t7+k8%53t~p4Jf;_rP{+t#QQhx zr$%(kJ^Vm#N1J5%+|E!U1FySf?!T28YvA6%v@0KP0KZ6$-=00=`(#UsDiJq!bj{=JyGuLFWd{OKODC)=a?I6VbVlY2>!l}BjqP^X)NegeW>Z|?Pl zdVC8El0a*qaIayUHUkCe&PS1zuVM<$;k;CUrp%gxNMKjc@hN=I0%}PJh|@9%2r`M% zj~2R%D)$-~luT!8JdOE9&6q)aN59vP|Ef>O9 zt(B}dOElZ~PRysk-rM92Y-0<_DP*|Nn1Ie%sXj_mPIf6Wtue8Kv6xCJ!DL7SKAKi- z!)548>$6Kq+&J_OYkU5V_~~>h1*=1v;2XpoO+2^ z671@)F@!}Cxs?Nc@bN`kw$Lq-i!xN-^cXvrntt!KA!n>Oa6y$siNQpu6ac zt%)Mo!9j;~HGk)W@eH5D@B`>0k5A@1_aszrBLS3$Az%=8C>0 z+TJGDfizwr)R4rGO6^_Q2rwSpd<$L&Q&;*4APUHUZM)Mu1SuWrv><^|WH(gO2G^T* zPVx8Y!N_8~aSd9G=OMkJ44{OuAInpr@mKo}jiF7M?_4iQhr&?y-`;xkAhx_^KAuQP zbW+X;v;MXcT0=6-YK`NxGeYI9&vsHm8Pam5jvYdTp$%#EVx*=0vRh1Nw=TF`v*$|B z{8O0k*s4`u1K?WIeDi;WP9`t75ipu}WxhygRaUB7TPcje=h|p$^*5y;t%@1@wdG%& zTXj)ycp?w9QoII+^1~!>dcB*u>_So7yxf49tKN{KJld)_Jpf<-MW@b-m;bgjRhLf+u z)x=Rco&-*s5d4YX64i@TA2XEH0_v{pmfQO@{Zoi9Dn7jYOGspBG#&VB;NMWQ z?_sd-v5M?;bWv!A^0gfzNg>zvP^b6Y(~#+iD1B#Iv7?9R*2ERC_{H|X2KkUAE2dp- zEP#QoaN6vFp%{e|kVG!X2xTZE#3qzAsIzR5pKhAAf{O9YnoIzd<|1%m8<)G+sJsYW zePc6LLx02(szw`Qv62KYYebdqOFw!G)!iNZDTk*XOrJfg8HAV`cCCj?>4tLG*Z625 zBO-`z0$d3t-G2fXa#d#%ntu`^CL7W+rjBWB!{f&A62gm@pb-W|NWf3OuoFN||G;M# zUq~T4Od)%ssW9-Qq6jpv(!qtC=4;p}In<`u5un(4gBRyGw2g_`Zj24_V1@~-$Lv}n zR9}tWtV529HrKf(in!rKjll2Xk>3p`CruloW#8*J{f>=JlCTR=Y}+9GlFZ#0*z7K* zO+i+bioPft+J?@PmA?zjsIE^sT@Bv}w8(G3;HkCzC#ciZ*mM^MlM;@lf5nthBU7y5 zRVvXO-G(5?;bBIZwhcPXu5_4NvonvG(|p0wF4n&S?Umi$m4 z=s?ZB@ay!hfx69kp>p6QUD-i(au9_!Mrv{h1qb8Pmd8@F1zQz6Id~z|Rfk9HboA7Z zg?K&Jwgn;!LT=ufj-^{;5d0!3Pc}YA|xl(Ocut5oGw_y zgPgAD7)EZ<5PO5`Ph)g}z_hG`eIPkgsK;W`A;Ov-OzUG5)5)?~#f6aInP;m31S#5|VN?Q_aE+Nm z2-Ytfl?W_Nl>gRjxDIa-`aB_1p6r@?Lz`zqpUBaJhhF3GZ%o+t=uL;z#$&2K=i?rW zYj6IsP@c8WC8)q|+eN&f_8x{k+t1HW8rg?cuqRARD3Z_$-QBcA2_a^#P!jz8wM2>H zH!&|ZjFVr}G^0-ak<&ERgYKAyedtuH|3WHKHjAvd$*Z`?!LaZfLj?Fe;+~!*jzBAp zmynA^Ik)L1&Q0Ll6BotKE+&ST%qtcq#=A%4B!nL)*~{LU0>f*22@79n2r*oKcIJ!l z)=tPawN#&CWN0=dWccod-=b01H}&R=S&e9s)vt(hL6$3 zMec1R@rDE6djy`K*?W}g14M*|j0e9>)9hhT>`Y4ad!wtMpdB-hu zpR+ms=fMkdQYP|SOA(f&?oA}~G@&T)K=fX!spKSFpwPhi)%7n}>DTMd1;}w!MLPkp zjQu=abVxglodEw}ym$9LztV+gLg-9D5bAi`4|K>?0a{7dwOV5V5Q?cFmKJL^^WA}+ zL%oW2Dt*#OqE&4Svze%a>9faG{Vf1kZTJFD9)4StcDv4hK4CoPr5CguHws%N7o^|b z^qXuo`gqiYg4LIB)~g|#o!kKPDNwy9iGIWA(dfdPy6lw1^XvEgN!&@Dl$YUE&yn_M zpW}s-v$X|ALT;*xKeQgMhZE;;6lVD4x&2x%1)QQ?LRMhQ12L-B(IuLTGl!+bVOz2 zYAq_q`>Y%BKj$k?`@AVQcfVg@%7fEMePEpqWQ%Eq0Z)(6)i*S6u=3G8TRb91ZgPY# zDys$0smjcY{2eGv4dE}Z9P5OB@6vO{v0WPZ<<7YNV6G|Vcs4us^`3+y9)nKPhk=#R zH*vPX+~AUQ`!lp=1>+w)SRabYfCpT$ENyYN^MfU{@p8clB8jeA+w^22lSkVE0afmO zYMBlqOa0Z0E@IGVvByMl%p@u=KGB&nToEqN7g{!wNsW2uC3B(d(F*H%-%** zHQPn8$EtOcxd~f}R($>YRYDf<)zr+) zrTA=&fxZ4a3Lo7UM5-@Pkuf=e=-=?LMCFjLF@Dr=h=NOK!Z3d*kzzs%d7D3plEVT@ z`uYID(s!O_2NTX(=5i@}6YDL9ZHHNpE$P_UdD-ZOv$m_9MPZ$R-}{Zcm76@*N7B5> zE2%6w*>~HrPE2%k8Eh>!+HI_FPX^+g#%8+RNRZ<524Hv8W?i{CX(t6hbX2J3I4 z1XLNf%N5v=qB<92rrYX|{dHLaqqTKzkylSNPD^*tWg5YG^#N3@!LT4ne91KJ7whwudd z2&Fg;x`tvJ+F0@wQPgmL< z7GiJb_iw~(Kk^_AeyX;*lepTYS@DQ+Ojo-+CS(s%!S|*sOG&x;3bO7dUrzX`;Klj3 z<}qMmH@G|gu9rED?a@I~K3TdA`>3mig4g*YLgTX%%3C(OWu`)bs};U1rzlwaE_jBA zb1^jo0?jR+WpKm&^^#bv@z_1&kW4yODz0IWwLr#HoP8Y!xpkoyXaF&XM3DS8(Coi& zf`K$d01a|+l0I&^PKmMN&uy&{twGqw;muLXyJn2Nzoh=+OQhah@)!FiU>tLiy7p!S zgePtr@=c#}qHt=NCtVvZM=c|$_aSHY-N!3p{((YCnVcI>xp4H4(m(oN0kH#4{6B5| z55ZsEWIaouiV{NgJ-HwL&qa%EX^!q8<2#BwjH7k<`KBWP%cRT;ZxFcnOlRzuZ%^I{ zXG`~SX+Rla9A1OP5_*4hwYm}F7Sn)cq5Gna0FV1IJKjL_;2F(^pHOxD4E9Njs2LVH zNH$k@(EJ#WIx&tT>f7zsW-LjO@^cMdkBQOre*eJL8^B35^G%_#dIfc_Fnj5_JPfyU zaNuVwC&0~5cYJ}+m`DS9CLKE)h|GmJD1^!wQBL$ZFP^QqLbKEj#>hD&gvL37#J)`| z2jm9ZNRALyz|t0%{_tE!W8KNf#2|PEh_~oRQCrc=s0Omvbl#%O=J+YtbE6X5Zqc-r}lR>b|P;Sw+yXUWj@)DS~lZM7XWhtBPRMUpr*dyCJ&QsES{IJ4}n?M>& z16FlZE6EiFi^62gp0dh?_=?k5=24hvzMH1{T8j-)6)n%um#Zg(8?sK99o5rou^4zO z>Bm%8uPe#@m9e-omhIfS2HcVO%sp05p7k}A?uPe!f}JNn%0n0VJ9r-z>)y4<zyC&`3jML&yTuD(0!pf|2xRCS4a8FxRQ)*{EID$WCqH}ubfEX J{{a91|Nj`}5gY&j literal 18399 zcmZs>1ymeC*DV@?B?NZ@4DJxz-Q67m1RvZ7cXthv-~@MfcbDKUg9QfnK_1`#y}Ryx zvS#f*r+0T(_v}+u-Rqpf`0(LFaaJG)pp*psrde`EQ^N-E}NWot&t z#?D5{!o|$W#>~dT%1)|o?hLXrH78XuHnkyTB~_Or)iyUFl{B+*eZLZD`SHD(4| zmX;t(%lH-FB-_!=M<6GA_v35a z>IK0yL3^NT;QO|uECD>g)JwuJtfd|RF_otU5wflnR(~edl|rb24TyjMZDTW5vRoz& zvXs1sNpe%cQstcOcGAB!$(!uEZ4v%tWVQd|vjVMEwR)zF8cBZkK$o=5+VUJto$4ra z*l2>NAsGvbvGa9F|6LFEVC6WWEX|-aSfZczmCpsD>HoB70_gITiTsiqP^oN3B>hRz zBN%vqBZ*t~eVTSIQDQ7RDa>~mFqfh z#f)DoIWSZd}^JPiS34kG^^3!99r!Fa+TXR{IL27rO&nEr!12vK`wtE z>r?hzXHVqf=(i9$t+-w0=dPG=;_g)LddJerjEcTYa?;B_z1pfb?@_$?t-i3GreL4^n?NnqYc{0@XKy&kVQ#WjZZw>ih4Mtpl zSlVyll8o;sQfRBv|5<-f=I@#P-<#5+m2gs(*-_2muI7YZRZHYB(frz~ zeZ#+0pH&Exh5n_Awc%VU)s}8NZ1`Ui{vU$>H%KFbHAI3n zuU!tid_Afxl?y&|saf@D4a_zXTSz<)2%!&yJ1#gr z{UvuL-)B?oe=@XX|2FTex=!@VZ3-^rws`|uW;>%*XrPs}cBw(8AyfZ(-fd4(Yk$v+ zic!wP|GOImoCS94-p03}_MFS^BK3aXs{_S9RFpob6+bi5_$xaOHW8=oB0I!i%Bn65 zRD;T>AM@lF2I38#aa^qx?Oi=nbF~!x!zQoir ztxMmAs{S?cn@i4_F>8ZY^8I+l>l&YC*1}r)?DlX#bFxOcgWzekZAD2=w;r4Hs*(0x zq2Xt!>BqOQBgyQe|t&FKMX4s{&?kWG_hjAjB!V`~5W?APH!4dUagZtL6 zctcq8(v))D1gQW|BBTll>W5I9E5-P_ zWr)y_f;wqjy`(DpOJ+*pHHI8`sYRZvgHFwrB;$vmJPcwpQ1o=Ew^K2 z;2Wwp0^`jAy zyiW%8MBkXFAeN+Hv6dsO9EXjLfR=fdVFkb)RTWG0peJk-J#k(9gJ$J;?)?eGZ~mdMV`B|bstS!^Quu5^$lb@2 zqkHbuQlb3zcVV!duw8=ez%ULPqpcg{`Vf6pa&6%wPv~2s9k6R?jr%k3D4oOOY_R-K z4#sdWBQe26sJD+I9V7fS&C5bo;dX6nB_!J94dcKx9w9_6HRz?kv$W5xh0%z%Li{)) zAS;DKhqfb66csHwhncD>YZxw<})PE;7EoMnRjm zFdPzN!w$9o*FL)zk9fQC@ZGmcSTQ5q>v#7ZlS7U{>108_UCgdYLjADfj;AY&iC?_V zG%&iF7^k-DduJ3!^CVGs`TZG|&9n0T`Idjy8riMUMH`O0Ul{_Jp$uZZla&72Pj*89 zo$gFc)zTm_XfGH(c0B1O*sF5LKa@(^YO`}W{LPPB#PsCTUr+C)w|H4}zxTDp*->-a zNifvr4hM+@`DVwd1hUnR7OunizFWBVVsb5q;TdeX>>hrK8_X$Gx?7p3u zwePZwk$c`&e3y`D!*Pk1B7wcMv9*@TOvQ*ckgBb=kdp z1r9#^5#^{$%l{l+KfhWhnM`*G?5u&U175G`UM2No(v7!f5&NM%fw*FE62BomA6}45 zOA`><`_vpzg;OmYG_}7`nZP&PW5b__8%Z$cRkbq-y7tznB?K2CPW z5k;x7`KkYF9(7Xb!fIkCOVhUWO}cOgOvKjAuU4$T_tzf-ACxl0W@mTQiizPjhSTgUJT_6{c9hfH#58W{N{uu=gqI8B%H9 zW5;>db=S!8pkDUGEK5dxe;%p&wQn{SY6B}0MH@d&ibSs-pW47D=Sj?KoAdSc)k(5B z>BEqziMYo~c1f?4%b(Wp+bj)ySy^Vz%<+XfT=>^6JeW9TDpF%TnqvZBmwpPuS}F5g z)8PZD;Ux=`-LEX%e2JQP;jy-VlgrslvA9e`PlK#V2#XT!)7b!7?Y^rikbs2fweL~@ zjF8`R-*$H65*b8^KO5~R7yFSq^Dv-4SM zgd~5w!bBpq7h`wn9C~QOobxU?Z7-!(;9M>V;u4;iW>#>UAE`fre_+XSyL+rvJ$~vv zhhf?L&cP>^1f6DJ=*{#^)>EjUzqttclr})hE?cn`2H*`Ed^!9HOP~M!ik&5Z*P=ft z6JHCQnU7;1v~wosjPkF_DP1Sd*8JcZ{cjJkN!l1&R$D8G>|1Rc$6I%Qem8|Pi6G{M zUytu#5(U6;65)Itq1r4st-e=&WqVMEM_L{p4lks1ax@jLcGLo{_L6Hm?Is(gW2#5e z$|VCdlP}_|v$xH#A|#Z>BqX$%E%R9DRe@G;2}^K^eD`pKa@(9j1Tpq;ZVA5}y#x%= zAo}3ve4FaHq&FwpQfs^1*Q3~F+PLt#a4k6L{fknCsLb625ZIV`Cn)|yRJ;>a??jE) z#e?d;aa|N!pC>li9qFCye(ztXfsFFGJIwzZ@%Q}SAyidM{lE8WpHy0Oe)amQkn&c9 z)}9*cF=nOVjyuUl?CP!SyXa+)}+eZSRgIYfS9cY-sHzbcTh? z@4mv6cO>{X7U%_I+m(Wbs+f@Pvl2H5Gt>TplE?4ng1UO!dMB)Q)1fw<=eb&)&J(k% zpYKodX9k|PHs}(PVNs%=O_VtofyV0Z9h_p74Rl8Grwh0@fZkPr-ub&c1sUR z0vtM8+b+ah9V>W1XP@eF;}Qns=B;u5ns{Q7qiAjNE5q*Rb+)-!3y@056Th0OQD4(4 zZeDA3pW;Ezgr$GteCPRfFR}}&FjM)#x9ZzOit=k}&wQOjM~~^evCt`sC@t#M7MqfV zcUSn8uHab2Gn`1+Q?Kxs=2?jtoF8K_lZPuq@~MV>;!8zQZSaeRvh1JQ&^k|P=2%-} zM1Zbb^dJhtNF!8yb>>}n3JxpV?dLvmKC9f!54)b(96t;T_(@cYtJ6s(C5VfWiXo}3 z$iVeHrQo8a18V7uJ;`?Bfh)3j4joTAVU(0>&COL=*kbPW-|SX1VlCdv)F|t2!$0WV z9UBHA)f_=MEhz}6daNm9NO-^PwRcRoWB=6ldV@pJ#?4H&+1WDiAAkzf2tpXik%4G^ z@6>9V^H*6UW;2~fp1At;ukBkj6`QHIl4US;R*#jRg0+W zEN+jh%YA>^^sDf1w(^j%3JcL;-R1a#`^q+i4++1yMTrZUdb(v(^&*YK=g(R<4o-?z zWry}Ph62*cH(+Jss*cZR@tWxD&uZYC1wy$RRf%*uq92G7?XoJ@s?syo7Om^A!84o* z!LnA{dvpA&{O)#H_c{{oZ_}!hgJS+Wk{P05l*Ao~CtZM>Bv1ioy^a02&)2^mh?>6^ zyPW+jY)rcZxxE*V0hTiTlipE~;YoIcflu^<5Ote)(&>vz^8jokDF zBe1~t^-~cS_VxR^H=ivF2yBz%gfR#R(0@URFCQ>T2oLACA>!sY>l4qMcDkKGTs|#m z_9}r_g-PHqt2mIq=@QM$=V4>Mp#xDzLZz3|O&2~ss7ZMgy-?hTTExr9--#wgtGmk5 zX~?m)GkH?g6UQ<_;*{LtD2(HX-Ccd!68Z;JAap*iMriR)UP$b_!t(16nlRWJnA4@` z#R5b1yhwe8li7XTcgNh;GrK>&5bb+hJd77T<$7N{$k!!aJlKSW{H3Ly620`sxexaU zDthHD%XOX-eJjSV^49o0k|lzIl(Rf1DFBJ@I?T-r;XIFqb^r&tHd9EoTD3HMpZNZ)l`Zycn>f#!N}D;w%A;q_Bum zd2zrdmx~th8zNX$`ur0D9TxmT@~7-Tcvv<1LC|I$}fis_z~@9IAJc-Kghlp%3G}Lbh8kds`Rd=;>N+05XRQrKSnWxu zlf3eUIqXN0am{R?$vhW}vMC+jdowd%wBXVH2~>)+#ECa1DL2< zFO=@6sB~s~Zp2aA{3vr74+cDdi1H11D~G-YviL|lSSZWKb@2DNbq@CG!@r2e82r9h z4?4ddwtWUeHv|tdJKjXv`0OqV>a-_xQ7*}!yPm=1;XV4c+z;i+{5(KKZti0azdZLS@?)V$w$p7p<$My zX&|Z74qFUYruoH8f2%wFRwI{g6EA*5dem1`8&uSvcNekC0%0Q5N~Jf~EcXV}CUp5$ z-HGU!BPUrwyv@frXQdj>Q)*P;sTD6t21MmgS?rC}(hP((9s51JVECsGHsMr(<8tta zu67EU;s1V$v|RAWP#p@p%@)(LIa?+hdWNI^{feX{UC!$;Ypj2p8vl>!nJdKF6;LWS zLVW9kXthq~O;_U%s#=2gz{k!^!Fd5FCrI^x4J>i**&IwEe@*=R<0{ikB|gCyN@6y zzR{V*_GlS0NmI{p&lcI5zB+GTWc7WBy_pV}%6!?MEFI3Tc);&}0I&Of)BRH`@R7jC z9S^0Jy$c^DhN_9;^XlEFuOuAYPRy*ps!#V8OYHZNgaoLhsQU+=XNZER8Ws4w<+~Qg z#kKvGyz##U?DKa6IBe;8>vEm7GuEPrVcX{ln zrE2xj)4iho`nAW=eo0%9b`evU=6JMI$Zh4}BuAxxV?(r+fIr1qn9Ks{B|N7Lb-k|% zqk01mGMf7DQbnLV{%Ug^Ii=dLxaA@>X=qo0&#D??t5aNkOXsVrfpT3fu$XDHUSDUFDTol)0L2gw=;5lwspa2%H zhSz6JM8af>SLVG;UysC7{v|f*zujMs`|F*w@52*56U3$LnXBk~d~8zhtoPtikHOV; zd^t_ijy!gA-u|Qa-NH0On@$A>x1I*6U&V2m7&GJA7(d%2IgkVMUgcvoLCMKya1r&K zZV|PnUyBsp+{TtVE|NbAWzMxbZK~=LOtjh|8G+avoYNB(ZGO*%P7)492n8^OC6Y{3@l{Y@K1W?cFi^ zOw-rL#u4cRf6PZcFp0Du;3}S!MEaEsd`Quaz{y=WL~t-1+-?7x|evM5srdX3Wp!k;{? zn6w+?5t`_a`p8h5cT`9%ce=O6;RSo^KJtV-R{X=8_uqG*XW~!iDv_xcirD8rZ>Z+q zqJx*`TO6s3Lit$NFVgFKT*$O<{C{$UMvfbytS9#j!xl8lw~pLxMWOup|0}+{=k-6J zUGQWMFMUC;1y*#JiR)|ZdJgA*o`(PbDb@Tx$^w`I7aR?jdHKS!X23MP>h3d@|P zx-_0fBh3+e6#^3`ULUKNy$ASzN=p@pugFYKU#v|68UE}{8y{Zd)!cQ$Jx}X@ctdjf zTgQ1_SaVwT>Fo{vNIUu~ftJL=%jws2D68_qca#xd~OVPfJe)8_1->ni_@-K7+L)SUk`B zhE2B|&oK^06L3zUnH2=X@BzjJoy$iJeY(x}aDGz?O@_9E)&;7JKlx!NSFBr?h1W{% zZx;yny;{2stWr-N4is26kok`Vw0qa59*5SSSM(1ol(FhlmP&5sqvbjmk2!20a>W~^ z(@iH?D<@OLMEMRm(Y`@`HhD1?#k0WeZ(O#jELA zl}(5UPh)M4sigtJuj!q(&{HlC+LdRe_UaWYx33Fwr8C$&YcDjj_5+QMq!S=Hh+yzRP^bU zQ=nA{!p9PIdk$#yq?}RX+_3}4?|R>T3nSU|%9nzVuk<)u7I6A?mxD^B8Vo<5${Sa- zj9NFUP;NA>v%2JrI;%I0z<4P^k#)7$PUPheIV-%~1+3lsV`h>+L8S|)40!y5Qo>Sh zxvFKU<-}6frPZ2tUM`x9R4O8pF>^zM`puk#`tmYiVxY#TKgxSp&R5W#*{F>ivW_!!9$u#7mST}q|&3M$pB*5)ge`wX*bM0mv9 z{1m+(C|A5v!6o-IDoMeejrDN-5_fZ8q#W<0a7C`OT8~+~1`gY1Qmc5;3M8jvX|cw{ z+|b&>Mt3|}-oy<$J9SM8uc(gEY*RlgZ=m;WR4djxJ85{5he)-~(>DFSMTIORC!Ikg zFzu?R7N2Z%fEe4AMHecTpdY#2v8q6g@yUcWIs?h37~uInC^Aa!rBbO-qYSM9%2&8( z+rCZ&bgLP2{}roLuyIMQ?-ttqdwy6O4h^j?e{m>q#kp3~mXm*f<;k{E$DwI;(4r^RMvS8w<8%E*&zVWj*=&%GFoAN>pOnJs(e?K73aCwX-E13DaAJ zk?Y@rT={ItymkGpbLo271TI5k7w*6PGGWvkVXO_T2o^y2Rq z2&jG;I1T!>59(a0(ta=r6Uq0d-H6UJJFahBk=hceN8>;1niDD2v0Xx>pOnMO(JNgV z-`q(m>N6JZLSqW;D}F4$5uCNlZ=RB~gJvIXS$!`zeIgZ-$Nk$R@buc9k2c%X@&YAm z!&~ZBZ>@Ixlpq_^K7LP;L?nx6ZtY~6&ppsA*VGCz_QqX3J3$>+veqxzZ1clRne z?h3v5DdXz|LKrsT;=t{_tJ&m$G%!qcgO#m|hjYxue~AjygIxwWt+XH#sn_+@1B=@ z0tj0eCkxl?vBXf>45v#I8zUK`wp-=QO2qzDduYwvqwTdRndwd(Gbc9DYx1aU0XrR6 z((3vpdfEYTA$n^byDb*)3(^4h^N_k zgfST;ARpb&wzp`JpM0}2Os8j*sSx*dt+qi@9(^nMW}huwXgF}oZLOP< zKTYi5Eka1Zbc^u99MB?0g%NE}D9!JX95?zxzDpJVnNyXAyX7O;g(^N$fI0;6>M@}@ z5gATeA{eSX5aRv(wNuG;?Et`Ro^}klmb|7KRj`)-Cyr^^#MB6KvYb}t<|{PiHL z8VR@O@JMIODPF#7)H2j$72SzoZT;m1?{1ggLZ)bK=x}Fu6{y+N^vA{su^7Uh=<8_b zL|&~-Ld0L-+nH(Wv`5vRCF>(Un+mSCzoiEdsd!SN@r<_~j?rv7={KHJNG7nkbzq=`v(%h~#*$$#UDskn;-smYZe74aAI=#s1p zUnxZ7zPC#GSz~O+gf_V;Rk~Uplo9?d$Jf@M!1J3650vrT`|feON>G|I6G}b$d|4MS z+U!pwxq93rNfO*@w_98gr&p6;W3zaicv!PBwCx}5Z6ezhXD`3&veqSjP5hcHd(&%R zl#RZE2wlBKiE{oUpjzZ+ztv4hAiwc6=tn}o$}Ibolx_L1X>x)4>x%nQ4hgm&oA1HU z%AJf*Gi30y&#bTeqn16PC8h7dlb!kqw{3Cv-Vl$2QHwvmxIIy*zq{z$6J&?Sl>ac1 zKdmf}lX}^HFWz2weR%8Mp}`+_)&9C#Soo1_^gqMM3OXV$=90G9cKu5g{lI0d&zF2K z>s&n1$6o)2H;h5OLv4VpUZHR5Pa~TWHPb!xEn9_TC41AKdxj|dKST4moZ>K^`OT}2 z_n9WSm*mb|#qU8+U@eW$&j)IE=bO3V{Gd!dE4=kqfcv%#Cdr0$y$Ojl^UXEq6UD?| zqshKKy~G3FIt+;YiL+m2yM;QXCJ0;n_du=-ZT@h$Cuvfs0fVKp097$c7tcr8~htDjVyNjgA3n0K2nKw zGriir7y;YQyU(6Y>$lQKAX|fb4tmc})bR(2HOqSc$A)XFdD+VswDG#Prc0w^h&(X~ z*BfKwYv`Ep^4;1EbAxZ*>wC`ru63GK$maZ2aBGt$Tb}9r7!FJ%o(>W20A^Y&X%(2V zF5-ljk6Z%XJ5?gYi_^ZNFfBM|R9s-a5*ng%_%Oyr9Zxln*KSNU@Yf)t>PO|NjVh>S z_88Nt34E;}yh2G!lYBNJmFUSBZ5+;HkvLb-C?NHhIJRb7#rJc@8OHpV0KvY36xE|b zm2$5Wveb~=r(G*v=0;*TBzdagU4)o_5EI}fUvbFlXGGab%Vqjwjrg8sICf7sZ=(k% zw4X$pKLAN&5s?w!bWX+af<7_TNXtXTQ@!A7g<-}hmJLeKztn1sj^u(^rMkZ~j>l4M zp7>xi14BnqzmWZ%CdV1QZu2NxD%4G7il=Jm78Jc?XtdTsQmj)o%Z^Dfels8YgaV2P zWxh1K3MpHX@P_LuOc|vp+4KrgHwcLL2$KC&3JJ=6-YL~&3vp5_7-1t7^Hy&kPFYRB zjYsEm-K9xN7m$#VvOV0@RdO?@{)D5qSyKA)D%jGyq3#$r#LE1Ym@dx|5s1bG^gwHO zi4lbg0*AjgYz5w-2jA(>#IXarVeEd2t|6{sAlNYj@7aO(fgt~25Hk>s1$ZBDClUzzAU@!m&CJvX zNIL>VVW!J;27tCYjj~FYyJ0p1fLWbJUZoI)mWp~~1BF?E@d%J%1PE*H%Sf&uZUf2J z2E!S@A2Ukum9`HzTRVTFM4?}S-JBjIA2+uM8cao@oud2*4QnW?!J_T`xx^@|SqKo? znKL$^bkH4Q@Ez$40`l+fPru6%APl*;gbmEWiSqMVQf?M- z#t(i~9A%YR)H_|Y9j76ju)!z*lqA{?-;j*5I+>egGUJE3N`btpn7d*3a2|NEC5V8s zmG5{__RzE5CJekM;OIcvT(Oyn`(T$Nst>>F7rY4jRcHibM)d>43IS4I^GFnYM=+CZ zJ0pa)dW-<^&V4Brg%SakS%KU^cN)PUSfEF#SWnl9XgNUxb08ORrV7@sQM4Vmp-mL} z5vX(6`7-j;dG`L333DEWSO^x?py_8~NEx?>cf_?KlLO1=;*5|QJ%(L7EeRPQ#||Hp zqhW0GckCuB0G6C#_>xJ$QsUPyq1wMAG^t^rtEA)m)H^uT z3Vp?jc@GLEDzx2$STjL3NTU3AzakO}r&)A`?CV0z)~(3_mSdl+biaidr59~kU^)^J zyYAR142&xd#4AdSnEKn13z?636i4B_NX)MyY09Aqf1g7?!II1<_8Ux*=HXjJEQaYTXJZeav$;B8A&|R6BzGtJXCDPs) z4^Wu1FuIQF-%X}rtZIkZM}%7JUse%x#h|H1Z1Q#quoVF-d+d_ z*)RnW{AYXTo8rs0ENBrg)?R4S=y?F#XDr{B{A$$9hB`6AG_<^G4*rCJ&fw_Zj!(he z^r^nrei&Yh>0hbOM`DyLbBi@?I=4p}!|08;G~nXt@ ztjdGrul&r}Cv-k=!a`4Y&2b0~ywVjh-SmHmeUHgYH&!DEB{ebep?StJ= zMwh+VpS_cXyP+d)VxyEPieiJ5HyI&i(vFQ!Y#VLNbCpoccQaG@`|1hhk+VSP$NY(5 z);Su1Z6l}$qio9uC7sl&>%yw*SZI=atN792!pe`^=hqg_G`3Nw^cANErBR^t)xP(i zPnTWw0x9Rr@!<9eibumeyVAVXbO2w;RD||+$@14Q`P%lC^Q9L*C+u);XqNMdU;KL8 z7IE|0{a_`O*y+TNS%>5|K0p=0nY+~w0(2TDLLzUWDg>*0xLo;MepYjK;!<$-D*2WG z1II*7IdJ}y@?j$huG=VS+g$B_9LZ5Qgrb3Z5v#q+bqFI`A9meyHyHh0M0ojQywHt0( zzg=7`+aZ%mclZrz^(Cz{6sh?U`?MxhQOkIe6;c?`f`~@iT@mcsDvV>fJD@bwWWnhh zPjYbC(55149N84-H&psQK9-RZ?_fp=4^#S7TGTS`Zl1AZ3Y+I0Q)G|&097GDSHNqu zrkF;!bnrr?h}*=TxxYoT!Q#3B9es#0rgEOkfUlZA{=Q^D_`h;mY?9uswwJn$6}$F> zgEjtaft9m~)ATLAJ-Px*y{svv!JcfBp*90c0jarB?3ldPjqa|irpqL6@;dW8XuJ3LL4)*9!DvQnianl$;=r5uZDRuz$~ zO}fIQQVUUXH-f`Cdq*!H$j1zjQjR>~<;=b4W?hGN+VUjY35Emy^ioRwOrfAw$)us8 zo|VK*J|c^hjlpU@iKK2%kcc*r$?yF}IctcQ)VDs64l1NnBEw6<=b3YlG%i^D6DYCe zQ6h0qt3g!@1<=pN1L(7cG#1maItw{cu{O@~Fo&nb3;&rKnUE}eO$4TXq*D3_FUKjc zAfZ|o>>H^?r@>hp44~J{y(|1WU`;=)jg=-gU8Nyzpm?~T@%m4msyvnc$fmSoHD|2+ zrL^K)c^~?!v3Oq`mHDc%rz5*C+@2`cK*1*C_wiIztAobaXb& zzD8X!&$;5#r{3?YSJZKJg?TxWhXZa7e3md&3e*>&bOe$(R%Bf_tVz zGbOlWt9Dx#qM#gott`2C%@i<`MB@dR*VldJj!Z|VnXq6u*+c(PYsOFNI<_5V<#d2e zb>Q5dE({CjAL^n1y8aE!v24wH?*tk-28|GaMlu2@-H&*WzKP@+8$#L>@Fem{m!LE@ z9rRf6m!a4Xp-f-Qiiz3KWSqmR8oqc2YK}yoiWyqqTsgNVctaBQ_7yly=3t5yXg(NU zo??C2dFFBNZ`;;b7^=ah7*h2@3e`iFNWOYAA?-@&i#|=jgG~~cAZhs0s}HpE>y6GV zxB(gro#Ygt>$!K?OZvb@VD+*JvGQ`YRJj99Y+sJrdXH62WjL942lu)DUcNd+8~e~v z;4X=s&W&ryZ{IAFd3=| z{qEScxbZM=0GY z*`^zI8@IW8IKQt@+Wa*diLzJgVjXO7BPEiQmZT_Rrbm;V_;V+y0(p93tcI7NzrN=} zWofTq&-LL3e1ugSq8LBG2VGgwwW#~$rm7Vt1uFQZ>oyTY2KC#)bkwytaXC$phml3y zs?-`V2XVS+k?}*s4j>5zT7uK?eV{3?&;eu=r8u}nj!I`LmX7FAmn~Kz&7rDbZI}@! zIUW`-0O@G(g%7D3(YWT-jlP)z-++P_;#Bc9uNc{<(~onj1+K* z=l|oyr9sbPU=lRK1R7yJP0)uV7_E|Z*NNLBQu2cC)^yPuJ&q(?l%A4BZx;RZiW!sDOb*h;sQ%E$Mr>q`b7jr2%L(GFZWK4P4DXml z^=v1;QsM`1fJTTxBUu64%*F}lbzdG~Nq2RdE{_vOFCWxj+XMH+jOg#;Dm8PMBm~pX zV345M55#w!AGVo$51=!)pUXP6$e;n}X~xsB-KBLT_;*C;i9v$1)-}{9RWwxgIF2d? zC4-x1yyS&{pfKUxGfeQ4cTjorAsiKy%Ma}dh(kz^%$~xhq9V(vwebppy!7w~y>u+Y ze|m_1f4ok>PTy1e5r;^vh@6#x>24yoF0Q@{Kp=WeB0t^%S}qSsV;aTVV>s6lJ4X>; zec}fv1~`&Mb(THTept?W@I5jOhM~qKriYe1wYtxF2%ZH&yW=3%%%21nYME#$|GYVL3x!dr%Al3QM{^NysBAT z2v+9m^r6CF1O+q_3mQ2*O_+vFvW4KCigm$#QV!@C_sJ7U2w`3`_uW8!i?6*pRP|^l+p@LHnH?nfHAkNA=X6yzM)5g@l}J1s?aEgC$DmHaoFhSZ z*A1D>CTUoN{^Z4D_KLQt_bIM}_-Z&{X7~8ZVm#^~3AfO!_0$TcYMigwfvlDU#;0hb zr%EwHQ@ks^&vZjuS3c~d@nJ>}a39XnkQL*$m#F>*pIGdkN%4Oezrk&XcZdxH-Zu#2 zz&fS;65IJ3K#2%$oc*LYne4dqo88X#f}qi)mSBNxBz)IJfGo-sGR6&1B&20{Xq9$|4$S)>8DB z%$DPEXm`sMI~&1i+t3|=Hh|=djr3B*!bsq*{U;?rl%N)zP@a9_Y{=9nrgC3(3)L9s zQm)-f{D?jIRyfHs>{V_1ttL~yBFRp_IWs#LPPr_!ZxeY6x@i+rwriZ-zIY_EQ3`3n z)`_=1A|5VL?q^FCzjb1Z#fzkhik*a6Ko^EEJctgr+w-65MsAdIvm@-R9TfyHSelH9 ztKbY-u)Bla*k>?c^JL%f72Fo55TnRIk>3y)bMYva1uBvogJ zxhnsbmP}AYMTTzE$tN0dvF$?kcal>GilcBTW#6sb5G>42gHjt_Z*`k4pN|6aKp-&V z;acEqESs&%uBsA(E;@(XHw-hFH6tb9RBvxGiTsh_fhZ$bDr4$HD#PwjWB-YT+^v@+ zXT*1`rw05Jj^`i91DCAjFnQ%=%bn2qA67C^bi+BUsIZvCgmT#a!+^jUz6PmZNgUP% zvuZ?zg(@Ci9DRt`Q?Pw(S0@!>51RqBWP1KXrlh~{^aB{ zF@zrxPitHE&od0iDG=v!0$-ft&>=O!jnD+`J{$g%B~|t^;U-InrLGk9a6=Uws?=i` z25K{%(%*+u{0M62A=K6pRI3kt!Ole6$Z=?c!k&K>B7ZAJ+7u2ns_*jAIpM($ySRRj zW#>D2=<1}DP3Mx+55Q^<8%-3=;n(p}LYgR~WAVt+od5H;qU$^Pb58qbc@A%P8HqvY zsPc@~cg9-sgMvn25J)!Ut>9awDt3;ICkJi30f zKMsXd{r(c&z&e6LU1#&K>@3FyF_Yv8rcs``t}!5>Q#yq1R{|mo29-o`MYH(S`{ZU8 z?t`6RW+QBYcjd$neh)eg%#Qh!VnP33n~kM$AmB18r%wKfgS4rqoeTb;4Lkh=a^OQ9 znm+w8Spbz=2ZLJ=BL}t*(=(@8yz57}&n)U=67iKeKbRqa5(+WnprKHzB?lNwp2GF* zuHfm0b}WAbzrRtJ*2vE5#5WOTCaB09=y8$Gl|$R8asI)Us*oszKB>^pcNdBI@&NW9 zsvcwn1h3zk543F0S#n7*B?k9&ehw<=mu37xJx=WBEG}m5b~xhq5tGwYi5{ z^657n$7 zPlUz><4Y`?gCi;c{p@y|44~HMkWN3PADU5_-&Mt8;8{XbN_yG6+cOnUsvcUw?Awf8)IKP?~Pdk@*_ZyH=*c5kF>Eweu;6O^|f`(bdX4dG)dtJu@f z-B7oA48&B#HSF# zmHbyVnPq=kl)x$Mk`(Il2652V17!e#n7GFFq{h^`+fKzQ_c2nG%O9lMi>v zgJ}p|()YPx0W5^n8fU>`T$0iezYQ?PImVXHm+>{kPJy4*N8c=Ui`D zDQT&1j8@%Wn;U$bTH61-x)grjKa?JOil0F>2t6ksrkL+@=Q+a?YEe9pX}m*GR5gcDX$lz7FOp@Z&?l;-R!TklU7bcoJvMPHRLVkzrKyd`P*6^7+0g+B6E zKKoTZccovon;wzO9jQ7mAHKna@p8=$haPatddB~}>S8`QYEPJc>|>y&u+QQU)!r-l z=k!=z*x@ivG~5|PA{>C-`s?25+3NT^;*SGS*ooV;s!GNnvC84uiixFB~M zT9JAhN6q&|TqSAkIy1d^gZ=Q~?ac$`kG731wkCELMRn>G{kbJm#80urAemz<3rovp z_5Ul725LI@I!iJ&Eh_y{IgnxKDFltc+8D3A~XK7MHU zK_UhpsKmq{1~7WQGjnGXU(qBgX*4DiepiU4Vw@JyT5nERN$M*MO|Pi| z>)Y|GjPa|zB|q2BIhyXLj7&e6&W|x&+80yJHnX;+TVCJdE{Jiixg}@Sb&a%T_KW93 zF`iX7<*8~ZUv<(saP+oN2Q+aHjnSe*5#suH3x1+WOr-(1%7^(`&o?ZJGmE@wnAr?bgXdwfn11-1 zr}O7%dSjQRnuN1bwr{3`6F^=hvh?m~daVOr<7ohk;Gn?E>Q+*>G(&emxpEB!n z_#8z8c*E5ibyJOT9w;6o>gyN&7!IVALUq$tTngy9Sd`NH_n{X!tE3cptm`?xm&j{a zA%+qD%Jugfj6@^qGD z_Uf*a^bv7(8*Rr6EC_A88@rlAq^x~HAy`PxMr3WQ@>3%w(meRUjV^pj%TW{>UNc*S zQFtlh5FLJc{S+NCOrZf`QB`_-9(Zw*Y&cQ0(yw=RSDeKr2*aBijjU3_o|yIXw`V{q z3Q0j-QEEjctsa@vX3~13aPNbZI`(|(zuYSTLKhqm%AYY3j_Ne~S=GqLRy}*^iAT4+ ziyb4v9a_fLmid~U_vR3>muMSyZTy_3$THpP6qZF9jRNr`z2E3u@XHXIr6z$4v=Hds zAQsZE`VC`lU_}}_siThgIm_^rQDPQRrv3BwiF%r4xVg=U%rK$gKV^iNNpyQnb1i%( z!_2ZBvj?!489J$}#~&x)BpR@dshbJi=>Z+d(7!te9fUJ(lhQ1~5>ZO10mt{jx%p7A zEzQwAq;q$1hjS>7JllF0V3~2T*ad+r%M8YT`PS4O@TBw&=RIl!02MC1u|~)7@A% zGcqvIB8K4I;}k3WR$vi{}wFbK@&@@?sVU0IAH55CaGy`61jnzCzUf z5vr>jAHLXyh?x;$2_a5f?(io@!WODucYdZ$I>C zOn2@Fr+vN`Y4!`=@u0tK98Ej;_Y2VXVdVbU-g60a%#W@--oAvDc15pXUmL6#3 aUM>{lTmEE=B71={;)M|@{67Ey0RR8Ut(!9d diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index 4043fbb..ed347f0 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,40 +1,40 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567734290759 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567734290759 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Sep 05 21:44:50 2019 " "Processing started: Thu Sep 05 21:44:50 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567734290759 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567734290759 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567734290759 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567734295337 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(38) " "Verilog HDL warning at GR8RAM.v(38): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 38 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567734295555 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(46) " "Verilog HDL warning at GR8RAM.v(46): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 46 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567734295555 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(194) " "Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 194 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567734295555 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567734295555 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567734295555 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567734296071 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567734296071 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567734296071 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(131) " "Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 131 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567734296071 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(163) " "Verilog HDL assignment warning at GR8RAM.v(163): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 163 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567734296071 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(168) " "Verilog HDL assignment warning at GR8RAM.v(168): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 168 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567734296071 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 7 GR8RAM.v(174) " "Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (7)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567734296071 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734296337 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567734296337 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734296352 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 168 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734296352 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 163 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734296352 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734296352 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567734296352 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734296946 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734296946 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734296946 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734296946 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567734296946 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734297243 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734297243 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734297243 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734297243 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734297243 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567734297243 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734297509 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734297790 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734297805 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298024 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298243 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298259 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734298430 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add5 " "Instantiated megafunction \"lpm_add_sub:Add5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 7 " "Parameter \"LPM_WIDTH\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298430 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298430 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567734298430 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 250 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298430 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298446 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 191 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298477 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\] lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 192 10 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298493 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|altshift:result_ext_latency_ffs lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567734298509 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567734298821 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567734298821 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567734299102 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567734299102 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567734299102 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734299649 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734299649 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MODE " "No output dependent on input pin \"MODE\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734299649 "|GR8RAM|MODE"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734299649 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734299649 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734299649 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734299649 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567734299649 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567734299649 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "165 " "Implemented 165 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567734299680 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567734299680 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567734299680 ""} { "Info" "ICUT_CUT_TM_MCELLS" "103 " "Implemented 103 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567734299680 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567734299680 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567734299680 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567734300149 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "304 " "Peak virtual memory: 304 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567734300258 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Sep 05 21:45:00 2019 " "Processing ended: Thu Sep 05 21:45:00 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567734300258 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567734300258 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567734300258 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567734300258 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567905323534 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567905323534 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 21:15:23 2019 " "Processing started: Sat Sep 07 21:15:23 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567905323534 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567905323534 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567905323534 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567905327409 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567905327550 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567905327550 ""} +{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(194) " "Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 194 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567905327550 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567905327550 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567905327550 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567905328081 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(131) " "Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 131 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(163) " "Verilog HDL assignment warning at GR8RAM.v(163): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 163 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(168) " "Verilog HDL assignment warning at GR8RAM.v(168): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 168 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 7 GR8RAM.v(174) " "Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (7)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567905328487 ""} +{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 168 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 163 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567905328487 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905329066 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329066 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567905329066 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567905329394 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329644 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329847 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329862 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330112 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330378 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330378 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add5 " "Instantiated megafunction \"lpm_add_sub:Add5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 7 " "Parameter \"LPM_WIDTH\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567905330566 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 250 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330581 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330613 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 191 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330628 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\] lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 192 10 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330644 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|altshift:result_ext_latency_ffs lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330675 ""} +{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567905330972 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567905330972 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567905331238 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567905331238 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567905331238 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "7 " "Design contains 7 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567905331613 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "165 " "Implemented 165 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567905331628 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567905331628 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567905331628 ""} { "Info" "ICUT_CUT_TM_MCELLS" "103 " "Implemented 103 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567905331628 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567905331628 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567905331628 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567905331925 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 15 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 15 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "304 " "Peak virtual memory: 304 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567905332050 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 21:15:32 2019 " "Processing ended: Sat Sep 07 21:15:32 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567905332050 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567905332050 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567905332050 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567905332050 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index fcc8db48455746bddad598f2f60f9a1d051c8b25..fbe80df3da306447a72bcfcfab05637f6b2867c1 100755 GIT binary patch delta 836 zcmV-K1H1g337`p(TYr%Q00000006K700000005u_00000004La>{U%~BsCD7fz_hz zMQFKjKpg6nO{7WEJtH_&EjNEq19|_H6`IY<-ZfJK2&+VSf z90Dh9&{E4~yXsZdbCrE}cX#(E{z3l@hl2!S&6+ocH`=)6#((-a{0OrTuzDY@-@@uE zEKL=YtreCwC~uX!=0VPVT{F|J+b`u$Aaq#ofD`_IcLMOoohyX*8H6itolq5>Fkf-I z3}Ss8{)*Wj2qgUL8~@AyDF$AmVm?L2XS`yPF0>N`e~5tL@92a>-Lytj*N!$1wDHle9>+{IqC9&?dO&jXqifp(^}dQNnMG8O0SAwZ7E@D zK^lE!$m-JFGQ%k>_3A+s&eHUJHlv0ar=S=yl6#O*XTelU+PlU(Duv{hN~3G+V6`MT zpi&!N>Ci37rcj0Siy$xf4Va+D=*qCV4oe!bh}z38!G8$0wepcLYjq)*;|p5o!q;56 zs0llv;H8e!kP82~G2B|&lJ)bSc;P5wc7490; zLai1t*MAXmV2;}5;p0+7;$31^1F-R^kXRJSI<@iWBIlNBrhLf?=MA`=x63@jp#!B` zN_s8=x2OZ=5s4AM1nz}(M$Em_#_kZ!Bxi7Fc!>(>IZoD6yictQwDhK{xdnGjNV}5^ z2hY$ASK6UDYE~Fsa4A<8Y86@~ydqJf5o)#f+kfg}{reXKwE5m-12DNS3e-jEC2dm< zCUf3Ux<}*eAp81Yk`dZ%KlmIBzQq5^qx8L{(^Hy^x97sq_uN=iL8mcDF=UgSbSI;4 zy#R6J$(Yg?yV-cRYukKA8Rg&64}4BXvDTttctS4G00D|FSl!;uR$o_?Vg8BLM$GtR7^9cBP2lx=zkFb-alc@IeUTsDA O$5?xj{ROj$0}}xfh@I>J delta 832 zcmV-G1Hb&B37iR#TYrrM00000006K700000005i>00000004La>{Ux|)G!d<1q31> z1Q!m7!<?i)M=4-cG0g44F-zr*4W#${JWBU@$et)3VcW?a>t?uK}P!L{e zreO`@N|-5ia%_u&sA|=IE?+~T#`=ah?*F?J1ixCl!tidPa7wjdB8LNFbE@Y-td8wZ zIQxo$xPNuyzx+=z@DwZNCT4s@bHd?R8kW$D2xx!7PS}-YrC2^Su)ezi(e`%K?T66- zhTKp^U{@;aBY$F;6u}#56?;LY zX6BqI3T~-UcOo+yhNJNqN}`NFMUN3IKsvPr5fw;lN^2lvoNCCFEN}-`Ge8HBNkwz% zx+Pd=BH=c5a!SvT2`H7!6)6h0Brz6NSY9XS!8VpY0)L{lOc*gVg;XZCpu%`fv||Fj z6n^Sbq3=sYwdNIQJ9$SF1A&osG)QEj>%bE!Qs&ucB5i0M`B60D2Na_GY8)Iv;4 z2sfc0?L6At2}8hk+jc%%t$X;tuvq$5!{H$e`rQdL@RBNxRZwfRLL8z&FYHC|%rYdd zKj=fa^)Tu`tlKsoLj>`2ctt0$=WERyx+mlW8^A%qF)5lG!98LJCr?g>Bd>NBhf`U0 zIW#?^9QK4^ruu`rGv6l#&Iaj#vbra4R{gPS8yi^2VU*QLTyR-Wn9~e KegU(M0}}!6Ds@i) diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index e2f92a2ed42b81b79a18199aa59e8e0e7fd544d4..0be3d4bd3de0897a062b72174d5a2c70a13e5025 100755 GIT binary patch literal 13695 zcmY*gV{m4{wv8sX&53Q>n%K5&+s?#xGO;nSZQIENU+gbgsBY%$V&z~@%*@Kb#K24};$~%QO3cE_Ld?X$$jrjX!ohla)a&%Vf_Ac^V4aX)rfbmc+y_+g4uikFKk2(e>v*FYmRo<@|3u{>i3ub&5>iZY z^2nRDTjJzl4aPfUL2Nt>pNWkxE}lIlW~Cu!sGx#P?)vJrU+#8m<8kY~`o*)qQrCOE z!hag@E7#>*b-Si#)92}Oy$LTLcY@@l+V+X#LNq}bK%zM5Td^%Za+Rze z!Gc4%B2ya@h#L~}6M4XDGNl?C9)^W96wSLn2|QA$81ep`!MnaS1W<<~VCQMN&D4-k z>lAF(fwb1RNhw-jcX2e*R93!0(Po#;_w_QJZCJNTdg8;V}6!XN~9X1FX~^nGFm7D4l8Y zYuVPhUDN1rB5v{V1e}hgU0?1r#Wba6H8Z*Ff^W=L&gKjoa@^99$=W{tF?K9C1v#y( zR7I&ERTM9X7QzUk0aJshg#M3%i4%p(fBrVc8cGm$qKqS_%L+KcT0Wbn`V0x?60v8m zYo(q~134AcG2S5~`+qzBzf5#P(7M{4&FZ`vvh9u$hURydXX1a{EOo!!uF~u*jEe1X zhiI2<5z}QFw!$W*cC`0;{tgR~M$3bX(JMo9uRN0$T`l3F9$;3eFVcF0qXxh}s3DO* z&ew{!$ zmnncy7}lu3IhdC*b7l*sQfr>AhDs-FZI_Vsuf8Ou4niE;^t%?Vr@Z{3qfR2s#G?C@ zwy#oJ+H@f4XY(kFe;-Cjw4A%IVc9EVve8Sm`K)e_4>=_AT+`^#b)SXoWis z*!R-rVAx-m?q}AguJpW5vN#hE!OFFMqnhSDx)B#fxlWNp)pM*?i@x*P?Gl`U`2p9v z#Oz(Ab2=;z=-&6&H#lx9SH-;9sCimwC~GCnEx+0!(96#$aGX!SBin*w7t5)47P@hW z9dYwqwtnS0{Vb#&;-PV=6*Z<_y;yip#65KwPH<*w`@-_} zt;SbfCn*y`Gik#GR zN@@sH4qW^0PUJ+h`{z5mBGE+G!`h|iy%EBn`sQ&4gu9FPq8Uibx3jS^;Pe9f8A!l% z1!+XKuP67MwQ-cmLtT$uXmtMuQuMJQv<&*3r3VSAiW>+W6By zhf|Jj4=8ptSxC~#81b?e4h`=OueUBI1^^LYIr0%_Id|+^h@ZG})4eeca zjtwkz{C#ROI*xSt(&=kjPZ!P_hlgF(53Cs-9{_Rj_|10Grwi#t7r!t4RMNM6??pb& zb>igp$ue)#pMr4Ihg9hJ8B33~kB3Je!Z4be15UN>#j;i_uYtw7mQ8OnN6J|iz&1v& zsRpJ~dRj#U7yhCjDoQQtKnHdSk&YXGAy@?4KCCA#mUBpvTv~&HmHLA-m}vRr%)dJ8 z8+J=zSL-8=pvrz-A7;$-wJ4LQH!*^%EMcd!kGF_O)H<1I=ZTvWN$0lG*3z=dvO3A< z$)zu_jA(;QH{>BGu&J@)RH`iKg^J@+p(2Dq^}Jl@=V`_180S{v6>S)WW{q>#i@Ch) zEpaBCbn}k>s846oRYWz6TM%1oPWwS+@!^y4|3u?-*M$k-(&Uac>rHt^gUMBRFzGT^ z8VV$>H%<7?gi zj1hhm{FF&t8$?WqPt5#BM?TyXKKopc zRT)M@e6q3a;RAW8Hfj5xnb6eku_^Hc^_J2Xck-5LIPpi1zM9-BeB0@T^6pst5?{om z_KXB?X-;)#Q_DZeqN1{?_;}itM|*S1cIdzR34m60a zSL^FmtNrn^ebysk3oZ@Lg#lfuDHlWzA<^P1W7X6f^X zdREFUCHbJxzpS^xy_s24CO#rAGiYC}G?eRg8a_6~PIeu-q2c6Cd72t8`*9vK^i3PZ zx|x(s`DzUBt+_S_d6wJ)B9ll<60dK$<7jE7X;z?D9q+J5FPOsz$?a*bPs+u#f*Bu* zx68(i4BRKeO&{Zy&lvdN+QAC5BOPow8vYbj*wZfUvr_Euw@Y0ezMEt!IhmYGFUw%1 zw>dEc@2i{n}Q_|a;?)I6=noiy}cZ-9+saV~| zHzW4egRSIKHbJyiIpN-`p(7P2J|}GtxaWObqPFH>Vqc^w$Jzl#;f-Y8tDv8^yx7+$ zNh4~5FN?ikEZY^QDa_=gGDUZ5RCS}}8yEi^j&%x%UwIDtr9Usp(H1Z{L%NJD|hH&7)#yCU~Y_7g;DTZ*|YTb)8YU1S#|B1+SoTQKFu)(Jew(>FkMUuz@|X5{9Oh0_>uv6OJBXdFyr!w^@q9O1 z_DI(-;DfVRewI21|-xm7gRA4{Eb@KIK8ZQ|gHX2RW z%EjHZcEC4j9HT-JLx1%_*V<0@?GP9@j+y2EZY*l!O%5q0c=*K+;9jn}e4E?1d3>7} zuI`r4`)&vybUku)UJ_6uBw?icPSfTo0g??U32yjh38IT1=V}jfnLf24+-tjH*z5Xj zzUt5TIWTcL6G{OtD;w>{mk8q9(>Y8QHP+$TS=P_}3(+Ioyp){jq8=#=+gh;QCFtH( z)UN4BS=(GZ-6ndAP*aASKuKHy%^hQm0-=h5$?oy#(_eYL+f^o>lLLHL_YFVKpRSbu z5fU~3>UE}GxG3gs^v*=m-rP_1g>S=!B+%cd&=pL5Z1}lyg@Mi<$EMD4Q`Z2HMzqsz zJDfI5aP#4wKz@pQVq~n^vQcC?(EYn-)8;SnFL==c^Dj<3R2}k&(rp%zYo#YQPSsPE z92X0eImg}ScEP05Vm_w-7!*E=zeO;#vrS2H3g=*r;(S`;D9Aj zOU}v?t~C);K3eY8F^&Zr;Ty+3NGy{n*R&raAP}kUB2H@WuZ zkeRXop#+#NftpNUOpy$XBfTm$C_@kpd0(nRDMb6;pA?W)?o`yhyE0`k-Q^?NzEQY~ zEOLmfN0RE{2lTy0s63+TJNp4C_|!CPI?AB12x-A7q!MmJ4Gy1uN}9@>;Rju#K-4aL z1Eg?`I^h;IjaxN*#2eps@Xy;_nQARvxPcZ`YdX`ONN$cY$b?NOlOOVsx@6`OP0UaX zmyMW4Kk$#uMj1~)9tD|WfB8(_HwEkx)XE=%GV5FhME3`b8X@bhtZVWwEzYm=@~o|P zJ`5r1at?{}q9zUmjNO9Zb3;EihiH(!C_rq>sY({;uk`zc8N>_~IauWjfaU*-VCd(r zxTM9aa<3XEJkub!9QY#}r_m+zlXd=+VB~V&e27%PMy~k+?j+<3d|OiMFZXKcDEVm; zU#9@m#vmduF zHqCY)(Iixh0Yu?+3RJEzo_>t$B)62Xm%f4<#s+7od}tWbt3e~Xk!yP?H#YBOEr9?~H+t(sG3gKrX+ZJ^??Brvy6{hIW$jW`XE z=;nb*aKDt4#nVlFW1*4kqG~OQ%Zwz8=XHl;)^4YZaaSDf5l+dF8APeo3(X8ABbbAgi(I@#*e*a&iR11vJo!xv%Y7QJ99qVL0z80 zy|saher1ezt-iVV&J3Qi7ff>?t_mZW1j!ri3r)r_I)Eh3XlBx1oD6dRb{ko?m1Y&F zZ_3a8dDLq6`;@5HYjMg| z$HL=?$*gD;hHLBk561Wdg{fy+v9`y!tJ`;Lg=)gJjnWi7&!CbZ&t?Bn9mS~?XukYo zHl)~14Rm(Lb7X`SLE%;kWMv!(N{=Uq%m=e~$xtcwL zWKEyEv!?)2ZL9pkdb7S(wkea@IY(6Z0wJ(Qj%F0xyA*S4#4&NH{<5tJ9~6<@w8GlR z?nPi!GXj@%LaXUykg;fkTft3XIY)@=i zqQJt~Xx-$Tk)9(O*q}167Xa}+mWj^yuO&luz6$TZ#9{U_M!~Y?!UcZ`i_vg106)KM znw{gs18=4x%RkfF2|A4bSW+GrYT6n2v;f!%5h?t*!ZF!sg7m`>pwAZ2}*#pn@p* zuC0pePBxu*T#y1yBi-T1Z+8C6$e=zQwxAUm(A+T1>@b z&|lLy_ZK(B9pYFvU!i!zInOQ8{1#K)ah>lnYC7K~mCLYmc_*_y4jr4cM#F2C+x2Vu zO@r-g0Saq&Id4m0DX*neiqa>pOa0ZhMlD$0n_=E+Q(t1vd3=Wr_ry@47m(>lThipRb4l}OuJB6b<0n-+$CHAuq`nkQ-=m) zz%$Y%aLp2bm%JkswcAGKY&~6URXyzIjoxCDmwmx#3j=J5R%-vJH&*I^fD>HaaSd7w z{I_egBcxI*7%vIZsbZVwn?az0Dgt@8k6DYi9??&oz_3Ry`fJdp&M-0WA|QEp3UOHE*kxTOxNKLiMG~ z{%f1gwVItH%`t6leuP-;-zt<>wJ)uP1$L8>q`&?_;$(tWh0E7T*lQyNCxcP7U@Bg^qJ5PpgP_Op=Y>mi zdVnsB+Z`wLx~}u1(mzpkO8W8{#p_fYqVaNDs(ip#v>@=Mes`d!lYX%-)?sQkLGbj* zQU7XYiYz7JHQ86-Yk%jfDK7sf<1i;-{`L5DLdMrb+K2;PfJ^P?T2If`d-N+O^qsQ* zvdg3F?eCWcKV=~5*L}z5@U4$h?T5>1kLm8`c*5c6bKDY`{~4;|XY}DJAMqg`Fn>1y zV>c(CkM9ueG@$2;`HLQ(1GLvw>5wvysO>mDKO?{HOXzg;cJg^QKLBTt@ZVaMxAW&; zCeF{#Hwznso_E{RbU(YV9h)z{~p+Lp)p|pX4K^)0Ra3L{2+Lh|NioQ zaK-ic`Z=A(IBe1ScB&)L8lX6~J3Ax$IRZF+p0$1TVf5eMVEwcS8x6a#wDC15gQd}h z(^O(G#(P0q(o-pq{7Ym*#scAH>O{J9&0{Avvf$EZ7A1Eo8>dMsA`!}XWEzExV_-VS z5{VVYBRZ_kZ$G>yY(7Ui(3Ql=lKVxPKu(%LOj~4pRtL?DAeV3kJxnHi5*l>kVKVy$)Ki;b%Exa4G{^;^aKPh zzGc-i1)0cyT(lt>2u4oY)Vqws$|8Sw0$@uaWK)gfRPj16v`qa`GGSJ_*lX8Z-S~pk zhM|AA;|%G(Br9plWyI_-K9shvhfyfwKUyS)DPP8T)dYkp(lU!u8?lLzW**Y-mQACQ zOjW3g4KEm=kfJR*l%r(M%tU5<<)Krve+3YeW~3WSKpfhMaq(T*${_hjGU zK{PL5U)q7*=%C)fD9BAO>IQATS7}}idCEcuIL|Ri%`<=lF3|x51=Ap4To(N7$6 zt!0)DKL9!C0Qv$u+4&v#jVE*fqwr=@ksi#(3_3u*;IY(lHK>(N90+UKO}(KZd?7vG z1F7v1?T%-uN4>Estdmvb2i|;4z42JEtkR4pG>8O(_TfWjSzczz8T2%O4ltk7Pb}I7 z+n}c2s43tV6bGVO&dSdBz;B$YG=t84GK&vRa)wk6}Q`A@{=^b$*=9{_h<+6|Kv%dV!weC2kGKE3RsefIo*Eam3Z0=pk1LDC4QMVrfOmEd|yXeX%1_^5kh# zmuj1rM|*nFnL4#2J~!R`S_Ub>3y1N0l$kmr$aiorm~P+-ZAHw3uWXG4O6t-ZO|}#v<1sKGXTrq^wRvNKO8{ zA1QCwv?2HaLrM{NNtk%?aFzt5;1XACzHb+xN9 zXoh9FKciE!kQsbK#|w;Q<@usf-qY*9&%_4oqC7KnHH_)cnZPYMc;q0bB_g(f6ldm( z6S|t#@nua7qEGH$!dsT)K79ohV8CLzt3@a{7O>%E0~C>~^wKolp7;++V_-t5bMK{K z5582I`bSY&h~+0&;#=z-`Fh*kZ7VLqcqD%pOXQdGc1oXW`!RqkU=DZW_0S`Y7Z4OHQo=-;#bPn7Hic~8D~^zU5t2~`Rh zAv92x_!Z6t6G1dkPuKWNl?!@ z2>9wDbh}t8L3F2Fib0XHf7Br{t9wis^$!C!sOwIgq6)K-xXYp$_kM#Mn(^#6 z$fFtWvXNX7$w&G~qFmnCh)gVe-lmj(98${yM^)0E63XcSL<(9Pf_crZWpx4@_Qq1Y zQvaAB%2wn8OaPW9bBSwitl)!HQv#j#=7vKeR3wTcS(6A-Fzgq_;~zas{$wqJsK=62 zy$l-`{Aj9Q2MLti`Pg3X;k$h|Vo3g^_?U>y z)-1ykZWRy25mcQ&6;J5t>{W|~MfA4A4{hh)LpQgJUT?F|mJgSW6EtG@Cw;50)ru3P z(i6I8)?D6eB?Kj^yzW(T5?SvckIcLy7F|#F4f7Z65gmklnP#dNywikoX}qp%Vp+v- z4`UNYxbA=CLB>whcy{Gh!+}cY zsbQ&LC=WrIpjArub~8@b*z3$uF)~fp(C~N_U#8WU?RI_iB=<|uQ0?q4+5njOwP{>- zc2{3NP2||u`Rg7WA1c>*x_P&(ow0{|cllmEh`9M^^)6X;XHw)4vvQ@qxwWn$pVP7B zU1J=~+ddlR|1H|H8Wq%3AaDQrY3O;of%hX?wG+P(g&=8~BmMEviDd@-Vk{cNDsBCG zDY1dst;T%p$sLWj`DlnL_?r;05Q*YOl6vACfDH>F+X^;Nu(TQ*cl3uCfUh-u0n%?! zvz9h#NhRTcxIg;_qntd9{Hvzz63Po%m zG4nfBmx`uCOx-!GB2=&w^Wdofo?9+q=^)3K6xj;^p;$W!6X@t$t;w2!U&%R=z{(4| zgiWyaG=|(I%(#jz3#Z>jDSXo-P?#-9Pum|uR_gxA-J46?`ebm2!d&oF_XBQ5 zR%vDxj(9jbr`Y6i|I@ok5UNOu@nI+zHYd^FO{o3d)6LJ-)zGfku6Z86g3^L%GWu9Z z5E1y;!;G_~A>$T+vmB>fsgWX5M`totsay#qQ)r+H>!(fqKs+a64i9>V{qWd#)3-L% zce6HTnV$+1bzWa>Cl=0THdX=OpN(ZB$s#VfDX|h;qtCjsvWu@d8PwLu-DuwKC|8rD zR`+9wKeZaXXo>Ikpb^Y-&Y(6dJp}`sk@iLznvXj6rH=_*UXN0W$QktlKV}6W)2!Bru7Qg0PCc;|iC52K?UB5TZDrSQPO$NyKDg zIq1N8!FmM}Vl0n&NcrU7JQC47YSBD$(GAnFd6pw=oI6{bJA54HYIyEn;d~n5_>7{z zKp+C*b<$`}$!X2Tw1whDdAXeA^Cenp@UV53TWggBYwXys7<1dr-L5hqoZwRSW{oZp zQ5salbYVi7@OSBGyka6ftG1>#O~*FP#=ccRnT|!6j!Bx0U7L2(kf zf*4RM=gsmWw|O9Ufam&yTp^W2aw4(VbZil1Urg0gNMYr5l0H(vFfq zK{@DA{PSW^fyW@39W5jzo_GyEI1~0&R(S%(CeJVRJ6)VRL6E{>lM4-`2aJ5qJgO|0 zWC>Ty+C*+Gv1V|Rp;8^yJ9Vw`O}3Kmm!#7#$RnIcI1P|JFKL6OpnQhdWhlz%JP9a} zi#x*g;Ac#s>he7Ux^$3ZQziMb%F>qVT|8>4u+`1lrd!` zmgx3xVJ*FD-Wmi>uG_iv*#M{0@_D(x_E8`7xI*|gRgmhnjbl;g;)<77(R!o@`c6>; zkjUA1mtS*`y!|V7 zMZ_ViHjy$dSojM3!LPlyo&%hBngSP|fd&7T$d~rWm(a+UpU5Ip_9-N76N%TL2ktMx z#$=-LMBeW|K5#DOMUs9^Iwjv|Hm)5CdZebQ`{w=m8TY^w(WMvuwHV$@D4Y)>lJ~7M zCN-nVrzcW$4CAwrx|g8X6a`szK?%QoIMA?mQok9807^PA#Ep!*kducH6gr~iLTjjS z*qZpygb5sR(22=lK-iGzI`yEAd07oOsnm+ti*11?vUUsxX9yWBWuoS|n<;3YxZ}=~I4lL9mp4(Y1wTi!vo(X@(we!^%wS0#ozmRki z@gy)l;hYEuoT0ydYhJRyU!U;Nm!a4i{3QJaE+X|PtTdijZK@d_JC?Z2a^#A`0xR{o z#$Jf`JGDBM&`rc(!Q9|egcgX5XC!)_AImK85iH_G(3}c z_Qw{@@q8}O;_uln4q)9~U(Rt*f2UTcut&Vake~-EM+Sf+P80RxXw-4ay)$x+q_t6n z?10D(2Vf4gMsZ?5=4-^l_0ChDNBMxArsCYZZ;37qPuw}!orgmi77V~be&M_WJ0L}I zG7}(;1bj^TiosWOa}ya6An$^Oy5ioeBTa4I=`>dRK_PoZh)rgAoX|Gldus%t!rf`G z9GMvNYK+SWXn{27e#(IkIlngjK%Oj|9Z#IKi99TM!4%q(JgviQHsN;F`Hud(_)pYf zBGCbSz-6a@WMjn8xmoAr9ZK=xtmHR6Agbx$i<0wERHy{Sv2&z-#xUS0s6#aS@LxHR zjnv!1pfm87kn`V#^UzM%6iuVQc*x@bgE4FWv%(XNzN0l89GCovG{I=?Cy*r0K}`gL z;NpnQ6iF}=DlK}^U+E;PV~Mk%2dz){jmZHiBnF8jt6&404;PScqw4bL`XhcyE3O2@ z$_IMaK^@;SY_aW(JfXEcdB*F)y;n76VGEE)A_$2)tJW{A)e@D;Mz(PT%T3muUJG3f_eR$6;^HSm(HmOM;$- z0xGev2a3L}*k%N136k;9R?a9dbH|$5jk038bfh~V5JaX32z!#g?@DJwV~)2X&u!Lz z!wKxWWUVjyD7RK|R6V7BaT4ftt1n>48EDarGxo#Hv)QnN2=8kRO7EM0zQAU-5x(e2 z{pm>k!64||`N6;bz;~$Fo-&{~wMD*UMz%|ez3Ym-i-^4|i@nQ;ZPAkYf3wL*{oz9A zI-jFt{3%KO8A-3w5ne+ojzCdv3nE{BM|wg-lbACO$+JAq@gaythjf{YO9SkRtA5WC zR|g2sZWc)HFYdf{&E%cBJvO&2uU6}_@~o`vdTx!!tKKn=0l-C}4)wtcXqshH(>$JBY;oS|7s^d5p!oRA5{tWc``;LqJ zVCLQ(csyUeU)M>gfzD>C-T(9>kZHsde}}Juc`M?%@sC^{HSDz?Ip zAn&-e;rQKxbp}Z^XjzUvMrbffnFMuTlL@60+MJ&tzjkJZygS#keSO33|yV+exvsaSoHK$e%7*`)*gYC4k0R&I~~plR;*sUS-}mlk_wox8(sbOw;kG3^cYy*&TQaiAH(ciS!{*t&@8dx=UM+Sb0JmIisOTlk z-~4K}z?}V`^m0a z!H?ri<>$xWQYpn@(fU>*}~MXZPKl?9a8!wGy>lGi>3--Ww@L0!P?-LAkJxL z2k}uSyj$jWfa2fx>dkzjU<*DoY7PWBHw0k)xR(HIe2ncB6)Yt1yajDm?IE@CwZ7Uq z;j20KypV1Yx%qI-(D+$)meR-X(vN38Ibu}4k8t-_KVbXy&c99dH1q4XO*Vkr$`8o; z=CLXvF;u1qpnc_Azd2=ncBJHTX()Tf%WopSpNP+MGbY+UV69Ky+D^LUxCq9LdgT^{ za9#k6=DrT=_0Fwd!F67L2D+%3#~*l6{j%0s(KE#gI8q#{`sYG){TfZ}QZB{mEO>AW zTLo>g_~<5QxafP{b#vE`VzN0r43WIW${udw`*=Lxx0sthO_&jhrNs6fAWXGH`i$d6kk2#o8o=;G{}}E(Hq_nOG2t{1dyl z0NKPB0*&tH7!nax6snveF)Fx#zvYW?5hPK?&=66X)Pt|Z$&7oOkZi`$%y!3V=V{(^ z$3>J@Q5&-HN1aYjiL~nC*iSTJ?KZIOiA-UdsxmybMHoJVM;Agybvx_LdTX=I-}D$& z8yp(2B?(3>;C0e1|IA5k1ZO44197f#{MTJ~cI@|p zD-Es*0rexVSVww$0e%}iDWB)WZzCsv@Dv%h4=*omUcM#J#i1d#qE7eSgg8+dc3D;@ zOj&5wTS@qO7ie%)oveJ+karE~Pg=Jx#~gU0QA=P~E*)Fc?;hm^p0DbMq1uo=qDOb0 zg!2f^#+(7kv4+@%NjJ}49evM+?vyC!Xa2~QOTiou894T@R-Lj4xm@a#xhU1YyQS(J zpCff{PabDb>B@*!?&CGPi8xiFgsD6gQc1o_vaBt$IZvZF#wYdF_Hiko0}B*i9vn&$ z0?*$iksY=6oC7M}`sib04Ac7|sm8%%w7@bVt))dg$o!Pvt8GoRxrKMtPe$c(_7^+Y zWD!!F{{gdi?}`90eI_fE1(^hX&4)XuHh>vfB7uH?tv10S%{N$6rgx{i*@^LG3_X%o z5;;7%QD}W>?oTl;1oz}kYN0WW62<%diF9ZoJZ>G%Ek@}NV|^St3bbwg zdEMR+a~!%fK$dtPi}}B@+B_ka*g{a+?7x|F+ob=H!#}roPC-)I#f=*w;KY9vdgrdi zFpWc{+F8|Jkx}!;@J8Cq?77rLfTVdHnvI1UAyT3dJNjiztkW4sh(K{n74>J4bityz_vLEj;jx1C8G|ylH=QiI5k8y;NK^LL9O5))ng`>ef&o z8vOdAW(AT?I_cnGkP9M$CVUZ?`;pFD|8tp+O+0v+*2*_;U3M13u>CL?T#$@gq1LYp z(8T`0p9c9L*XhoHo@rx-Zb&AEF>fK@QuYQht;~r{cAW@a=>!qQf1!mqhS1u8k5U<0 zN}qqXoi#J^Hz_Gf_~ikkZZVLNidw3>9&Fme}BF>1VSrO^D-$egbrZwM~XBtYd`-Q`K4j z8KZz+#ehmi#i&vnspN@A(n#oX>n{hzQ!EZE=~e3XT+#4Ut*_i-xJCgbo4wd1w~TDy z-V+7$y;~hhV*e3>HhABzPuyM$f+ z2&Z5ucW0nP9&&ZR5qLk*^q9KMNUH9sbo~>E+|pl|dRwyxfT@>si;9y~CmZ?cOysS> z$36%epz-OswaC>=&0^IlpIFk>@gX6}sJ%>Jr7Ak&5U`eRdJWESPZo4Rm;;&%nH8DWTq$){^+z$i;QG8|(1-*pT(`Xw-xdSa^p<5@#QTdh zhcfh1*(1qij7czo=oH#}W`BA(3`KRttMJ`rc)EtpU0+rFx*&2bosQDd~S^rLOEot<2uO1+pg#1$?816jo1ZY+OHbxkhM&qo}E$xRc6w$B; zdc1ZDf}!!Y*A(mmys34-OT=knG33Y560Ps!nBpwpX6Wj_tUV3_z8^zzwrz7_+j`?+FU>U?zKxJRp zox$0i@xs65a>yA=dkK#Xq1>1KD_K}vO-M{q4MRJz^Q%W;k=t#lX8PiC2Da4S@2dQ6 z@$2n$tzuf^>3*}z=jpO zkU~`9yDjziR%kRhd}bQx%XKDf`y#yfCtQ(D_5*T(3v%icy_2$nJHit)z+HfF&B&ZA zG?9D2j?ZEKxqWJ_v{~MsBtuBSpri;VKQ?zjih>2-wiT3o9cBo>BWbDfJdc4kEDbTP z5|`ppnh=)m30&9vO_E8OLD1#|Az3is(Z{#7%ONv2BT<-}p&ns+Gqo;%QQ-X3y#15c z&PTLo2;`KIEuuTy;qIozj5yyX3g z-^asQLIa%@39$$CV)K;MM4;@Q+vP>fP$PF78rmDlQJ`ApAaEQ!1)2s~jj)VQ$t&+x z=pfKTEh=9Re)U;S%K?SM%3m>1|(NJouZjn7ZHp$@YKk z7y%x7OFI?4Hv9C@!gwK6Z8+qoW$C>4z#8B=9JsOY+~$dOkStmpxv?ksTeEw0;ny`= zu%*Q6tOCl!;e?|e_~Xh9tzenm;rG3~UuqS1H-s413DP9H4!t~ZxI^;!U~>n-_{8J7 zef1xx*-Tal{;YTquW89y@1yJVicc2l3|VB$-p*W(U_mE>4*@v3!N!b`)1(^2$s$z5 z*MRMSm0x%K*3PAKQAOCR7Hc_g?xMNGq#QLOK}Mdfn9Pd`38|A6{LxiE(ngP5HUw$1 z`ZV);WK2#483}x7Ig!APtJgG6ICa}H67XokgF0d7r2W#y%gG4mxz*3--}M*{ZP+mt zz2rtnuXVbC8>_kx{EF-)YfqmOhFQQDcmC#=#I|PiBS4>*&MITyiu5$I0c^#pIT~OV=w#Hp6=z_#WqM_<<;HrE5g%T0UU-N7$CGUvpS7NUp@;P5%^pIE-hs(Y z7j%IO(ql!`_{mhN+oM;k+~xZsYbj#yK#q(xdIuopL;HXf7Nb8KZ%ZC-pp;@Z=Z9r zh=P10%!e!dz63tW_pqX0h`T%C91h3OxHI9(6_;UWm?8liJNj5lD05J*fPeUn`XN9u=61822dN39x5BPm}T~}e>)ek$&niF8tn$Jk{?OI`>}SM zBgW&ORW<2kYxpMTM&SE0Stq0z6=|JkD0N5KUvc!IV)&NG*jg=<7x?f(p%W#kAF$Y3 zpQx8Ccy&^d@w2|=Ras;*4H#L4;Bc^{(bB_GAkJ>A?dyM2+E;S@-qzec5IBKZ(#Esj z&C<%FyL)Q=`)>z%HDN|njWw$P0>0rK4ydZMA%q;cJ}Ohny;$%5HVkhssA|o57n`af ztsK10FC8k@4{n_G-@oZ+WpxL3-VuI+&|5v2+)CQ{`LFr!1eS!wPQ)HlVt3aE^BB`* zjH8;2xyEA4mbeaTu~d;sgfBFYcw)yScEi5Nv{UTUo@B(=6q$$!K=+uMTa76bJ!(2{ zhx?L`_>XEjKi_5L_c)9ae&h{C60Hp&#l>#pT+s~;HAI2_F(Gt&?8MqtWgDQ1f4SAJ z+Z&+v>NSItW=)yA&nr!|B4kRLya^~b4T6>OS9&j^!%I&}vt zgF2gNIjtBc`ay5FYPrR<2&XG4&GG1@p;?{-V_(7gtC*3+g%FT<;I;9LLEf}cg8iSG z&HjsTJ2h9@b&ubwhm_Eoj$By8OUuo|ra6|M-zF1_IHm6FXjz?DDC&}ePnbFJ%hxod zZNIYSjfVT^Z{<{Gq+hm=yZfpeo8*uMyP3#In^p!>ZF@>Gp4NuUIAWze`2mzhOQJLQ zUtQ_8z{RSf^r6{3_(s-MwEX70jKD80eHe z<-<}d-N~^=cs2|)i`jE^+IV(> zRf-;HpJa;LluXkX(>PQml>2(TU5W&U{nF7j9A}zRV>ryRe3gX8Tnv|eNNGn4O4qtE z@mbElgOWk|rLeHQG8>Fqs(5`~=r$8dWLw~{DWuiuw>La2C0)qn!y%DLCQAOY{L92T z8<(fJ(~>!rw?r%YpxTFfu&F|n7cpA#OzWei?1Aa2cS6MuS~1c2 znwSU;O-MbFeQ_(rz0^~whDMzeC;`t$cHk8@zA}Jawbp56S02liIoeqGw@WRawEGhC z#anlu)`Jc4;rx1?FMc8#mL+B=vQCVZYW?3eM!YZZd%b;MTSm>!KL*77wj z+`#bJ?I{>5xSY%#Otv&6K>}I-(oEh+qL*PY5I_P9C z5TU#!V7j?ev4~ViK%ipz6v=k8n_l#~2Q0mY0oRY5`Wfy_f(cz(pI|wWno$f4WeE|B za?+eHDTwlC9JoaSi}D{;EbZ7%bUz^Q!w8pAP6DakN}F_lW|*lB$J$I zQx$1bA8At^X;T|%QyFP92i~GlZl8-FW*0{^0c&sBn0aRiz<-fg*&?ro( zi;@Q64lBEv7jMCdxj&pFTq!k|uJh#m9aiW&cMm&xO#TQr1n}8>I6GL$gD&xhaqW!) zLxpTHjD#gtzBgR*dmAkDw~AxW?#a=NgugnTlU$0%Ia{v799xdRvHgwZZ_IpS^c%h3 zX#GZQ*!6pva}Kk%G#9XIAwiK)jbwe}N~XPI>&G|4U0!-XdOURmb-d~K-F+ZwhKEWD z2#f*oydW~MZ{z`km+8y3X3iVUe3-o6fzQ5E^Siv`QNSk?9bXHtn-^vIs4E&q0l|^E z-HwSUAn>{IIp=owZp2W>*Wo+4!BNLI_vfa6aAdpeN#?U}mt}+L;Th7pilK)R&669n z{a6hzRmw*@c+34ig5+_)M&KIA3_&t3=ywcB9xoL;lqsHVfQ80392X3~cMg zQrT^`WqN1ab4(t@>0B}vS9kcF)GVT|t-Z`RXi&_c#W`(F2gjjGRYIGw%gq2&4+oI* zOT>V?u7rEmUi-01MAr#(M0Z&WME3~`H()+f{+H>{p9V}KpM%gp+`p!E77;j^XD=h| zb`whBQ$1h^^4Y5WKOfogvXfzE2^g$Hj;B?Qt5q!NoLkVNFJtUYaV5hu?_2XS2nv(d zG}xnhE699roE@fy;g|Fp7srA-&3-KnVfc60Mr2Ld7Ic-D^lG9pP`=8pOvG9BsndtX^jKBD z4@gb0=zy@-KGIlJrR)#$GFVKx=-CIRI2LS#uN-o1ql=c6J1)ZW=&Dn%WwfaiM*6k) zxR|`HLO2#5XJ}Yl7x4Z>yFnWL!RoXO*S!Snlpaj0r&wGJL5Ry%_Q;LL9&@C0U_`-`z#MOrbyv7pk7x4pMj5cHt*A_M;d z^syHl3~TQNwTRF9`;_jyLS6}e(~7o2{0k-d^vPnu?{lykcZ3jJXU0GLA%tHmn+c!C zPE&EcMdBO?8u>-z%a5}3nr(SPO-HcEluf20Jx}K%|HstlpwQhuByc&`6mb%yi&fbY1N7c;@ z0SIXlE5WNiFrT4H>B?{XXnY5n{M(;3^PKZm3|3SL&JvdVQ=s2CknM-Fg5jyZknjh* zvPLBIDPETJL4cfU(?XwqxCQRU^ny*gNG2T&r}0>qS+>^8!{WKtEn05C+{fwPsUat_ z4Ixtj_HoKqbqE>aM0!T02Xv1vJsWXu{a8H*ha+=a2Ezk^*qHwaa%M7iN7#BJqiP3> zLrv9uH&>X3IDgph@iH&lH5XHA%Y7nWVDgP1M|}}=ke93puF*?|;?EcrccI@YOr8T8 zA+_jo1g$QH{7}%ZpPE7Vc#)&pQ(1gIDQ(le0D`(7g@|FiN2|P8t9;Cqt1Sla`W%zH ziee-^g%8dLSK;!#Lew>48e?2m?9r2}-0~ z-@7WK*IN@WA!`^`lo>iVPgFcUU7iOw+g3uuuMi;n^E%Qd=7O=usL)YSxz1zP!6L;=$M2oo@tvXTwV#e0^8vq zIr&x#*@}s=i*JLo;l2sX4%Uu_Jqyc{DdPOX-rA07o01)hI!#sYMn|BhbltD9&5;}d z4wI0)b>+7d*YXG9Aw=nT&*{5okt-D@$B=(oLXOM?2Yk(X7n}d$dgs~f@rTq z_xC7+?N~18igiyT2}5ud(;QF3t{XW#(P;RYliz1*ga`XMD@%dv%~x)M(>@k(#33lS z-vW5pJaMxr96qTjQbqY;fQOQOMv2*6bCz{}a-5xYe0+9#q1~F-(Dm1*z~MveEvWk1 zew+Wn!#}=4#mrz|p^{%7-mvAdUzKsa@y62ui2kUR3X)`~)(I$RJk(EmZlmgeS=Cwt ztoc`-^X820%%kYjtvPHVW;)<%<=QlFmDrBPiW+SJ%esM#`gC})CIZhTfo?Kz&zHn2 zM`;Y0hPsgs0z#9&J3G_ueg69A+V?QLM++O^@4mOprwH`8-t1kBl)lrfd>BIBARG4) z?)s^i=XB3oO+@jVL2(c1=%f{JtaRIE1p0BBJ*Jgf@L`^*)J3$EzPVYYITx2@^J5NG zjmCNTF0_>?mg~<^A3t5O?8TR={&ex6fwG0)ZDDSZPnCwv`7Yf;XKI=S19P!}7x&QS z?JkS{%KSl`Z^lIu>7U5eAZ$H_r`Pv6@s|uBKHt@@N?l=gat4-exnJ{nvL91}KmBQq zwd|V?F46p06fxFH@X?(t)>bjFldnWT+)g-pQ9aRtXOLsoLZ?*f61V>4eQqf8tvj2W z;_gsfk1^>L$@-T?%8@0f2keJ>t0fatM2BzthBhDILD|H%^d5-a9LeXr$Dj0 zjIDZU0T*V|!HJB%&cRy(k3i7}E5Tdjz3rlfI7=|3DC3$7I3{WTkCHNcq*d&x3VGg= z+oT5=jr)}7hi zk1;xH+WP#6n#JaAU#7LNf@Y1khL`x=_xyE*;f#@c2 zRr7&I(hQfeM{waZQbS;IGpQj~%t=9Wo2g-5lur7U3(G=ryM)p|n3cOy{Iw1~$JgPE z0)y)BXFXT-*Q600%moA(N3is z$<|Z&kXs@uD>IX zZv(VI-r!B#%Wj`3n!l27uj%!sWp_Bgzrw#x2vkF`yg;S7GW-~#swJUM%2YKcei~1X zv88nA&5Z>t3iy37*$bb~^~mM}3LkC1TojNF4{{dxL_W*(cY*eR$`L^OXVNqIm&D#3 zctpv6+H>wr%<8`uHh;3uGpeQU-Yer@v{f+q9=Ym~F!ddT^{4Kcds4K@-4`t9pHnPzC$Vx-5K^N2D|{!8p7 z*AB4oY0qw+xJQ{u1O(y>D*H6QgLm=Y8a97iUYu-k3?J3pU*YXt%wP1df1XS;UVd81 z_q36H6-?gzIPnlae2!ezZ7{?IT;68b{kK=YMDYr4iRcV|3H13_3XN^2-73}t+Ak75 zs$L}L1QT-!zB2Xj&A5c7qL7L^ zBD{tWh&@wrHThRsvB?m^)2tzw>GRO9-U*B?wN}K3cr$EW5zInwDJ2^pyL{hSLD>kY zx=FacWiw6|6Pwsltfo!4HfiyNybRme7|Zjzp=SFF{1y)5V+yUFT32`AY^NQB!5Y$X8{03zSkKR}l?Cu@p4sHp^ z&htZTWJPN{6ZSCBHgr7ZEn>$>$Ly<8x?~e-ytq2^RnafHlS9&D??Y9 zms|La#F9~F#vb${8REixMnQI_8(Bv(=uv-WGc~UZsj-F9a!t5{PuPdw^5~}}0C`mx ztuac-$0o>~#8UI8C02mDSx_@#}V~o{FRWk%CSd%PrMN5vHYfrB-l<3 z@Xqeb**kY~)Vm}Iy5`7+XX*Y$Y0USpK`!`?XtxPX^+A}9B#8HcHZHI)KL&+X0qN&B zQ$}hWPZD3fxbP$vl}rXXQva;v1QmiS_ZXYiBva`J7EPGvf9_6;H-O7sh^(6t{fMss zEXnPyogvicEl(%0@v|ce)K*Abix(x8V{++1#iS5S-%OcLOw%GQ6%o}v@}Wd$luvfU zb)pf9kA%bo(rpP1K89z2zf*oX%3efrG{!_^QFM}HkR-=6(-Yz6N{bKUa8XD*Y5cLi z1&PEJ*XO12U>DKKbD!}bFF?De72D}}Mr&D*U_@@w=^UmreH8rpj4~w1iQ;Z>y_C~EkN5f89AeI*Qh5`e915+)6ye@ z6sL{D_}vLFn`6iy(o;s&MN<9@{I=6jITdntrg1XcQ{m({qwMkclJ_^m&q6C%P+k=! z5q?Z3>%TmB>f{jr{bWog5b}4LjdaKu{ducKTO_}H;w`!4)*I{rVM^oN!O5ENjGUZJ ze7gsSaV)VtHy16Fr@JWUv6ux1tH+7Ix&URZbG-JC5}~RnDyasleAV?W?LlRq$<(Hna{OW(4s{{=#X@DM zx)9hugWTbd)cOtd!%hUF2RxNho(_|vB$m$0cJ3+|ZNFc`A zc&exE!JZvE?k=H^t=Wuf%75Qjco+9ELgYf8WU+fH)?Ht#fZrv_>U=1`kVL5)m(e{htRThQk1Er&4=XWloj~yZ=d? z$AQpCJvQAQOjmB->w3GXrGIi$cjs$phnvG&7ZlI(?;>qD=qe9h=dS@?y?9cS$*ZT2 zn)TDj4i*12JQ{n@bpOmG{B)&&)t46WNSr}O3o;MfzyNRYaQlca~S zu7|j;hiU!jjnWz&U3VMCgwv3$SSpo~RHw*WENUUnG65Eqo`tMHydYKm0>OFgU(K@gb=&}1=Ed`vN3ss=p}@ri zFi?UCZfs< zl1ZL_(t8?G0{$006RA?clBbrZ`a}(2*SHZ9*cCtX3&X@(9&tf4b_p8scm5x11V;9^ z`!_X{K@lnnrQysd1Sw{Ao5Guq&q06wIzg4VJkFWYt04gOF2V~kXuGx%-i~(NPrlmfsjrR zW^7c{4KB!r>>R>6w|jxd$ss|COt8p?C4v}@7BM&qrI$kraLrZe`ytfP!t{v&=qLCP>n%Fr0$N>0DZ z@`7u^MVVzjbw1l}ZU^IK@5Edtb*2?xM+Bw)8^)xfgbIos-!R&_q5CHskqa?CzZ=A)i8_Ik5t2olY&4SrD2p3rO7AB_!*?7p zHEW%I)@%Ez^MC%olb_IC0w`B$*t5tqqY}N`>#9?4H}B<9TbFkY7@Dz|z#G`}vnEE7 z>pG!OwN(qhrPGb5mqjR!4Ys8h8}gn^DaNN9XSpJwzMOquYCu^$S}@5~jq{@!PJDz{ zQxjLqzrq0wGwZ$Q9C(A%+;@Uu#9QEg6{>X$ddue-5Rh#Or{!V{;M9*MU;wfPqtC?B0D(mWZvASE(1=|qD-$eA+>F+Ne0Cc<#|X|?6< z@hGZ^snHsx=(Y8RoEaUpCs_?d8$$dp6F>zsh?7J1WBXu1`{OyGd238U3@|)XU1*Fva5iJP8i5HPhndbHmadO~CV%)k zkz;*QnPL3do4M5!;N@D$!KY+{WGaMlLLS@78i+nu0|ldb##j>*%b~HfCUG_;K`#)j ztwRU)TE1NK5I45{sZszv9#Nf!*42vPEsZ0dnu@kT73yzAeP6F~ESwiQi4C?t7U5>n z%FMBeO^Anc(ZWKaL6BM;Ga6rlfRjRE5`aI1L12fc&D`ueq_rm0P?reqAnjs0HduwyD{c=&V=iv(*u)HDvZx2gjL#c<7`V5?~U^$ap*lj&l|Qw?P=6VOXb7SQfFULNW?=pX|?B|+}ekG86k&1r)6#Ybi`GgC451`v; z3@B@|9WZ$~bo?a$3hs3(xUzJ>)3N@%Ab8w6Ajxw)9}_eZL;(4ObM=Rq5>dIp3_S-8 z3a=ibazZTZ>gt_wHr6Ug6+>KY-9F^rJp>Q0?&@AAM0QSgWpaF$t%Kl3l_`uCwAh z0U?k8;u-gJjjVQetiKtvFhlrs!|=&PbI3$vp+eA=FZBE0h>NWm7pYDn`8N??GZv2rLcR2ORv+&G)(@X| z&0$D`%C;k+z2spw8V9l?a$1E)ISgFs=BR1V1>KYDFn?>F5^sImCyeVyy+DaLJ?3jV z3hDgJ*#qOj%`*lFV!`Ne^3653GHU_PF0gy^JZJg*f|mdNu8+qWkH-QPi5ZzT*gOUb zI`E0B9jV0}PwJDnWJXv*i(JdrQ0;Hj$3Iz0`FxTAzaU4Mui-U8w!NeQ4Y7u4(Th+c zcR3PoAZNG8^-x5kfm(`vJz3y4@|-yy?8HN`Uh*9Mr-y8O4~J5C6M&^?tIinMY7to9`7P5Ua&q0wqyYg=N2Qz5f9&f%Xn6vNx{71SW$B$O+rfjPMC(+= z)+rg3wW0)Ay|ppQ>yZ}e(sWRBM+2V|l3kPzgn!-k-*GssaC|#s3XR8BL98`AP?!IR zWY z{wPn8ZfwVj+hqKRnzgze0McImdGyYdYSI!Y>Q5U|UjZdPn5x0ZKYa=0ds3CpdkjP| z?O7mn7K16W&`=}1m<;DEh}Jf+e$uh-nBA%1H)NBfLdO+^_?u+bol7-Cu7`%qNFa&X zcoGPQ_j-N+M&wY10xGa;CA0V=p1A8-hg0TxrSFuF7ni?MiRA(l>u z7dtu3dX=zBv^TGe2rgZ*PCX6NrZ9x+QT`1dNMigHtvb%y0wNpj(#Zy z0#w7p%)2n7YMbtKpeNgqusg@4uw9RA#O_@20J<;}09X&ks5cU*b)sT=88O!}IXO?U zwqg+1n;9{+ND)_HB0Mo(E#QazEBxB4-B=Nog&D<@Z}p*CP+fwO5s)vrEc*k-f?o{q zFP=z)EHCriC=>g@eIdGF0a{UkQW8kYp?{z%zOJ7V3WBM^oQK~D_TzzCP=1PG>j*GF zFX%Jcv*4Rq`|>-{Jj?m=Qtdetiy^_(j#pUXIha;`lgMc!z+pg}=wDToQbTL0+qnUj zH+vx#5i7_YO>Pl7&JFMg(?Wg!l4R$ zxDaB~HUw_jFg%EUxP4T;V@rVl+6;uMQm(c+)jgGXB(}Ldb$&9p`yW# zVbHrD(31?>*){}&zCY4;x%4PWtFcfG!9_+p@k~emnT>Lqj&hof#<1*D2t|H8+OlDh z#iF4CQBidRQTTkysrFg4N52I*GTHwZ*Y$ooXgnUm4BUHA@d=R{z6o4Aa_mh+m6E!X(VnYZUv_ zou2Zw^v$_l-TG`4Xnx#HZ>N`xUn>zK9$~M&xz+gY^yK#lDI^GuM$!rFtrx>ZE?jBp z&j1Zv*Yc7R>Q3=gRko3!bZBm-IAF#J$Y6dgiDnwMIH~mCjo2R?CLTtukA_oTGSQmH z^ikJZJ+l}#Cug*ApFm4Y2O%gjh5SBySuJXMb!7oHrR?TGOzG8bnl@MjphkOZkKWHI z#GFlR%h_nyZGYY+2AWvrMky*Bp z%={5@A*E&xc{T~v=F43|w_n!&*{(GEorNLJZ}v&u5H5Fk9I@7!5r8irEq0Z=$YtV$2x+m*!sJJe8~!EJK!6qpGIGJT!C$$eUq6QxdYoRrk@s0=7Xhd5-fWg;EqfT z@77)2T`wgUm?ydf(00V+B6KZY5H<2?etydc6!z7=UR*g21yj0}2e^VnRZmw!ysx*P z@Va_E)LT2}1~=~t4l%aVPRVA?l2-3knX%}mLvgYuO&^fQ$sb;}D}rZ+^{1S9-6Hc} zh!0`a=!)M?+7fj@c<8TOs5?N_>qzCRq|517qG-=}fyG$Y7)UUiPycubmmte1%zrne8zivH;Y|sdLQV%RnhKZ8RXK8iLoP61QN7l#A z()Jb_Z>-lAqMBbbml*p^n{G(?qH@F=9(Mbu=%VF)a)uqxFV28Jj(rTDv>#fo_W1O3 zzX0F=c6@z#f);7o*kY(-bc1719jI>tbx;yS5`v^og8R?U&o7g+p;;TGVw}`yFy!DM zBI5HxP<~<;=AoGQLZH+AJVq8z7K5Rnibnw#@V9&xCWRs@8yqAmvAp-SIGlFZwUkZY z-|Xl*>Nv`IYSX7UjPMtUKe`u(#ngl~0}iN0~hjbZp?({_)1j zeZB!xNF1O39pc)l_8Ijqv2@d8Vn;S6b0$=*psi_A<|zfZYSTjFWe~;d3^vIlt;qVj z`(|8Ygftt7=1YC=4fsF-SHtL^uoKhYxc=~iBCn{nS^a?6Je*Rx#h+Oz_28@on;_0j zj+UES&!_(H>|s!~Fi3EGk}Zkt#W?NIqyYGgCv6vHBmhz=Xy=X<&W0L1*Asdi+C$Ss z4>G8VOuo0(UnRI>ZL)EzPrDhjX&P#A!m}6qZOU8RY0O|)z{la6U1LNY+cE>Q!*)_d zO%ToF%<(`0VYYs_5c+`ScECliO`o&=K(&^XgvKCACa@~IY@U>24g>{fWAT)WtVER` zn^NcaA8!XO@T6Vw<0Oi*|7Mi=%H%IrR6-WNVv4zw>8d>1}Le!KbEmodcF-8{ImV z01~q2KqPjswStIYp&PC#hsLS5wnB=01Th$J_e3q>9)Z?+D{wD)0qg^MYrkV&0y^g0XK*Tx(~C=Jost=wPbTv}G@ z;$&cl7y@o6KB(+y{qh;BSg?4AlxevABRyIQ4eK@>0o@k~R0$2hczCqra1p(g&St@x zIhgDj;bG+u1d#V1H;8|0e0>q>Cw9{W2Z)&f0Yq$y$-c`GRS9e&%2d>569~MZo@$N+ zufJTTAk-MGIBA$@uoi2Z(a7l%o>;x>ZqM~biQmE;loa6-#w)QwU8MbCJYs8L+zg|6 z<^qv>x=05bu|b2!mkVQ!w5+`TMPq|o{iLuuLR)wu6___cXfJQf6s`$_adbdvmC-Ab zf&Ft!Co*X|_<){rVX4WYkH1(vesES@P?uSCVJMu|$}JW?;yg%D*W8c%@>WjrCNUmC z2#fNUajPop`4b(a7=JeDC&Qyq?9r(nef6}kVwl{uLx@^pr;GVfuDBRVai|)jIm9l6 zLQP{UH4S6qCKd@Ywi|EPIbEu zg;vE;lqReTn}&v72y`*cdrp)LRVxDKnU#UMyXg^#PBF6jKlMM)7tjb#XKcEMt`qHm z10*_dcbTv@WP0r$&>~?rA!l{kDM;`?{YI0Qk=7xRQgd2|su-4mX~nnNao|n~m9EQ& zC)c1A^8@k^58k$6(^Al|i}QHncVE&$+%lGSAR6eJjA2bRpupV>QT=@1Ln z_e8xDWJLwJ9_g6EH`eYGWH+^*`HYKm-&AL`c@UF|x;rGjW@eA4xw(g%qmV|={Pwj5 zveFM7yKLm%VkG^&elbL@9Q0Z-uy+eLc9O7P(PaNI6O>u8l3IhSkvvYk#{ZVDYSQi^ z$klZ>pufeM;qsi4)Pd9`mf0s!l7{5#7fLiJPik8|qo-F?7d_?u>+;MAwl_h+@_>*5 Y!zA;|D2lW^kOdB&tn*p$zcbPQ10I6B(EtDd diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index 2466043dce34b71e9a744cd186495c9fc95228ea..4e35abb6f123919616ad5aac6022640876e74fa3 100755 GIT binary patch literal 13644 zcmZv?1xzJP&^C(8;DRW z^pmd6RCQ)1C1_w^V6_O~-*?yVOY|ExEnHk}oE(W+*ch1^S%^j5ZS2j7S=m^LnK_wQ zSeaOvS=flxEL=Qn%q)nNP0Z|wS%}qSiM1?Di6zW!+`cuV>i=guFt8@Z{{^r~l>dwJ z9RC-+z|Z~Qa}6ZYp5%;`)luOpiH#wHO?ps{FH19sC;U$QW%BRQ4L#9C$rPwIgM{bc zb;$oZ3!|o*sN~L|WT;r0TS7tqN^@Qrpo|8_#uvo<0}+`Ak!fisvdup$(tXbuH7YL8 zE=w^SKel{ZFL}OPPjl5OP8}b%);rwKmKeM;lsyK09c#NaFa7asb3~@^D3RXwx)HYO z#UA%odMG(yhc(69BE^zW*yp18jc@i2=x^C{8I4)$c1>qfNAh4A?2d%|Ff zOv;ZH$k_w0$J2xs#-5U17cZ-YjRq$=pU!B5hJ#)z4yOn*XvPyRK`fwI=|q;did(r zWA)LEYokd(?XA79L#qaVihW@>~^6jUg6X^h}|2~eh(EsmI_`_sFZLgE&|ds zD<$D86>IkUP|YHSTtv9RWfVHx@6ux-MiOn4_~hopZa*F4DbG+kN`9K`T3Lhw!4iZ}CScH5)J z1gvw)04mmE;68_xW5v(gS$xLJ4~6pQ*>3F;%#SCSv2D4y8@bV^{deNKBJR%<=Z2?p9pTgdoPY0 zlsrUo9AK7kD&{8DP(UmXW&QhyoyBudTg-`3P*=+7t*Y(^1K@B?gVAGnvSE@yRuznp z)}M;#F2$YXVNF#B78RAc#3NCpx&EfE$}JI-Ilw2BoiO`j?&b>AF=|e81!C*uaA3jG zK24popBYP06xvRNZMZi4E^;l*Pd&r0q&nb*J(Xz(2KlJg{l6%FRbXy1&20(6LC=W3 zT7J6i1cgMz>nw0$phs4Vk%!klR@3+J0KItJ39y#a%3wk^^lI1S%0XrSH|^~d^|Vr| zQZ+%@sfVoo-bO``gf|1yO#DX3k{y@R&+F0z@7>;*`EtcVVklg^Ip;VG_=-9&hz^^|9>;UAu?;4a6x%)nFP zIG*J$*RsH{s@rO=x0!t5E(e^TlaZ0*2_+hxNfSw3zD&x;m%I1FWJ!n7LaF`r%Rq~^ z+kn#S{ugr9m*!rgE{)U+zsB}NH-;PO^3$i-J6<(7W>m3W*-6;thd9#S)Jq!A)PLY4hVambo5P1I0HyUPzp|uA%9xT z>rMmQKXwvErzrH34%MB73MG@-DJXx$H)nSw1yLfOhi*-Ay(vJO*oI>|3NsYJoX zsG}ZfaAe!n?nFu@!lkQQkxJj$9UA=+_t>OU^6_`cx^wfMiFNJrI^MOZfB|i5CL7MF zH3CLrBEMY6FbVO2tNCbXp13)o10Zmzl0DKHVS{@`SYgv;R4#EdzS^t6^M?IY{byGu zCEv?_f4Q4vqux`j&FConcW)2Uj=urkz=scK=$pGe+|$`I>g94R$;MU<`^@oQa_&6e zC^90UO3}7}jWCa|HiU14-VnNkxFqrfe@f^KT;GdVjtGnY<2DK8yoIZLi|lbxScwVG zsQ;xCT@!Qe&swG0y?G0GEq_0~aF(xe0@iPB)!00+-PW`oY3qJWebc`RG`EwDy8YhE z7F!RsoC?C`PuIC04z(y24Xk>HD$Y)Ifm2#Y&t*Nf#9Eg%Fz?Am9Eo&q8j$`c^|)hc zU)A8f;Dxwj91!Y|{$j!$iFSyUFz+xyw#3WS%1F;hkc+}~&cu#G+=pNL=J8U|OJ)+d zc1l3@rF<)!zXBF>+P+s64Uh5iW*2_RBWp7P^V)AVwNYh5s0p5a@dH23mtDWb{aZZ0 z#ruc-mB8zj2rry6$v-d2OFSeW_RF+U*`@&Y!c`$$us114V_){BxeZ-8G=_`$2taG+DY+OL>T0HA}DG$SfxX ze~sO7^sJxon|dsj z=S=Hw<>TuCPgd{&y8yZ&U~lFgcMsc$cF z{>8fuQkAN4Hy8ZvqFe`cCxUR`0-lMYKT>i|graMARH6-qVq8CNpgX%`5jOOimoM|z zs6I3%&pInLA)*MZHhzLaIHuSI9;92T4*`Ip%>b+Y^GzY-e^a~_-&7aPH)VT^RXB#ard8QMdVKz^%n63coC*jBk$SAQKoUe5WSx5yf%s(?<6Q>ZW zVt0?j`vDhI&l$^T$b@Pp;ww0SYtM<|wIUs&JzX|7Yys8;Iia#Yf8FG7;dtOuMSt!56>sd)Vr-5fvDCi%jY{vl8{8rJ zs~YN_yJd^>c4Bq+8f!&-xvv_^Z8J@aD?(@(J%OpnVebuAB>(e`Zfve9kQUq^%hlue zyBdRsKbnJzQ58CV?2igNt34(W`)d^as+$jsq40FSDs=sz3E-jcj*|0VDP33zLi=@o zZX{P^M-|wFosHo5yOl`T_kKiSx9x~2y zT4p@OCxwGMQa7#4iQLjH(mc#+4q^7YDk~jh1v&4_=3$qde}jbxV)i#e%oTE8%LyrP zaDd>hNAcQFVT7{>!)`%14Wgr|NUo3$5O+0X+{-SI=LiS=W$AJ)%|+iYl!H%?2q$;V zkH;12j{40}$(U2%ZV zj6~wThq#VSYZA&281Bf&T}0x;MLMGGh)h%Z6<=PT3WM^W8PwI=&F7c(4)Q_GQjVt_ zwusxTM3pGj$30Zysg>}Rz)JE>r6WOE0zB=EFq7ZIyT0U?uJM@Ag!${)e@8c4fluCr zaU95=A}GTUcy>iW=~zY`;KFXvX2PDlS6!h#L{cCe48 zugqFg-yD(fyyr>8zYpXVH>P~IPt+^&t(LD}#>--y3G(TT*$!Ny*qgEal=Mu+l21z< zCOoH@7`FvmLg0Jc_A*qfih^BI7xVSAryHe2^~E{3p=v_rScS3@{Bmu!G0&HtVE)2@ zs4dk&>Y(S?mS9Pdg0j$3!Qzvo$`{v^Sm3J3jQvjjcQ9HvE4Q#l&_im z%QntoNSL7zzvuzbK+4$mu(m26uT6z_1ZwZp$H1#lo45V2%N3zf_VS3CF7Ph)%M8Ni3gKIqN&#Yqz!OqnIj30{H<9Z%i&!n40odO&pG}2r;!aYRV=CI zbFAsOS2AOazq5?_Y#V3FFGtc%3$6qsNr6eDc$n<3ZWZ zbIxY*6FDaXTxA>mr44|&rkUP)td1Psx~^_Idpw}Im7YLnX{*s*Mh_!>bMkht_iZ0( z0V+!(gJDoEUS%SKarO6ocp4otox^J0XY6WCWZj2nW1AZ`JXCmUKL*Q+iFYsNr+|{8 z_Ma7}m^?0?KNXz}r`)NxBCk)>`u|=$;SR)v!8F9TW!pz1F{wK$Q$|^-a8+H@u#|4+ z8-CFV+49w{598T&3f?Zjw)jU*3X$$g6IDYwe->4>b^IYC+)QhD=sicUEy4sv&=w~+ zJSGEuyjyyx@>nY>(_4mz_dX_bTeT+ci1V`qu8w0AsRE59I5OnBp85~aWqqx+9>JYKVa42^m6)M{zK}R?i!!o;ktC(W3 z$-$PXh~aAKLic2aa3F6PP&A}6s3`wvn(o~Xi8-=OxT2cNZy7F6@rm<#xUFjTad3Gk ziLq*Or*9|8P&6N{GyKx`Pz(hYM44^K=pExp9se{CN0uXe@aR_yqS+k$<#E=nrup zuO;Kds^rh&uG~zrEkC?G?ri3q6aXls)rmTaT2Aj@5&T*i4;H1|A(FA!nc6-+rt&%&+Ml zAF5gI^*NwSx#A09cz7IaNp~Gy<0v=ia=7?Te`x>v1v0OUX301zID3Bdu+RImEvOP4 z5rjqJIX)?9mB`=mlpNn2 zd$faW=)e6{(AGm>#8Y*5lsZ@SCuwg-iOui7(TM~$_le90?%O-l2>q5T)uZ_7l$S^BDzNs~PvP+=^>6vi z%Oe_QS`P^LEW>;h9yVOqyIY3Nx_Md_(tK+?80GD?cY13zc*7GfSbKZIz?kdZc)oio zXq-(eSbae|87-*cIS?9rF6b5vpA-UqHQbVYoyMS81(XW$_3TjzrLGDkeKN6pq&N^+ zeeLg{n79_g+mvEqGaAO(-Okw;tOI+Wh&9HSI6&VNd#$$g(38j z!DWJ?LtZN+KpnvDl;*J$uN%BuSW6K>7xIN1b+G~pys1Nd)xGeddZcueJe95ixBchqv>ax8|5)=`t&Y$FE zIC5d8VVHP5Scc}NXjSlC?r$lauXjPVH#K&Hxsj6o|tRnU<#Hvzif~H+3RcaL}Y3?+GqQQ&p zs7bbusG3!%tLCK(0bSpTd3QD0eyMAOJ!2SIu@j8Kyp%!%@U?KL3l|Vj0VIgL<_*7Q zQJ7ayXz*ig0_tMEFpko?0K!2d*n`2k1_NLx%*Q9vi3{L>U(+b8RRDeB1Ki=)(h6&p zK!WhPQo$bB)=lVu2w^)VP!FuGUhtzINEZVTAz~*3@&{X+g1U$(oK^%~!qny#ZbIVr zfZ?2ND-;qG6mBA{!D0a3gx`dNJ%p@BDXdWfA3vckL_qNhpdN&^3wT{DK!7ypdM{T* zEBH|Xxc-N1&x*mE*Z>4{Kthm*POt}|H8!PnMxcjEum_9vGf`KjUjWRS6b2w6 z_|Xa;H#9f~0}vzJNiNcf3P_-^#t!tb3HA`Qt{}G#Kw7JUUqdR)OE2_;2T;JTVT<4? zf&@|48lf(HKtO4bAi`P}{F+gqM?ml+IEYXh6c2fk4^@k}mVp5f0dZ1TX97ZeF#vla zolGKc#MUU3)>p6>K&V;_z!jzSXz-(QFed__69ceXXp$cxofT)dG0w@d*aK?zU8SKbiG^e-}X&VJx1w$LE%%ZjEuwo^0^raDL!KERuR zmnw27j)W3nXH;f>MhQJ%Sk`*JVEt&lfPOQ$9Z6AQE5GvdD4zeq_Z(EQ5qacj=p2IQ zyttQkw;9RB^tmeFIHVQu(tTBd<`-UuGV^wVVeWj4pNm;WJl2z^YsEjcuyx?xFv9!8 z#WzouMDsr8JOh4we&M1O-o`>Sbt$LfMU~c_fRAKaFb|&)gVj(qFR!ESN@;eDQ$aBhgr4@3*!ds zPZn{UbW|qPtCRq3(cD6q_=M``cv+jC6YgWxvI|~I0f3H`-a;xmvBNb}v^1Wn>gY$6 zeEHX2Av(-4r>ZIMlibEY3`w2ZDp7Rl`ARs{{2X5jRrFP9VpgPFN~F3~h2$gEm}e?T z?*q1tgyi8b<)X?iSBL`NZyN?vT1^`hS&D(=(;KU5ZU9%1o7*e zn*oGD(FHO$pM1JJVL}bY=fnWFGrhx1{``}(#2hGs(F7)LH0`Gl?TgoS`faU!4*q7Sps=|HwuQMZsS6xY0jQ5#*D^}foZ zEaz5*nVX(#v^{rBxFjeZR%@$h?N%0uQv#$v{6Cv&k2!{*{w^c?r|(`3+<54xpTtCh zmxmQpS`iLwZm}@!{<|9udTskIT2Z?cjs=mzM&nbv7>@$M{etB_xCz~BOICjVZw<`Y zD!%VS4O@xfLiUL_A_Jcd@OVE+(KDIBV(BuEW5M)#qjvoW0a@yRh)nGeAb|cW-9NlqA$_&r&Bj1zXlGI#; zZly1!F2_E`u-l1vNT0}HxNq-1H@v6LMWqMRe4h~|1 z^^d{hGK<5LM5DHs!6g|*qw&yqgTp@)?W7#O6qNU=A1*T9yY1 zFUJRqp6-E6PJe+PsmHsfa%s1~Le3WnDuqwgEy+s@^Gjn?D6Ye+eVm$qTW~K~G=wAx z6+Wq-ULqz@r+XTH;zNH+8}fI`BvSQPX;XR;z`an7S=`cf@+^~T6^6`YwY?aV&Qt@a z69LL8;zBkFaBxDWd=>LiG7R*j(x1gS2wpB5W<$HX`>!9-;a8bR>{`Q+b~Rb%>b-o229q}=)D)8 zV%29EcC2+J_e|4O*BYugJT&$!(mmE1F17ktDAs5R0k3bJ%eA_)yLIg!am5@A1v!0- zbi4l+oB#}!FccFB@!39j*X`gq^x`VEv5HGp-|LW_B}6I+0-X5M3P1dUiei=@nU%#k zCjEcRVy@Y{R0$GL?YS9WKmTV!9|V1x~&=b?=)94~tnq7|=iOO$o7 z>4p7)Bc}-|Dni3_d^N2q0%-E)AcBOdPu@(I^QMRx&>Yzq^cwT^9^2vHhZEjF&V%;^ zmvv|Jk;rIL9uKw_Y@zcSlO=3SkN68YbOC}f9Ebj!9OF%)^-uQLZdrcAaF4{dCdqbY z+%IsA91FCr*luZd zNE&BF*W7SjZ0MOrXL)*bo}OC1hn)Xe#>1(MjKUI2aPhpoP7355=vu*uCkh@%@ey)U zeoO>{ZuededU{R=WW|iiG#N&%meNu0Pi`2gxlJSPVE)+6CpgO|B8Ke9C z1L`pit^QHD@6B$pggNX%>uqvNI?7(h;*e;^Il3go{{u<1xPv z4-v|yoYl?d6K1wT4&roUqpapc$nTL{!Gv0d=$370T)0lbz}|Ks?~=gYB|cpz!VtQ^ zq414lo=n zmVF5ge`)J=TL^y1GD9EIP!7%*Tydv26^Lm=hqL519Hwp0me3#Ma%Dhy!9;mMM0p`a zd0|CqPl|XCig-su?ZVG{N3Y+v5I#19tUIS|CVZp#qU)O#-(FY&2`GJ;p+4>%XcYcF zZy@?-1PR6zFBdkU-^_P335$wBg^kb1+fr8K`7qH$(mkZ6G<`={5GrzYj)u>nLnZ z+PI}5hzjO1>W}D$f;t~0y)8of#7=*Fl4EpI39QoO#e1!GCBU$PbUG)Q_~~oCJxa%u z9Pv(r!lRTmXNt4|{exvNx-C&czaP&97NtEVVq;qDa)%_xYpgr4O={GyEBVcP43d9e zgz(ltcwrhOfiGS+s6`SzZRoGhLehq6d#Okk6@+x{{FIcSQTG7Lq zX8UiZYlh1NvNcEL#f9%0+)m3Q@-=uj9E*~5-&SiE5iq$%A zu-2_0;cYJ%Nz5DeOufg-3|@liG>1x>XM35U<06$p4z2CG6@*svs|8m(F# zE^he?$zf14{=(lw(%&|i?sM)VbNw1;%e96|a>=ZdtA(r`K-f@1#o3g%c7yJw2Hw*8 zx$T6bpe0}@`tQ@O)q|cNoLL7og^Gin^&1SDP;N}e19^SJ1dqSoDGS+n2SEO8G0(U$ z&%7~h&~TKN--rEu!bgE^1fS6L`znODI4IsQsH_yPst6vY2Ri5NXfxm<&UTJ~{I5?H z5&Rhw!(Ed4>qIT@F-zf%*=)`zEwHcG#SithFlECN7l8;aN_D{9PxIuqqP0bTGsN&Pgyg#` z$?rVL?_2>XwS3%$XXW{bsAMr2gb@M5Kd<1?xFs|X>6#7;(>pzY*wKVV`r47xBI2}6 zJrsLQCzM^%YNs>A+miP11I@2W&Te@<;a{X{U?ExOA_z%VLhYG>y;k38MuXPUR@5b? zx5SDxS}4E@^%>6_#u+W9jTH|~{PEM9lN2JgZbA|VcBm%kKSi^;n?C)V&192{il8xG za@-O9jM|~!$80|-VD_23#gnRiaYNlH>gX4VOLSYLeG3jtsQ zae-2pBknM7m9U2_7V#wogj$LFWf41%YJui%WwY=~dZ{=jJ}{`j3!@ub|BIqSu0Hb> zJDj`Xh;%J&2ECxMY?Ihhz1@Y6eFX|j#aTfq~2fMRQtFw>WxImgTO@Eu=9cqjVNjeVNqp^h51tLKsGET0>XZ!)(}dR;Wxz-d7i^GqGnh7aeHxM(n( z@q8>-7Sb*77?$=QTQtQtk zpnJSh{J{Qw>G(?_?Ci_Y+R;86+R(Dd z2}2K$nS7~4vDNT^ZM)xMQY-c^$4Z$h>V-fQt%AYLo$lU$H<+3Go}KQ_<0vmN35)#u z5QHu@(CB^q8vY1yudLmMeb>i$*UOtJuJlMJn*Tn)h%wFhk?RRGPEPu+nmusOwVOw3 z__n^kk`h7C=p?d2fmS*;_S|2!sdoa(#_VdcWT4-FF(b9PDHVnogLPncH|I9^OS*rd zaOvsAJKEYf}v?p`gsm6ZI^dTKs)R+=5=xq zd4y2&*>PJhAB&f#~_i){B~lLkR9B76}LD+WkG-twekt z@Zb|op8GfBU95(+#QDdMjW#q$F4)ngXr9UlRczkq1P)dWlsDZ6i2e7VLbSc|IRXnK zL;u~-Dq*DGd;JW08bY_18Xi60ni^#be~O$jAI%A|rFYlu|NZz{#BsJ%Z_x8+iVugT zEThG7vyG@leH0A&_+5P2M*f7?p!8C3b=-b(1|aVLB3_v#s!`dIRL@OP*)=oLwFGT-yf-!V*c$Sdl!wpe`nFSuX0xB&0TAMUF+hC$zLL(4OzbH#C z(2RQnKiK|tZxx=kqz8Xy6xOTo-oObJzWC4{D%nG3Cp0T@c>4k9u3e{J zTYvoEU&{RYpGNYpFE8*?EgO3*4a^?0nAFBJzglQC8cdqVR7U!{!|5EZgXw`O{?c%6 zTC5-B(81!;%A#=Su`0@uER6k72=KR35;CdA!4OF}zh8=WV54yLm7}7BM>iQkx0FcFUgdz=%hJ{Vd0Ju9eJ$-@`@a|?2||z!brD* zOqve1n{7TCXDQQIHF^cQfe!Cew7cvXOC2r)vbsjLwmt>~+S}3F=cN7*Tn9&d-b(X> zu~}!}^RO|QjHmHchuU>!XC9#LHrHtG<;~Ql)39#fDmqC=5#VqpY zYN2h97v}}m=zBI`b+;x(c%kJA&Xy@$3X{`l96q)~{I6(^xnl)lCvgTXwrD^gcaeoS zRUp4o+x^z^^Mv4^xbJ?r;1GzJ#S4I=UHN6mm=eRCpu_aco+}*Ee+ovEr|G&w?XB91 z3tCK{EU3~nVW)T=4Va)NMRm~I(q-_RS5;S{w$r##5@3~GF96=jNNO-EFsvsIoHqi zY0kW71i<&rvi}B?`1gxFjEC)@%24gN+Oog?ZdEivuV}Rmn#7eYHr^Sm(ZIR*7HoYI)uAYfdFa8irIHoG)tdxu8ssX7db+U;xy+{)!)%-a5veZd_DJtX_}dyYnRq;BGlQ z0dK4=X$xt~L=;?hfiuoR^-qt*ps?bFhM@ZFj{SI_zFAKqob$^~mo^#MSu~0k8Axx@c;?7f6S> zXXmFPikgyOrWKulQ<1pOn#r#c6lq#O8lmPU4=?8q9?D0ehuw!+7mvr$7??{}dU14+ zGTR&*8zT03jpjO*($QPJ>$XmJ@45SF0W+#JUgvR7+kyvV0`Q|#cyLa{O7c(-l_}W0 zLNLUE;0Y`ZIL09Ql!ydP7Ryk!BhDMIu^yS>rmSu)4sYh3a2wcTCCPtKl-XyfV1p&t zeYZvM-Op&e0ax;qrPr>L@ z*(KGG<-G36zz!>_lMs!2ZCttQl{6Mjl%uUTFD#B!6t73=b5m)bD#MR-@{F35H^ zww!tn25a!SS)I7K5`s{kKr@m`7Dd_DZiwCD*d5I}9!-S*j7y3J(p7l8hsiQcL|6F_ zzzsR)8h#)Pcw!kf@?w+HB2pXPgNa|_{j&74Z}kADo7+k%X3=EP-ac%k;)EponEBXV z)=-)Zk9iQip|yxVjGnl=E>sV@a&d--&Hy(R=+;Un+6tcsE~R*0&jUai^qzLL0^ z`?glz@MMW@T6tPik`$v7&UAVRZK}?FFd8+q%NKk&eEm4j_&AcZqDHPbKkQyi0b`); z$Towmwi#_lZd~ss;&rcEe;Q2Af7ywj$?B8&yrtqp>5;WP9kGarA7Na%uNqbI^Z@8yAeU7-X!*}ZO4t=wbSxntBVas6+0-k_SZu~m?q z?e)5?a#Z;QI^C$4R)4zR?(%-R>?;j&!V{9qdY?L-P5!lkY?X*gO%y#t{w#p7&20pV zNFhqm+1r~(H4}39lj^xU8XP`1JtM|*MsD*W+Efpg2S|IxEOSKo9ZaJdhvtlS!vJ>S zBhc(*P8piSJ7C-CurSy@wNcvg)0R9_L_xou3co-hp-<);8&O3YIJGX~5K%|+a@A=* z6Mc9(YJ3&Rx5r3q3{4WS=Ih5N!$MuZ<$3(?J&+eI&ko+7NWu-&!A?G=mf3BL#^RN} z8ShhW@7&{VI(9f)!%g*9NmFj11zF((#|N`le+awdjE_Yx-+M3y3_C? zx*n;Lw;e%mcPA+vOm-CH9*B$XTXt)q@9(_cFA@f6dGoNaUMLPiOmZiI^N_``vZyH} z-vxhp<==~(1p4j;aw0A>lo5GBwg1-j{ufq#Hl4Q)1tFV%h4zM_VRTq}-xrtw2{M*Q z_5D9;|JRP8|3fbgU0#_g%3%`ACV7T_gQZnq({U`~B-3{1A%xA~&tL#=_FDg;A;S~U z4Z@z$!C=#v41MSiI0X*vD9*k&xKhtA4C8Z{GG(QSa7c_RaId+`R)N!|e5aN)alCQN zn>w6bc&~fxGAoe++KJ26$?jqsTh{VKMF2Q1YqC{JfGg9OxHp;skqk=8uGVu>QXdIZ zqIt9!8g*2C-ox%VC9Znen4TDOlJRkXt@q^)vahLxynY~*=$!+jabXSxa;BL#o(?5b z;>=NpzXZ==`l7)f)(3-xn65-ZX$aOGTl$Br%1!w(nShkbevT2YyawtiBd(DiT$C3=&D z%ic8y5hRxx^LBoie4!|-A(<-|m1F8ZIbeeI%PxM+{`MDPMD@b(0Jf$bYW&)0$*8~6 zO!mmX!W=d=c%F~L&Ozf?WYIG5{`~{w-9eo92^Ww1*!GKhwX;f@R}P<{ z#bW3-PkO*TbVV)9!c^@VbAX}+QSJkPg8DD(^LcaTyV+a9C#=^;_Z(i2K=;O7@MJjP zgMQ=bYCn%ynD?KVk0-WOOZrVI?Ax8etDH~tU3B!&M9?VdSZ^F4`A-ZNIgrd2b413n zBPX8w;Q25+n`@4WNTJOr(Gjg9KDHL~5x+THyTXU{!n^9__LBXc@CgHh>tL0eaG!jJ z*)404QSh>))|T-0d6KK6htSF9>4I6YWGk&SdhOd8P$vdf1y{$s206?ZUWi=(b={8L zwQj=>p;mDmR79r`$vg3=jV_BR&hL(8pIg@)OPP!fh0+~qT-9z zt~1MNoaIj~e<`KDhBg|qRY_srj-AmVBFpr0&q@9A1Xn*Cmt7-NUlIDrkxaEUyS{v0 zgyArw8rI@exqrbN4^WELObal0v;4~>;9nEx?%|NxkEIdbQHxbGoz~i}4Lr4rtQ?sd z(rB_Il^wx#2{WZTMjTU}#ZD^Er=dy?rmv#4I;hE#N;w>KLd1$Ae-cq=s4X2s zQf?b}!$JVI*{LdqVB*^%3uY$W8+9 zt7v2@S#vls32`6$oK{4%1ukc@3Ak&Gs(ygtHWH=CE4|@R3~K4Y?-{HlQ@V_k`qCgv zrc8u?tzW`!Qn{gR+TOBgx~qk1&1Jw2%NBx; zv?$l3qn$=UE}UHyyHNo%n=`n7l3KaTescPvd6wa<0XV&RjniLF#JtCO=iOUg381l1 zOwwu9?&vRF=Mq;*|sVSAQElEyIH|okYT-&naOT#)p+SWbjX*CF+WO$urOO8rm zD~c{cO9ZBtlp>7F>qJO`QS| z7xvHXZ>W()F+FasjXk=?2KTfQzM^+Oj;V+S%dj zULZQaQeDk#nqf?b3av$pL9XU%_W6EFtpadI!}a8H#&ksxNtT&OM4(0T(`|&IaSC#7Ore(3ubufl<2DgFvvWHSI!gA=|yc&`Q`23#HF);;kd$%2r3{)lScQd zo@+`da+u({k`2nDZ4AWysyQ~2+|=52EH0Xb`p$HBDu+ly<5*H zRVtj{~{L5_B&`GN7e z0I;f7!6p3@7L}j;*N$#TZaYI+{!sQ%=h>A05JR4HMfuM*4Btk*P+}Aq^mBx9h0aE& zuTIpiKRAny9tVtA(i_5=HVfttUE+)+T4o6dv}I0Qnn`nk_3=md@kjM-u5!rzT#TUY)QwIgqj&d^x|{x15iGV=%Xcm3za(1lra}CS z4EB{l&*!@)g!n2l5pmt+hEs(Nl?puVwZ|(%L5PJ^nALSY=Fc=KHUhVCb6SUuw3>ER z#K_8F`(fjDpS*f5@~v)-^@nl8jNq5Bpp7{(h*l}&3sKtZm#AXcGfV66NR(LEU~rvs zaDM!bv*IHF_ZN*JZTi(KnBKhWR`bW>6ATr|8`99<9xVF;d1D3)MBTtP%bbP1%AE6s zydlBx&5(~^bo*&-pYv2~yMG}<*4XTu2c{j&&5_c%LYmv;_aXDGuaX-pHuuUD67J7s z=!N`<1swig?)jCP#^>+tjrcn!pUACAaB-n^3Xl)8$) z30B>6QZzhh(s8BZDfAQ**m39MqDS(Bz$O)Uk`q^jpe$b^s=rsC}O_P+ND{Kfw=-05;d;1`)0_fmM+-Fv-RksNU znSNR3)fPO5)6;u{Ue%}X+_7lfD~A~Qz~7as*;5u09n_Y+I?|sISQ&xH>Tu&g4pW2A zG}v3*ABxv1)`|v+3xjLC>$NL}qzj`P5m@Q(i#KR*@b}(;DdAzyu@99Eom@BQ zYyj<3Pyrp~MdvpK(QP>YZ}A?I2uL@}22$-J3WQH$3S7K=sM_8Y%3C1k_ZDEu&y#RS z0a8C0&~(2rSC^*|b%I>^pr(uuy0eo6vels`?MRr34Vfea)5$_Ztpe%aixhekXvw$W z^ujyFe>OLt&Q0JGeA9H#bP1|pBGH+8=i2d%~tYvMItNV zAr|@is!byZpPWcW>*gDa$XS4Jx<1J=0d>B#_q1@eKL)(673InM*tJgb@`=894bo@m zi2gT&O~-htyoUzNIoEKy&(I%81t=*I)nox~GD#jHml30(MJz zT6P^t2bKmTjf7;!}dhE>z1bZRvk#JC*Kr;X@3rAm-SBjFA#ac9=r`$L@OcB$3MNMP#41H+vl`tg*WhzpLuwG!|OEY4bQIj^FSYrW-38Y ze0>>Ad$=Qa$8BE3UFynu=9E6vAMHYUXK}w9S2qOEu;b%0dHaW=ddhsVHCMj|_^brx zb@zU2uo3gtCiUSGhJraARQ#t*kOUqR!X@zAy4?EXj|!*{1Ky$(a-GTI{#ep=xSOvZgV zf%IFwT8om}@+|ZSTBnH}<7In-!7 zbLz8J&%?TZF|*k0|Jch4Yx)`5?NTDdr{mghW8?-qPOJSqEcq`qa1hw>80^^MK>AX6 z{@)YGc}M}=$}&%?{Ls>Uqbmxe_U4g zyuYia(%l8QIbCGRKDRfeXFHCS#L}og3K+#3!LzJM4(bRU?Gh&)cP6{Kk#A^j_;2`8 z6*^%gQ|6Ob;61*3a03GE41K%TKE#d0y@>w!3KzVB_o%Yk@Fc#V9m6cs{EgBp#eQux*y8w^LtN5>*SI) zJeN7^=he8SE7b4wD8!1VDI`vAMdJPaIc#rYm8J8IS{1^toZ??omB3vj?ZenO?PD5t zdggd8t^dJ(Pll0^JU585~O~bG5H&p zmc7>2{N;PvD2(Vq2nJS$J={&vV+Dwg7e_(v8toFz~+zR)#S+|pE zQar_=8m3|Nv$>aldhnU2wkJ9~$sFOvwRJ9#%bl#R_PCsu+&mI1iLuoMz_%Wcy)wNfhLo`UKUxv*=V_ZNAe8*G}%qwdjp+_H39c#1y|uzP|34`z88hIX}-k zuMqbHW`E4b(<^`5Sx%ZP?!@~+n8a4jYbM*aLS?2XTc!3-7yFdD zIQclrW;i;`9TX7zDBBv!+xGiA;$QONeADqp7(4Ss{yR0mpaLq!ezCi|_=fOiN2cy; zE~DR4zT=es(^%||w)qn4p7mZ*JN;!rx*yI>(ywi=?oIw_=Fo4-lB>8cwR_j`avyzb zBrjJcF4p-z^rtcYSUt|DGM`e9r6WByD@Qc2rT&F)iY>xc{-t>AkI#|+MxvER6c=-u zK1J-~-_q@R{Jn2mVsBpPUqHhiAbF5|tbfT}bdSIGg8j#64~MIdZ?sv+S2U8GMNr7T)3cJ@QEs{(f?1qWf}G?_Y9}_+I@oQtVG9Ecnqd(!<3ml=$ZF zFi4{(oc?iF`fgVKDE;bdsJ~7+M6>k?^7cOXbOD*KU*68hAI@-onpF2xer7gb@qdmr zS-e@u3MX9Y)*Ry&-0sQuu8IC3Ylemc)>tw{w~|^@U_d#Vj!7Q3WSh~up+9Sh4YD8l zNyy-htk^e%Fu?0w;1zP6}t zBPP-RHhA;+eF_!O+fI^N1^YHnt#e$B@YSR~h2w%x1LM)c&;4IAv$eX&@ayXd4uxCQ ze(u&0GA)MibF`{|1x)2- zg}pqkw(S|qpqK}FnF%1N3!Eqc%=G{RmGq zGEnI}6j49w6l0pf8U=A|$pIaV>*V=@R&yxO7wFNCJhRuYTbiV(V(9~n>vBM}|*IUz*tfkI!HMqHgn z#o*@6LSKYN(dfq?v$+;?eo*UUI2S0h`i66MuooQBkG``XlZ9I_>nAuD>a)|0fKPDk za7mE+?6&coKIFO%C|asy#~gK-^ab{jCq1c`j-<3ZFW@$;3O+|lp{TAX@H0<~lFh6SSF%D2vuZ`r1p zNn3pA{znp2AtjiXEZ;WV&-*BpWl*ZIbL4^8=)1_O@u}lXgmtsu4C~agssF;StTA{X z`(%ge4Y!9nIS$%o1^6@JO799!4Z(A-g>Tfr{{wdF~@d-VxZ*20LGnEniwekJ$uoF?m-vvAu&+k-1R`mzR$~O#Gw#8X)04H zP4#s)g&}y7HJ*V@_r=m3ZBO!V)Qix8gX6I<#`n|7mt)eKPX)18Gt!;!M-LOX=;3)! zc?RRsKG$)zzJig@Q3WTA6LuZZ>*2$FGD@;RF^uz_)czT~ZlguObkxLTkPa3?GaC~d zlXFsOWERkQej*#rmQyjhSQU6VpX~xd^!At>^Y{V9@7Q8Hxoo09p@D#HheFfL`=cCk zY%Frnl`y^an&}i_Y!H3+?-8#ba6i$WnTHVTsV&9Et z4su+gY--X_x$JqXK8A;ckbn{ltzIQT5;u75lMJ#Fib*#JREp0&e+-{?t#6?dN6pV1 zoyF(C#J96{ouB%XzBa2$`qQE?d}I9`wr)b^F+c$w`Zb)=TZEWl6)i&j0h?me@r3Jn z-t1hMWW%4sb;Rtm?oedDF(x_#rT=ALB+AxOPN4a6-?eM9^s6+#i_!0!T zOk)DnrGqy}k9fW3=t1x{YN$^Phuw%r%lj3oIw7bmjGHC7o+&ynScUfkfmg7@t#2tp z-<|U(1KD85iT=yHWI(RanD-kukUy3=58x5(V42j9eY^b&`FPu)<7VCNIF*A;pD79@ zE2JA`-Xm}_(dH=FDYQ*x%VZZNWum;`ekj3`(Ih$$b`Ct!KT##6z( zhD|Q^U50psMom`}=th;B+c%P~4Sio8rYt(}Gfmu#pG*WLGCB#fNnA>+JNN_ssIMS6 zMd{X6&2<|QU?*-y&7&(l8=mUk*u#zu&CMQM&wfh)hoYpxqN|HB+efzkm(2f#`~Q{)moFCJr3y0Wv-<}AJDkC2 z%>(O8J>!g!UqxNY-+_^`jy@Y85T&>B3NI*&U3A|K|HmfHL&><-?Py{`1H2IXGHWQhOvQ=AC6! zOaf1ZRg$%A@7Xvd>!DcbIRkR9GQ&sZTNzka?4%&hGB<#8yRT+Df51Z?)cbUt$$skiE_CU42SK zj@7vMq|tPv1o6t$L}BkUs$fQ)BaG&CP=qYQYvE^mMj6VURx_Ge8lEf>?Aq_>sD}(% zIi6`+xt?&U9_e?T8C}&pjd)*u!N*9vj>aooCD%eH-p~9b7|7dRWh27d z+jtp^4^YVA$9@tWc5F7zIV=0u*o&#wcym3k6gdN9wcENc{)%CEzs95 zxV*WmNbhOyGJWu*_RUMz@b2ndKP&dFO~3N)YP@~fMiM?2_>RZH`mn%0(Wfl^w!1DBX*vY zPqyXwf*q@na#5@0m{A{9Z7E7fo2^U~T4BdR3}rmT#eHd#7?0RGNZ1PhZ^KKX;! zQMd4K`A7o#gojcfi~vI7fr|UUGhnwiiFO~0n)2v*^(u@3f=YO9#tHESQ6w&?hF<#2 zc4>}l(^HpM)3%wD4K>svcrPQuNYdGq_A(HKGc3J%&pggSX?z8-ZwNF|WU{oU-J~TK z2sa-s;CKXfB-2Ajrd!=p$j7&?sb)`D%}u!UjARa|!#gfD?BQei2ZM@*p+`^At}k<+ zBz9aZiKV43<5Gn7IutrPfmi?==w>>MMy4oMMK};!7dd>rI(i(C2w!*lS7$wfaNb2+ zYAbsV8Fia zR3|#1797t%brSQ;d-fC$y~jcP(pB8=By962>}?$RrHSwsjO?YYVTCaSgDBUVf{cj! z!git4C_y_HDh6Z>9FtYv)+;LYFw8}W5kDzyBbRO`m+l}R0jF5zSgZ_=oy%U^<+alq z=^&qR6<2T&U*Al?+)7B^OgL&IpK%vga1&Q>6xZJk%RUXuhJpKVveRO6MASMBtAaSd zx(~+G0C7~L4ZXXVn112+7lS}I4HP<`GmB4ou2+8SG8?p z9ft+b#+lx`aGrXjiP-^>cMAE%z;4t!l}<1-&O1kJ)V1zfv9NPHvUR?Cai2 zU~VJ->NrBMF;Zd!H^jC%@L-_l-Tl~fw}K<0XCUFNMZmB9x;u3anEDw)MfVElFfkYNngrg3 zNC0gAUb=p1f_r;tr<~||1F7c+sYqP7K`R8+5c$ zh#Rc8X#4~bca?HoSQ$St{k%Aqc3w=yF5daD3g#1QdwbH@KShs)0OnrD)f>Rg#2he! zMCQ1e{ z6bAbNWCely!#jh`mvZj?5<;8LAe7ya@%rI;GZ)#nc(mgv`0nb!TpQWxOUt1w#G^CQbP$%;ERoy6b@ztuH$_ETZ8{DHkdZ2 zsehCoQv4#WXMzktEqy-lJJ}sIu0p}Dj*s2*G&H}i0nhH!(Iu`nN!AvEJDq!uQ4b%C z3MfboZpHN27I{Sauoo$X>QDF}rvVNq3`%E5#6#>|uQ72SeB(ZV4LBh5>ChQf+)Uu# zO7I3Rpqq=={)A-AV}GObFjf*a|rC_E&61^L3q} zu8q^(W3HJ8&57ZFiINkq#p%Go{cAsv%t~{NKy)ZOr5bwB9^MDv`#bH8a}#32x{Gx< z_BAw3CIg>D!{Tde16ie|F_088!xGN|`5tK6q1fEPlyWo)nl~eQ-~?*C_?puZm1#>b zG<-OWr}N0h)^NT`VQw(pzrXr#(pcoUf`n+B(yEgyf6&F;fOQ${#|$!KRF8?GC>{)> zTE&56bt04G*Pm&0PQ;-IkFxJ9ZO9d}1024axYT9>w%ur(-RO5R+HLMPu75c7Q?cT`qkb_WXzv}%Vb zY|cB^A)NzZVQSf&klLfMC|=vL3c||llp<=bX@t3qD>3V))+XFSBm5T4o^A>jolQzY(JY1nE;-iYX~X4lhpN z_5+?#$aG6tukL$0>APseH{2l;-8k4&JQdr~2J)ZG;aJH&H;`ZQ8}j^}5nli>gDfw} z_-F$Mie@56!9o{dK2oAi3Q_h@RG-IhO)-8*!FFQ-crd<{kj8lT#M}q!A|BKHng(j5 zG4XEVIaiR9S<^fV2_*dV9|awiq~JgTaAEa~PWIJ255r5<(}Tg2BLrguFFf-dNEzAh zv1D%);t_i#-r$az@766DpEqcCgoeuZoGG5Y;^X29v_7Hg>qsUpE_iVyFBL>6A>yM5 z+y}bc2in{R3jqh#ApDkunRfC#XYp<@IQxZKyT#$Ov6TrtJLF0O7rAy6G!3BMRp3h! zta-Xl4xzxH4}QiQ&*rhj=7EF{G@SImt@ALSCFGaigtxBT2RPgZgaHSj3oXF3G|`!a zz((XMLdZaa22ZH&3C^uK_raFkC}=AI6*9?D?mJOb>bP|0FVY7w-$}#=N9M&Q{W3+5 z0-?-U1PTowIzlrdPDRN$o_SN)b#i)(hj9fOpc4&nO5|E;WgW;}i_#U4hiq_1B>yl#N1nR&{ zc0?XOx;r>{>|Jis&PCYf{`nEE!eE!eAahOxC_BP{7rn=g;$tN8ITq8g2lGaU2I#IZ zcopa%@dRF)Ak2gA??OT1LVrtBnh>57@#PPsb0W4xczhlyHl-#=fBPg-z|`!UbBZL-&`I5g-)8{N4pvfF{EEHS|&f`C6;@0k;d-6!1wZL`RpIfS>Y+y^ZI zWNB^m2tt#FB7hQYuoeQv6B;u;@tdThN5tfjm1nq0K~@Xb_@Je$t=iwWwcP`)5H?+Lm)MvG8YfMsAP7n6{*#PGeOR0snNH6^nJDT!1x|S}kQUnY>R8l|q?7DrlcyjFvtLr7kmi&YiE_Nc_?$utH zZ5-df`Ghxk_uUaTE!FdmD5Y(aR9?5x^C=`i3p2$IJzC_eJX+M5eOCGRmF>j5%1VA} zED}~I2)L4eoJqag!i4!+O$rk{#N$1nS}cC@2K!ZuDkh6>^q?ZOtkbY>ZC4-UfO*qC zNn4RNNBhQQQHu5`&i}A}3HJP}3@dyj4)PeX#=!1LJ1jjJCQT`slhZzP^!b{an`5Wx z`>HKBRyr$p&8NS)MhE^h-B1X`=1Q?Y0Q;vnVt#nn^gEnioXsp9dmB7yJ+@r!3#jCM z`hOkH`26$$|D$1TgQbSmO^NmWP;Glg8!b^RF-Yblxc~h8{4ymcoV`IN)=`xXOCA9# zG9f<%jS8jc^zPLidnEyVslfy%9Y<&EdAZF?xkpttQKEn97deLiiCCKna!x~hv-QB4lIB5OL(WVeOKOOZ=& z7B=iUvDCWTNT)5>weTNI!7%7=3YbpGPu zxU%-MCH)|fgVcnAh0ZO~`LQ*Rv;~>iqy5i!ST}nhj}ic8@1ZTly#z7+K2#*(`#ygq z9+;wBroT>?0^!Akk;?JO^^9SWnnDr(;KO~5>4{u9gw}7+aU%-LXiS9MEH_E`{O7bX zKWdBP(pV{e(o!Tpa*xBI*KN1Su&u^OgMosQ9!NH2v7#_?zuVakW&dP^g-rqW%qg(q4X1vLdS-mg;X>qUPS0koMhl9l4{2-hAsNnyCDc}aOa9mn z-GxW|^Yl9}7`B%TO`2g{8I@{JviIJm&-c}|f2Tsq<&~}CZOTZ(J8p1>_!s)aZtpC2 zN$_Yqm8EQt(JeIg(&utJ4%6hq_~Dj+HD&LlHO_R%?VynXI4|Frnj9<={w6a@p!O)) zJj1(=Oz&nlMx6c~E#Sz#EUaW95sNu=c=4)iLf29L(`w3c2{HB4m}Bbds%JftvE00X zHtnxh@lR$}?~^tNq^BPayY@)ZPqB(EzHx>*GLT*+di=Oilh{mEEaL#BpZ9GudDXn4 zm5a#3qx@7sOown7-dul)5(sTfcqvqA<#bs0J4!nU&djC0!R&F1bV>@3^N$;xOII=g zhon>1h=hwf_~s&3?OKI*W@J)E*Gk8r>8LZ%(z&aN!5TTl(D_DrD)PVsdN8_;9uDK< z#oV-(ZY}Vj{y9Gv-%6~7PpuM2QeuTBDL?lA9Sk0kXJQeysmO|`r@D|z6+g7X$N5

U8YDj11v-#7U8&j?lXA-D{=Tk%$BAIY*4)>8*up|`Rqw5LHhJk?>6RIdTq ziH^%?)&wczBsV5eWJaK~eT-;UiaPr-FB*8sPyqd)WJStf+8GK7Y|0wq+Di%2q!VBn zlNytOdn#1r+V%^!OJt>=iwBYPrja$`TS8ABU$y<8m@<%fm7h9MI|PHIhdyfp!?G%4`k=lu)P@4cBjt>oIkmmR8+W={y#S z*u+Yjd|kD>pm6)sB`!%>RoKv7eW+*$BlVh>)lphmlLnu5@&Z&FCW=i}!`&4vM-W~t z#6VyYAN2aIp+>aLnxK9nzT~=u`L(Dbs^S^cH F{{bUoq+tL6 diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index bc35d62a3b12ae8009f92cbbabc053b50cede84b..376cf1c3709057002fce0db634c8980dea843e0c 100755 GIT binary patch delta 13267 zcmZX3cT^K!)Gb9oML|Raq$x#21OzDoAt)A_h=NM*U0MRt2?HY1q=SeCBGN>VD!qi> zNf7BZ)BvG}l7y7U@B7yKdH zVEo@UQ{jJGfBH?>xkiB}Y1DoZH(>_0qNooo7zW$RXU_#iiatm!6RlwW@Zk)jsEv|F zsOnWFUZxu#V1^v&Q2Hkn%Fx4ZWdHT0D3$ZV-!LFlR8LuSXd~_T_4qotrha3tr1`iR zIF?=yM25`5NbpjW@@t=8_4V~T7!1Z5u=;A*Uaqz&vbD2uZM}3cIQ8zqVN-I2_;gr@ z9*TBeMJdaBntptnLwPdX!+=@yL;AywG`%YYd9o_%%Ai{Q=UTr!2KO (A?Oe;?O< zyQJ*1(ma{(@NvobZ9;=*J|1EbyO;0>_*j6Ub&BCyo^l*6M<;)Az|Hb~Tc!xRIXeZ> z?{B#kW6wVCSLy)gU+CNS{Q;JhiOa!x^QzerGT4cA;?>|WtcLhJej+P&;+^1+Z)mo4 zCgZ1GYBv!c_+d8pSU4^?BLBD%<-K4>$zzXnr6r9oo^Vw2Rs$A_22VZIYtOtP zIuG^IXKQCdnuF0t<7f&pH?cYp4(-sA!V=i_%j_NWzKJmfp*hy9$YexTF@h;PyVxa5N7h?o|(OPGefh3yX}{|1j-c z#Kx~&$yY{V7TNW{?e+7cueFVSmgv+kMs(Rl7PU5xp zUGygo4EAFZqK#Z%KJ6AuxX-XY%ajW;|7$mEV)@Yjxd*Y&+QL>{s^zAjqxpIA1b<0D&;F zeiXobcAGgFw#GQia{c3D$(hT-TROXr&mE(4R~K7Iw?)s!H@>@eCV;8%eTv~jY69Bl zI+us!xZvgd?mQssWA%-^kms~jN8=p+b7~nRD)&n%q7Q8znEsRiLam;B~ZbMRBAIc4T?VVK;on zP-n)#0nn2)#HWNY2I#9W_*jQ&jY_^Qs3d-$3V4rwO90B%R#fQ z`)IpsM0yEgI(k7K{ozIe$FQtBd zeCrK#@=JugT%B%l=U_NPP2XsVq=h>wbZ3}_%LQm+Rj3(+@Op|}@G6T;JD(DNGyqpf zSuwmW+2GAr!8x5cTX=C&U^+2#=;J0q(Z2h2lEdRd5?@d@ndC^_^)1`VoXPg`(AxArBP{oW*RFhB7;ZRM3BAYRRPT7hmuX!D~>L-6+ z1+A;Uv@|#L_ULnh99G=FZ0k)M{*#<;z8z&{2SoP%dk)55xKP1Y?>{yF3p1$&8c^If zmgJdszP4O$P%afvoZ_Y{SR_B4+VpJl~h2ZV=KhPqqNNF{H(AnB47gUk9C}X z2H(|z7i19V(ByWxg_l2y?KKp=2u2D{lIrgkU#S{`sTnVG{C}yrY)_b0oUM}rJFSZS z1YDZc46H@^9XJ$z8^%lz3&TslLZZ5Z3hH7%qttK*&@Z4Q<}TReq{a`uQDR{w;)Lc~|#cD=>MxBA1j$haZx#RJ6)Vq|hV=ZB~2+ndMG;}QBGb&ibc`EoOfwb4d{RMU?ZB?gJHTkkeDU4ooeGGfLC zU!{LC6IMm*=8k9_j{Ht^RgsE1lI6L@5tCnNa$h`>J5*y>FMngOF5SRuv>3#bTRcFPx3|?-NlR}8s|5k^q?Y%6 zWroB{ku^P)hsQ4UUlT~HFVpvJs=iiX2+A8vmn~3*Ck~>Dg$X|ICiAyH|0^7tyHGc| zE~#75{`@t>WXCb`6K}JM^&3E7;GC)xVIj*s%gBEK184W^5=kuQTuEyNvyB^~&NyXb zs(uYsVL?*Y=X5bZalsfOw<;q1q6L{%SNi{DW!^Q`brAdYjJp|0@wY^gUB z#yuijEH6n}Ud@3F*st|EOMWsmj}PxkO1FOdq6n&5XDPGDYLHS%d*pdPyy(KROu!~RQ?^~-R7 zikprqstGs>gff(lw0&!Q)tAcBTxOOBG9f#D{2{XVDuWMKpZn`D%j$)JyPJCJzmtI{ zj1pCEE#Xe`-f=%iu35!b2H(kk3%=VMBI|qP^8WYnfM$(dRzp>^Joxf}le?eW7p?BR z%l+C>wmEd^+OkQxhLxt8V6o?ap9P0k()TxWafsvQFu9%l>+_`* z6V9I**6V8czhbYtw8wN}9N)6#et$i>ET>cCSSFmoKLvl28!*6vU}LXe%4;3DN-cnS zM2JyL*G`^p68kS<2h|t;Fm-4Ct&x_|x{U1!=qW8Gx;?oQ!l>A#^+I(gv@H;FO?i~D z^ta!0b^y<&$`w7%=s9O{Oh}0 zQO0_=pKzQ?ZU0I9|4E7eNtcU&Q(N?@cIQ9s%YPc@f41mR(OB^lYv&fQu|ZLH7tJm5 zvKq02qGQ}!g_L6rgEm%Yf}I`IiCi7eSYIOZQUKop0o2ukHBc7>tm^`t-YRc}eMQWteD1kQ+=KehVB_4IBr zUlrjjG*b{z^0hnMs=DRIM*Qb6Bxacqe@>$~>Vn2TovfTAJ|H5-hF|9%$7}JdhDSOJ z&<@`H<>UwQe5%RaVb>*?+x~fWY9v~@WLp{ic$mE5pOx^zL__Y^s8B~qw?{D)eCXqku+O}m)J#WFX30}wjGqafN|*yc25^QUo8s_*zba2+l>W zJuIC$9sfzG$UES{;;p$_yFR&xLso)QJec3@I) zZXb;3DgY&DC@?u|f4=q_D`q_Moz8^swHWvN=yXZLINPV{WFDBofS4%azNj=e&uTA| zBcIfQWM#qgCuSdX&+FF4R1>_jrM_^OvMt}N!>COs3*xa1fT%5t0+$q@+L(v*tW3J> zi{PA>am|;|?4!+V_up2f8)`hti(3{k<-*O%IRjmR_tWqE6oln;VdjnVc0O+y@76a( zo`;RxE)BDTRG-{+{vj1{{%O$c!g1+p%)?{Aj`7PF6 zPYbiZG8M~E_x16_PX-M~h8uc+t(9MC_+jI(g;yRMZ;D%wT;(8sxD%E4C<6R8%}kZq zDTponrm6Q&>p!;xlU|psb^5(0DY_xUx$DM_A3=dTB*j4J^2c+E1wXh8Li+->5=Jdk z14SInieq=bcgLo`S23UE^0^A*!!7o>|Md^t+%m6bws7mUTZPP+E{Vr zg{|)LYQF+yra>E*L$m-ZTcr^@S!UXeq$q{NN8MA18-jW}inLeXc=xp}pTaC_0|8Tj zr#v_s5iFWX=0hfrD@i{-*wbyesSe&~;8%@K(05hYhG^#7krfMLa!H7LZNXWO9N|iO%fO|>g*W{|*O2GV61m?4E&Hr$f5d5G z7%aozOYH0HQ?bhcE%_HBxQHSB!IAiW_fbMB{k59U(T(L~?x%~0(oOfgOFru|VKR8P zWn^&N`=$tzBgL(Y&&is~A1JEB-9j)lfj$08c1jzv!dqQiM3m~%v(m=G6WDu;ZO)MiOcdj zEkXvnH9lt*x~ad6D@dVa)mw|PGJ`tu1n zK?3%)jRIT`$(9bAn=!q)A;jnDO8sGmcy;K+I8syj4-7I!>`+`2~T%rm}p`g~eGgUkqEtmfX+_;C(nSi65thUc`$&=LNUD;gml z)+<+^DF6Yq;F=CR(-vChdt>eMXw(jSYUnD`_^`lN)i80GywmOPxUlw$HbkymRc9kX zw68wwU&CvzNgo$D5Ox@815u=-U#95m$0jAMem@B`m;$vt*fvYju}jE0WJh|XGjI$MVGjwz4O^XKl{hRFCVxd|HP zUNl+v6^-yOlqT!+rL*Q?r>D*PhTBx+Ih9Fe5}45Ut>hKSI!@lB@Y=?sGPajO`!x5e zRP_%s8OeZ8@qAZ+hN*MY)zZl;qv{&@SCGMv!b$#J;@3|ML+8;KHgI78Ej(!}NyfGH zore@B#qgumIGUz$qSh8)^9Uc8EW79VfaZWtJW;4KpGcuGaj8FA3J_Z>Qs3}eD3c_| zx+{(#aB7e~y~{n+$07QZ<9p#MSIC|M^oM%<4}$tf(CjAk`|2h?fJu!`v%UxLZ@C(c zuwlz{1fk`wGnsnAG%)al{L~Td{_|jguyE8x+|4KP$5H#oZt!|uIrvLif21R1Z`P9U z6-fQ{iB?7Jen6WxU88+Eq@#Fq9?TE#o59YLN<9xnX^5BVXubYgPu!IP>+mjhv%?+s z0uWPhQM`*)f&}gC?Ch}_!=Z8k2<$f*?RuGrG-}?y%^3ukNHd+ z$Eg>D(To(qky+!cY8MeFn&uC0_9Nh^*FLi!$ zSIZR^kt&zNL#AS! zs(g`(Y}@bm@hc_j)6-(kQpGSOyGV``*@bR)C#1maI4o+Gd$1L zW(_dVGNpD`lm&?DANQ~*-{9BzjUdZKp4rid2;AO6>-xm}?)1^?yN}L}MB=LKVM#*@ ziV0TXKW0*B9J>kbBLzeAaX|HhWh}SV^{+3$x$LKF4uX%ZuIkxx71}h#aiD@NhHNgQ zHnq3}FZ_=DG#w}HY&C5hk{Y3;%`ZX|RsdfZOcw@HdXNm|koKprV$*;(8rNw;G1LLx zOp$%h&$i;IypM))H1UY}mSyD|;MMb&%@ ziHOh)F!#|`=LwWI$z-%dP#*t1>!47DJmzq+Ro8^O^iiYeit}VeCMFRO+hCU#ZFI&l z_QYv#a1qBJ&o5%Q55@^bnv10z(SV*Pbt8!|{&X=Rz5^+J8K}}C<3#pOPRmkMhY(jZ zU?)ob>tgZz6>!nRR9!u9Dm~4`l$H?FL3<}kg=+_w^WNd(Qui-6)~ z+O8IPT4awswu5FaO3h9pAWpX+^KFi^4#BH|PcqmgUY(IEd>n#8s7A>mgjv{okpip7 zrh9no?~ER#zDjz=t~rTG5GNX#3?%$$`Z~&ATDS>NZV(c59KHw{W;fx8?LkQ_%{z&S zVR^Sb3;-pw3_=U2s515~LAWEJ89d2x?WSb-KxkI2Q z4v1F&_2fL!hjQnxVhk4{vp>R+8`*-n6qsg3eoCnmsFSnYB|$WV5(UQKV78!K8sfOGR+gUpe_tnGV8jtlONsaKlV8^+N_MaMhJeC z3ufg4<9Edbgf>X)_!l~c4&Of1?Mo^Ez&7jr%Pk>z_9}j!a(v@ObAAD|@#xWCcAP4F&bA!LYK&6( zQ*BXmyIEMECT5a!_;qd~Yw8fqYF6NVvQ=$p5r3S2Tx0OcQ6^CF5>)Q;I&wRan&59M zv~`iFId<1sD9LJ7*u37N`+IK}!YYzVUJYx001rtlgZ0(o~1z zt=!hh6z(3~o6dS^XVnAGAZ|SNiSW#!mBu%9jd)f`NDGCi2BAYz?GML!ZmaZ)vxb;c3Hhn0( zk5epx+VS%8!AYRa1A%{s7rOqA>|t+dW(BuPltOQ5mgG(8Pd=eK0e5IMWhLm)T$)_N zmBG{##}*qzhfrv$YX?y%Rz$u*U$8px#nX}`nowHfP`MJ>Jg3|07ncfAf+kmuxTQk$ z3kTnqaO+E?6^4S@!?=&V&jENFdCSKfA>P)sxIQ7`O}iFNx$X7OINvy@uCA=ia)3@o z`>w3jY1jpxz6DevY)heIp=6MoOM^!oUWd-L;E zRyx#AE&eO{N(zU|v`;6TOwV5uiw7?^4ix*@cnUtni5gM{bVDD1MCl}{#M+b6qP zP1r$5`j68xYt%m%P~R9p9q##Pc8JAvl{Cb+b#(&>cPe@b@?YKPG?BdQlt~w#c`Tbx zB!?>qUi59E2e}4qw$-qGn_5}C6wY^VY{g?d%@igVC+BAA9~q;IHoY*F4IOhGDo|jX z%QKHZ?qOZ23K2!!qlaG>SP(crhE^M zK?10^P~~b#s$yA2>#$D9*tDw@uS|bOj)BnGzjv%pf-ScBSPlaCc{&`2LBm1~hfZ#y zY~7#0G;8OKFVFV$r@4kBdBFV7${#OS50-OlCMfl-h5JF9K+{h*+GS(W((zb3a=25y zC4Z{R6}Il0k;nkt9&&AQlM`$HJZJrQ3Q+Yir~kq`&T+-zhiuMT{GA0+F)z%fK;Rn@ ze$w}-jFT53LG1QY@vY@I-MKtJuZ#QvPH${8Ftrk^-9qcX`%5CAHG?TXKRYQ&w}9fWZAH z{W27Bhn}F&K7B|JGH+J#<#;bm+^2-7)LB(fF7dUMhM=QiTl$q7y7oj?2Orp(zvbUK z5c6$n_=tt>H+K4w^6V`%xh22MFsqC^Zs7jlOj3ogy!LwXL~W}pElJQ#%@Ln{Z$Zy< ziiOmgVvnMH_Uu-6x0hC#*Mcdro1hmH>OwDgC9P@-#(eKN^bj1)n3s(Gh) zpY_gY3TWmEg8$}txL^+Q9x`KLVm<}u-IcxS*C`l5ja%AwYfA6wpDS{@M={a>KErxw z9xzKs%C1?DR{n%IqUN2G`DfMlUdzV2{YUj_+SOeY{EhFG)bnhI!N4_g?){}T`|_1a zr0Y%LUh#)c({m3yA1y+t_K!N+BYdf$47sfFbQ7>urFhPjYeW;8Oz3l~7lhg@>)R?f z=FK*mOoU3cB&ZH8h}f-rx2^Vf65QFp`DGCQScM}% z(-<{PnEJlQ5IDL@6@VMvxraDHVEEWG%Gppy-(eh}bu#0fCqJ52Td4o=UdRfN9Y1_4 z6rXpNf9eTrZWYtW`ls|UM%5ZRw}ENo1o@qEXXxA_rjZe37286L>zo{E4Y&{4j%is? zxlMAiV{tMYYCgw*HLe-qGgW!TYTpE7Jyae{w=Tj2&GQ*} zez?HHZ*1^C)ZEDmY9r^jTS;TLy&Vs3pp@BAXNHcLP^iRz$0px?)n50X5Fal*l6be; zaJ>b9g#sa=w2yQ&C_R;rk&I^`D=`tU%mh!iT_HXUQmh4d7D^kVqp>iMgR5_bq^nVY zccC=kA3ZgPfs8n}i$1qIe-)!H*Fu}5qjAtvry0qSECeFifGiwD!j_$;AR`&h($HV` z6y8vFu4GdidlOqB6n%iVPG1ub+Nd*n0CaILq^J>%JY(6;h%SC%Jn=ke4_}Qs=prtB z>hCCj1f{r%$ICjR%b?G?N4H)Hx|A^Y0~kmNxt)y-0hPjcjBb6y%!CDcA;a;47&xvq zz>gJ9gFt-g*aUA~T_m$fL8!B>3$-i3n4!Qe#PJyj=!l~fN5$Fi%CuMYmyLs#7(x8% zoK8xc3T!7ocI>=QO3&#*c>2ens~N-#*y{vEKb4@wqwl1aZ?lZ2C9jT zty@ZyA(Ekf<`7mth7Houx7ZG5)4JdXR)4ikyWBEK2Wlp62yh|CupUpwN#sX#PU4-V z(B{0gYfd?IsK=-LojULX3ZgAm#xC6>#c40i6rRQ^=}@Bo`F@;oJRQpZlv7RqDxj`j zjU?fqH+OcPw^gTk(<+G982R_hD>}|2s zg?hFp_dxYCqg5Y34Vt@^765m^=3AtK)}7UW2iCzro9~bPdy&iP|9VrnwSbvnPmhlN zRU!GSL}jHe&$wr=iHX!Fu{l`w8?NLWS^sAt9?}BXUQH>BQcYo*lS}D{cVjS<>D&_W zPeCIq7rH&I4l#GUH(A3GNz$7l+T9gOf*3B6U%75qtgaq=+8 z+IXY=yK~}U0k=Ze?x*bzUzynj4!3Pi*pGMtl}p3RLlNdz3s%vpiGrf2I{0aC&j0Og zoJUUVrj6gFB3Y)JF?@G%bjDUAA1TPPV9RKcFfaJ} zD4iG4x1@vwfP)jml`hZUPgqd94%XF}Mr7JaJ(vwF1PCoXz+Rh}e=E9bGYHGyRKY+rhKpl@}pYp0IZ4 zb@xRQhuXFr`tLwx>?_R^b>dAzbAs&QL*oQ-BMfrW*x47^_m>Fp&+O6b4^~zrJw@um z7+W?R4-QhDu4L}JPC0qR51KRzTXaiyJq-A0anrK=_u1-_8V%iJlm6$|)+bH$^etYV z-knDqVN>i?LVsB5O@;RNcE4Ssm1-guLJA^ay`mi?xp({0OgsMQ+9z|2^+Ew_A(1Tp+c`^-+6{_RIjv`#-W^-o*APL$sRLGYiuy`^z4yV=xm zN&JB~U;Z;R8VUJHNeujc^k|D^D=dB_vJr{FlQIFw{N5|O3rZBGc?*e0PGl@u>h;Ns zrQmO^MDTVvxO8oqCPh%{4Bqix-?CEUYU-|rh?N;BFS?;GSd-t zCZ0&L_(T=MIKeW1TIRISXqqDL%v%7<#^E~;y&KC5zOoG2U9v2~h8fEIq(!TYMJlKr zKHZ9(VlQNQt9~I&X#ZraW&uq0pAm1Kt=n;Y2An-!a#&klX{6_xA3S0oi+bE#DQjJt zLM7p3yZ|cG5h8Nu9RJQ9R&N&@%ucyFgbi3jFl6Gk*Y15AAon^wpoKUo-`Ex5NyW894rt;Ur;n=JJ1`DYQyvmCrj-aG=E|9MwlKzAKL(xQl@F%Js&8yzFQH0W0_b?m>}YG& zU)gci>eUCurTX(Mdw#{w!?w}igM(H=9-{S|ua?Emw=KXf0q$eIl(X+hcDZQ%A8Vhs zN37DhanU=?X}*VTjgs#p#-+!mEvsE$wUk%{&XiC?EmDGJN=6xcp@SAOy=Xm^H&2+ES9`=sN3kJ z7)OU>nbzkeOcN~QE9X>+T>0SQ^+Kipr=lC-!Y!i00Roxc&72!+?>+`oo(GBQUdh}; z?DSjZt$uO?_lgb$kQ3{lQa!VU_Et_DhDzHVS59C5E1B@?b(jA&bjD#c(=&Q#vYn*} z$t|05BE_yohkc{>3Tz zw-y6U1IEXtti}xY6YLv87%0T)&;6q{phBgcgrD~ff%+xdazk}6_tNo$!=U4DOV^Ou z0Up}$9eV5;>#`6Re$*hRlZiGQU_xmN@`oV5fSl4g7Ch0zH;sf!WW-U!$sig#01^*^ zM73@WB!krHP=$i zcjhDkOr!G&cZYy>>+$sogxuUw4sr;GS3U|*VTjzZDrUJbCKMO$N1t3E44IfO*Y}1J zPC99y7wkWa*;HLTF+;n9Np0i|y}F%GvtR0RT)E)vcBonLd!R~Hu?|VvF%=>%!V2Byz4B?{R5o~6b4!U(>Ex5C~c?V!^C5z1BG=`Ge&f%d3^wQFQ&{k zeKO*?`J#jesDN`?9_MyE5^;%I5C8FW|N3SV7u2UPrf4LxdXSE?ZIcV&K<#w~FYBMF zB~{q)o&HeKfuAg1K%}2-KHX9qHSaJM)6H@_X@H8cr`(*WUIz66VthOvQQ|?dI`=O9QKZ#H^NaAvFz@hi5qx5CL46~@)}=h16zhEv;@bkBxxk{iO5tIbN4ddu zgNG>ZJD8n3`)0ED{^$i3kdjnU0I$lS9pC)VZ`qXlZh|fcTiFHiH2xs!*~10L0)8Ll z_x?RWRjU-Qzbmz+RW$CF6x^NC*fL6&39SYE0UrmnsV#3<@?riQN0} zEcM^smdsT|$S5dyZjZz5Q~I@2^*GU^A?RjkJN6;gE+`?q-G8y2h@@jfq-Vy)t>hfq zsO~NF1PPZ|&={zdWqMu^9{pedgl0OZ<0CuSF8Lec{_7C{4sG|5DX^XHZ&mJCEE{+i zKp9)S(`A{?ee&C3;-(7dB&@4k4!N`34yEADcG;}?u$V?}d4z?rZORKx?#QKQLMSRd zT$2HWJdfeO)Dj>c+J3b25Br@CWk(N3FhLxdAcE|eyUZsC0RSC<^`qyn_7g&7UyXFg z>CfDIFJD7#!H4$F{_LVy)!SQ;X`%xY}Yfv%Am}c!S$X zhb|J@9_f9Xu+GTsgg$kBWXI0Yv31*m)$e%_AR)HUkho@@8o$rI%tt}y^^H7A!JuO% z$bU_w2|o$YNWYJqJ<+dyT0a&^6^_%!d4F0_V;@&w!*QN)@29sC>HO6%@YYZ_1*5&+ z(K#h(!E+cu#p1HQgXb`tT+!b4bmGw+%EF=TzE|wV7wF+lVU?H1XS}S=s<=F>r{0a< z`)aoBBpG4>bcNH5cxVZoGjUzYA9%8`{pl=_xqM*lTIANj&V)Iv#E@(%RQ<#*)sFkW z?-00qLOy+lXe)U1#U#+DNHCmF1Ueye0`Z9Huz?>h%HRs;kqKwqjMOtp(yHrj_stBry*aH2?FMb zzNZlCZ6b=AqlXVNf@;FHi_{GnK=7J9qWFiDGmz@2j!DVTb`XCKI*T4Ibvnjb%$cI!K2=L@83FNbkK% zLazd$hE75cgyfI+uJx|_;mwCN(SpD@PaPe2F5$(t@%P-@GX|mtR!0yHkHMcQXGO zC^^}hoXbbyH^&O}@(YWK&gpL6ym@$>v+|FMW4~=qU10k76`U`67#|)K1ir&<>uH~uvR)}nXC7i+S{RKP zOFDm^k<1pe{Jg$yGE^ConO~&y!`+q^(CHxd=pP1E@eo#U5vkDxC#{u}#)IMToa zW%*f+IA@z52p-T;Q_oqQy?40M?)>W3itNR+W&1ak^q*s_PSfb|bpl!73CvT0g~%!M zFH;_z-!pW)H>iwN-4yu|+6TYc>qXp34w%#D59CmvV~HB~=q8C8&Rr)w4pI&Psop6k z#tdS~v1K0}W1Dh^cq{qs$A$q{wY8n6Hcjv#;0Lxw8<6Aeu%%xrXY)`DQ`~Ztbx3fr z^xgKJ^}4IBmMD}LC$b^@E@Yc~St|aHtzFr|r{rfw!DBrqf9<#94`y$3O@2SU(d$8s z5|lFS&uAab)5&6Z7(gi$)1F`rL@PdcoqF{3My$Q6Pk?+o}t0~79n9)r|gnTQYge~D9!9##sb`bn&nP&JE;Az zjLk%4nYZx!FONuR$6V85JK?!^Z%j8>glAvW7|$BkcWqXW6gHd0%6)#2}%#P9zFc-LLRB)uUa@0NHFcUBXOVglbQh?C;? z7M=@kkYxRbLK`f90R#0Kbjgc)DsO|4hqXmt4R>D@YAc+~sXW+VQ5C7~e$D=SXxxUW zN5tN?$(3Cf3N^D500E`YlRwX}e_vy}=aH2Ba#y4oC%RU~6HrZh6PxpxD zOu62vmLIVBVW{Qfvx~GQI-yi1}C+?oyn(-) z5*=WHYj++002&UBM|pz$gP9rfW!VZeSG+0vzz0FQ7q^t(3I4r%f9EOI`nh#!|!<2Tm+?7u7zLSd;khP{>|O zaPH5Q&A_%TNeyEfdVc{+`@U_vg%W6kves|NQN>Khd^4wYXvSmsr>jyu==!^FM>&2I zzqhL;{a_v))~xoXu5(Fu{jqp+k5Yf0skc*aBh*Tq?=DdEcsL29QvpBso$xu#e>VFk ztA{1R+f!uV?ma z&q{#lzR#l}AX$M^vyv~i=e}d#a<-=FzOjjtuOf@%qo-+<<^nVI(=rTUl`I%r{&`fz z#8t0?_oExna%)%K^9`05bS=Bvq#d{!Ww;pjvv!SctGhR-_B{=k6uDv2?dh1@kObGu zv=ZL_Z@3YXL@(GZDr%+Jd|lT^t!3v)mimw9zZ-Fufk5!~W5-@?2W79V$L|Fyx#gQ_ zr(GR;V;ohIVRP?}^1eSDcM_V>UHwNKFUj~n;&4g2^1hoiy%_y4aHMi`M5n7-=&=YN zhm6fO_o$bYJ8R=R-K)dsaz?hkl(s0EjypqTkEv2|A(T>#96ix9pZGA2uj3nYZ2XlxXJqL?y=F+oLRK2nK$DX4NN$odC%ge2!6*l&0{A%-h*nrB0~HpNzoGc1%pK)mb5eFlpt1=xHe-izS{ zs`6{sWJqRoTzCAKW|Fja#aOXtxCoUbq~k891R;S*Ylh$bC=_ zw=*3KZc8gv(KF1q{=JgK@@9a=KJ_?m;z1Gl^Wa~#@aLPLwxF%>y-N2tI&c9Ho9RS< zO{D#5vBe}z6pWH0>?~uAaOeg+4(_-9@jk3~Ujn%IYQI$Crrk6A{YQYfnBAcK-x!g& z56Oj{iLcYEaze^F>nX+GX0sf<{plSy?CV4)7aqhf-6HosqO|Qa`d> zllafbURibtwVW@B2??v}Rz$pG>O%uMK@QR3dndrHQxjk_D>7(mYc zBqO{qfj9hgMlRdRQMx^1ytejjTO-Oi;#)e@DL3)2o#FNaL18Hf@L6-a@9ipIsSXOB z3oiR6$zB}rrGtD&^8%V4v-YFecPu+#-B4O)9{%wb;DRX=^mD9jjb_GsgE18cy%s%^g?HsKvbMqPR29 zF!Z!-EA#1Oa>DEy&}d(DNRX8lc9^cT7dE`k_giT3`iM(FO%OC8Q?M7(Jmrzs`qQ4| z8n4noH(GmNNFg;g?dIhK73z8eQE2?udCJTYv5@;CCOPiVisJvW#pR0R0J-Nu`(ETuv&E9I=)sOLb}f@jOee6zup<1#t~`BE%+=t^pU#Fpq!>W7IO%?9%0`3en9;X9$cyJZubJ`Qcu{-)slPc_=Y_Kjb9U&oKx(Z0&cQX0IX zae1(kY@z4Bb8|lCSpzp_3e(M=+m7Fq``VX1Xsf~!i${+xK5=i9i%b4YG67Fos<$wm zmBc6lZ0~S?d079*Z-3$>%$R3&f}UQVPRc?Vc5ceNwTimZbwKx^m^wcm&{M?j644u$ z;44ZhOGm93>Z>~R2M(N|HIG#~VjzKOl0J@5X} z)ih(pJ7Rxy25v^&XTO^N(dws~{y6J{%#rz>m&x-jUs@yK>`|tDZB6SVd zPQXr5EvVCOM3=YxQupAWPUD|W^Pi6KpN_Feokhp1XDAR_mSY_oP3ofKtKSbl|NMY& zlGrbDr5jhjUHPP9Kf&UOX2q=|Q#riq{kcU4yi@bYK(z1Rr_kyZbZI6=#>r&7jbUQ0%5pS)#ANa|D>A65MbxJT_qlz?L4-` z)9K;&cPf0mCguBY*%h6Il47i%hvu#CJd)S?C_8&o2Qkb-p{@@GpXq1%?9vH-?zwH# zo1j54{1L0hNgbSX=bBCnGtCoN?q}GWaH_BRJqH22f9`&L^(&w_dv~C|OzemIB(sqb z{EBRYGs{-}jN_fgP4X$=8e5=kPtm65L%K#WW%F%J=Av%YRkP>0p*QqQ?+{1NnLcU0 z?f$sPc2KLFXPsB<4BiUQV}Ylbzouv1Tnvse1Ua!dK2Mw#_v7^91>P%aS%a{fN?a(P z3b_nw-k7Cm`8*NED;Lc}&#hk!##8cq-WUR_QS*Jq8?PyExjOR$H;!S#a8^$=@0#Ul zo#>4+UK620q=tO7kdV^E_rjH@?xH^h&C=|6?_40sZDdp80-WM%&0dP@h(4$uW~i3* zAO4*h>7xGOx>217(af0T&$XlML|V5t|7zxkYbdH?wH)>_{YsJK+&MEj3o)y2r^J|t zo%_mFVzV)?cO;)y0z&5;l^W*rD^ zF8T@ewA$br`5ph~63v^vtu&pPJk+7fw3W|nliaum(m?#$G}K4McOrU}5+h!{2MML% zbuZq<7mRBg3OgQVE3o{MluCHJc~jV&aWli{KB_ZfMJPGcMi-EFtBQ(veOOP+ry_(Z ze^n!`I;9$K&nEKcn`m`HWZKnU(9SPu);i((TYIcEFWJ%g{MEnx)lR%`LkGC)mbD@%k!cO`K54~vWqr5r`310i>Y?|dt*vyhFm66 z5J_87d-if?AeWtTL{!UR)OP1t(QjtRQq|I%Z*)dsqtUb+!a)CKsHnCG@^LC{rn21$ zGKPnzPdN5_>M~lxx9ue_L!DP*t1=wy?bZ2EXTs0W{RZf-8^ZHVT%mBfT1 zr4mUVfn}~o*PZl#vzq2TzHVI`GtL$++t#8Us060GXBC6%mbrRXQavZa3Z``sHN(#* za^(NPCz^*OV1dG70=ir~-C{H?ADps$tHsRwB%KsKdG)NDo0t&BcfvOa1lWar3MfDO zbn0BT`V`z(^H$?nwKKor^y>uX=2#H++(-T13mDU9<&sZ$H!aAC)5zIJSc(pEp^xy6 za@Vpb?|6)Gxd}ntip}#*2V^qX_SJWx?%(!Cm~}~(K<7RmY(L&(zqDNBL`L-4Ax@)# z9|GQ-rOxW?5vWXWsg6CRIN=%O0^272fQW@cO4)`j&_-qFoK?iw@{pP)vPs^hQfh+0 z!xp|2ubRT+pJIk>lb88^>3mTgwTC%I@4M#jR5TBMCF&uYG^asdTSm`f9})J7jz1gS zveg5eBuf}Q)g{AQ$l55))OMBpdo0@ztiuVnb{;@l8No{5M6+=_K`%%UVWoQq<&y6RgU*)`5cqHR$P2?yCs7C$3J4*|>+L67ebS6rh!f zN8^NOE&bX2>e%X5`C+b@S2(TNc5lsd4?hA{yA{%XH|4nT?rd-+ou41{IkndM3gmrP zBe3WpscYNV$@O@NY9GKJHMUe=(DV|Y!AjJioc?ZC*nWZVs=}lv)qHP6il*Zcz-)n6 zBlqfv!dYsgO(8BcQR86Us;!6mf?>pUUOb-Ef8%V{HNG4JvD)q5SkU;8?0OrA3c2{a zAjGI2Nm$T38(R)~cQgz>I?eyc2sNBlHT@A_Hk3y-k(nk@TIEIX^AKFXB5sOgwmv~8r~mxlxc|vX*`Z(emZk7kWc*Y zhdH{|A-%XNU&w{V@rv;?uR``M2ozAIeKj}n8Lc9HBys=vm?S}?b2kMR}6xp3oS zZyfPGU0G0_wph0z8U$*y2XJw?( zWG)OXBmRVp#E}d+RM1fBC0Sx24*1WUGYNnJwq^Vi08|+ z(RsEM*@<4BcoYxhB%N^a4EVdGq?~NtSY6c!(=0CK`d&JZoG3l>JWOmOQX|w?5T$Ej zq#mGJ&tG;n^ViH?;1cQ%1$F11mZv(!Wgm$zZSOYP_a*;5?-4jcZJ*<+VSvSiUU1+o zI_`XFmaq0M9nMP0$VAd}e=m-eGSm17Q zikN*+km=~NbN$6hBAz;Gfv#*BGjzb;2c(`Fy=^KP^XiDr92dQM-Jjs^Dp2@9y3n=i4>;uHj}o2~nXI26j1d>`^^k+^iSNeq^} zh2bw?a<*hl=-nz1^Ec=`K-ln*c0Ul{!<>rW4xec5Hk6zyRAz&zvq`_@`pzi;KBx!a z*D^vqr+qy`=n02%KG9&i^ayh0%wqN#iQa@Z9AG|N=-oGkzBpUR-##!p#n{?%oH(S< zlMBC^N%o2&>ypl= z-GKbaZ};+hzdI$PN|Q(nQaH{9q7&=7&3Q?8he&EgEx)F8FK)2l#llF} zT85O*<9i|Mc$=S18oob)Bq9x>SUjANaH~|i?6}gkd4+Tat+Z&*(!<^iAxmC(y8*OH zho9;!M+WVg3a$e58(d41sb{qu#6fWc;mf#%)uvT6go$AP`dq&p6Iq7IH6#fg6GZxj z3H4ED#wj(Ugdcc?`|ZTcH7TR)Px) z1{$uNldc?Z@RAH#Aqz7W-HY#7r|r*00x!hM2ySz8fISfv1gKvj1z;`M+ki)>V&Q1W z4MK_vmW!MyeE*c5nq-h{v5lUwDd9u=Nm3GI9=Fw?O#WP)8i^DCcE^+!jWX~ zTX$Ck{}tmnzXzLbe$jP{;t1pS#>7I%BYJSTVa#Wr8|$n)mOr+z7*fW68(|U9rvCJ) z8kad>bsr+b?q;9fD_>2~QXIlZLY3n+@Ey@E zs;oFfc|dhnir=3*J3;6;lG!PJ?{zT~fUGXHv$U7AD+yBvqDkXw1Zf@|DzXzW;w9qa zPwk&A0S_5~BQ*leeVkEbXNx!kaH-*oKc)Y9{I01s8*oZLgMC+M&L%Bzg#*r3?jHyw zu5c3R{t@X7FL5pY^h9L|_>X~96MxDT)k)IgC5GL{kz^Q19n4s~>C~Y`H^zOa`8_yi zrMJKDGvYv?r6Uzpx1z{LWJz7H??xeNtnZU{H_WWt-8Wc$iWAn=iJAS!!^LZ-Hg=8P ztf%yvAA&FYbx0$%FZvvyyB<{dhl^;waiEm zDlrKryw#HLpjfi-F1;s#&4{tu>G_)N| zGvYtUi;@n|2MoBdY0qFyoFY;1gHl~?^XqgtdszANW(zr+&^w6aBb?8GVGY-+s$-4YTb!*CNfK$Emn2qChhv}BmXDYuyV{D5SOeYDM|uMFpnWi|?@dlgdheS-f=AOU zpev-K_zIok^4&!bQ;7$xyL?ex#jnhkyX7Q5xDZ^YHW){aZgvli;ZnRr+$tVYi^YLi zj(V%~{R`dViN&ieR9j~^)y~9(Q@*poJ%fOb)Q0RT>QY9GVB`T0`{O}HR4&_%v9AhV)B&e+Y!-D`$Hbp*zuvi~mX~-pl#FdA*d|&Yv{M_H z`Ei1B%GvMQ31Nnv4!5l??WE|ABb-VAOO^x4xGnvvZy0TTOWasG4X0Pt)&NU;iU z%Q^C8x+7TiGxo5jgyg^w-XZY=1-|o||En`I^vhyL8!Ht&2(S<9WMl`< zU9%2kjZ2DL#@}IA7(H5QmHF-hYR(1!F^wgyHlxBv`$Qs1!Tr?V`{s_}7gx(u-%hS@ zp9*ZDAB6#Whxry1Z{|6e0Aj8e+!{e+0~#$RAlTu~v+jZ+XqUhHT5mVbwKsV{S3#r{ z>|Grb$W#94li2N!lA68ZDf{bA#?=~`?=kSTbiPp5@}zbfVAHM8I< zNe1g4<=fcLs@W&AgHI_hm5foO3LufadEC+PQ7#Mq;V3N}^U(n4E*BujnDsg=q2bqO zJl^Ik-%4Z(UzMglMsU(299+9WAd9O=*lmyq>(TU3TXt!=rooZy_>uz}4JU`|JVy`h z6`=g+kgQK;Arv;{T_!zkcnTM~FReipY|!~FG@K4@(z;l4;Q?s}t8R+?QgKiIOVxZ2 z4IywA9COv30Iws!>dORsN_J$Xh?fhJatGC_wk13sNK_`#Zz#j{IN+th$CcEWP=1hr zJg8VXxo=z*#aMTo4Hl3CHP-k~gt%K#LcLn6EI^=Bexrp0PB`Ekd>};~b^sFdb8)f@ zKG35Mb6x&`CXC?_dskd?=;|hk74$3Wv)A2b0HFC^Yu)tzUSLyq_Re1C+Qj5TS{HDz zeUa?4a13s5e+mOhM;9-lMaW69il7Di;EJyy?%bz)I5feA67E}j4AF*`(=RsEl|Y>w zgAW*~G4`Fu=)V(JFr5FgVp{RZ%a9ssm!Y&fknGZRznTT%J=trQi6c&E5+w!W0sM_D zTR7&{EGW-qN3YRB?FkkVk7s8MTXyHtUWY9*Z=pny8szYyi}pxzxDf>?`}{M80#r)n za=7@k$O6EKi+W$c4tm6$P9iTI5 zL>vW{_KIdA1FgiiqL~E8f$s?dY+u}Eswv$4zOui?pFC?w-qIC?g*=HhQit^K4?y#i zB#v+UPA8E1l$ukr=RfNluOL_-?5&a$>n`_H6a)gYY9;@;FNvHeOAZi=C;!u_iJq$Eh>3Qxef*+70sZfX1?L0S zbK8y_;%!xw|3EHaxbA1h3z`yu{KoHQZz4`BZmhoGQvpe zQ0UCS4zo#Q{O$h5{Fp1>LfY>)%05i{VjAW}fn@6sQ*Y7Aw0Rwzhq3&u4eK6PnKEOa zm$`wMQ9TNY)5x=0mU;>$bq3@Ib=w@!l-Uv}74ct58E8}8=45umytFAbw$H+0efmR) zHp2kUFFpWOKsO(UbGWM>8Ab)WS?6o5ba}1StnConRA+if&wWU&+)91?Q4;$G#1L~A z;-h7SO?|}0k@838hp{y);;aD&zXPwipO^48xAhKY z7yyQLKSf1y?b{%-q7N6SrlJoaTn9DZgD zxqw`Sua;-7M@4dezEE*)iqZb~m(oc0H{HrAr_REj19P4Is3%)tuet;=~3^Qv|?5# z&=s}Y=_NL|c-vu@5&Aub!*3=QU9XJdwez!!n4zoU(4`sk`nmJ%;jLAAUjoLjOTKL9 zRa6r{@*vHR*-w^8Z>S))iNA4}wmf{0&hG(59JQdCD?Yqtk)T1f$&%DzC6ptmQU4@O zX7-us6Sz?eM2{-$&fn8U?X3>~!A3KHP51e-bQ9Q@OD8NMS>Egi3eByD*f)qd>O$S}y^0SW~u*0)1E z;^U7t3`>?SeDcrZ|AN`YaG5un=;-S2D$24t1F=dGnH!}&Pug|(M~+T+EZ=@{Ny3Fk zEu4arIgOZloMGqdINd6osXKcNRlst@6fUE2QrUFfEjp;_^zX{c09LuttCf%k4I8*{ z1)D}T`vqwvf81hvMj?nDXqij>)uCg54KI-K&Z^0%D|_$nO55~J(B;zN!rm+CdJ)O# zy+eCug{)Wom=VA_dyb14=*h&quvLFSN?_pQYjoWBVJV}NR^cgvtg_4%bICe+BJ+d( zVmRN%Kn`!Hi#lL3Wa&{G#YMQf@Ypv9;UXMWT&fmsJe*Tbc*N%hpttS)?Bf3KNDsXN zdV4zdo)R!}(f*Op*bT92mEzK;HZ2tppVEUU(~`2<3UROD3%W^_9mmP^_f0CV!rB!C zChnI5=_I3<(m?g_o>pzPN!Gq0mt>U6VQvr1PfMk4*A1kRk!uDXIeU1uV%BWmF;P`XW97p8* zo+v(Two(2XeV*L3DepEp8*m+){E!8};n^3N0#s8@9*bFb?WlXT;rFX`G=QXm_m0i( zYIRbsJBo^+7kc-p|BB5$q7)ipj#WNc{)Dd(rh<=iY98dm{(jO(v45DjFS! zoBw(kZzg(S9wExQaPKv0b0K(j2 zJc;py;^ZIBnUzFS938z&NwL@bW<~(($V^UBQ>-qXlw3yoJm1?%AqBfVY^(bGCDMuH zS&|!D31e&d^fB}fyFG#SaIFt%$Eg(i%LeN!tBvFavu(%p3eR8g8~e4)C7d2_iMjcML-w5`jx-?H zDR-l(gBFFuzHbY=*?V+nzc|mbz5yuZg?opl3Wo*X7PhnZXwI}E%u84&lGVfK8X9h^ zVk%2Pzm&$?Mp z?e+DuI>-@;alJG!<}5Bz;YK}t{Rjb{ynoRY=Q;_vaR`>dEi z(d@$$8b8?nFQq!KXLw((PRT8|i)$V;Ryio0@0(G(V-}OF7oFY<{cfHejl#j7Ys?O3 zokS;pD%Dl*?YkXa?XP-sIlgsDb+v0H85e*H{?f6r@Am7~gUL=&vB`)aH^;fv)sej? z>qPsfm&#QoX!K>^#)9s|QJ3?%r@Mlg^h*n?hDNg@s{Sg2OU02=9e8GS^)=5+QDWW; znVM4XOUH*x$Kd6m*Z1A}_VgM~lDKyF-JJifr9`%Ez;TBT>*ag z0V^h>zRnCq-Ct#slCt$#sqXkPc4Mz%3rE;}V_uR%aU`8PFmUAd%`D+EdFhq@D$|sd z$QGGF38n-miv};>I&+#td)>>}%M&VdX(>8i{Sf4~GqSqs$Mdoz^HoV*ZTEtV3u24o z{RWROlm{WU@WlrBWxLW#6d=Xyvj;iTG?kR#WLGsEVujL^)QHRkGnz8_k^x4aeVZ>` zA?aB&KmPB0?lH!-{gl1a=J?g56*MNH%0yr-U^!0Yl}9(wEC$4ihka~bIz)XPGTJDjHxeg<;-aV*Bw}Xv$1HimGYUI za0;w+MR6$h!$>%|`iiHU)Ny*u@`?Z&^IBL-fJF9@V4aYcfUv*Ek&auu*9zePmTT-K z4D}`%n`?Ze=UELObvRGlX7=s-x}A^pJRfm1nw;D+Jh2bVy})V?0iUB=7Noy!MQ%yj z_;)u&Qh1@gpL8Y9&=C|rmUOZ2Oa;OGIkB7B1jyw zt8r|PzYG6s__ELv;WmgSNZDxUNM(V0$BbHao>7)bat3gsq{HKztAOroQXK3#nN3WH zyS&RsotozL%U92ylG&7mxT}(d5tZRYGUOs15} zL%Pg0jOUy4Qa0r3*&X_e>q9b!LnN}ZtDI*DB@2`?aO2REsmV;t?)j*PGrT?eX)ow2PnSXD=SR1nljEx;)+6nZE|loibh%IySj#-dwX4 zgTS*Vx7h13tM1a6!7~E6TgG3haGeP(EO@v!Q_2C8d4=FcG2_{g4nN+jdPxgx8&p@f z{)~s6&~&0b--y=5u1W8NHHv-vr4CGl`RrIW6-TXYwHPoRO3|I48O?fT{!sq5SMlIFEA9AKwKkDPq+KeE|d z7%nrYDES<#%~Md6Q8UP0aJ?DC*lyYQ4sQ8o)31f|Ft?QMFtbHh0_Kw&J)t*JdyjSP~~lgPpykgB$5dhnxpt*vlg?+h;Cn zWEUNhp9OOdphN1z-9^W{Oelz|LGIxp74cnlGi}qXm||8|%zZ56CVYS$PAh_3r9<|( zY6I@BqE|^Ft#$2fCX-7;I-bzMR@vG3$OBI-z~t&6MvZA=3BxHZ2T))bxI9`~<#0Kj z$~*;HXK#;qeBmS(kIk;fB|kH=FPJ`X+8#d4&Ie*h^iO)Y+PF%*Hea34)N@W!wTwlV zsDf3AtSGs#QIQtnF5`LJ-n(<|632)pbbNDz9IM=M9e;D zmJjuz_QJ|CZw&4-PGk>NLV2xGJ)XT9FLuehjmliX#q(36YQJ6Xm*BN%;Ko*9gGPZ%!Pn?Noow{Vvp#Rbl6UuPD z!QGY$xJ3o7R=f^Ytg{=J_dnkoZ?!Jgr!1H4ETIGXm&%YH&^c$p=;Wps0Ibb~dg{N&$)jF8MJl80tlb7I!*z z*}XK~=i7g37dz^DmIy4+RHwawUC0b9R%4QNIg}nVPe%98jIxAP4;{hiT##+jBURu^ zd0Wlb2xXDIcV?5IsElokUJEMBTWr=fwn@M%s#EqsV1*tpGKif0OkK0in##p1yc0i5 zrp*xU4k(d@?L5dcJLIx}?%ZIXZ>T?lU0KhOuq9#Q)d`F~HO^5#~6|)Gx?-&cTITMW0LyeChzLRiK#TLEAb| zkkRwYhb-qVB@vyAptdk~PhPrzI_db!{)cqxLKI~PyC|T?{2`<3u>_MAYms5@hP-qb z;Q)}C*v>&m95_H{I?UaR7r9H$ek?C>rgQk_rM!9g2t&@6|-6ph0GzjQ6FA!~CQKPuV{KSwzF3#6fuv*txZNG)w|WUD z8+{+KIO-p-QX>E$ zr}lY@;=EB{b7LNHQQuWV;ANs~w-|V#uPGoab;+6aFF|cY%l)7xwdtb{M9Gk6f$`G# zm(KP^hrD%s%aR6n_gB1Xh@>||n#?TrIygb&?>ybJX(37GyBpGVsa4%o3K8QDLh_w% zD(Gx^#nn?55PLwUT?2NF&i-ebVwdt{lm1ANPuRErw$twn*NyLs0E%oE@x3jk&^pu7 z7$me0TQDOdQlbbj4~CP&d}FLM(-XB$@*EnIckazV|8t~}?614UasKDU;b!L8rjgaD z%(M`8?9SsNB#RVt-+afx{gD1Tk5(ld4~vezVDrR%#+c-VbOCV-V|;b zXZ}snUPN6b+x?ctCV)5U_#hL=Hqw}8X58_+4$H;uDkyYkEy***7{qS&z_9+O%fVFd z>R0f)CQUVemleCQ_p_wCMiU3;ljIRAs!P zQX5KEMe&D&^u)PIA7ERkOnN&kYGKH7>&e!(w2GX@uq6*2K}I$+!(GVim+uX(%D{Z> z&}C8H15_B-$zv7xCw$}Dtxs_J;ZEnrpKfSjJj8D3_yIJgIwm96Qi07v33g>`3ATLm z0u)E;oriL7H>++pdBGi6G2>@iYNjIwDZ5+hOd7uXbRQiOCs{^&L_)JUpbeTNaW_6!h}?cse!TJF!tvr&pzjnKku_t*@J)d z>3?+K<^P{JCg#Z4Y7LBNlu**=KZaWj(c$RHk}j{w4qULkWYU z7Y=0EJhqBZ%12`Z(p18Rz0#-Ze?9Kxfn<29oYHMFj--8aRRVp=_%`^Sx`DDefijQb z&J&E24_%7XgUe-5rT3bHv!d&r8o$3&SN{GMbD9*Z`ysaRTkoHKC=}(S1<=Iir|QZ< zG43w1uP?4iide!s%YJ{oQ7sU7^wQC7-NVe}BeAM(=xM+`c+O6xMDTuc9>*+^cHa4y zXZEMpy@LL1=;AqlcKvb*O6snA3&58jw(&3{)rQL#uOw+()rz#2@?9K`^WQ2@OW&Ax zPFwe|Gn=RPd9>ucECl9CFBFoUd=dv*UrEI!en^fVLWX+m4@H1syJ zpwy{h$aiZh87+iXcaKGA9Oh_L<>TkKf=ToVMBwn2xR@-XT6p8V?GK|~!%u+is)zXl zWgXhSDT&8fi%&Nu-MIxUEpn-M9d9{7L{?0E=E7O5gQ8xuJvp&Zqq7}Jj~fYaOlEsz zR^`wBIcxAWNI0i+!B$E=Pt`^$#_87G{{1fRZ-x!7%dgfCcY>{izO)oLi+$A$1RTguWLu{-@5KYlaE&q6vhW#dopyLZrDDs9U$eq$dj z>jg19*xgF!Q5;9x7rJ)P<$vkRaqTEYarm;G5iDM48HhZI!CONm-&cL1ULR&{i(IW3 zZ21Vju^$?B>)z{UD=v5bK!MTqx3)&A$c=7Uv67hX)=gHU+}GA3o^>?oCiK%7(yp-K zCy6-Dmn9;1=>4oc$nQQmbQ#s7(B@;lr_;pc7N1dpN^V2hgUuw3@24{U!Y4LAR1FYm zsLeS-V)P&_+n$NEQtlv?FI%m4N3i>9Ynpc6g`H z8&xXrDeurKgz^ItX|JiVy}2%9X(Q_fbiNkGQ6f6inp7EK<;Dg+l%|r86m)+%LJWL{ zWH4;562EyHi<%OxJ$%gs88o1FrypxWzR(9*)mr_Px7%`=RX1=Rc(bHUMK{x_oXSBr zll`Jhui}jr$JHn|UciaVq-KRdf8HgQw(#D~PcJ`+zXN^q` zsc$;GK5>P%Swz>0h7c0K!R!8(CzTSgMsUFM}FZ<6L&{2EPPT#5x6yf^wyK?kq zc!5@iktwoERi`)o=Rc9u;)C_Wl*rGNXc>-rLXZ3!4=C)Vc7WAYrNMa#saM|p&N+JZ z!8~&RCrpVzP%QdN;kB#VU7(dbo#mhc+itEsf1lZsBMv$z20fNni#0!l4O63n9g>@Q zq;8-)%Lw?6LhTcWdsEm2+MnBs`%ce|ZJHb%?z1_H?7KKDjU8vT_FTG2SXcu`Z&HC^vtjpeDd3*QI zW0{1Bg$7AyN%SeuCGNV`Deo>3sn+~8^G-x{2s;Wg81jicUZ!!a*3-@uBJP1NV57tfYMlm_ z`zr|ZeCApF(nqBd3ufo{X!Z~sUU84U?HEuxb9>6r|K%C`9Iry-{kx~{;zK=e3dxA! zvIDWJ#Zb(I;WKdw$fA8|(OV|IHwcg6b!`Xj8w68E`G%{Ba9SR~Ed;IkLT$48dzE8P zo2j=|bJ3CVK?W*~`RM$IZuhr|xZD+I`CPJUml!GT%ir#c;^(F*iRv2s<`&f$yw;U+ z%+ISCuOd31ADvp3Axuv}{7(MXD88+p<+u0dcS4Sc z-5(=o)<4-o3@@|307!PRCr8!;+N@dI^;`jl#%sQLejJc(ekNTCTPIoteANYJ3;V9^w7T)+PxyWLIdZqA;`-!BRRT<@eD+MpZ3BBpUkoPzH zA+X7gz)Ak7%2^X$RzjXH1Tu7bzTHpt+f+HK6Cg2$*BKQZY|`nbyUe(w_6*iVyI< zt`0V50KN%a91lnwfmLo9qi_{;H0Y(ka3b$Y7ZGT{8U9|W|9E5cJ7EijczUaNZ85e(d+=f@r<9Vj=pew*8 z{1psKi;K}n=cP3)q3cljvNhrI$v@^Lrs!(%yJm-)xeo;?dYYf(glP7E$9km?@}$1* zdb`A-02uGvr9t&QlDKt~pZRvYQZIeh_~4DNp`be5uRq}~jE1b(X|CJVvA;r>V~X|O zXqc3|gjAIO3au^p^rB$+cQ3(2w&sQ1qXx~r+iodS1!o_F3=ZBod-E)AN&M2z`XX$( z8(yq$Um#EsmAxLL8pWm=y{%APjr6%D|;qq_s?~ywp@o znf~$pjn6&HC8r7}4pw?r0^>q8N$zxiqvR{EgVr{rR}=la*&7a}fSG|CYQRg#olHV( zj8LcsM?v5z-I^HfCq#N*9ej-S&&SF^$F0BJ$mhedcYU*ID03;+VMq4@l7kGe6;W=M ziAX#^6;0%fBtMKNGuq3yMs{wOZoeR%$J$!k$@mwTKTE+6-6kvWB9YvrHfCrI;W8Mp zqT1#vKjCeho7)BoayU{3S;0CPsBT@qv`cRDRffbj)S53Q^yY8caPztdX}NI*aN69M z+bW>NuKL`Fx*3PQGrSecU9_gH=zC~?r~}Y~be!)xkdMsvq*tvB25gC+V4InrbHN+T z>~8CJYnNKf2`T9LV(=$Ptj)9ZKfA+dFO@#U^=~W-uk|47$!*rO!x4nT9;4H`YMog> zrGUxQx^63u*&B|8WFOVW4Xe0rr>Nuek6|F1paUaCHf@4HkpKMZ&{~n-%}kuF6<|8+ zTPYwU0Hhl|%tm0R$5y5t2_93a2mPRPj;B`|YH5*<@hji`rZT*@)83m&tv9oDNAm}7 zTC^=`$)laZ+0$hYBOgZ&F_TL-9geoy99ldcuZecnB_;~^k&LX;1xTEcKzbWyoM#Ol zM(hDCL~3Se=7PDPsRZfj>#hJ#)faQYwi~ zw{%I9ykwh9!jmP>8nW%{fTXy>zGQ-xy?sAT=^D8qcX^78zsAb4iMWQbQ5XbU&ejlN zxf>9Jf%Wpk!9S9ig|Z`uXzuqd^Liw^6>`z+Q_LukLEH?f>~@R6nAK1i_sa%ap}jCN zkhN3;()tlE`y?RkrB2W<2B-7@BFOLY#5)2y>EI!D!vP?j79$MbXv`~=FHy=?ZR+QP z739bd8N{o#d1w$EkECLcZ{O}%Z1Qf@zDGuMW>e2dG*1VX<^CiB(Ik&wT^g;qmMQ{3jOZ?c1Nl^K zUeOGgYr{a_eLxiS{;a}@A{I0V4*R6pLb%1D_1*2hvS(U`>pQ)#c?_=zN7{}Mo_p$0 zK~K-0cou6-2eX_g+-&90nA(PvxB@?(I$zdt-W2lQyWouctb7lXo-L`2!Iu?QGFK&WI~ zzV3c4W*LOD`m(}wg|y9qqYNUyVjw8DgY+qZ|BlqA+d)?ewI2*zqL zjU|rHyNC(p9WsuwJ!d79XAaQc?p|+P*fU}Wsbq2usXd4wOICnt#VYkZ6&|fK9s^e` zwoabHZ?;vXB409-WlxDlsoowHLh6Lyf{Jp`uVu?a0{0@8DF~u&$I~$xyzGhc<7xz_ zz(p?K`x{MF;l1;}W0eSXD?o#tsMPXjJJCwYmq87@X9)R@hG1VDM++CvxcN}|^1S+_UW1mc*pwMn9L>h&Ux-5fihIj5 zc@}4Y1l8{e1!xmG{^G@cO-&mJKZ0;P2ndKqu#}A!?Aih**=k8`MkV*ik`fzMK^urC zr>tZrO17E`Y=?x(^{4d{ctNCC0wSgF?GTv-(QEb)33=z6Y}%Wh>uwai!Dh-jSXIXv_Um9?n*+O1}Ji_w3nw7%x; zfY$p!_dsQ@mG+DW(Rh8g+|WvMM-W&%`&&(2`mhFB$vUSognasFr0m&ifGDH*G3VyNxZNQWS zU#l>M4fC2<-S+Pvlk8-I#C_l zut4`1up6gh5Bl$M%krA|!TvM`-E{mV|Jd8GAcb%+`)imQq5krGIUyd>*3#ph2NU(k zQ$MqK>JL7JHv}Xc&r!(rmL#MB;O5nyXm*7W*y2UL;eY-8PHE$cwj{1Q)M0D9H9E=1 z>eH(cWSfy)$OW+C&4zW|!f{NfUawVtT@ z$Mt#!#^e?tordc3!_}6NZ!%4Xj-3+2&}rf14^)>%H9e4Lox7F9hdQj}aV&n5X1-{7 zW45`FoGI3c-I0P~OKf3@YBP$&hscc|SQ(eE`;$%>E~80Bf#?eV;(oU%x^OOtVnHvT znj@!9QStHdfBj@%Kx(NmsKkLVaoD%`YmZXWp~YCB_j%$YCSZAG;6C6bxx90RZaRs{ zNRpT7``}nO;bqq!az66({@iVko`($zn|X_+c)kQz@!#MA8W*Bz++LV=deUVV z2%c7}x}WFm{&kHkXL(Oet;MhD8wRfTUVaLTn8zISL-P5^uObm+L)h+R{U8KE^U?8% z;T_T5X4;75!eHP-i-e+z{Gn5xx4}d4or?Nb-EzRZP3#{R6degmd$D$xUX-jg#=gv4 z#8`lA9+aiuY&1RgLjJ7V`EOJ=90Ctk2&FjI&xRz&wcSKe;2_Zo(0*Xn- zeng&-I*HRD9<ycjSe%fb!ZkyBG_0t9Ckh-UEywRs~03$lX4E> zfWu^4fStE(?tcPO5!jA}w6=8P$oQ#*L1y@Jn0`^7@fwuq(KyL&cQ$@XS8$m9ng?y@ zfH~Z0h$kD*IQMHY_a8rR$%ggmmZh{Ij$ZWhA%$e|^Baw(1HiZMY8Nkzkb|*G(1qF; zh5xw;lXqV8oX@lV0RGv6DV9OE+V2ox;9dWk&uD(ack(lSvgaVktkwZzb8v05gMHll z(F3o-2Gb7i*d#)cAbzp-cS?~KNhDc56TI?feR0-Ls>53CHAydtz-d@Po_?Jzc#`Ns z3uY$8d1*$+*n$)gu2Xfh5VFN)2$6t2w3^4NK`%Q5wy-WmD)bi*0hJr(X*T|PoUmwZPV{% zk11kY`KM(g4mj>)h5E`vTAM*>hkbtZXN~BxZr9x(;a+wyW5fThbgwT$Km2=D|6~Ok zCZjP3IFT6pwlxCrt_+n7P@G*>r}=(tciH{Xj~F~mr} zdJg@se)xYb!ib1B-i+$ZU&$Pta#tOESOc2V=%q`t`4WYUb-XwXtU`3_n+lWT?l>}U zriUKqjh>LZVV^zv?_QVj_ZNdS%X4pr4To5!QVG+AnJkZgg|q`&!&b}bIl=aE!FdNc zwihaKvl6P)a#ScZq~2le1z%Q1wg2*%7&z|`_rNt^sJBJ3DaygYoxN*G;sX3|PEi}* zdQ&iQd-~4LDaIz?y~xj%>OavwjUJDt{-!*sG=cGw7W0~SDMVru5Kqhx#!X+2(M0$p zAG;uXOd2kAS~vmn+_p7V{x%lv^xE8Ru7go)!|ht#RTi%Jwr7${lS}`~U0b_j*OQMG z(mj;=YFXFvMAIvWJ*3r|2-<9;pLl|Xqgecd_UOG1eE8zjSdU&Z`wHfyuCAYk@(i67 zWG*XbF3|Xvp?Kogmvh)*b_{1G%tjL>e|zdWoJ2pTd+-1%Dzq6-2d-Vdb}wV!-8eii z3vQjZ^Xeb5nSEJevB!R?Bx(V-ZEeWD4ACuRU!O_MLH!(4-L2(}J>=@~5hLwgYn`T> zvoqZGn0YiEb#l3%%U)PV1zw=?IhK82gB6Vx1%+AoEgM{}j!Flx`YZ_@fQAw0v%U~_i_U;eaGR^>i6`Pjx~rCMQ-(RCy$0k+2j#g6qaOd13&Ny7 zoG8JqdPE}rgtWpZCrbV2cChvyK8j#@8jRD70aHK}{VDmIMOcUSTy}}fUdY&p2IUV^ zCg5rCXJ1BXLP|xKKTBC=4f-bTq+d~QPbS?5N;3WBTl%B#U>{cztrp^$x~_ULh`+ne z#4Zg=U*-7bH#C=UE(gRoP+N9-whWJ#)bMSF=a;IVa9nebD}IhTS=4#RKr8Tjl0-J{j*zO2BP=FgHj?Fkolfkxflg(w zwNp37TOl^3KabIPX0q|SfuENFI>ay3)4CO+uK9VINE&hlTi_0T-W5E;U~Rd!q&a zf&mYA3-k{D#Pv1LJ~&mCX9=`%;kgaYv`ScV@|;Fp!k&!pzTKAK#++R6{n^!4JCtgz zAv+T{hX5m%EKt=Kva;e&*pZCvJ{Y(jd(O8M*4lQ^TR;0RdUS6-X=X%F#l?M~+dsMK z9lzv+9p7)$i?Co<5yiD805#hM^Tg_kOY+NRQ9AD88adP*?U&7N@Ol3j=F6kY`;@<| zlvh+QTMo8~3kS`~+a8RkKGYcUP19c}a!`3baGqh&v;-$bKxFI5?;1Qyf|5 zY;?*K>6kf6`FWE0V4`uLazTyP!86G5R{lxA!S3L+ysFQv+vBR(EY$nsk%@b`iEi|J z70x-Fo6F1N2BC;Y#^Sv#0~O>rOC1LoAnWTYKZT=O5QEZYL1j`}uM-yoY_l}CA?>_n}OO7-9Z5%P(FsZNq#pg4z@zVr{6kNsl5Dt<(Thwa&pHIxfb zyP|`bXiIR5(=YRLS!3yvcG($m<$%<3qEMtpWI?Or4HJ{F}GY+^rhy6G8x3R(Z) z%TSZWbSy&-gmYadgqm%HpvARlH#y%B*9`cFt z(30z3>vAtd0k)tQ1Tp^Q0@3J#!S5fTF$d-?zX}?!(pXM;Ye(vRqZ)8{cEN2Ji3IBPk#iS}V#Nfs7DW1lH` zPL?FkHS>vd*fnXp$0S6bhD^si^n2f{-rTUDnfb%$RxC0Ec)jOIzbARa&K}%> zA9FPGHLpYNV-=hzrC(=9Bg$@M%%TbVH!hamkJ(b%gT06otYQJplJ)?teO7g4z$hNS zR?LHuQQ@{D+-t|+s0&;7?yf=H-9xP1uo-a=bL|# z^477m+hMzw>t29K7tRgb`*Pw^#BsMFQmyN$EkhaV`S$6f4I2d0*_!kVAMoy(cZ3B? z4r(c$935`qE9>)59{XJl9Z&(7zQX9X)Ptg7?_;g)Pdh4g$Kd=#CR8fEOr_0kV?5_% zK`iGa`xh1<^uESY*%R0lmd>9=%!mz+c7~l&wFCSFz<>h99U9xZN|qcomW`LBaxY=W zCyl_pwaeevWSZ$U-h9gayI1)`m=gF3hKn`#km*9_{^>vth&vZxIkmcf>J%7Y|FsRY z-A8r$B5Co|V-_|3EmNp9sI~3MPe#8_p{D`oI^~b&&yw>1%&e$Jm}K#yo6ph#MswHT z7Wv~iKpe}y!0>__5-2Lb4RRxR9NnO4i)|C|ZLlvQfdDorM?BIfPKl7J}wz{(Uwc z{OBiM50&T~n|bCB)Ug8^A%sdc2f>Fi0sSGMpWG5KicE1{!lY{(EuoJaW5xrC?yF**b0nb0;1ZnDUSO$^r+nJl;@z2ZD2943F!HX5=Y2r z2_oXDjdAwG?b)tarW;M>0aWtKrkS^JNH|A@p=jNs#qQV_+tdz>3(vn=ArE^!Y$W3@nDiIN0H@+UO z#`_cf0&<g8XpaRrOch$L)(T_1;9J&Nx`}=i7mGf%u~3D4!Wqf@Cg;tBTbG{C z&9&s7WTu26PlRJmQkal)yB&{nM5$$v47i0*E6PsrNZ18|9<%%z*r=o#?7EE8Q(hLy zL%B+;|Mf^*P2AthXha>y;Rwgm@&_~x;%NmPmw;Mn9S?4@T^fL z9HIOx(|m^AuX`Y1Np)SCc~WTFLX-kD8TD)sqA$9n6SL!SecMlarAygY!6FY;*)Dp^ zR@}0__6QQq`c6ADM&{XF0M?bJiP!NP3|j}J%>Xm5i%c;j5E6p z#~iVu?Y(G&XQ02e*S75`_rs9Ey_#+(h>TGCJY( zR_9FEE%Epe41HK8U)CKX$J8gO086q7Zs6g^yw$Em2R^nr z>wzM&bIz=?1BNjvv1CcSmypOJ=6$wHVEj!{%vao55QLp%kMIhqmbCWb#DCQpB_}hJ zT+O*K5NhvH+lO}oUXUgXt=mSbHk_bu;<fT-^)C3YdO7i+PrHEC`oE?LPgJrI9_IXmXMb@9}Xc?%S#lH?kAtmS?>N@eZ6xcognr@16L-plpmlcYlLpEhFv+(jOwwT}W z?n(;1(K!ZmwSEUo`a^@w2aED`VQ#VmM;*RBBmR;YjuaohlXwE@8+gAvGJhU>6;SAd z8^(kCmKmBND1-d_fx>#NSOLIPfK>#8+?l44-#UA7083#S_3`z|-%MGAtU$W^aFZk% zZkddwT70{mGAK_vNi=o*l{CFOk*6c*gv@Y*x$eJ zlBVU`1JMz5(Tbbw_C^8Rlv)|ET1?S75D07bfohfDc|plGpriB^-1a5=MO$tgaLnI7 ziq`0}1T7(QqDzYgF9a(Sl2~mmLIMwTS$7)!A2zntYPcMv`S;A?EcecQwqC>r=r|Jt zUPdT@DKB>NzSY4wN4HnJ!f8Jc4X^<$V- z&iNl3-~{J0Z$0&htHVdjr`1@H85REsC8^CFKbtW*S#|UM_NpCSoui{Hr$`%M0w;GK zf0&)G==6@PZcy8c;_X^^+}P>A2V>_uJ0I!8~jZKu3pRtngV2F~mT;r(Y( zp}46`k2d;?!Wo=`un2Ni+Dm@>`4=$Z`e(7$Shq8SDLccXh@GA@x9I^d;aYjH==iQ% z4lEek#I)(|OB9He38R_c6<)F0?>Sqtb{WMC%xi3k=$`=>S8>9J_%|$^oT8LOcn%~? zYq#gPEAU9?@Ce-=_q{k4ftT-V8*-lbV#Z^zP)+O$)C{@-yFn^sg-P>JuWhQgq+HOF}1dVYEW6)DPxLiSHYmV{~V8GcU|ne z?V#IXmDo8dqra@ZT_)@z`#>5`13cRF>9zseX>`*z8=?0^K_owXRm$sz@gf z3tg3yX#y(!Z9|IpYb9`8`}m6d7*15k==txWYd*wZ&*7qhB#Wd*hK%W`;}}U-KL!9G zh`V5;VpARA>Fs%Bn-pYxhqz?4*G#dG!wtm}ImHW7IF04G3}A8M&*0g>h<&H<&0l7I zdeVOGW>1h& zD;-d$aP&nxR=Kq_Zg<8>m2?y74cr;KV>s*ujSGo8ntNO`4;@2Il*vDGIvw0e;ln#G zU2@uMgrpV=Sbmgaxy1Q&>0r(r zf^uFk=bEVv2_Uj|p$2pNmb18ty4yvUXG<$AyC~oa>5AdYbGy;Y0iyDrN6VW3+lg6p ztAV7`_5qidX5k{z%h#XVi|+F^;SKCCpEZ{aezZX0Uws=14jjc#yzOaw-$plTldMAU zjr7~BaZH3@QTBMbe#oB10N#uR8KZ4$a|Dq!lYaTXk01D5JTyo6ET()bC!3ikE7D z>+3>)o^aU<4FIVhB{ETmWDKb`@L9G8CV&NLN4U!Gtq5ZQx z%NTWlNrDs=wtyxZVWWPRo$)B+%H@}9Kt>lrpxuqQ4Z1}**PyKbJIa-|)$K;NBRz_{Oq`H%2QpWVGLhS8btdJkmh)=*x+9qh8{I9rG4?i*$BFdk7ngEB z$}#DKe00AZ1|P8O35}omsy4MuN9}%{S0*hjg#j3|5#L}9+4%u~P$t6$7f;4M=v)uRhw9T6}jmS6aUvxZy!7I0rC8?E1cprJ)oq6Nw1YPG5fJ8`YPKS48oB8Xc;Z)b$)cfhhY%I4E-U^SKiV zlnr?AKHLL>ghCphk6(Wn>P#3kaTn-PN4FRuk%*Wkm?yf0Rmqo;^H}qU;?*ps-L;g+ zOE5-;k@Jq$oE{~$g1pOh6N1_xh4@{c#sf9s#PU?sg}rF`&ut%D_Bu+GxqEBAuC_)! z;b{4E`QY@PUZ8xr@X_)2AD8@bIiqnaE$9Or+I=YOOgjjOJ~0{!BcxISaq}^I8{gMu zmRh$7TJ`LGT=&ykp5-V+q`7=MQFf?L<|5tPJ8Swn6N7S1$-q19bz%R;Sk!Rh?JfVF zf9GgEHVHpTsjwJTW&97b;VC_}(+&N)Vp~u5CP35@^~}$e)V#KUZ-3&rEdzU@4ADB~ z6~)Mm@4$(-iu}kN?ES{TG|+t3Y+KG#q}q#qnFbThi6Xmcx*8n}yHyXzmlIbXXU;Fg zh%C|O>~G?#qp@Kx-UL`>HiI$_)T(b=Kn*-;kI2rFW5n|kTKFz2zRL$q0Xy#`fx8t? zRhRhW2aks4qa57gi!M2$fi3sx4{w#~{rW?QQhjK@x~qpHE(pX_D}}ns1LZnZv;gvyNvf`w!3#3f^cLfWuL$+7U`QT&Ku}) zqN~{_sYeHiTh+~LaFoJl@}ZU0LNn5zoPgOo*x2yl-1K<7-o$W{K>;U5DyqmPmU}6U zd$g8XHOUnLyPFqCr^?p%AJWlDF5I>ZI1%(b^LhWeN!88|Z^8+c{?3SHb zmMiF>kvmbfZ7omQXgJ}AwQYn&dy>WZyUa<~l%1iO3f?&sX5efppcJ39qtSe9&WIVm zAT91(PVaporrMpfoXc}Rwe>#_RWwvP&7ze@aTqAInv4R}DW0Du_J=O3%=qZf%=127 z0uzp6{t)+zHWS@t?jQt(2jtGS2EORUY3p5FQRT5>$aHfG*^PoJDL+rPgYWw0l!3nB9$Zk(}Kkh7j0{&{=f3tnkm3c9~VW&&a1Ua&6TL*$X&3Q%q%Lj{LG)r z^}8a|sjqs^)D4NVg2k_KJUVwZ)B!57chJbY2yX`2>9H`HT+m&Z1odCgj;V|yAT*k> zOQHVhP)+c^s%|~l{iz9PIcz&aSyZm5zaTGq&B-T=lcu`7fpY7%>tSR;IH(=_Y)%Q@ z%!0gp8qyAXP-T8FC~lFQis#WzzZ^&3 zfl0hMiNmEsd7*W&mKrv5@Tpmnm@) zd^m_KVUi-ia0SfP0ogtR7>;1n0j)G7<}l!XJ8&Jxa32SeBdq_+*k}=Y-~Bq&X9SgY zSkF@+?j8;H7PfjiV>iY5dnrYDeXskaZPtei4_pYKx}f&+fVwtR=Cj+qr-*BRH!BO) z5n8j=v4{dw#bE$ML-Kp+5V@MTzKO5ADY~3hdCEmLyFngU8Id6-DM2vk^Mx|+M)`lC zZ1s%ap`_addC(C}Hhm;1-+Sq}pJY>GAbcy#Ydt{~*!OSIKK?DTy$ zLiYvEd6!YTe98Ghy6)#Ehf}eKp&wOTtY=MpTVL%uHB9^LmWK2-I9y!w1o!k><$cY@ zPAd*D4lvdzVM0C;ls@QIK{1Vg&_8zE21Z@vij0yzys37SZlFvom*xU0Yip~>)K{*M z$=(!S-)enkQNA58UkJ=Svo&!jQ>J61%1|v~mC)*WAeX^CyI0tpSV-~yw#oCqFQ|N( z<^O&9qf(~Ze{qyApb#FGqaJsk#3k>IdBFPomyJ-Hl&z;0i_7Z%aLc*>A()Z!Kl&?C zYl{@}mY_lR(bo?d`mD3nf#1FfyJ1ZH!Mit9is4Ku-lNgO^QW3QAJ3wPf3)x(f2?yJ z`aVLtHD{~Au_IqU==!bt`vyMv#qH0^JBAjn>`tSJg^lcvWTeLJ0NX3S=X38s?<(02 z7d+Y1mj0GAz3hbGWBMj}BlNovRejoN+RcU0SB{*FbHxzNf+in*&j}#IWcp6NaYv+9 zEmn|vv|0iDYHC5b+Qgsw#}7k|kHp)*?>|cF_*5@*;%6!JCRS=IV1ZA+4D`^(ac<@i%AaW;ZjDU?ps}U&M$l+9J7pfy61b>fbMP4R5tZqbVoOC#>k_q>88T3K?T`cyPtcD-jKIg`0}wk zXC7{m%-d==I`OT%If5k&Z7chGLxYABe@rUU7a+;w54@CblaX=VLSGl7n7v13@hT=q z^yLXdu4xb4NrkbP{+{5=*)1zLZyhy14e(ub^b5E1b&qq6 zRDq%+dem&=PY+cJ{ekV?ccR%3D6-`442;RLeq1eYc^0&FLDTg9v-zN+>%VF=)qd?O zL(^dEPc{3_91Ky*iXTVUjX{)@cZR3Fy<^n@r!(EG?4cepiwcbR#y)h2>NI**%illQ zv9%dN{U@HMmdQ(-2RM_ga1i=_*+1YJxR}EyU}g+?=qTc{_-IAFC6BfAGuIl;9Wr6# VD#hiSM-2$Br-|4!?EmWO{{yQjYGwcc delta 15107 zcmX9_c{tSH_g9h-+K|MMP+2BqA2VbtYemR1wuI~^`|`F_lq_XS7+R3*`#Q$HGh`WS zc7w?_wi&bi`ux84pYz;v?>*0bUUzw&d(LxXL#IL$je&yJ(+vL=X~_QzhTBa4lT*x~B{Y8SbB&xx1C_Go-s(ejEw(Rc9Mn*|%Ah@pY0;AjMB4z1!J^b%+;Dfv}W zvb~Y6Bzx~)F)AGYvV7!eJO3p*8T*m11^(NWV=><8^5jcL*9DM*QW(=K56D^1YT4o^jx}Fe$8yjAOKHy?E#S4T#5dotXS;vlj1`Q6js?vg<8`-Ft3CwZ_Zz`~5 zWD0}UZbz>i!Z(FP2S69ATJ>5625Z2?cUDj4|Kyaz%aeKpyUS6@BB>{rzR#EjyvDsA zQVk55+z^9GQC?%c{&wLYg1seuGby}|#Ufub89RK_}?qT`4-PC)AS5bWpTA)$Q*|cK$oQ|-eVXjv-yfR5?eN^UN4@pf?ZXPf zADQlITc1+@*Z6O=$*{$5{a5p!x(bxfNbuCXaMf44g{b#FB~@=FmPQsel**B)5hPsi zYjm$_wyN^}3F!k&?;F%x zrxSw@3lkGc9PC2FLrQ&LhuoX-`ms|T4}O`aPGg&@>gl_Fyy@Rl{6S(>o=lu#kG+ zC=OyJ3M5RxdZP0-nUm;G^_v3(-D+zHyNeZ8Ggrp3!!HlNuL`X5^-C5?aJ?Xp_;4P@ zt){XJ=EjqOf0e_371LDKN~&``Z>U3k+lSkCtzi}(YgU%qbM5!CczMkAKm@;H=(evL zJINX8Kb|=R5s`6+=%2k>n9D-=xDJe$|7GJB*-MrqXO9n*6lmF>vamW|HX=^8HvCS~6I@YT~iIu{Z&? zyngycyts3tfqJ57HomQbuLwzfq4?U;7?5Qr>`z?ShClvfsJ=5&kfh*ZWhwZ}BvFo_ z^nLMew+idh6;6&qyZE+IU2!f_vNq3X_L=@Dv@IV;v9hE_(KC%gu^%6AKUXx{uDW6| z{Natp{nfWn!CTKv6Zgp(T2_Clb$!z+TuVwxPrg2n`QUK?Obf%i))lV{tu^GtD$N7Z zjXC{a5=9k+RkofPZqHR*ybm?NdS6-N3M$*?-aEpcE|EkS=t#t>n^bs(68+3gU56mW zT`2Qt2p}%`sBU5=E#Kshc<5(aRz$wF&^wN-xqDKO%36p(Cs)a=|8F8%E8uiml#%I3 z09#}_>or7!Q|}Rw-X)Nx+y3a!wISfuVNsWu!Q16`CDyOnnMgW|vA#hzcC3D)aIZ0n zGU;0bcO{SiLD0jjAO;>ojw?Hs7q~OOZ%W9n$FW_i-K)yQ?Q zTb;-kpZ~=0@cN9bZ${ZJ!{|Gdyb$PmKJQIm*>|38{-$ni8LtsvS+GB+UMH;q4J-=b z-6o*|D*+$V$v9Wd&9=%a+4b=|(q~^?8)^5B?R@P2$H1^<#7kcXIjWx$K42J?{z`B| zYdw-HK4RGS`rrKsgAkl|!M&odV$bcfK96fJ{UPWUJ<6@% zCP$o0w>UpRpy4IVUdSczX%|Xf`C#Mdn|&jx)UId-zc0G38ic2v1xN1JJ9jh1HYy@M z-3&DZ_22uvmgsnG&9`2je)D@pBvT_d$D^Ml$N}!XV_)6EF#a%PVw-GJ z&x-Wbl(c1I_I+6-d&b^nRCHoue|I>^d+pc1HF0mY9Tys&A2a%TsyP5qM1rxZ;aj4r zkn0Zuv8xJ~1hhxoYu_aXDk_}T==gCf4x)TpV#GieVPkF zyqT<*BdqVTPxzlx@zpha?(ti7S(Rw<(QCDNv?za<@!^~3ua6TE-sw`k&`kM_4P93| ztKSvc53^q8=|acr-`=?rl;ef66?4CF=lE+Kr>jP>Mf;=W(CQutU|uscZJ7dyi|U_wKs=`H`&=*D$Bd^}GDrW5j!l-ppq|Q;83movbDmDxWiYQUm!b4VffD-hVF(e6iW_B-E}_K zPbQ|~bHq!MB~l%2SxNP*oPZ}2rv3uI0Y%?BRUtku|jpU=@`>K+bDo_L6f z-mKkcD{6?|*3de$J#YDav01YE_gx@Q%VcwGDVi-lNAl&Exfj}?%lIqLotx~qfM%NP$3o?0 zLbddMvK&M>%BKH7;kbvXz*0T74WMzv z)R^aQud+Q(FP}7z{ox@OW>eIWeX+!18QGQ<{FWn2dHiQaOBJ9K!5}rq>N;+b^vFWi z7CP}0xX8~I7vw*vZEcYJxBfAn8)CU4z&3cWQmy|Oev)DG=pg>f`;r@0n|#gk<+?&e7-*SYlJZ?m@u}ugHNIk<`|X?MgQrYdbaUg8y|!FwEzAWiv(lgI zrZ?=>N9M66uZp|?7yXe@tr0o#I}LF$=8q0)<+!ZX>+a>PA8U?O|5IlDr+oY6{fXlV z%Q@=PZn1(X{gLce;Tu7dw(u9f7M)vLgTLHTzMTF`jyvxyPy2o1{yS2cD00@~!kfbJ zaa$odgtT%@)5^`X`2o7F@DB4E&4&Zszp^C4?^k!~O6CLRE(vKyPNOmz!LxTQ z+(83Pzm)qpE~tG$E!@0m`o-$fPn0;<4<;ak0=HQw zHYI<4*Z+1XCU~wYqP(z&*TS^n;#L%-{48i`MR74Hw1cN^M*)}|VbKA!ZLUJR;^Q>l z=$*<9KVVyue)$4Bt8HfQ3WXg;n99#}YL)et zUc3U|CSRbd_W*42NVv7#E7OA;v_F9sQx=9cEd0232S zuP5Ato4)$F^Q(*<%JBSeRQ}wzqbA)Aa^O0fQhl|x-lwh~t4{oa-r@$Ha+K7eOI3s7 zliaV4fQu@x-+sy-_N_U{uiswcG|?u$4q&?d{BpBxd`(nXCC10F?bnt{iD>iu0l!ON z{F6QRPjRcf2BL?``=qSla!lRgncClD*nnKm^wS7o`lYLuyLr~ye;k(^DS2S`dg(O| zvBo`$9LU~w^p(~zV;aD%6Rp#gMMZv-VnJjgzW7nm3}dbEVUB%a`_#>tNodW_2qJv+oAoeJ(9vprPoe{ z(tjPO*5);5m?hdI=$OTaQ$l`6)qD>vDY;D#7ViOPM~KpG?vUnu)g6JT&+g`Z)SOTB z?JorIQ+1l7Im@M0n7|$au*`d^MRSSC27cT0tpE>t>8}h(HnpMBvZqriNuC!U(<%k& zM}}cMo-=O0$Ydqmu;he1UR|2BF7ghQD*YxwhWVp{UHUD$NFp#QunH=3o2KnIm+acW zdzVYFePi;3*^*uN5BzqSGsZNVR=QEG<4P7?p4`vu@D5<2c?nF1ORO~fDc=WFNSWZh z{#$hKfW%mrB9)so)^Sk(5;_%Uof!H)DSse))wp;CZN(I5YC^Dr8zaH@k%tXE!XQ*G zB-(cQ^WdHSH8K0UOHS?BGdmq_GaJNR@B)uNWhOj6XN!^dtol&EuL0!DEqas2RFb3p z!~O)^SylSg)GE4OfGYaeH(#s2AQ!ea7ZFB1FKlV_gPfoAjbMVK2MFUKGxL3)25eH#wgb4rS4UDD1A7e$iC3pPSY< zW$k*`5U_z=q2Kx&_|w|hRh=g<{sIDpY^sH_YWd&TWP)kN+gw8^u38qUx?R&F~Tcj*CTVs8K&=Uf> zG7>^4%IHC4xPleJxYCy&K&5pld1a_Z(d4}<0|8Rd&t2TL8|kToX)EGiJ20gu!BZ3+ zxY%WyOtYf;^j|OlI=P8l25U+a6ahr%Ej#Ip^yiJLv4Xyul;7{s%1?Xx=3JI+PSYi& z#e`c}ev6_*xZejrvL(T`ot4yLCD6C@A;IY8PWF_474ph@z5bVwsR!H3}g5nVxCy_)>{9^PV+}$f#)J zLzK@EcBVwMWScMo7k0%aS^y^E<(CVbXK5;OyU`4=+_SVt3kjtWz%`=3Qpht1_9&B) z(j-SjyF&+VJMm?UyGqS(yUlk-0AJ6B@h)Wli~w;`+U0g#82}r0%1O)o1QU?8nZWZ6 z;CFEVQX%^D6M!90k~1ho&GymmeNJ}qS4*57exG7Fg$(0Yg+FzGnEw8f7p>_lVRoDq zqH!!Z(!l!{7R7@}Za4QTzwR_xFQtUs`b3m{8sbMdXNkrhS3=Ly(i#Hr6V#Tx&nxes z1T^>LV42wc67g7P2yFRWhtXNOgH>=n>7gYM{Ej~cc8##JvMXexG(ltw&$eQui!Uhr zil8&@f=VOkw|7CmBG3Xv--ZSM$45;Uh~u`#pE6bFrb6<&N73IQdwmm7fCk zC+%InP#4PrnZ!{TAG7w4!rZ86mp7+=@fQ3L1LAMfYTUay2EU zTkihZOSQjAcQDcyOIORs2=or4kl5aR|8e+gYPpkh_EYUiC{+}5S#C?#@Aa0k88TZ9 z88u6cJi>pTY$lGEo)`4UrRqUjbSQXvQ(j2FV0Gt}f;1vdD0Vi1yFn62rSmB7#b^m+ z3%^&2>+ZJ*qkfI)OSmV1Xez;DuG#pbt~qF$k?BRBPFo@9Dw3Vgp~049=g^WPH&&0y>(^Y^;zBJjMQjK)S-r*4!0w3 zv7h8sTFP@5H{gZL=ox)%qhHW4ZPUMR+S2bCGfZoO-8%YV;P4O=Jq2O}T*aTf^<-3z z?5aecqu&XL_uow@Ikfdby-yK~oX$k$oXpi`$vr0&gl6lF2f+p_YKhzKir# z>VEMBPyA-a65=r6kLMm~iFHqt-2R}uwt-S^Y9o#WnIdR5X2?4Mq%%Cry{lVTe|ry5 z_91mpM+iWFz;aAV!{a(AQ|#auP3tZ+H>^1-%2brumg zT%bpwt65ek!$d}^&8~Y(leIxS!6Y5#N_)D7A+U=RU=I$#lkY>dCad4+P!hBQLOsDE zMi~t8cL%p_W3&>ZkMBP4iL5>Jr#8)Qm(iJkTRISAl*7x>V>MUiQ8;k~3IKh@xS1!L zbapADK*N?JEyZhdl`QsInJyW)gHtI^S1(h;yY*Cb?1u(IsYj1$2c30aq;aOC4(@E>`Qlr3-ssH-_wI5I*rcoHbIU% zE0kSm%(qB$`z_aA66$o8aeVv0;xm>a*ps{`BuAtM$z~MT=&6$iNT!}tSxyr$#AOp63EIe^Ff+%RCZwCN@zwQG{L%gv> zxv_x79(RYE$i3aYOvmtL(=Vd+vK>oPhV+n_Dm`;Al}_Mda{bI6bNXSVfi(XnM=#*M z+a089s(B@$xtKVAUSefE1~$tjMn6mm&4g&JDgHk4$H@DwfY0{;!ksqjj=@Ww)fN6L z=u!tY%4x2ySZ`J|;LAks2*6V#jx`=PeTS6QK1}YOY8L*}Iv8NW1L!e@g3Ji?vnNZI zOJiRT0?h&qKNIFlh-}s?DOB?`p|B{{qhy~Bv%oN}o!3ERG>8I3`m6}y-?teZ1*yKY zXCj&TG2O;=ajm3>cHJCuQa6F>awgKvU z;C<#$m;{u)gw4K|e!h!5sz?gt35=6t%ZI#cXZXuPpXMI1w7`cc>#)Q(@ zA@m^q7?Dzmx|$soP^eisRm??`5;1z#L{0hRG5_aC?|E#=;#tpz2Q;Y|Nz|6OR4oAs-d`Tm za(A1ra@6}8blCiw!1u9IYG2w6sLCwV`5LU)tR5S^e*Cnl8A0Wq<9MEp##Z@9aB* ze!DN3$K5jU<3rrq^bgPV&k7^?A(xaA%F4^)w(a43bDcE`aWul2nd94Np8JlM=B|C8 z-^w9hoRDE{e#m|cZ5jQf*mdJl;UUY?yhKBz!0^&lQvZ6Ld_Sx0^+y2BK4Y#D7IeKqFJJbjtgW;JY3`B14^>3BIdXzNrx zNn*x%JeF#2v)+l9E5>dQ<0l^%@hnd|>u(C&TRHtB;Ku*juiHS+pon25N9|eOlp6;pmyZBD$m}`C2c?%eRXWQ*#zgx_ z_6AkMn-U`NV{U^na3BKy!)!!+ScgNlcapl>P3jl=KKAvnt@2`&O?%fK#I3ZQAC-OB z(6&(xLTY5J=CcGCa#Ywb!8$CY5Bj!xy)zNa>n1MELh@`!e^OJC`y{yF%z6l$~#E{P13;I&SblkTLGY>y51P)%Wa)nM{9i?|q4ZqW$rK zJrivZw8o2s*NsYj&^SV+_qJsWQz1*ZV>xw}Tf3Pkti5>rs@C{YZQVl?m-sOI{5Xdo%K81>ZomHiZ^_mRM$w)hU zuU6oB$H>ujFJiH6bg-zoiwj0*w4^vSG;b0ib4Z|E{2Bb=OZWB`F)>Of$}eMCwnn4syNsRrNH#>q~16s=$GD}ERN;!sBlLxqP|Hv+gReghgcXHQsa zo8Ua>)Y@fZktI|L$5?mZX>wdVpO|=)N7}=da?hez$pZz#4OBLD%U>kO{q)qHRl-N0 zYCV^_wS5U7Ln!XtL+*S;KI|YJI0HB|&X;zv!8uoG!b^K^3 zq=qv%O(%&>Q$ZK$U%?L=UFm^U9RRU8XaL}rDBmCaJ`2c8j^OhiZ^MAc|L~$;I#52} zTq!by4`f60Rq-Q3&n0t zQ)r|J5;3L`0G$G!4kgFXi;S0G6#lr+^o6;opY$f<-tSc0OB}4pj~&Mn!kKyyhNQb0 zf5WB>$L`ag3J0k!tW)#SkaRCe&1o|-rga#9fr$Ch0?^K8#bloY{oV%+**Js{RiO8= zdpccmaNGu|lFa|qSd)xdO?0xet#9T(!JHpc2ZGR3&un%8lc{HT-`fWbd<4&{BY0Dt z9R*Ys-Ho&Uxb4gOj9}prXeAD|tE8+NM&(lclMujsbke{#?;{%^-&BJUDdQ})t~cbZ zAyXIB|Jl>2C5!1DoJb!mRku3-)~h9V+a1%R{cO0EOD zdHoQUlkftih#gJ!BmLFSPg5P*>Xl#PPG*UZ-I5#T%HHQB_Sv=A^k^v^Ko)~~&}Y_I zT)Nc}xV3|Fwh7j;^DyXVR6A7O5xSTVoHx z_<+L@LZz;as?l205@I_OX?Azww*b2HUsUju;zQE&*#iMbStd~TeuL;m`f2%KlP0(# zkDp)`p7$$1aZq`v|740IxbTRJ`g6zL8Kz_!?3v9j-Q`Sf0!-|)M{T3P-#t@`&Ga?u z!)h>ghTujirvAz2f7Mj>RE!(Y19v9X7mELy;KXq4+;-O&JT13bgJ3g@=W^4qtoNqF zyPIkURWG}Ewg8H7%lGu1y(fmde$A`ipQI7uCGCkhRCoW?TID|_r&YjA6yC}EDm(0f z4|beX+HJMXfRooqFhA2&ZC7Y!?A@9TML&sMo<^B#z>i9*;iM}aQrF=j*6P7$AGf8V z2%3&_E$u(5pNrp<5C6W>OPtZ1{QJ_06ZvgtZ#wO`hd0}&?r_G}mMm-QYT(2>w4e6q zF!4yzw`ecA@g!bu%Mo*dUi4jDqLMc|m(^L@5Ng8({J#9h;`NdKk#x>LR2W_VTk>b4zDNhm91m+xWJygFIn zWfvZ}5yraSl%Golw312p zUF1}Po`em%y}{DHdk6K1Hcu6K&^C8;uJNQWG$!78EyQ8)$_h8Z_T z7?$dMhxqr@iLrVnV6`_vJOM%5MJ(!RGwgilX%#Cn3cXJ`_EKTU25!}=3`|$3s5peNH&YkoN1&8*t-vM~4QAyedHc0;J*P@!5Z*bX` z=%6=*OeW=0X{mF>b#livCy8SsK@jMPK3)naRmn3eLLnKUc|0!3=wI-VHK{zTQV#kw z%JkecTkVzez{J->WnQ9)@K$FX<@UPo%VBt7xKR;`Xub6r-akHu58GAZbcDV|ZSUZg zhzY|$tZRJ3oTnE>19i2(+)Mr{A&})Dwrq@2k6okI)wRjHO^_i-v- znXMpa=7(ixv#qZuJ1O4F!f*Mmm!>S(fQJEuN;bP{E_BLR0B+W&ddVOg^hzmJbx&jA zA2EWIlof?P(ua0iDbG@@N{w|_p7R=;Q-!w%zb(%QX(L@1ErSGZVa{y$I`-Z26S%ut z)cmNkl+juBGtgBXo4brQpk+PK!)$58#BO%PLWGd6TTO;l^LxFd#(AoLhz|{%EVY}4 zpGu^kv>>Ep{;XTBfJ61ydL{H|snMhNH!?;gKXz9+{XtJ}ZG7yxfT5)%3EsXA;3%4( zU($QYnu~5Ve7o5(9iXmgN}!nK+rzkfSw{o-!NU`!%^?xY>SDvBV_xZs(`_*LU$NfGk6|1fKDWq$Urq=1&phCfw+nb=HXxvE#!{EfQnZwTHLI5IAO z*Ok^8VbBWWX6}J?Mwpr^a1;S-!O+VesBYhvAQ6(!sWl(q^7A>6K&wI0ZqRLQ@DPF! zwkNx+P}4-o&-}oc6j#bJ-lt@-!~noFPinS#j+H|dI8Q#>lBCL=^;I5R=lciSoEN;= zVWrE)GLksYms$NZCCtnpdY8`!9809+@H#yDZm@RD@j3Ur#XKyf2dF&t_i{Ue=C-6} z3xbxC%W4g_VJn{aSF?4DaTG*@2q8eZW)?Q{Kg^E$NLTih=F()2FdIEn2YG|cFPK7O zmL4@*X^So19X{O8k}St!9dXM<-72z?`?G!~l52Ty z#2P|rxA8s>NW{eE>|Y~O>vH_WlJ_g?s#urpH>$=@^)cS%I%n3Hp3i8Niw*t-8D>BQW zYafaNkg#B@H@xf6dWTRCIolCR7Eam znP1zS*fJ#h7(|AYrM6|2*LT>#Jp&6*DJ+zAxG2>d4*g3bmSbrH)k(QRY&i56Oo~WI z7zH%#L{o5B8Vwsf&^L5TqXpYTOdITvjsVq3#hyOIh_Z} zQ{$FTORZbP@~{}|tdQ;}{rhv5P=03s>iH_H>aF}4Jewk%(Q*=wI|Xtrebz!q*X$Sb z1gFzY#_^*ImEUklGo&ZOASUV^Hw$n)i2LaQPCP;^3oB<)3PcVaE!8JtZ1}-*@DKYP zGSKxp>2bTl&BKSuxGy6PZ#k8wiS6E7`c+)ndSirH_&4lc%RXYOSVx2 zO>u>j>ILk61yy)RVvNGGR|8y7$g`#TqOVw4UCF@ZL@`ZUlD7lJ}nox$mx z#)j_Mw_7j?k{|e*q%tA^$+1}4b=T6Yh+McGq>14k;pb-bOa$j4>ne$B{R1!T+`%XB z*S^juD7yegYiTiT0l^}_RGr1^L<+gK(STZ4kVb9(0WE&!9mT&K2EBpvoeknwwsQ- zvh6Y|uEKUzOuR#anFs?dXghD$bk=x{oXGUeOZ0_dZLT~z0C$xw&ncZ&~$J2U%N138+8B!>!E`iYQsKXp{m&ekM6nsTOK z1)cTFdF;l_g%HEH34iSao}t2}2{sI&l5>5dhPAUjIK%=%vc^9$E%ysOy$K3dKjW84^vE$?r6hVJ4{+)c7)sjRGox}%-pz&?co$3nGfUfZeSvUWZi~n*k z%N~G_Z{I#oiCdR<>W&pFczcQ)IFq%X>(5QrKDy@|yl53;GrWg=wGyiGQkk@`n$%v7 zLyT@_w(d{W*5`7LCFbi7ef&;Ny@v&>1O;=vyIoN!M87g=chLb9n?Dy|69W6YrEC{J zf7zT{02esrN4XN8&+V@NIWR07kyBdla}&7X_!m7;CPkf{UW*?>loj734SBd4WMX&d z1ZZ9OJ)|$8nG54eIV}X z1V2P2cq#0Pe;r&$kF|?jE)6i!8lCc=eCt-&-D1=-nL#XwH%JZe5aREins3!PdApsk z%#YmXrC#4y{JHNqoZ7_=)d5a!_FZQWU7YzO)9Y1=Mt|S9lU)F*NSyxvsMaNGw8D>m zS5%#0o#e{SR_HCvcoR=5%ouW0?6gv}4mjPj%w55M%Tc_F@(?W$^zK4rw|4=o?0jjt zl6)-n;WC7zKGY#NBW4hCbib>T|@Py61|0yVk?6X@aS z#>qtF;Rm|S{H7RNE@JSE#XLCaGv549XK)xrC_An>hgfbnloV6TawS8bK0tOZ*_mD_ zXYO;`+`LGM_NG`5CvdD6E#p;35rF}!z8pmTgk77tQG^nVb=tV4#Bo@fJHK#B>hp3BHX_GJBs0n<<<8HMKcB^!WEtYPyULRT3fHsvlkrIcl zYAfS9gTlhDY|^4taK#MM#*;Blk(>|uOwXh`Yz1E!Jq#i4u!3oC?@u*G;I47;u9H2! zpi7R~#n|>5;Bb5;sxJN;ewE5r!-%zhh5GT>P)|$&NYY2+4M8%tgazM6@yDNDSasWi zEV*!!_)NgCHpELbgOz?2U!KZI0y7KLUY&Xd?M5^OPmnS^!0}3&GJ!5?!DpnGuj8Vo zJqi^mc9VEtmU6z*RSAXt`N`<5aXyr@0wEpK@eo{4K7&t8+D|Qm4{0>puk>zty&ZKC zt_7TUr?+k-&+|=IGtwFtX5X|(*{v&OcdFSK?_GczXVIoX-b2Twx}-xVqIT5A7HYW$%I^8Y(%;w3=2m zu8}?CF{CoO$6Ex?zd(l~RK=E#(7>!JaaI6VU&ZqU{ppc&NJV?gXET>)nwQc-O>D&1 zLvTBu=4>PxBHoY0xr;tO!=8ip<)k4uoxCuCoK55(q`w?R#c^AB%vHJ)HvqYMyzM;? z{D1^2D%JlHT`g0<%R`W7nvTRz&TZ%;Rh${$m*5@w{TmSt@Dh4r_r{AIB}dC;qC*R! zS<*WJBK0JwJX3Fq(U8V&pg%ym{*Hier*BQV@r8$kS+qstbw3ohnG=&ZvmNU={3f=7 ze~r34Yw9-14XqD5K0TcVK=Wt(5k2jP=J7iXp#Td6pl8mz?^=8{dt{nN&rxdGA^OV(NL>O&O?+y zK#80e|HFRLGg}uY(YfX(aR2eI`=Mz?Q_WSD`twd%&EThF{>%%dT5~*SPM*-_wGL3H zh=A>KhymVm4P$g#LFgM8H`Ap^%qJz2WVg6S&6f6!xen(pRv_FmFRk|<$85)}_xlP= zAIP~`457lg0Fp0RM#|{Jx;Wx_>PSf6LGGOW36xW*XZhp%lkGGA9-W-$52*K60KsoN z{~+gR4c@mHlGHm0J!9TiDMOquT^eAOn@RKgZd8`#OAl?`P(gib4q5YHsMx-;PG%8< zp^tNMS~5o8Iqo>h3U;R$DyVw+80dLTLxToJyq6yX-44OYqlYpt5;1HsDSg5+@y1OP z*B#b1^6rc!&26rvHPnlR>UBHil6>mD`a15!aZ#ESdA=u^!A8W?!7Bbex!*o4nFXox zovRG1V_f4v>on@IEHRhuouuJw|55ESHLdg%9mRlAb_j^q5o5&cD6xVFxcy9N$TF$E zWgp16bq#|*x{7Q@v%Ernold6&8eDIeMTW2v`4}PP(vYnhh26}kmw}2HLAbL=b{sS9 z!2(7$;6bEjU{?V_rnJ4ljb&GHo>&y|1?Ye!Y%x#z{OTn+iA$*Bfb^u*8x9J1ddu@l zHkmad$y;hCSSc(AWhe|Q-IqoMAUjAX4Iz4omXj~=#G_(mieJ>K-wwTXMbdSJVm;qv*iGmfR-$nuh;5R{mZW}~Tt?aqmbJ{s)b7eYEc;MD6U2F{n&uY_gf0n_(fEZmFL z*|?czGzz(@VfLT02IJlQM&}-mhTmnCym9#LhANA|!MB{qoHus@l0Xn1pm+O={8HOY zdf*QE55O8^$H2hd*%%toB9Oe1DF^jXl-lq3(ZEw5w%g(rpsM}g&{yLMCcQN<1KED- znW}K5DEI*+U2?YKd1Yk1-}EPKWlV8J=3>#!z-HTvOgC<+_UUa?4g-JRJ8Ija2R>{8 zv)dA0+Gy3YJ=fko(6XL2;o}|asZj_0nE(MHnkZnu(ks0xy{t~` zTz)fmT|!K5gv6pPxUZ`0d7iVAL7v{s$ka`(Js+2d=ONo50e;>B4|A1oWRv>n?oY*W z#4DTgA@-W%P*n3v!^fvpZ`sx5@SHJmlxyc{$_jf%(!GXes$QY}`XE}*c!>yv-FhnY z*CTltG11HOJJQK3&MFmXznN~ye_q`|tq@r2YP+QLlkO90Z;XF7S~e(3zi}!W8saZzWc_)~sL057@!_T4^-&+s7aOQ^d-St8IF}^k@V%WV z`5R?s8ZD4Bm;I_*#QcT!46E2k8F5QvO#*o+eK)+-s@Ue~-a%3zr^Q9Skl}%9#m~+G z<&-eFw_3)QZ4iDpI5I$ueZz$8g(r@fab_so2n^43J~hdr>|>u&?YMOE4zGPmXNjxW eOUpR%e#`p5xc#}wxcjY9tBL7V-BthFng0iPt6YZw diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index a357f039433e268401ab508d08818b4a191b2f52..0f728df7d9780a04c80fcfd490a99e9bce300a0f 100755 GIT binary patch literal 28377 zcmY(q1z1~8&^KDFIF#bFxLa^71d2Pwy|lPf+})iPcXxMpcXxM!OM(Y3|M$J$bHAHB zzs&5MIh&b1yEAi6Hdr4%e5gQ$dH-~He~Y|Rbz^%6b6XoSHVyzQfQ?MV$=u3_jGcp> zjFp>(jh%&^m5qZ;#n|52+|Zcp$8SSRGBz?588QuH12SZ8^n}90l(vsl9+}zw! z`+a^w{ao8^VbN3B$@|OD-CbfRhE&M=3o#c;Q_)^7s0XyO;Zm?%A;#<=+zWTi8x*Y* zdVhcHSr?zKYWjO;6eB?>yFktR(^Wtk9q|x!M6)aV)}16?{2aq^Jt+F=?B(QU{qMlQ z;OG>+PV6Zv>TQTRQ8T;&=nA(^ELBgCy(sPwlUVC#oSYU5N;fgNfw?v01}?(Vv%~Rt z`a4oj1GOo`gA(%*@ji>hBtQKGA(dcNhGT}g2qCdJ!%^h?w}lJcHtZSR4*^yONFNw1 zKCshB4ARgf`{sP-z>X8&oK=il$oGJziKQx2I#EMEHZok|6+XA?G9m>o56tbh7iLW8VXFG+;Q#F{d_) zsGr1ALquElVduz@yn-G(@@w0?!a!KBVyJ1y9vP%~; zGQ-e^hG3DAdrLE2 z3vk<-VgLU1$#t|9GrQ9sZyM;w+D%p>kLmz(`)pNO!O}}r zYhk~M4UIF^pY>~}*K7s6aTFzL9C9VT8Fb3lU#d#3Sxtuv>a`a$)J6MNM2~(vzk_+u zZZmF_C0P}YEO*`;7ZAN^sYvyl(5)+X&Pbcd*$umGo3NrydsBUCtoqrM>Lu!An@Afd zqy#E2V)oHbMJE+COyO<2;t$>foS78VZ9cixot(_dE_D#wX#jCIHt-q)tgSlhh)09i z=WSfp=rs|m6R_&fl@;RQ4tk*SxD2SOc*RUVw6bf3jr~Sp6A=DCLHCG-pXBCEv5rMk zUlASN;iOPCzmMBqc?GlViP%OjpQ=^uvYmowag?g=auQ+||L4<2dh#gpt@hpM`kPLm|!f`NDF}mr>Ak zg{Fk(xA{Ug=58tjtl;`rJVMAE)TP6)8P@~)LN=wNzC;KJ8Q4R7}}T=Iaz zxRCTf!Pq&7G6hSbZ?-UXPewEf86>prJC@j{aXPghG`Gi&|m!B5 p#zo?& zXy){Nk)s4(HtMXMmug{h3&vW5H~y~m zeaY)@B07RlHO}V;s@-8Y?=W7kCJ3D;_Vpa6z!5rsQ2N*DSxUby3UA60zbT4~G5pst zg5xOOGe3^#WluuIqrkm>o2a2tQ?FmPiG+DEm;rYmw5s`5;diePCnJF9<&JkFzrBvj zuR`OR4VGcR=;o6YV-&%3stVU$4pcuCugkod^hFF=JzXWw)0k+fPobQY4G#YXXNvHF zyJ#I!U$r$E2xobX1X=$)Sbj|Zmx-P3^IX{rYcM|C={@m}T=68bDi$tAxPtN-(3ou` zgq%wU#3;@NW=Fw;FU7wQSYNIyoXK9@)FwsQT4llSK={`{=N-14e*=m2oECHXJ6r5%L=)# zIw)+op&7rDj(*=&u7{_UYCA`40!nm_wonc+#!|^8s=S_~>p9cVN2q~D9a?@4_v#D* zXPNt}=Jh!VjxX=gJ=r@;pDXn4Czi4Xcmh$SyReiVRYr@EJs~ z{rS8URG+$bXa|~DC5iJ_zujzo@%C{}I^93y@H#)f-dh@RlbQk8JMR+g1!Xf>3%-n( zQ>Nw?Iaq5^nzs^g*!rwSTeiH)bxMY%DQiiZq_#H}K^d9-n~g}Ehx;9`-25@w*!cFD zf-?Q14#SlJv?CtzliwCUPfpd-+I`*Q97xz)6F6*Kn-@e{jHo9&!uIzSK>e+gPpaZg zuJN&6xv|U>c_|6}Jwdc>KTg;d`gPCI3pX&Co8c|iyI_{NGh>fuj=n}_>BX>YO>(j5 z0rxcxa(Z@vQbP^ZF~2m+GJ$$YL~#ttd{K zm3;)NS1q1wx!>ZSLiO2vnolqqEDwfLACU^eIc2h0MMD_RD^50LgjIlqh>CwN;q&!Q z8T98`r{Q=K&7bxVEp0r2gK>-X0Dn8El^$J|x^qe0yYah>q#Gd&X(^szLx393!ptdr z(v$>ewz-c3A^{sFG1tfR8!MA870En;iQ&PHxPf|=xJf=P>xqYqS@8x_EZ56&CpV}4 z7qbU>1x|n66(ip~HYHv;;T4|R;AlJkEvvp_7QO7~;~5Jl20_af=X zU5$7@UDk?#+{{_*e3q009ZbPGySCyn_x+{v@v?@_UzBIF<(a1wvsplS7F&rW%DJJINnjk;6S|}-e;b( zy@?EY^E!8yCWH!&H)+v^>B3jyvPecm6Kt>}H`#xzUORvqZr z_Kn6R9_s=*8xLm1o8oW{$3R&n0E6Jymf42H!UlZiDT0)+^tC1>{3#CAk~FI&Ji#SV z{L#UbESB@+5L-d8Zp_O%djl|VGjBRzhr|6}sBIfK{RsciYOU+VHAWJ3tbf&+3Fvw%hKlf*(?NyXWg;jG(UwtFEwVk=E8m&qoRsy`S0Z6%QtKRq@un%4G86UwwV~!i?a<-e%NKK!F z%8fUe9+0%}8$r=t1s^l3(BIvgC0DEsx>u^~Ej9%nnHr_1zx0vmVIt(*Z&Zm{iR0F< zPE3iUGUnv!LI&m~p5(8A2Ybx2%+=mQyn3>t@pJU8l}{B-FM*IE7f+qit#$0=aiEO1 znP-9jNzVCQ!Tp%^L7u=;!)vtkV~ap!tu?4Vf8?g+oZEXv?d1A6{&D0 z(e`o6KCvy@rZvhNzge$x$CbWvJ3TAEN@5BAc^0BMWzqPkKq+ucJ(qf^lQveh@>t1j zE0|`#=&$6>EH2Tc>t6Y>X>wV3k(<+Hc}v*3<%vz8JiE& z>UixjB|#!u^_*f&dhV6njlUmXAW4iJN=@%BK*V#%Zff_79_hVev@wIUI_FIY1zGt9UUQ7MqlBtS5Q-!Q{h&r%I?tEqGQB|4w&m;C7 zIy1VGLXjCjG1xi*Y*_v#y?Unx&B(VFDml?_+={Dzox96A?ThRzGt$2G@>#``J(Sd) z4dIDox!!jfk5dPwkTUjp7jC3w$S%(L_mmY3A2-TQx!vET`24UYq;{Cshmic59BRt& zIg&BGzC01^P7gwXT)JXLB^y1XbeR6Qe!fRcH+#0ee+0Q-PVs)fgekpCvg}BFwT|dS zB+vsI#^Vqvsn3<&fB5|! ztlW}0dR!z^L@hrmaJYYazV_*aZ)`dp@OuA2#Ur6n*1>bty&F~9A1~5B2s zCPk3|Z`t|41ze%OcbQ@bL)#FI^s^typjU%@9SDzMT@J|(l>{f!0Z^nu-)_!O; z{aF#04+8u6YDrXdeXxxdN~iI>-V(e^)Zgtao0f+X*yCnUu2JfNpkH_Q1}DS*VxLV`|f7QLW8RZA9wLF^iQ71bQ|N(z<;v zy`)VuySsW&9$pdwW3EYik%)TOycnftqP8VdhNAG>|RNn__b#0u0aw|(sV{(b= zNI?<3+>_5qr>-QYuAPYl-lMZzLQ{{|i!xP9%=}&6-%Dk>Dv&UZUg*wTyEhK}5`#8dSpc(O+2;^+$kLbq5 zW@pwz>H9f9<+k)Eo-Nh3Y$0F1mp^&4_YH!f1|;@EqX3@H;r4+iwWeaMA!y48Z8f-# ztuD!J3e<35r1Su}xf=E1~BXpMz zRw{>|tuxz6S#AbZfXwwp0P#&*@V-~gaBWF@(AmAKk&ybZvyjKg0ych!-~rz3qEaW_ z^EUzGq4je0Iv@*v`;v$2J<`wn=Gy3k&wN#7FZt@<((FeL$WL{b4^l|le)))09A-)i zZ1p`m_MA4-ZHKNFX$-MDsT3FjQs-u-H&PSlEn;eK!`ROB1)&A$c_S-$X@-m|jHZ|X-`^ZG zWp#4+Q|t=V1`5G)*i6;I9_9?;^;&(C$ad1`uBZRdYo3bF>; zrL}pD5w@*`{QX%A^mkJ85-p}VDOlBE(WQQ#8B}#OYJ*m;>SU#8_vd#6bRMt3(YTg% zKpIlhMb$k{6GEi7K06H0AI<9hWh~)*+6_&e%fNRBs>3|0G#Z*V%}HkpOFJOuX}!{S zx9>{a((BE%FU6}mQxYoPR7lL@_hjB6C3lOAW#-n+3mwpRz1mWfDfKnyh9)3n z_HJ1f$udVlPDV>$^fbygp znts-4o)KuCSA@)j9yE(n94O$wthrXOhCII`)^W+Olgfm8dTH8~7bwC9QFVFU4PMAY3V1gArD8<`E{i3&5hMQ1zf>3`+SUOcOlnWI( zw^i>-JpK1*vsJ_(ap5-OS~17qzshvBM8~7ZntWN)Na_uK!^l6@9Z_Ygbmm_MG-f%& ztMZL;^ridgI+5BmJL-+fG#(SI9>S}P)-*h+(}Xt7NC=lJn!I!OMgFzrqDDsdbFArw zqs9d*Wf&qlRxVuW$?0QK3ctjW@$&%&V zL z6MU+P*b1nm+UC0IqXFAXuZcE|)Sj41^#v<^kc7b-?Fwg1P~=LLh4NcQqv$?+`s1y_ z&rvVZneR3i4?Wj=l67*H$U}S@jx3seBkYAKbuYK@Q~CLa?C95jRSS@eb9Y;n@#m8; z593A77c8oB`zlnWSgiys{yx!)Nv56-t5W?C2|;RY%?pVx*Meuko~{5;(}?_gQL@8B zW679&4s0)Zq@sT4H!)YGpiWFy6-Wx2pt7alWc!BU{%O~V5lwh5Y9L))xNO19bw;)5 zGfj3O`3_PUBD#saveB3q(Tp)UNW%_`?Yl~RrHBU3C&`29^m28MPLIsm&mr#0dD~F< zDR#VE&#O-Rt4;f>O#7<~`>RU(D+SuG4Fg;u(Z<0xsGjQMhER+B8XMwm(n#F<%_#BK ziO?DU89ROseg#X3Hb`exVUzZ^i|9G(z9I9tosFk#rD|f_PD{Gce}vxER3uUJ8z7ZKv=WT7JQ6GTKUaT!BjGci6wf<{cLAFnNc; zJAS@H8+To=?AUaf5oU-l8Gm-~9Pl5#a&1F(ZQno;*t~$fX#AFFLlQ@S!ETS`B~Ml=-Ws`S_fde@CWcDbD3$J6&g4yK571#BSSIgv*kJlBc5EwGAm_ z_$4#T=J~bwwb#Kw+nT7wYt^g>~wjLb0g#EMDG55uxdlfm3tQVv2Q| zxp<<<8|?by^yDYgc5|vM^^lq#N_1Hp&Q}dL`ei*2Ax;kmf%E8wk0ka4RPX4% zUL>ntBQkNq=ABIWZ3;W&=719IaD?;qXv$!s=X6?V;p9ul;!6(Wq3%LOOhs;pj%Icj zNBJ63s1`cpxUSh^TL2rX+eSX1?7ua4x+(y$#a6k-yVVynV1{` z$5P4o+n)7YLPznGFIV74i%6OjFkN1#jnP?d&4_(%9tsL}^mydYAL_2Ed4U5p$mHsm zVDl_Yh0o%?$i^O_?7vN}i(ko|5{gAka`X7H>&LGT+{(A)A5cb#YlF9l1E%J~EJn?x z^UD8)MO)mb9<~{9DyoIm8WhT?O2ViC1ZwL!fw)IUKZ3Xm%f-qB=QP86Oe`etHS4G7 zw9%E_lz^~Q(7M<^@m?qclr4ei_Yi_SvnhKt9BBOV=2y7EfUF@&E>=e+f-#Kgxs~cp z`qaDX!R+5*2cVi10;ce$_UCC4%8zJuGl`i5bm-xjJSWJo{Yq1&|9Gar zFyJdMT|iKcUxEYINS0vGJ(;JBw)_WY%=ZW(NiOMEGRda=8WkmBbqoyLYvnOhvZ0H4 zbeR3udfI8dXv>B#3Jm_PLh+4ZU3T^y;K3!^$Gf zGfTAkomv;qznEI%pL#_^t%617Di?r1*2r7Dtr4+43l??d){;fFuu*?nH<$=)wDl8u zPq6*DB&B&07|a0TRtsl7V%YASqYoJHlQ5VO>O^IdiHkT9Qd8caquEieTi&EDlJ1d>}s}`R8cr9OJFD*7}?Ao(#L@ zi;dm=u^)ERE{XI3iThe?l<8V?NOMo1$FXtyB3JXDkYR~zhh94ymb)51CGO~1Vz2&R zH~pNVi=(qJn;GA_rCnW1uCWYrv?!)|dD_q&u{n4g#BfH8=&iYZ6ob)Yf#^#v*?YY+ zd~Bx}a2q0C710(HQ&eMHHH~mC-VLkNVsI_4v5FopkN*16PumH85+3w-BMLR}a>|?v z24glf!Zs^Gl?D_}GV(quD1WXrckE)a{=hNj4+;7zUo}hqKq0yRB-67;B1+%<{C!?t zyUyQb>?zDMx`?fxP{lBmqiFDx>5nM#$$TQwbS18jOd}1pDYs=^&^2dQ)<0b$wpaX=g1qH;@X?*;m|sCr_Hiwxjn^O;OOSj}qcAiDTtn+|$Pxg3)Gi z^@Y!vE+CMAm+I^9HY9|b!o-RPAqRtT`yh)S$sUjoBT@l3kzEw2YaB=!tPv!pPQ-J_ zB{vc645zzb-iSe9WrL_?-7R8bL}3Eth1~SxJTq1Q)O*%N9cuHEOaxW*Y7trK?Y^zh z1LuiFU8pnhe58I5#c${z-~dj!tAu|39Ss~#vLBG5FhRcm4f0g@RI|57gRDsyce8hh zLYx7#y@dZcKG5G-O6BBpFR(vLJ`4i>eT86DPQh*0%LijC@ z3~~CCuMU~anyt_V8l486#bYh6H%<}oYa0rl-0ndwQaJ}{AvCsUaQqnG&9pIii*ijAZLRh zC}(5nn!Fx-&)UMc(zowShZ(o#CMb7d6EP9RamW{5Iv~3Z9GO&4K%7YYr4am zv8k4?UrYU8+UCrhyYVZ{tf@&$tgVN8#^$mq)H%R9ccWs4VimnHEc#Sg`N$yva{Xny z@AhwIs{fuR3&N0_3QF{C%zK*Kqvx%}P^oTH2jhy&*t8j14;}OFv8`GQT9@bw=yfou zW5xgNwsX(_)kNezgi>IROsCA5w^0kBFmPS46`>SteE0bbHcdymBLi=F_oby9?`Q>~ zk(ZREx}`%8ct$p+(u_}R;ZJWfPkFl1OJFLGYWV(GC(;hDfpu_YzW2DX^nwjf!lf(9 zV%rIOhE)UZRvhk39l2upLmR3MOxuJdOdI12taDp9!kNuFYtql|K4Uq_ZPz(0-c3jN zXdYs}J^BA>E?e`@O~N}XrquY3I1jE;W+I6(5&+wKoj4a^7FPe{6W$8g zEsozd%jSOJn%Zk*R?lw%Z!0M>%EZ&|g|R3OV(Vu{4(X9>eAL7%f*ibP)6di|7y|fT z0`E{JD@jr!{PGTLU*IIoqPu1GKm>Q62hAc8z3lU&4!S9}a*DXrt0~!O5bHBq#!M(7 zSjT-eX09M(gE@S0aO@Sr8obwlRb;D((Y!2M@|sa0c3pfj+O}5`@kRajZ*<6h2@1qq zU;1KhGNaa1+=KxnIi_T1UsHa#^TL!ZS=>9L6!gP~a#DSr!POIt^?|21-hC8T8mYgM z!5C4oOGFme7_npu->fhfubD^AsSFXq3{SKIrhT^?fj4Lt;fX~>uB`PR#wE$#$Vf*& zzmTvtyMM42Z7cf7UGK5@TeOXq^N<6jPQ zpx)m_GWAE#o>lR-orLNYFC&9%Iw7vWWHbtityE+PH5&c)buiAAt0;?)B!1`p1d^o5QvE-~8b%^tt%dp8WLa4$$UE8hK3$Nu7Gc-A6suc*8Z*gdrK$eE-x zLDexd2>9kx6Tp5e#?{b5<&Gp_8rD5WotV0H#DcGxxn8e&^-Ukef|HrsBJSK`Zr9Ew zn*=>I&8k2;F*hj9fq1iE1Lm+jcwZl$_(yPR}Sf=9H4dQv|Nl40XEgV;0~qr~5<6RLXyiYdA9kp2 z0vrpQ*5~^L?f7DfeZK_Zof#4>(k?sO%}X{PFGx9=7ax+pb3c_Hl~#o%o@d1v?6Bg0 z)-@(PxgBf%8GB^vG)0`H=dGKSG!k=q!tSYynY6P(oCfqU@Z=)J_1*_(SpXT%40--> zcSPPQzh_{1J5*-VT>DGQb=~3p@Kad1%4V(D5!@x>WL>)q{}EhM$G9=;M~lO_M?M1q zl)O47ju^kRq*UWyms+oV?qbuTz4+yy(ev$YKcgjRhKzlz3b{p$-K>c#Y?5K$QB8NS zlf%g`?+U^QN3e649*ie&iPijRV2?t%RLmN$GtvK(%M@NdY0|l=Jk!`MoUa*$x`+-PBqH9 zV`A5@54N>*!*`UN7@B1$G^GpP`?zwx@WuZVcDV1sQZ4#c(f}NQm1d%U*WABf^$rbT zfI1Gh%6M-TdQhQ8A5Ur2*sSb|+A@tDlr?W7qZ&v68WmQ6tI z4V?$Q3u7v8Lmy`smnT${S2M;`qUX%?`hXR(ZOQO}l;A+hv)s&*jTC0&;NH8E4L$9D zrzxv~#ne4HB^yJbSIbf! zd9u|u2{g87aA9O-9g2CBdp3S#5s@O*q+~Nf9>RLc=VMy}jQP+LbF^xoPdTiDTxjF1 zDadXl2UgDLGN5^2mLKefGL}#}s6#xG9(DgnuMF<2@PHO`eEkGzfsdpDVe5|+e%#?S z?Zxe_{r=_q!*p3s!l55-a~CswrZ$_f%Wis3vQeX1LR%x z$3%ETMC9e`=jiG`R;o%Y_065KHz5kw)(>>hc9rJxwf5ITd74c7FpbRqaA$~;`@HQT z*;D3tbBn8q;(h*)E|8IDLlIVDQl~{-m#tzRF{!D~IlbrldFo$$nI}@7ac2`bhfDypiGrkAo^~l9y*gy zn%-fYL3P908q<4m)^TJ~v9W23b^dWptW76Ry#9+7_W1?ze}}wY10mrjd0?x~d7=yL z8T}%xPF1gX;FrW-vG3B=EZc~eK#D?)C-lamy*ZDdciV*2emQt}K$M<_G1U^?mo%$H zQS;iiBv=McX^u+(jJL*4ux*S)bCjaBYbi{>?zi6yC{r}NY9A7_tc^V*>9U08>`nBe>bCD6e-VK@4pVbGrsT4nT)>IFAsG^ z+rTY8Xob5wrZaU>xEaWEfp8P?KP@HM$TnP zXqwjClh>KWOiOJT*|V5E;(Qg*o)dN6tcbKRV4E_pk`(#jfqUKK<(=)UWp|br#gKIh z?!)t9D0@MUPaL8=B6jO`?4Q6}6X{1S?iVA;bF}NRf5#L#0iwNFiGk=bk1^%>9tbX> zMS3YRfhyzf0<>m!Y+Xxo7GT!iA=KR3B_a)27C2DAz$P&lSfDl8{(73EEQ3lgt4x&y zBmTh12A-p6H+iyXD{4ilt&iEUAOs#?YlORJN!lzg%bf&MqnZ6C!6eM--p!qydU2x! zujb;X&Juo9Hdfgml;}BLgrSmj{|)}r1KFO7nsHd?m_)p44}nJC4a!agfrtF|%ibj8 zq+c+Y;(m5h@?6UYBp;n-BBRShC5F@}^7btL&e$yso4E+S{;&)p*zPCWF%LVY;Crur zE|TSNu5HGauWj1at~%3xr@cD(LG)GLTDs%>C^jpEp1eth#4r{1G=(!@*KH?Y*DKH> zwS3!jLNO#SKSR~nnh#!PdsZao-5Z2isuF}r`P%;+m(r~ekez^w%5|Zr)MY1F%L@|o zTjD{JkVnz6G9@#Ds!qq^&QE277RU)2vc>J%G6xHq32Yf*p1d%WhO=jN@ZZIR*J@ss z7BNyPy~!Qle>Kotd;qc3Q%paz=DLU==yD433byOSf{tDtg@Hl)Bj7mTh+=77wWcv5 zh;MBXL%--o@O)?}rgOWp0e)f$*O!k`R_;$x{fu>Btz0C}TA&1HCQYl2*(+d!EMPX; zK86f_8gp~K_uQH2GZ+Uz2;g5~X#t*_Ykr#4_|n)H8E5>1Gv}2yrw&QeihM|PEPT3 zKwLmh)Yk?hEa=EKcJiAhRr-h1E@3zQ)&GLw*L+eZ@|d#5FhZng*ZcCxdz6i|R>)r% zzuvhr97OE^&~dmvK^QN@9+z+JJn78+*R2nLGof z8hbY5IH{(JhMgHb)G`kT14nHVHj2MVr9uL>ihvJSVW6iQ4vI&IwIU z3f=mru+?-EpX& zd6>tid9f>S&S2Z53j_&Mc9Seimi!q%@?(SFT}5l-38SLkH%lyYHyS1MV4r}f>HE>4 zG*rz@L8w0P*dc{I3}7l3g0`6lMzF(%7qSjSL-Rc7gC>=E1b+M;lClpkMZJ1~freUR z=eK@h=IkEeH+hl~oa3i&#Y%Zi$ppb0qoC#t{-ae6XJ0rFV@gd5?OpUo#CI%?eE}kO z>;HxsP!UQ}X17(6R%P~;)XQ$XOp*CK3l8#HA2ha(=F}j+L?jMZ$aScumf0bpd8C(C z`5ENT#@X{_dz4Jm@CSV{-A^RxPI*>uE!}<5rP+^sBxX^<<+}=;jIc!5EWfF?C_|MI z2}|Yl73mqW++=p%BNRQ7SQ)iY9@2fm2&d9n0s>P=ASLh6&*D*r9=ON%I$`^)rMnmJ zC?TYWy>!{PgdwLHB`jQt%BQCgfg%eQD415{aFI^~8n zVmoT(;`V$8m1s8z_CM5muEa=0{)zTiz;kMHYA=o{YXtTOrVJpoX|N&8A$hmPlcl^z z;&h#^2hv$gW@RZu$SuC=Zk{dmKUNAtiWI8$+OyDF*j&gfo zi`D!KX2ZU^Hjj|Vy3*WUORTWGvzg3@yLwx}KSvAtY4=#tH;>GfTPw~_;p@NQ*X@RW zvXhX=zh_1MH61)UZ-WSZe2stpW{7f?l~D8Day$IBl$$^b-7hiW8cf%nuqeJJ@j`-z zD*SV=+IMpNu4rPcZ=AK@d-Toh2ImKimT5?YP$4N=BGSlr|Kdj-oA{pMFtT5;>917h z9h&(9@gLU(DI?k!FL3V7?y9J?U0E|?IwK-!B+v=2N9MnUufPrdONuRNo>TOtO=aRv zTz9>T6$n*!9sKK=r9AH?ZNu8DUGJ}?8zeSB_pj@r6fmKu?1Cb8`-fTV2yhW1uTjk(CB2-zo!l;lcMS}K$p3qA9*7exOm|MN_cQL3fecVi2%R4&HiTb7^(Ksn zV{zwelFVgLA2@pj*FW=Gna9!A$F5hU(d{+*Ojl(IOIZH-<3YbCR>hYFdoJQG@p|?1 zEAM}BI@2SB)mLV994{Xom&2z*Z~ZD!?Uoa!vh4_~AA+7auN0K#9zSuluuOAtkFMNs zm~WAvp*ya}TsiS~B~31)W2R)~_w~0`>dP-v_Ui->(V&)1NlN3jrzdJKb)n3@l|6CM-X`XLGkUW-ask;X`LRSX*fH6D|Dh8 zEpdIx1YdEyv@g4SHdmysc2##vq0q z5or#yK0+*I6n=^eNlI_NamzFPBB6tLv{Jxp4^DqPzZ|wd=glxH9T5c7+0; zK3}J0AfVr~*3-1lJXHfk5MO>s^;f;@@Me8K;LXy>{cY8LG9A8(eT{yDj8rZ%1B*%o zQf$o5aDLyq?^u6R2B~vpY>e88>M7R;F^tfT!s=dTE#Y)yd@2mEiZDd)m2laa5*8t< zCi%QO;N9@)<1Rr!L$<2tIYm^ZA^5>Vc67*#`v{kk)XlE@VXQrSWw$w|Va7>dkvVnG!#&{_Cbx!(Fa>YApo*a+)FeZU3|?B0gbB#;c*X?6gG>TBmn6 zW34u@W(hNHy~=0@Eoflp(eycA`TBU=1sL_AG#^D)5ein$F_1(L|4ewSTu3OpDq3Sym zouqKn#al*k!=?x2X4x>{tOD<1o^STK-?VyxyuRWoegPex>`GlZK*WQdOig}1IO>Sn zvtkpknb`KPT z=DI3U)m1N9NT`oeeY}I-mUGygXV_vS?^l4H$}Gut$%s;axG;{FCH-y$#l5PC5x{}^8O?7P4Zi?XJl4Z{xC6}UqAnl$hbKh4_w!I zbEeU+=*X6}5)8D5P6gg$zZW4<&G9Jf8{uab8O(_mpQt}=CFO75HMcsL#~u}XuRZ4z zSq`VWy8(@k)4w#fpuH~iP`Tcj5h6Nzzs)R4$_K}p+cySjkqsS$&)1GJed&@%Y-eziA0U7(d3+ z5hgbt{|O@_#B%Z{fA#wD@rB012NGj1_m-n(O}E->v_sc<@86_~`YzFkr%mx0u3^I| ziaw^%lH0hL5~B%&#^K8+kPKz%WNWjnaIztx@F0$4ZJ+CqTVrlslP835O}zbluOa_L z!d!cUMzAbyz5S6f+*cS5xkm(a6(QF_jpEQ#J2F!YD~9sNt0g`&bipt-7S{&{;{4L4 z{;R;hejDk`lk>yTxgWy>BBrR1$-j^Jw6~0K_;uW!5nT#Ymj}>K((4W2)Pvvm9}vdD zX|Y@a>!(?e0vy~h zHW=`D;@Tux?8->wA7S&)GCF+;XB{YHz5!cgmvES7QTjB2OQICztn31FAem!!?EL({ z{#4RgM0I;C^wT@nh{awrkG#Qkc_(bdB$nx~my#p?h>6}v1xTWOze?Zu z-*y{l{SZi#L*i~EEhfv)o1qFb$JLlJkBh>+%QQX!-%a2RaQq0K-W-z)F0DO;$hQ{^rM6Y8b0!|Q4G-G=X zZoe+H|bOrGu@UV)P z>D9GRA37j$xxU+L&{0)XRu_^Fi5)|mxW|OBaJPOD>=>2KCibs=y8`Ux2U z2uAfh=4A4#i7L7r{ZO>^x&INMTU}RHR@L>R?-6aE=U+SF`27S6H<6Ql_Q1uHuFsLK zqOJavfsdDsO=puwB|eWti!a(A{B~h|GmClXs0+Z^3Ej~Na*saF0(RyphJx?VnC|Yt z7!VAI%iVS#VataVS8drm44-XtXD91|PUr3aC!Q@~(rSN3J&mq~x!&&1#m;&^)#_lq ztFOCn5uV!ByU;h+;9Nw%Ko`geURu9w>Cjw#sjH{cFTa0rzOQSbv)((0IbhM*5W27oZzQ53sblc4qC%H{a^*0lF+b0J}GR80*Yh$L)4n-FtAL ze_?K}ySuMWwKdSw*S}aN)p~mygWW@&J@X5sL@uBQ5L2i0D_q{j-{|Ta8tPf>>ggf} z?;jZK?_TKd>>lh{oSW;bclD^T80JL48EuDW0Dhwmbq;mS_YC#+4R!ZW7tlE{x3D;{ zIJekE{mgu0LAf?MR|J+mcg^AY>gwX6UwUu%Lg(OOcW=)^Pwzn2;y`!r;zEB{qu$+B z?`+HsEka*CuymgB_@(#F5A+XEM@tUAFefauI6pYp-=JEk_jS(|%ns@7*{MgX@1l8| zXIKBiVoz7s&|sr?v1?(z(LFb~ut>f9;#_^P-dI$g%|*fZrq{1<9^AXw`o_We?#_kI zg}KG<`JwrN&Y`)5MrUVtU*8aodEI>t<<=}5&V$ZZn|DhyVW78V&{LmZobMT!>+f%n z`}EBX4$-*OPc3GMhNnJz=VE5yEkS2zeWaG?&@CXneXfw=v|=e?!K%Z zV1ERdC+DF;I#O)vQ#Uo#+34yWSX`JNn(J>g<~r+*`Ng^J#?V}2s0RzFxd8h>y7D2v z^!b7Ldhg&|FAdziU4vbnosFTvp1B^HTyzf&b*s6LmPLYce3^2gFYbVx;3o}Qnka3; z33T34X?WgDPm@syM;Y#On>%fDl>LjM40c^)`MyR)aFy?Lj1>t0X%O`%`MwTPlF~&S zxk#VrDDdq}(`0&4pH|beyo<7ZX|3iP!;d;})kB{DtHO@>NkfuPKciq*U)hj`{YU9a zR>DH*thGbrP)qQW29f5{7c!eobJaa$N}ocksEaQxTt2%@S%yzo^K6FP7bJ+yUY0&(7sZc)|A7Zs6 zlZoH68xkgiOJLF8@@DIAGqE34;qzjAu-mTL^7-;x51F46Wq87E&!fLLOGV-!d{L&MHmkJM zFqK_|zf?ZK4FzojJwVk~Dxau)LRg?`4^$RFVoa{g-XCz?%@^QH1K<($vX z=acD6^OeEJ*KC@c58BJ%OKUbio$n^vVS>#lsAr6SGVS^u6i-N_q?jh>$yJ(Zv)1fl zZ)1l$%d4-qYsOZ!Fxm=hN|+vzFi|4e%u`|DOc-#V);s zndvO{ZJ})DQL9~OwUPAWBiMtSlY?54X|lfbJgT(NbDfjfW)rtj=^eg=JwvXv@;39W z51g2^4_eD7bx8_s86`e3dfIKhnRM~>Jw82seCv_69=iBsR%zvZcRlKTJ!Hzd6&vaj zbR=oe=9{$XxsCnjdXz!Rq}ha1PhXn7ZhdGOm%=i)FJHKBzv;P$-5hq2e*J*L zx&gHF`Mj+6&$YFD;WfYVHuF6@ZZ=KY<(I8xmudDngG|G{xa*$sEKgebvj4kS6KXG? zl=+|N9uoYdLG%-{Jv_o%hHzW?3`8ZVJQ2@)aMI(S&lXrapS|opzuqcovi zZC1NTzQZ2AomReZ{f$`pWPbK>Masl$7_NG^*Plx!G>1$n_eF&=A|1SvzbLy(Z{0p< zKe7$|bD>^g-z?p3fAq<+U+ypTBjC@{L;UvilfC|1wO@!oWZ$fP{*l!`vh>TEYQGu( zKU(Ey`i)rqD@(sFz#sCjVXOR*{X*%PexdwB>F=?YFSFlct@^hw|B!xLGd;84TdnrZ z>^HqF?VFX~UVl|O&reQH`(*xaFMpQ)QfvFk`o|S(`^nPn^0#|>W79Z4cV!ip6TkCif|U@e~I!g?SvX%d0I0)(JczrVcB2yA45N)yFNv9v=EF=yedt-0Xa zlr%iyvo7FJym_-mbtfKON>C_;f{T?kwjmF?rC8WDO3ZBw*3DH*KkWz1qR>q7^-G}?88<qQVnQu?D11;svVA_|pVV8O@f5R_2< z{HY>{*HUx@msTN87ZNlX=a_^-woMS&9x7Xwfy|OLM{z2FD2%3Ii*dGvToj=&b^6Uz zJB297a2C$Za(Ymx$mJtiz5Lfr$B%#LF16&MpBz6*iAgG<_FkgxGH8ECv~h#>9-=+g zpuL-Dk27fRBHDyOdneHzZP5OaXpb>yA0%30(2fyp*q~iUvOc5j3BzeKyULHile?qtwzB-$Mf+Rur02ZQztqTSx0-9)rsGH5pw z?KFe-J)+&qpnaccry8{XBicO;+7F0!4}MAqMRmM0=<~`#RB1H)vla+L;FJzle60LHiQX9&XUSK(uoV+UJS( zs|M{qi1yK&^KEA6cD3XM2JUHud!a!)LbT@_w5y1=+o1g|(JnD)uP551L3;<$rVQHK ziMGd}y^Uy(H)wAq+O$D?3(;l_+M9{C*Py+LX!{J>8;N$YL3;zy&NXPCCEEE0?K4E{ zGH9PBT8}~d7oznUv`-Liz@UAcXhR0=qeT04gZ2@kz0#mvL9|yHv?Zdw)Sz8Sv=xK) z5~97#pdBXK%MIEgqP@bPEfek42JIlxUS!bLi1zyi?Wsije+KOU(OzQER)|LZyc$Qu zlL?(r7>f#o(^86(QJC!xq$7z!Jl-U{$|Rg&5{lUd5q}y)6olFdOPbMMN^fuDFLvcd z$Qpf_Toq2_N`f{g;onTvpKcQVUQ_+&sX_8(p%Uf^`^k$?Vif(y_k8yYYuD1!8BidI za4mg9i4ikjKjQ{J;=6BJdaWPvlK&Zdx*u`JOFws=AMu7SeeB~!#KU)Fn!fa+|M+H& z7EBT<0}dGq1e%^Dl!QYDngb^k!y&_OXa@Rq)28WlmhDMS*qItlIZUKI2&Pz0xkc>t z&Ip4|3MDorG*XX>rG&)_%Ya+Q$j$m`IsYi!Pp?b1-!hxWy0n)K%*H9?bI}p6 zqn8?rhysgFz#qIqP(lkgGzHbePf*2E16{FK++13*`$)Yq#R_^92!$2Q0-`X!6rOJs zJo!{pk9R3`^nK<;@qRFwj(Dz#C{%W#WxoJrr=UuU zKg*1u2!&@xg^Cj2PS*AKr_|Sl93d_JQ9{{-n;*d*xqD>AqN zwIYK(s1+IE(z4UFl1n=@<iYOUd$6{MY({R0Pow95vO{;nlT|m|L9sTKc^7Zg|TeXIhxi#P~+j2-ag7X&a z9X5+6x8v?4d}pCe98YLJ#_PDkX{;X9jytVzpB%PFSkrJW8{=cV?Bcd*Sko{fY_YJ6 zFd}TR@E8?t4PlFgy)dllF3rCaEncR#e2T``tc;DRxpvfTSVn~q4zUHt+ji94?l!cB zupXBNbK$+r045r`Ov?`UQsGs&upYmwX_sj#Y(U+@XVYgM)mc7;sO+%)?SMM2j>-m= z4^%!-`9S3Zl@DxfAK(ct>amshdiVkKVZHS8dgRp(nXGR+WK}Y@R=eW1Q=Ly93q0qP z`UmhYbudCID7Zz5?5L|A26iARXTV%9M^^BIOpV6`+WVEap87(V{QYFhmk+K{V zDa$~SGWm*R5uX`Lp)z|ZQf4wm%B+UREh7VBshVAfE@#CDG1#|8HIt&&M)zmslGjF9n#)6xBTO_xW8XFA!2&;x`nCcw3pN5?Ln8Jl1ds&09Fau;8t z>Xyf+G=yLx%HHV<7$lgchiCHFBgXZZaXqE{L-JpuuHoOy*(GuupPHW9JvPZXpaH|9 zRvQiFQ+PFkXkBxiv%5zasEA!QVz-UhV=*aR~W95<4jm6oOwWZlkpwNu4w;h1`!ouw8k-0}wznV)U`uw4l z#v|$6KpzYb0pI=UsL#%?F3%lVTqMCOjnyMdYqQIT78>+61^yyK4X+U_9XfOnvL7iU zmpAGQvqzQ>tkK&60@DF8OKYo_A6Q&t8I7ygW|!(~jpg}kW)~M%QS}h_P#rp7Us<_k z)<6=Vh&;5obm%G<(o*`~TT|66X+4~SC9RLsusVSdjDSPs{6gwB6V zhv*8&CuawQL?oj0&n6*=Bj~8EE=iLpju%k;B_tusiOS{ZMD=qD^wL94FaC^zKSzFX z(~BC2%5fkn$AKZ%*r)xV=n;YRqP(_8OW8y9sCKg3L@~%~c?LzP-sM#temF7W)f?@N zATb!PSEX`9n<0@_OQsmFiBgQ$LMg`UC$i{Xl_kWhv4nUPmJqML5)z@V*QccvZxfOb zuj8b)^STZ9NrPV7D3sScjOy_gU!lBqRH(QdqqOh#T8ne+_L^Oxy!EG0QDyA)mXZ4i zuUZW9HUb7kRZpK+6c@19s}-aAyaiOKC`av$s>Hr1!}oc+LZw$!RrkeNHOgMSQL+Z2 zoPHq6s{K(`?T^yFKg#y~QQG%Mc}{;+J@!PEu{X+I-BCGqN424Ws4{j(q57k=@AYcW z-Gmp!jiWcNvZI<%w^Mn1+Y6)Fo{150cZXTW-)i$_T;H28SV$ODh5}U&_el|5N@qP4 z@w4gX2?OiYg%_GheBGTw_U;w;%}mzM2M7X9&Q%uFQxX6B6fq@kYRyz!Pm+LuCWi?E zqYUrcJ7p6A+Ld83ze5oEUvum7+^~={NY{Y6XNDoa5{8@=hCGZQ{sKLfic6qEHB+r{ zI7ks2gwyG~6oy<8hOCAmYXtEZ=<2YLX9R^jJ1pe+K_U3$K)`M{hasn?E!FLl_acNX zpM->`;T(dXeO$P2BHvVxWjEYiVKD;^h$iK?9T3)p&d&}*o*s4^^_Qqhg_);L6 zv9s$%Y9N;#VaP*L$i&nontHkDFB2rxJwKE%7)hm(a_rhgM_8ZJQ%Ki&Y7Er`i0Bz% z$e9G8|23zKhov$+zEmv9v{y4V44;e5E=`U!y|jc;E__IN6UyFD<%5EQs|4yD6*@R=it)8pq-7q)lIY3wJLXPGUl)>Fzd)XOV1S2mA%Vkkc@Tuxkp*80>il-LF&?3GShI~5=`A!(}-7w^T!;tTVAwMCA-*=9Og+M~Ua(5vJ+D&K2G8PQ1 z;jV-U-%Q*smE>DBx_boX9)t-fea|rD)G*|CXv zIGdM;A+HESULA(~Ss3ycVaQ*HAwLa6ent@b@2TV)!$N*e5Ue%Oo!!{?Pqw_749B`^zgF(LDT=2(#(t%Pz`bWu#h`Ag?uJ`9(G4U zgr?Ycioo1C0&~|0%-td|caOl_BLZ{J2+XO3iSeO(MdD72#N9g*cOSyhf1fAam(t<3 zZcoD3qXR3hPfvAmoA%*hA?Ji4j|fA4D^;b>X}D*kh#n{6nL)(!!;1Vv81nuw zC81msT_ z4EaxjV1N4R(!%WJN9L?K5%CKILr+^jvUbRa`$0Iz2hfOidlJ>veO}*LuKXSt19}jF z{Pun@AyB%>sg4WBC&pa4J%nJSxUZi+E11{A!a^P%hWsi)pz+>I_l%FY=Z)tE)j2Ok zbUSy*oF7DV5+Y!i{-BVTg@wF4g>o|tt#jZO%h zhCd2x@W&2__DuVI4oJe;)N1c1h~FVUU`J@#oKH`^(b9GgKVhJ=vvVesPO(R4R|$>7 zfk%t~m~D>eBdNI0xM+Hio|I-%D3)YbSCULyeSaVmwBmqdLTYy=6Wooi`8g{!y}ep; zE_yAAyDw4p439jngBCdHp)a2Q(#p@+biU{s+uu+IWXIaB@r!n8M=OT8%F_AW0_Wa*AS5Xk2~x(8}8E0`kp*ChGuEZP{hu+A_r< z>+<>8Lr2yQAHkL+Yq*lA0a;Hc2p&%1$^AtWQy0+gkeRW)d+B+gv+`aLByMbh_?h0ZzV*)X2-&i4~HTD zNf3X5zYrGkwJ_xCVaT@$0#&k?TIeDdzC%}j#CHh+yLERu=f6KoA)e%_x@!5x?nBL=&<YK+kkD?tJX25txG!82T=et6NOM-|sdoG%wBPk+#sY^=~(WeCwR}lguyHnAtQxRS2 zEi^NeYf=%tW&&UTRw}~1iu&{*;+b|t!giuxY2Ogc=Zy{_n&sZ=fM`X2rvsu%d6xsCY5VRl zX!jQ*@A@2x7-c1m{w(kiG`MWUWy@l8UI#=d9Z*M}iD1oQb;5b^CG;yXdacY}!k4I;i5M0`Jp_`e|H2SLOSgNPpm z5kDqGpmu)}6!Oy`;%7m`jbTN89u#s@5OH%5aZ3Wp*baZweFGgG!}~7UH?y~+v;WsRx;wjuB&_(0w~3t@ zXz(Kx$I`2O<~zH1V5zaHCFho2ucribUHN&fHhA1Xi75A=b92cj9XB7u#Nlul@{BO# zZ4OAn+0<%3BnZ`Iale38qPJ6d{WW=^Bcm*z+HVj9Hm1kn)8~#&j?lMrN44Z!blM>< z$xx4RKr)2*GjahR{e)v;suliSg~Cr#9-1b#B7$_wg$j`~$ELB^vq-H6)6v)6-80xt z4>$Y!&g<&y9~kQF>**foB${gFucK|}UrD!~OkJ(nsR{fu>A z64CJ>7<)FR_Us+sJ^q`-HZ?sfo*#gd9IRI8E5L$x;OXtq=}Tuvb`6hD0*kr+?%~OO z#ocdUfMWFV;amGAseiWi%Z#6i#NRWOzh`QC@9e%kqf?iND!mtx1!-hz zauPLt@%Ucro4bWZhSK;N83`6{ptTsVxLXVu5FAgvWTN;TCpqo`5Tja}>EzzoF;Ps> ztacAi7n%~}fnj3!0%{avvoj+T(@@hb9)_e!nVlTFWR`Yp%%p7tZFTSTzA-u#0&W>c zE_lND?4DuT(Ktp80$E^9ZgOhxF4}=s;7AE?CfA4HdRKl&NJoe4!~kQ=YFB4rwHpIhljO52%h;o+LI=gd zM)*rIC3lX^7s}d!c+A(V5rj*V+4qd^8JifN6#WUtHEY)+Ry2m6AcsOR48p|LVGu?` zm%;m9$3|y|(Z)uZ!6pCBRZJhGt+}?P!|n z@X)5A_9d4JeNnUK(;%ozK@IfK)iuxqSoCLbc>Ebm9Et-hr|@|r23VB!ssjsamvbGe zuI0(B2Awes=cXGl=IGdk!?dGTgQ;jq7Xe?<9IrhA^9{E-RM{wk;uU*Mc(uFFTxSz* zmR1Hc@vt}C)tMX8Iyz);4kPELxyt9j&BGaHQIoW9XjV)Z*gdVCSV?ztSMn?rNP}C; zzt9|W1GQAW>r+@oIv2{KP=BszS=u|A>S3W)u^DU1is*^$)#{o$u-)j`p1r%g&gJ%l z*Ju`wmzC>nRc+0+u9uSaXKmDLzO-J}TQ=3gX?#?n?2N1Vxm~k!wc-FDIbkg{OJxB3 z#T=fjuJf4SRzk0Z0!sOj7o`ehqT*VVy%n5TS$@yOq?^M@>AAnCJU70rY-}sAdhP&w zD;7*RYzNQ1vcw{RGQuBe-rM{@Qr3LU4m{N&!AZ18VE8jPRh&2JbXv8l%QR&Rvl-9g znf(qrwC-5)KF@BzKh$Q&K%9{K5oJKlevV~@kWtE-eG4w#Vc5+r7+B4>fw$r+fA4~U zLY<%m12skWF6DVzDV(#|18uc+xvBvbSBaEsm=2t~FH;Vz-}|63#?5Z+P4+cf{~IqW zrL)J`xMqR>kM^ra#3SDsm1V0&iivaM7yrvL)>2 zR_%j-?Oq(`<1a$8kQ}@Sug#U3@M&L zL-ePnGU;y&Cg{g+z3uo>Iw$By`AiR@j#5$;Hpl|h_toeT3dXM58`Cr07>Mi8nZ{Q1 zxwQ~3l+O9n*em3v{c)}Ana1>%_>ZOKrg=h3a#-&lrSkYXbUr)H7wv|yrOR*1$29ct$P)5sZWtvO{ty2DAVcv%eQoZV=_U^4LK>f`jEc_{-)^$8n85bov&|khn#)F~ zlFVn*xIsKzpG}>~_plEY<4$3`!Mz6EOEe|dr`MGv*Oy+UwcqCJVN>{yOD_DD({Q)W zao;(;S515vTN-ZSZFCz=*9+TXZ5W;Q%PEHVl8ru|PkC>2o>hJuU(c=D{Cl*%@O|W z>u(V&BvI^r4aqHEK0{5=w-G&q#x1#IGb$Tm-=<({AJEPnI;i z`7A=h=S(JN2l*msGJ%pbK4*Nlc=D4n&GJb-v87CegwL5wpDwaDx68y@yj)!<$`su) z$Vo8`^Dq$-K4-e9=XsVi;dWrLq_xIJE^!osDQNY8dq@!yK4*IRYzCAF37<2*aQzY1 z!q==W(k^zHvJCdJ`^w-eyRRO6WoV7hUIt%&zB2gAUezD@w8cNSPyN~ZI$!_k^E01a zWSTuctgDES@EKky+p({2mA-42iRZ5JsjGP*y(kIxTnYeiT7G zU1d(FrV~7MhyFN&kG!;L8e~6;erP2!XKy?uKpG$B^;g#!e?v+6U*BZ-FRimaUnwa+ zt;ZTxu)a*ZJE?Bb=BNiq^f=RS#uFYrO^-K&gAQ0F{9=>3qqq7R@xm9`AMZ^xjzc~U z=*IDwYg#cBe9U9E#n3g~KIQT=@u^(#CO)19!RF8r2c8t;%rriO2e$e-rLkT(qYm_I z@aorTTzqH+Y0!oBA>MTC%F7@!=m^RG2LJ&7|9AnUS7~e&RTRF%UdmSXwHCxmp-4fb zD}{OU=DkjNvoJFcsUeO^Ed`TOf<#DALI@I!iJ%Z;T!IM}66BAHk|@Ch1tKxv@5)*%zBGL1mnLDqqg|>uA?wvRHeD~b5pZi=)!Y+%HvmiTYjFMbu~Rm8JT`CeK3#d^1hgAw&~cGZuxzSyEuc zO?8ujsak4UcR>>aXp9pF6d`VWyXYr+iRm-|SNX8O@dLx6IJ3x`hUsL88a|bxihcx} zXY%J*nz74LO~P3zJ22DX2_RPqhvox~uN=VFL>j;%I4JNO-Ad|~X6PP>{8TgVv*yw) zKF81i-te>r-Bj~94-#vL`s(FBMgl3NP`$L3F9r0h5hXPLKKwFgm6Rff^?Wz*6FChZ z5F-eG?Z)vAdWlIi*e)?|;FGGaf-3gX7{H<)n_r7S6QgNhgYCd;!75$Ds;D9(E8;NC z=Qd2!=_RJo01z47)9TnG3PuSrMYPhdxA&A^z@`Ekys5!(lzZ3;bAJBjJV=ERDa>i5 zR#edHv3YGKt>@I};a;VVt)BWX`x1bYY2W~gV8d?;GDgBx-KM}%jhtVXvV|UfWcxeV zK{AFSWo&IlpxHS~4i)=|wsCj+r}T>b*`-EcS(MTkCLW{t^^Qfq45hc!B$R;`LcM2k zINkhWB#a(g!m(W+-z<5(SWLf`ZXEY7R%8Svb);^1ihHmQmsf&Z*t$9N z<5+@aqJ&TbPwj{QbJ1d3nydTBwyxri;$kxTLhBKLWkPrjWSlTLlL-(w@$Y5q=WkBm z31>_9aatmU8HZ`OSWfc?S8JLfZZQo6M&!P@8pGp3!cI03J$%Nq;ipueIE#JKBC5k8 zhthbui^juvd(7LH&!&UV1JM z!>yE=Vw%VaaO2}0pPi?#sWhNx+O@Nx$Xo=&BBV?Z{7aRXq1COT-mZ=2+-09-OxdUqxua1@H3cCSa;>a_aVD1e7QXgg4-7G zW#;k1_ZqP@jz%a7C8cbaVHZF^&gD%0VN3N?R4!>ZDlRG))Ud7+g(?ThJ%`cDlxG)0 z2AT1`LH#I1D&;YPJQnjqxO03(sL~j9v)2rxSa949VPrG!m+79r*_;@ZjbPM287ba7OdDwnUjN|M!V z>--A3#N{;FJeeczsI?7#$emBk*f&x}bU}b42J+d;$?rb`P|6WqN8&;cyZ)m3NO7A_^$E*7g2#v@uK@6vI$bm`ov!C z5>}+WLq#L3l4gCIi=NmDjEpDl|DLY8T0^ix&lRsi=4h-fy9f_TRroF!l~8aQA=+tf zDL%RjW|2NrT~}@5dmk_?;7D%Kb-Nd@f$|cVvD1deK&63Ace-`sO>B|v9p@S- zi=nsDK|=NP`jXt=h!tEJ%XV&U0e2)mb5AtVl{C;)x|iMU37I_kQ6758-^Kf=Sa+_4 znWu#%&*-kjU7s^Ulo0Rgx%-Bd(Ob56lNz6$%GG^#hh`8$2>g+n&b#(L(^`Ol>wTA} zSX`#h|8{UK_RHRSt1}dvQ+DhAidlf>68D)) literal 29425 zcmX_n1ymf(6DJTf1P|`+5IndAhmhdz?(XjHZi_>3x5eGv-Q8_*Zom8AySJ~Vs(X5> zySi(te$%sq1_1$4i3kZkI)Z;9V6I~9;An1ZL&Czw^n;0oM8w(L%7}!Ojg{mFCo>Bx zGwTl)HWEc+2N!cgW0F7mhL$8OB#P1`s>TK+Vn*gp;42A9#+cG5EfOV>O6dC)~&F| z1!4*s3IPFCa+}ho|N=_nMyBkrDt&4dh@ybMM+h4X;D=b zPipSrpRKCu`_Vji$!|t&?F}BUr|X^9lhVI#B!ow?pcs&?FV4Va!8|M6&n(#?7N>QY zhAxRCPl}^L9z;Y{m(n*##>(43KjL+{=buCpgD;rbs&~0$7AX09c}}wO6HJWb=Kw(U z)hi`t&k$)vldjX=@q785_@BVuef}M_Ig(hLwKFecR#qmft-V)F_$UkyN8^C zfw3OwrIZAzn=cw8n!~zL2@i!0MieK;)mrG`L1dP5M_&_-9m*@@#tN9Gg7i7z!qu9D15&LBz* zF%iMsh+5LX>>%f>H{MN!bB_+)yv+9t7Bm26{qN*e+}I=Gp8Bs1vV52dL<@|M4>))~ z_LN)`tLZoTIUERgqrL9T3JIoLMg!(D`NrlCJo-fBhn)&1Esas?Cpmi?Czcii*Guc; z2qt>d4*GAc`>V(6GTb-9_%J6%hh9vUYtnrnbSC2dsq+~)S*oZ{Y}ex+Je!_g10ewE$#G1@^O&Zpp z0XOgGthXcyUecVh22J^OS-ot zsI#@W&$XO4B~hJcwnZpOh*s_fW7Wv0|6`0BLV41ZdB{Ik`lI&Z!>Y|X`)QqhWw zs{k$z+T|&CmT^DZOYgZ74uz&J6q1wc;Zx6)t;QrVdL*UCo1tSlPDj~y_Q4v z?8T^Rj*x>0MlLMVQ)3;j#HpFmKy&%Kj0?*gIW4xT)^FI%9X_%ZSF7V}&yE7%PCLZ~ z44=l`57TSCIPV@Tv$2PsEB40S7+`whS6f8f!-$L1oiw>+G1 z6FB3O!vl{c?c~LojfKJRoBVrg=kL&#oRT5=()T!r>Xx%>NIt0nn}pTc##I!Wi`Ru2 zc6_Brt8u_~Ofhk9%EI53+!X-`T}#d>lN(X|u$={~EOnDG!Di*es(8!8g$hSq3RTW82_Jy^T?grV6-r@F3f zf7aMmd|ml>@}&(T{t9QK;gw*>5@c@ZL?~ySPun@?MqIHWr{enjQW5@TXxSh2+&Up+ z|52!97&=5HtWt*mUm+0+gS`IA3tEifk4ehT5%^ew6NbgXi%{0e)>jdx+7DNmF$z1Sbyz5dCpeqK?mP} zlIeieIgQ{xJJspH`Xog6p+r-%M-K4gQZ)#*nw}%o{44Q6S4PXi*iB)87E<^@g!^#f zq(kyhLlOAJf67;Oi+13_Aj6J)$Nt^w?T_BN#N55<$UffP(VGbX|KKx2X_8PbA@RY) zvzxvY{>EI@3!U?Q-7-Kve~x+@r4Z0B7Z-{b2!aUYfh62b%9%D*8#pqGkMZb-^zh=u zV)u%^BM(RM_Tco7_HT_u?#CTFxz}EScKO2J`Qw{k$H=_M+Wng2%@_dD=kp-4Yj zh{7<_aU{CYruYr>j}G*Ams_nU{o($At`pPsHGHl0o$R_ z_mh%({{j^f59!Gw$ABkMVkQQv!Kic5wQ<~nuq0gKQCOlL93&%yORk;;LL-Qb@t#gB(G zUr$hBJCdUcs1S;vDh#{|H4jE41Lnv_wp|q<-)=7N*Di()%7=eGoiz@=)nAqK(kIgd z8@EKg!SymON_k_$P{#%IMh-B)AG=rc`J9m+zY)?2?< z&LDT97;+g5ykJr!bz-^4VS;MmZ{NC|>FF8B`c{{V=};DR(kth__DRL$k>w3z__!&2 zO*u;Bj3O>J*!w<@R!$CXzMT~#Ipy_R=j46aVn5InuU`>kg*lJ^U z)+EY<(8O)`$3RPj)RME*d=z^k_*s(U$&OE-#zBrg?puaiI4R=%>?V@ zq&~ScdNdlVvwYKUM=V8I)0gACOy1vtc&07M^au%Jc(0RE%m>d|>uCXh52H^vrDp7Z zO@9d_nR;gVm#?e)I0ukcNLGH3_~=@B2Ptd34m{aBqU7J$w%I3D=jzk)bK0W}K4KkbFNEei8m4xOf2*4W{!aH4 z0IXnLi1}zP`2mTma`u=m+%!yEzBmGV^^xh+t;=I`)|JvSYk;H!E?V3MI9|m6nc9YnR4F?6e0T_65Ma@>_%tnWihvBEX9ItnUbgK!A^Ots#kSLG;mCGd?6m zcYbHv+d=zjt?>APHvTvLo`M9U=Jf`RJ6y07!AoL&AJa5o6J@$DWOhasTO#x0@k3^@ zOQok=r3+5XGtqi5NzB%E*|s=XIZ+~IW}UO*Oidc@f;$Pvfz?3tlYuynw$e~&3#*33061QprAD4V3N}lWf zz1~w)4&`npli<;Fuye^owcypWB*%u+gXO%Qu%Jh?GD@%)e_oeJUw%*@tA!%g+^`^Q4=J34n&q-)>bYJ#s1XEmRs#ap=-g8I+uH}~T&^zWta zT(g}8&vVTXcsugDc;wYeeg~@0tMA09Speu`6nlZq{6(laMFp*AJjQ%WF#z;FZ~t=$ zmL(-|dM{170!@DkpnrBQp>?7Qd0Oc)98XGp?nc z2@k1r{&(=P_X+ONpvvgvrDHGE%;p?nO3R*U-Ld!?q*Oq8Pc$26TqDv5;Um`{{3@Ss zDD=ZuY9(P!@?oE7qw+02Cyy&lG4(SKyz_rPY3aTYW#3u!QFA)!>^4N&5)KG5%U>+~ z0-EiKW|m?+7|sVv9}S0$O{rJn5tqFf%2(m$kj^bF3vlB`)h#hF+dr!JQpFX7*HwrM zmOhxuCq4{!g~%bveSH_kc(8K1$}5h1gT*PY(_iG5_0@;@>fD2S(i#USj~3C!od>&F zNr!$W*p!$2A1tGFNhQxG1$WB&!xn!uPicob;s9eDZmHvUYozfB8tz25`$dnnv#odR zug_a3q*%{-%On6PReUDj`s!Fb4y96nT$_)y#nCEs=700~NmKbLTJiZ;d9`2WqlZ&H)Dvaf{snta(y>IDd_ zsNwzSp!U=A`dO?YU~o3`L)Z9BPj z-0W~aBv#T4F^PF8-~2NE{8W5*mF0rd7ocbOgoNvH@`$RO?fO$-4JaT&oCSL4*hqY z_i>4`cF$3=Gx<>U3bHrgxX6J&c}6}N?9BV_!%|0U&7}Q~r$TL3t<4QSA0P{p?S9mB!cz&iGWI zn*Hz_QIFrQbVh$w_BG95P){iD`j`Yfs7U8+Wnm&s;d_g z&MM{aK#8dm_`cSm_4Rr<&bmb=F1yZa|24iQZslW7rOOVirf23<>#FL7!l9p5i8$6P zyIieJowc_EZF7W7Yk%6_Sj+l23(sH|wda*2KN95#8$X~LxL@pYt_MEvmofl?kEx}){))yO_=a0_M7ojZxPUo`Lii#!(_0wW=>fs-NqpSMGhc(J1R@tf~ z|4ML;?aFl%h%rH%|G|0Cu6wWBymmph2o0cc+DiKTlso?5NWENg+`5?NUzzr9KF+*y zI{AI#;M|?Z``l00q`YHaKJi&wj4UpgL@$^{?R7#=-T{byBx$dzK z<%m^n`lD9Qh0NLUir=(xBHs~e+jf`6>)F8jIF&?mt(Sr%egZN5BzM%zrt~3#=jZes z+%V>4fx`yJabyk$t1$g1h=Z3j+gLmNLu|I~eR-w}z7yneDs!9MRc16HLEr`Rz0>f9 zm<1)f_DHNsSPd{0!ODNujL)w-ul#WSSIO{^-23nHp!=r-|CAx&)Dn81d^+&(h+A+| z?RfzYdMVXs@w7=JkfhJ-QB6#@I*0#MAgsm2b!wS%)ouZVKbY8b2YP33z8Nov?o+-8 zkxziv#pBWJ0Y6^t;oS(f5=CR6=?}jr`}&_|VDlAqTiF#?6!siQ_3lGs0i^jZPNCv0 zn67XA;=_W%9Ud_j&0J?y>$AXQ(Qof-GmF6RUJ-qs-;lLF!Kk}@D9C4N2NZKxS%Y5{ zyqg|no={(cfw?f{Z_v)yqOTl2!RU%{1<+h2_5)QM=VUV5?hZ6bZ9V|%q@OWE`54#RL}Eg1K|$3_oD5rM5)5*SQX;(H0IT# zO#U`zME447UJBs$dmD+ZC4kmU_aZO((|-7(pxmrJZnHd_*=p}h5kNvw^GI0ExYoA) zX^=ps`YEodQNZ_CzSBqJ<=W))qu4I|T%tS@+vD`)s`O&Xg1FJQysz-fcj3M4a>I0B z)h!G5#E99j%>?;4+l3(jr%&MOg!zC#Zn9~a0DnY>+p`8LWa6iKwsEIhiaj6Yq1!ND zd=Rlrj^5s~E#)nNQ$Ewjgd(;)+Wak}1KL1rl7!Zwk$(5#Ft#_@B98-)^hSTX>LnI0 z-4K(0<~0$hV3soXj&%zQ*_x>Q<<0W7y^Z@iVX4F30%JJ#i)J(&s_Mh37!{Ftk zJ~$S7KkSTQI4gULmQtPnxv0dhN_Zc(m(c1X&%AJ5*nAnEC!@L`yccUF)4L#twr*_h zR7rFkwy^EE{c)mc5XG%bq46%UzrV`OHvJNSl*PO%(b$|r&%y85 z?!)Lc<65oiy)?#i6y{ZJq1d^_+&%~FN?5gP?3y*}U+?-`SASLVsyx*${bRj*Pe;;c z23z@+zS4&ry}T1JUc>3w4eoO%q-~?aTX06%yGy@4?f-?ZJ5(kE>(xLSYs?{{8$NI_ zY*m=6S84uVFsv=>loV6;Jge-aIWz;CWAIw>xY$Q^*`c$r=~3eSE3@ozvBdf-^~#j0 z=h+H{{a(&>frTwUzT9)Xq*{-c@N(m-=9ZuKh;4bt!@rFN&i1;Fckq?^eXfQ?@v&Of;dr_0Zj=bkMQ8XJS0ArO9HDCnKY*a1^C3peid?<`jW=&y@?6U_o zL1^<>xKLdZbs<=I;r`0PTR$abz)wu_y5OqFheR86Wf7S>n_i@3y;H}abb<8Onf=$o z3aYYd+No&i6Y|qOc$?j{IRx&bOZ8Fs)yt~V!yp@?Owpn;s#=LTw^kY zDDKn+jWT-oo&l9C+E;d`Q4~a%2*fVK`Z+}D4=L0cZ_H@x_WK6x6!Nk((p#p=l-XYC zrl_PcqdCcc(oNA|Veda71}xl3{v%rrEy|F2d@)z2eD&ICY^wSxhsEV&}3fKYItEoCk zeMiUA`Sr`Y-BN>6bt=$SWRI%1DSfeyKP}(NGOeyde>5h9mKVSH+#;OM1l&B%DBd%7$C738$>D&A~V?nkh2*&D;xf^ryHE(LaejN;w zsTuIODoP-<#_d-fi@>Y8sG-p#;;61lJNxZ&+8)0p1bz`8b*R^lN=p2Ko#H?y%=U8~ zVnWS5LeEP=Ic685AGzmT3QgN~b*S2~$`)!y63V(T`C z)_#fpiiE6KtTd$c`I%BFa2*d-xW9RH0f|#R8mbHlbg+Qbbnb~g_=Rh%*J2fgr)(Oj z2^RbBP7wBx%hIRZ1%ixs{Nx>R64jbFdHbuP ziovf)Gia)m@R<3#ZGT!0CJXJ4%Xb{2A^Tm61rEBW5f#~5Rx75A~Rj; zHvRbUglZco8vkXNAV@bEHS-W{DNvgXaOU%Kds#MdFN`;kSy$py4Vs|XB4tP8MLvz| zun9I{(*cAMu*UI{SE_ho6Q;Wr>IclTQxNFiko5eDi6ltg5le5a$6maSQ()DEtq zA&D8yE7CBaOlSZ=-&hIFZErwNef=%9eqH5oJ?U^A<8b}xaNXo^twK%EIKUAaV;qo! z^;D5I^fEAPxWU>jh(k{``QYyHf=UikuxDiAlrvf^RkuV!}|wZz&yBVo+aDdl8top#6p^hfWNb6gZ)i=sd&7lVvD_~nWoloW5k;tqyqyE#ywMQ|S};x3rtr9sdbAmd zJYO5FcU`L;?(E?6bgjf%aZNJ4daNaToxDBYAksD3QqJiBzGJSqrkY+|21lNIik$n3 z)kDXThCK|b4Gjs};xnH-n?u@H0kHmzg65!hvjiD)lRzaf{jI(lLbRXqh+&ox=8#1i zLK;F^7?OxI3$U|LyLiGHLjP3auuzx2%|b~xesP*!=Eqq}pUde%S8I062>jJ`)L9bd z43tGXt9mC})Q%Uc0RE0p1FhGGIm=r*GZ2WLZ$P~Cw zdhtGgK4G|llT{1i7>!l5Yv%}aZ0CR{utkCbR_d>&ciT^9(cv6T(EgmvR^#pmFk~ zb&{ZEw^m&u{B}5j#rpgxBsGf}7~(2BpUO-G@)r}n4_xaw;a8Q@t^aN;%%Faaj7|I+ zuz!DXfbKEN+obPw>T_^f#}!gv=c@aSCz*UyM$cxa+WPMyEZ@c|Cb`rg|0qp9e$S+z zLwmvZV1xtM4u|mq4HSl$j*;4mxpzi^pZ4D&sgf>8M}yJ_3h*3G>HbQaR1|3NYnZf& z+4PstG|M7&@xubbF&2^( zS(atbkBK55PsAhry_Ig!a?Qx!677P#zora+Y~jSS49}HEvHZDL+^rnK`9bu?$5rN^ z7ul=U&#LynU18*!d>OvKK6ivv8f+Pg3o;q4_j4c#GOw30`Lf zsaeGUw)j%h)6)2XXZ}c4IYx%bg*-%>dvqcHZpv--na-El5B{-;U;Czl2omYgj~PW1 z%-m4AxUpmb{E?BV@^ZQ=fSk0C=_OIMKRF%OXw64N?q9oli0UDjHpx0PN}biR`W zF-uxI31eJQos(beHu5!Sd#7knA}Y98Tyk&roO4=kd|nnUFF{IS)#@W>JS#eFpz=~^ zsAz_pMPm|y?h&|Z0zB96s9|C~p^CQcr*gmZUD75600E*K zl^pbL%@u&*k{LYVEEbM{pNZYNCkpnNieIkQ<%BV6* zgTt#@1vojD4uSB;9Gu!%3*-*Udp{!S4Pr;$i!SQ9JC74A8-Xyoqw@VlZ-4Ev zP@Ki?JsH3KrV`k-QA9&i8Ayk`RAHV-WwzD3Qt+68IOOP@?m-nEy$h=Bm%%irC(7|M z_tw{)X}fJI5u@qh_bzqC9%b0KBK6+ROcKu`81jaMfmtge(B#%5NKK3>>Q9e&I7Pa6 z#Cu@6@PSJX%3P`^oMZ8tzj;Wqus$v?ln=^g2*&GFQq6#uC~PA;ZM%qMNMzh)-(w_17r?wkP03ufjc=3cKV|nf%cFd`U#Hx3yrwUz;WwQa}Sc^6_(T!_s z3>6!C_?FEeO*LQ-=i=p}nf?Xrn%a;v?Z3O$o|h-2>&+EOdAT0@&eVXtc3POBXNj*d zb>jvkdDW@roIj2eoj~?EM!Y+$|c9}6`vsT1R11iF3 z!i>vSTkKo)i=0{E5Z3VSJX2h2@cf#&Kf@#d(a1hWnPv%vOcrB_G%GvD)}pq(T9^5+e|;>H@qZ>`t_xFX-*<I*(4OdG=%YOA3EqXZ)&xyp)e4&_3?Wz6iOnYFCJR$8NtkKG(uA6P;0F zzlJ5VTfuKOKNo@iV#I#)|H#JM313e=YcciCvM8yzlBoXl8e^6IC-|RIRIo8AU5_XP zq}))5(ItkesVLO#eNuVDQxsq}|rM0BfDc0FA}tJa6?)u-lV!(pjJop8t#f z(QsN>G|5`FtW+^{KoRLq7}N3Wr;+wW0?K94T6@1V02Ax;Ha1F;E{M9U%|P?rAudxqR%W1}!S;b78}3^Z z#79`3%>bbxP1IvIL?}XA;oLn&c&98F7L6Vtxm@YCJ*U$3PjWi9EKE$k$*8YHrV=o* zToi+S=po;)-9*2-wUdI;U>q0?N?03~?JX~h z?eUl7_NoNAqSwUM*(r+~J@Y|rGb+ucv_GgwAA(Qbo`QKMi=nmF*xgpC)fxR1_4M=& z9AV|Hm|}_iD2Jj-Obs06`eaE7;C{${&p6 z05K}4IC~x55=jg+YBH7!y-RIyI27$syj0w>=mUT_og1PNBVfo{kb-$hgi~n;TDA-Z zhPu*&tyt(6-^B5>NnB6--W=ItzOGX`7q-$nn6|t^rx2%_Tz0$h0bw`e4dvrf8dHDa7CsG{d18{FMZrVdW#U2=`oagRx9{66lVrkbP8dqLZ)OR z*ne1)MwLS&>T7YR!SB)air}bXV)eF+)}XePi~8EKYUhlAl#hW%C?W9ja(1GB`ALGs zlB9kdSEj-hs(bc#$lbF^X9x{2?%#|nc{VpDr{3B`<%INjz@ICPFK}+XJN0RG+->;U zrd8gs1X|rIPbqg1OsokK5A^CYQM8PHo!3Q;JcDuf;k;D$wyTm7WBqueJD;y1bd&0&lacJ>wt}HrM1aBkX5?$j?P3(SF+dklfvXeWc|0> z_9c<7O7X|P-6$J{Rz}?kFIGW`C<%S16yrk{yE}#YZ|D4DzG|`P_i@)Sk-xr}ueU>s zQZDY)^_-c%K^9)w7M)b?%KpSWQXs&9899TC6OJdbL0(NmFR-e0hgchNARW=330~xF zS!?Yih*+6fwPm%EIxr!}WPB6)xF4Px{`Di}y@mdI1tIi7U-^YEER_QiJ$H6ma>59? zz67lwtaSSz|cd=*UL+)$`t!9!Q3to=D{wHZ#2o|BY629z@XyQ z@_)%?!CxFz6%o%LNaQ1>4cEN#FykonbrrS37TcTMbrMA&L_9kP|3|j6){?%7ZA^wu zL*X4CbVp_W^qy?A4x*vbp;g3F3smh&t)bBOfXE!eNQ(bG-fxqZnc}Da4iu*$5+02H zLaAatUBYRJ;vz%Gn#qnyUVj|uM&Jge{(a<;oE=%M{3})2g3rcS+W){vKBCp-NxYZ5 z7Md=+)^ZI4uAs44)Xd}pRonRFertlK1np(9Y9Ciw^w_M-it;j*{riuCjqDl$9H{7Q z=1cJ$<=S-`WT*8X!|i*6LgGV6eo-;_?>K~9_bfb{n>A8d9W&x(ax+FjLC7=Mdr`Rz z7^W^TvCW=(>~n;K>o9zibKr2Z0H!4{t$=9_6a3KG%W4BG;BxW#KpB>VICPvg;aRF zA=kH{8Hv!;_FLh?d`wi_bbNhVoorSny<7W6`M>Nj@bGS2z&cKf?<_1rRVo;DZk!P6 zGfcYthcvAksEsTfK)@m@LJ^Y}ee-ljpF3oaG>wZ!`dxxIn)gq2G|#k^kH0VS2%Sj) zq458976w?PoV=1gfB`*=5MQ8H1f@Uii&XcBi@M%%4DyYN6O^(JhP0Htr77`~%+t|Q z{w?@a6n3l4T}zy7iCYb$$B^GkIg(XrXM8H~m^d}AsyfV$%%DnF>=)c~(dDqLYJe5$ z4~SqR*rPM~Z~uos7a-+8oS=gHttkXi?6N;}G6RlK`*Mt$Hxt~P#X5O-L2NTaa*oJU z&mR~!&YmGm`9!AnJFqEB>J(>O?qZ88C-x@M2Wan}e%vcXuik^|5YC7QGym<`jMx4Z z>zLksVH&~CI?ufR`|^2U#B|2V+~uAaUZS;|OkJC=?VUvQkjWj^NzG>SJ8gx{hIR7E zLY|y~*?YmncPmGnS77pERnZLul?#rE+d9Ths4c0igwU5#je*@AG-o7s)o(oTyBYo_ zMJ*n~O>L^oH(@D%$}wfpRaL?0439pp^^7rDKC3Ktwq)_gw;h{Pw~THq_ONxrh|u8; zYkS(ChB=DfNjmlL`28CL7JAPs=d6J5ft4HCwZV<}6|VgZjX?UE8ka!pij9q6aFvxI z;Zl(vLcj-k0DcAY>K85Vq#R4C#t@*&{gQbQvodkfl!qZs;R{X2A)bUOdxD`z<+T>U~n-)2~Y@U(1X$wEzAM;Yr?$o=gGV=}bS z#j5eV27@6sSj1&P6!wapoKIm|LRo;m>)Oiq!0wx3a=Z2u@V)~N)KF&3f5nVDBvR9%u-ppLesuaD!#cLaMNGfBaqVx?&utWGi97C_a#hwn}l=U2x z;oaQWSiQN7-;8mUCqH)s5e$F@Kd^8vt?RAlsUmM16iE5hxKi)oL4F=9XDcSQUs;g*y8Yv7Y$4OzT%1|k5DW@x4 zz65ODgjw*p+F_hHY6T860z!wazoNvioq|C+3>dX{?vpwIE5WWK;*@{dM0U4Q$Axg* zU&HHq0FV^A+LmM+IUE@%GA!Mi`$&e#}9i}Ieycl=16hlq?I(PDL& z1TgNCZ<==r*FF4&jX+3%9`l3R%5B`&h;oCzrO1WJ*qCfaOav}8h@4ye?(Vt6M1kxD zpiPOhvsmt9yttIer*^)fz`LbaFoqQq`lx12dHJkRbp54OVIo@ZP>Ep9{b2)VUE%-Y z!FuOwXY|$M5+cz)%&I7_B6Wie@0_Z_{x;qPvc(O{v)g>Mc|(}rJ@)7&+dtzKYcx%? zyS|?jjWOtf%*-KyLxzaU{JWan9n9meOkV0P2v~Ny-*J$FENUkBznxa#5~_wq#gzXN zA6g(Q*ei>lx%7DZxA>K%J6yPT4t++J)>Zt* z=1=`atX$&tZ;8Xf7a z0z!PMba?xBde&mLcob?qBiRh^6p}D_GhX(dIbMtS9D?s9=WvgN1o&-DW3ZEYtRW*0 z*S%Js>+78tOOFG8a_feAx+X$Cw&rE;S@ohu{1NM8N+qT+Z~A4?*aQ1;<%CVS2~M37 zOe**$9ub%)A|FI(VstEBZX(hxc{MCKlyv{^*8K4H=4Zl~5pMgMiB`xBcc8>VR)DL= zC*lvsP+~@{iUqa~dCF5P-2Z+4VS2nr1NB)L*B<6Gn@u9+jD=NxPQq_Gw+enwv=!^) z^3;uhQcZart_7JkS3hnPx2uf*Zxz1PzuuZ;$}LgZ4fR<){}mlp>XCB#k8c)y?xScL z0aA!SG0Rj^@_KRfq1p@4YHdeJWrwSQQEv0yIA?~Q5h>UY3;m*+I*A}WiP+;}t_>}q z(wwgC{CoNtc%0tNEKF$n_TRO4D?1cZwN@am{;VkbENG2XW=%BSq5yq!J9Y=`>L+}N z`>1f)kq}m|#A|m93E!tH3+MLK$!{_5or`}nd3wc~$78ZM zE?H;;?>nN6dJRL_Db!3XQ@+KzF7Ie6QH9jACzS!NP#JCf)3K&HGANnv-19{*Gyhr5 ztK%h5D+Zazq|}eaeq>q&N*tO?+SLOUGWpU^{SSYn`5a(z*yPvMEHNOBSklzZ*3o&q zw)|Zwszc1#;UVzUv_iQ_p~oLDo=t9)>H8CaQ^~UC_|mn#BJvTc&SaZ31ooO zoQQBlyQ@ZOsL^H6MPf{FzP40Q9KlH{{|Nb$K{|;|UN6Dnn8CQukl!V$-Aek;bhrKhCoQ@{4Ho*g0Vd)*M#r={x)bBqcbSf9 zG`jCtA`g_zxdg^#V>Gp9I?~^pu-IF2MT7T!QNO$`Q5L$L9-)sOGMa~%!AzGT&Oa6? z{0_+cAfeJXh!;&Lj{^l_CI7B){BbYob(Os?bwE=cVDL@udH~KCHA;{U4s(EC{gyxA zW{C6`w-cjvT!H^Q{4b5M@@q5cogKz!QsJbZG=DH(R7U?Ej4L2Bdr}Mw=Qe2EB1XJL zF%QxX=%{x)Nd63PJP$6bKxf~cBHe+X%t2%zvsZV=VJMh~l~qOp^(`!2d@MTuAwdf3T&krKMU@g=_+p>2)Z_nmsOqU>Jr-Zg)wIR1ONPG82#D-T|$Vx*=^Ibcjt2e;)Qoqs!pEv-aZuz-W|9($;z~(lVU}Im^nj25<+eKUq z`fh@b-IAzhrXm>h^O|Lw27Z~xk~J<|6n%yG4GAsmh18G&D9O?U@;KX4W63g1jyxwQ zQ9Y{ex}?iXyvJ06MN0tQ>_mUhDA? zyP@F9MdAI}jVyKjUJn;VSxv2IUjl%TH&?jOr0uN_g^v8ewbf(u8#uQcGV zR`9O@nuM+vsiVWi`Rp%?d|HT$t%!U-exYK$Lnc!)T_*+}Fnve*mT$KC+lHo4C@>k{ zc*+AI$Np-?b3o~ZF{3`^=x|5Zi5u8b9U~*FT?}Gi)^76j!ClHt%gNBzNLvvU)ZH42 z`)?yY5K*){=`oI(K?WX;5>Nu@D%>M?>b4-x{{qF~A;dbq_CrI&>aGO_@lkleMakmMdX1dAhMbH47lb5-1#w2ke+bY+S zPYN=|Rhti+K6aQ3^9T!2B-4QM1>z=fXif@dy%uQ((}s*24G{?ZC#zk>rTAQfMCGH3 z>)yQ)Hws5ss|@-sZRoL_@d3Qm?{pRS3Um7-xhX5?is3gr@D7(C4EOC6MgaDOvMdPh zPq6;qkmuFOW7-+v)!UyJRK53TA-^ZDZIc4=eW%~P41h}9&a(ry+Jk0uWK0jAUD|Yt z&z5GMi=2uRzgFkrc)>YU8R8#k%eA-%s<7n2W5I7qenVF9O0&~?^gL;>dq{r2icEA-^XH5DKUSF*8zTQAED+Puuiowi@bLQJY8IGf5hL` zUhB`EEhS~Qhx=^){x)>{P4I<^u)ATpr!%#7E#FqWzRS7nPfrtA`hg<%r z2hV`MsGp!1-0tz~!|l}X>KQ?DLL7n3)Z)D8mY7L)lqSj+jyIN-ZQBu8U$It?6}7tg z>WL@wehhP(Ok)pM=|00WIi~ygTv7hz+xQSu1QYi|j#C?oKduG+M?7>W-ceH3x&>1` z4{;@0qv$>l>~w7Vs$GT8%dS$w9Z}+Y_zTs)3m|uXIRtgFT6Jx{e{}}!2yJkzRsX>H z;Ni%hRtw{XImF5naAk`PUP?gvNU^Fx8xpw}I|eN|Fcj{h_MiPp1Q84P{d^FUa;`ep zdx3n028X5kdP)33-P^5E-?GQObHQOT^q(?#=zCFP#l`wL?hCsDjl>9`QkufA|9!4j zkqy&X54pOr*vKZ4S6HS?msV(wKwoS9&H7uh`KVIVVl+(4CUJ0ZCc7SpsDyk3YsjO9 zaU)m|3D>-P)hX-|gYwx>JLefd-1GSbEKVdE$LvhiZAU%h&+V>a4Q1prY7ZGsw9ItN z*WnjcAFFIZsrBi;D;ObIs$>0YH1VixdY`tfaKW9}-l~P7qW@Q&Jbg-LtMX};;_alV zd~>J);h1^opyh2tpN?PA=~S-SJEzj^LwQkqTA-r$l;p&CRMa3=u;bE!F37FW;Bis$ z3pQI`ww;cCP#UvX9c!7!(Lr1HyvLlqqR^X=RzrI!c{ukjJY3ayK;W#=)7ieoGc04j(9+>JDz~dRiuhgOKz?Rjv%Ci9 zy=F?y%pHH*K$#&D8iw8Ql#*H`^nAW4Mt$6Vc8Zwj6X=-E*~yf`I`&~5fdm5Z{yxHm zAG-C1?vtqGZvrGmYA!1qs2>x4kf2?Vumf`Miq8P_ar0I~Kixu_AX z-lNYDI^rujSyYy@=-a=Ks_=pA*t+MWu$A0`JK|}mzX{- z+TFROqRgBR?Opvx(h^1P3Ph{mI$B!u0>GFQZG(3^dEp()-umHZV9gV2OnSAhsOh#I zGyg_Zfsd#+@;!Ip)AR@~jpnh#B-N}j+ygNw@7d!Uo;vmXRS(LwPx^1u$WSjxcHL+i zl!sf?mlcr*C|x0Zzbku!&M+3jrSJXCNi$~M#O^pl%eK}IWJs?-G2HL2`rY^!w=U6K zqcFBS;cSND!(mziMnYL@Oh3%n+)}OC=8>GZjWJGc$?*y}MLW6yU5PQxP6}6l@^wSE z>Hu>^W^B50wb!aXS0OA-Y_Ii98LogUUmCjV)Y6mCw*Q84XiH@qL^>xzD;Mna>0Wv zW*;Jh9yZok0n8XNM+Hp|ETOQlF0}{bNG=Z>%wB+7fXGiC^)JShaj)@4b^r#CXD&4A z`&5;XBsaPP{mFv4G<$%z-@8ffw*dH7Ko{gibSEft-zn3Wz9YC*1w6nMf&34xejjs^waBStOygbrw}rO3CeKr#CmJe$w=_TIb@c*i`K82 zcCShDxQO^U9Zm;Q$CStwSSWGDi%hOUmjK@xM3omAB|owsxZeOiQlVZuLf;UiXFG>P zn`erKXPGajN0U;&6_Mz{Qg%Uqrzc({da@L1NWnLToxVQuAGXjLAsMon#j}QsKKAp7 zgBaB%|4kNmkf?eC6Xk?%jEI-HaKj13tNcd1A6vfBO}T> zoh+oIomrmb>zkJDnU!Zpc_mO_X>o35V_|7+b9IJgiA`{6b?vX|IVeQulS?VW>^$wy z#6(s$DJaa?`zQY=t}#*0h2^t$FU+1Q zx+zSh^w2^VeLeH@Gd=Z=`TBg{Y`t%Ow%%EvpKtB$?CP59nw!Q~T5=k>(zhd@_KVR>h znV*}V?&@f3?I3O)Z8Lp+v)z5&^Y!+6M`v%2HC^c9)4%N9J58V2@`_J(>8SV4_H|5C zHFUJM_H}f2xA)b%=4V>l+Pb<6HJmR%eu2wdz--s-e7!zR4m96BJ=5Jf)6v=0G2cBs zGc()SKGTWmST3Ld%)RI6`(O2GzwoW|ZEZbmZQWfxvvZy8^?FZlXGhO`XGdpWXJ2<~ z2R^fs3*e1sMAXOd=fkF!miJ9B@4nh!P)EIOZl<%nb-s1Fn`*Upx~;3dYaX83);ZTT zquMGDSttlzI(_M$#hK|nZ5^$C{@wGlU2Q$B)15tC(^S3lt*x^i^K(7j?L9Lct#cj9 zNVjTz0s4RR0DG2}Ppy6Zp8LE#z{!>#fZdxuyLM`=<=CxO_wMcKo|~CzZ||C>+Un`( z>Ykq_(Kxg*b+nO#clY#mx6gIAw)b|- z&&+g9w{@s3;V>rx&S*Q_-}{Z)*V@-M+tJtA)z{uZT|jHk%-np>{LFkC^)s{eIpx~u zToIW2%r$$bmzL(|{oFg-sh6H_@9dcC=5Oj(UODD%?Jz4&-V6q*Qpk!yV_?8W(Rln?9`*xSNFWlv#on>zN4+JueaVg z-!?Z}Z=dO%o2OoWer9@px<0Qwn^nR1rq{1<9&P=dakUnT_0G1p&b7|X%(u_>&GxkR z&CJzXTid(3`g%J0+Pmt?t(geUgU(l*4M{U$!e;AyJEmvnXFGaky1VP-K3y}teKan0 zQ;X@N;i=2sxtIlbHE3;}?(6E9o1X6I>6z_oZ)>0Hm~HLo>71kM_O7fRV1ERdC+DGp zJ92E|Q#aMuT5s#55r4LCrn_FBX`Qam&d;>h`)2BW9az4}1=t7Nl@Iy3&-TntclOS7 z(!kx>*4x(FTJP)anCY0Ie`nj**RJM1S`i8K_$HM?-;_Xdf}b>Kc|zNSGw8gb^01mj z&(+aaJ9N0|K6l>w(EaN|2fHj%zne(~mwu;WtVjSzgQ!1AcMFn|G+o3|iu8$=0^Qa$ zPv#fpS!sS&c9FL)Z>8nN(4!7q^^m8(N!SrTX-M+TvI&UOp!xNlLj7cPh}DvmM#MvTE9vwPS+B1acE?W|MBRN=^j9w}Rd>)6JYD`0%3p~tjoQa0 z>yeP9Q5`lqU8sGmq%LIrqJ&z4pEM*fW%_)>mnZ8(tg|OvAf1gei!wn^$#)uL34YQb z+NA9J{?*zhUHu|>`tnxhJJ>T;lrzjz+*>6ePJ__T)&D{VU!JeLa6N&0ybh=}l;0udG{Yr|IL`Hg@f=#nTOh}@>0W8 zb`kzk`2;rtjRa>ciqVfr0fvP=JeIy(q5#Atwgipx%tG%CSG*8Z#%JY)^|4v^<^e9@=4YKOLxNjDIrk)-4oINTQ^e2UL|;*+uu4$|tI} zV)h}GPgFip`2<>l98+a`z~GsNmNGqw5^se137AGoODK@$k`O>x(b{jsU&bdYqFlv+Z~8v)M%29sBw6~7=S(1WHq4ANC({AhaB#Wz^hHU1eTa7%Q%^EGw$Fs^S@4JoG!&=kHx)qyU z6LchL(C!5>N|oy)*IEZz-d2yCzC3%~`gHKM2f21&=jqGymDgyVue|k?*QkDt(yb@m zH-jvV*27xU`E2cL)4sg5)*)P;c-1RGXP5@9FSqwgVV;|pE?l=iu-=Ou%{HraFW(+y z-*b%T-rdLZTkGBjrG6(_<&=5$Ihf4D`h#nZ`D>m$pUv!f|0UKs?0G)F_R-0_ub{h4 z@RJ77@5=t==~f-Wea{!bDoOc?I3$;He%0zrJ{^2{;pb@OZRXp@)o7m7Vc4p>wC6j8 zolKiaKig}ilX8|1^@F2AYrS8gBpko2SCdn2%KmS6NU z119}C!|Q816DejXTQggJn_10u{>X2>Ea2=GyVU*pd<1@E9r~6&?{XBP5+BehRUjJ1- z&%cgN`(*xa*FVdDgSGu+{pXd|_LJq?`EU08%zk$L<#`F)hzj*%ewpxOpG$iHx?+TD5DXoa->E9I4-@ZR4 z)6ZDt&*b~7K>gU|3(3EJ@?T)pKa=n8)}{Vg{n+il z(epF=+x1&%e#ky6<-gkWM_T?+`76y|JNwCfj5pC&biaJR*jHP-96bUBECeNp2p24u zAXaj`Bw{^BM-&po$l^utcY58DUP<%_3V~0hBdCOea`@yNfuy8^%L=TtZMiiPUzH%&t zBI72Au#w$7b^(@#gd;c?NJOF+(Ev%9=}HiV4)&p``~^u>f*4N-r4qs>WW-7&WgaDp zZAR@kQ0#gmaa$c<1sAy_a)L-f^fQo3L0Mhw>Xm7dB#mc?0*}IYi~oFwpqO&26p11$ z{ZWVl)Bi9Lg~~3F;3K36iYb5QS|x~AO>_ins}QFO4jPZAn+b(t8%SV#sA8E13QN)w z#i<0MFq(!f#>Ey&Q3-{q)ALj56r#YxshpeS)F4w)3Vi@o@%RRP0{_tut0lkq`QZbU znWP+Q$5Gl{M%qIt?UzQ{gDLG-M%sfY?QSFOfs}TSk@f&e`=OC`BBlMvNIQYjer%*2 zPia3f(jG-=KQ+=GNohYb(jGx+KR41IPHA@-X%C~cJB_r5QreA1+OsI_14i2Ul=glj z?E*@BpOH39Y40`CoWX&*7t z&ZD&JjI;qt`>>HVNNFE3(uOGQgGSmmO6$HO?`xlaXf5e6(mq9LpEYPZiS~0wT05nE z-bibuv@aNGXHnXJ8fm{lY5!%UJ%iG|Y^0q*X-9u?#H)Q!WD)*a4+G&(_vys+9Y2Px^o=j=qHqxF*X}20_ zkEgWn8flNCwA+oe$5PsPhRh!!nFoxt>nQEnM%uqn+Nnm`O_X-7k#+;6B}UpIO6xb$ zuBWs?BkjYK_M1l9$0%*cNc#|_Z8Oq7NNLY9(r%gUxMaCO@0tAxT>R7f~6O;IF; z*)D&9Tp^xomhvVu;WRU$SZomS7ePdUsXgJ%nv?v|{j`Dhe`yvIh1BV@9z>g@CDv*m zF%etM1lmlKCpphd_>f8RZ4-eabH$Qyd8UN~`j@_W#5HEZHy>m${lG5`k^+z8O*!r{ znHD4+GfVlHS;~DT5Bj!jUUd4uA9?i)rL32P+fC8w3;%gtXbmo}%Z!QmfQh)pMBHm8 zTy7?O!?gO}nN~k&l6>7HSuZyIr+W+&KIT3np&)6&E$N?W<*R|#;PTZ_;894Rg{(XY z{kP3LQ|b`&hysrS$&hLFFKHF^OQOfW2Say*Lxw^E-8CeXg+m6qHA^UlLx!77Nhvum zX(8e5G+;n{F!=eS-us8OJ7|?0C?tsRF#0SeBPMP+?KVH+`~S4(Z~TbYe|zYqe#C<> z`LFB!h=2InCqGq0JY19XTZ3Qx4#ZcY^S@kD2{1ZBl^`qo2-%~sZhVB~XrS1w(t%dl zg9-~Ut5WQDO*1RHm>sv z<;WJPzogB zO&dZNU9k7l{ZFoxlVBko@kY9Z%pu;CdYuxiAjcXe=#t)T!0TE zEV@X<4~I48!7hd36P7_-u%ztCBGHO?w3eD^@yw;d+OqS5yip$9qE^CVD~QvOa0KV3 z)(bzj7mOP$r5Td)RAD7@gzI+WAP#BHo~U|3OTdAIVY44A^o3k6isTPcMk?WCGlAVf zAy^ERApUEOF2_@74L4;Y1ydI+Da(P3gmc9OBWMj%g+#?DqKYM?3Wre4ePV!)}}>0LMShP5-q+F$Nlx<$<4S+3g6-I z%n_A#Bx{Zr%iVG`bIqvRFpml$oS=jUZ6=Ft*IGcMts!iWOM<2F z8wLP2Do41N;^iNADPcKY4dOH;9H|K#P`B{KbhW5D%T=?=4x8T&sN?FWY*6_?o2vHA;cJJq>pfe##W;W4#i zvDP^^bN2Mor3+hX`mzMCZTu;{l3%NBO;=9-lRBj8sB94914WmsQZ|DHUQ0@#J3w#I zzpM3yh~vP6mHu6AtuLgrp3r6{w3`VXWl!@f00CZ*x#aCV*Pg<2fk zl_@2!GmYeRrjESMbdlGYBJw)Z0@vHe28UQc43j%97;fFYKoQ~GX-Zp`f`^mO75`2Z zRA>$3XdbKr2q;1Gi4>A)l_q3rr3sl{X+ox0nviLhCS0p=%> zRZAWp++|3rS3pW)NIJO7kaTdDA?e^QL(;)rc}W)r`X^es8c+%n+E_5S*23V>MH53K zoP?@d7#_WVuVMFv;V}&%h$v-p{5%E;qVfKT{PlowJ!D*u4dw9{t84i8LUxJg#r^CE zD64;>zDK7;cfr?q^n>dQE*_uSK47MfSW~y>Qc=Qr(+ig~E#BV1bpb@CoM#Y-=vs*Z zyA4bXo;Nhg2xu|L7pRKCv7u|bH~~G^PZMMXATVjVTvD22=WlQX~CHB}7 zdu@q*S|UsdjrP?qWyR6qjQ8^uv>Wf=PJXUYp;F1MbCpcjs*(i@Ri~nhLZzzqg<2jT zIzOvE_<(8!@bbxl3+Y$%65?`jIDa{oyWFm5q0j8%6*Jd%v@#+~61&h*<5^O75v4pp zp*lMlg)R7d_FgeHySRU0xxVk5@%sGKzU4hrt7zFhCx@=Q=$#yR_vv%GZK?)hcrQNMb5YR~j? zePQ;RDH0tjpu|&j=Jc1~38GgJ6T}g8R9l-&lPHcCP-4n~R8xQ)uUv^v zUcyI%y!i7&{u259buX$Xs>GhC5_?2*3Q6B9T5AyHwLMx2>QTLGVlzF1yq0HBl;~|< z(cy<9175k&-Ut$d@p@ItN3o}?Hyl%sNQm@xG3gtBqqdL6mE0ouc3Kdskl=SUhYjKI~Ub8EdxBe6= zO2Co$nTzXD zVi1sIFF|0G{+*NJCe_B~$}pSXB?$f1+_JnX%;YqZH6ZR&!jNAJLrxDvo=OnELcc}o z5~xtkR4W{?_GE)_KAo`u7J%R%vjreaVaPH;{0dziX7aKilUIb9ye7!x55i3D2}6!g zTdLb9PauTNvI#Ly!_x_Z_OWf}NWQ5a%5J#Z!fbjR5RJ?4I3O$uonH}#ypkaPYJO*! z$*01Qf3=sBWYT`lj>rJDe+weMK!_8GVsvbLd;dtwz}WU3!z2BZ!$y^AR{S|p*s4@3 z_O4*D_?9P{v9s$%ZlIJcVaStG$jI2mns~YBlL->)o=+hRMp9{{9JzMU5|-!G6w-E< z8bdV(B6?aF@)Uy5U(LzmsVNW7_}CkU^by4G=;wr){3bz8AX#@#47K!6w9q2&&XNA{ zmSN{m_)H==DI?f1K6XAWH*X&vJ->w(bA*X3KhGj6Eagv3_Kyzsj}KZPBI((YxN`{? zaKC=X)?sit`AKg~|Sb$uM}J6zjncS?6oW_1pd6KEJV!gO5!E||{X3CZ^O9bV|h0BO4&{}^h z47r>je)ruIL|hRrW+BLADa>R!4A~zn=Ou&)O^U8cF$4YML%BXpySSP#cwmfs-|aN3 zboPVS5D@j`nHc>xLHw2QQbI(`Wi|O;mKN)oD80Ni(^rI<{sBS6Y=G_3KQeNOCRZ-{ zhh@O8B{2QDC)T%7ZlFbcHw^h+7;<|U^8GO62Vuw$!;m`&;`g1yVJ6@Zu-rolf_Br| zvVa8xYj_x8!Z#BSPdWKkjUEw!c_d*1LO&`DIX((M40&1@az+^Pbb`>IXUTF~n92EJ$VCKs zDwRVMS92QGa`Euw1uYj3^w`@G zD2RANxR^JFA%7f(yg3Z{%P{1x!;t?QhTIv3{DL6#=c(ko!c2Zi5V37=baH5X)P2kU zE5f0pZ)ST0z=m}3V8{$tHXqW z=D;9iIYx}6iNS4&#BC)U6(* z^5M2_Pr{ez156$lhMXLRJU$G00zuFbIaigRNC2qg9z#zd#2JJrt|0Y~w(K08*fBIP zylr@Buw`QSd{4*O5*GH!3gP8J#B0KW zd?*aLE)4ld7;=3WawrVBfgt|Y@h@Q}H-;e}4MRQ_hJ2hLerZ1uX7VY5;08!7^A#K+ zP55+}*T01!UnU6ltS{}Eo4RcOjH|G(5DY!-^#0{VAMPjN5>KWP?SaIqt^KU7(_Q5~ zJ_hsz0{QLzL_$Ej(Xp0o!y`kk(w;;x65Q8MpB^mhsbMBh3qyXLAdqF$(Vl64*a1nnm|E>Rg7_WsBX)#V%-QtR8!c^n|MPlUTU#eG?i71= zc9qaL9C)<&FWKgZF5-&&jPu8P=}BqEg?nxC^$ z)0@hrW3JODjJ^<9XFJvcIPS$d0uOhR?r1OU^~FCC2`$ zI1ohqB)COkhRU>*a$Y9NEJbZ5)oOgs0ZB40mr)dhLjCH!i~E+R=1^`HG+w(Y)mB^v zt}Rd;ye^xaTHL?9cR#iyS;Li-8sPO(g5cp4p4^{5GIk#A4w)F5oTTT0&dPf&!BKhV z^-m1Z$r}EFQct8~qJO*C5wLyd2(1KOGT`pP|B=v^iqN?KQxNgCAmZ&o#5)KPu-Tz7 z(VYN3lj!d=n^>uKDIBQIw?T}8x_d6g-hB=QQFjW@~?^$X-HjuJu zci%A%62$Hs%nF|jupl&r&QC@0)!ML~OTwkpYR|DFGC=J@LfC3v6J^BCL@NfLXb3PF zbwD&x#=?*tVaRjCkO_iVtgneO8D?^k1EQJbVtYB7X`g3DXp&qKL_FUih{j}B3K`ky zobJ7VAZV4eV{dTh0PSTN8sDyQ$W2D^F&9*~$xc)3MGlC@aw-gYaTqd95KPKxg3~`b z;NE#N6N#IR#LY$G>XEqlNZh4_!#z5!#u>^cnQbrgG1F>kH{rz4Fh10uQRid76@j@t z0z+R8vo$(R>MK)3_PU#pM3Z-4is(?U{N!b&#gZUm*>#8rgA z$nF&M>Xb#BdJD}g8>2B^I@h~MpGuR|!bh8VSL29?8;+XZM+3(va#Ju&; zO1h08ma5d4eAmH56XkmZ390?VFq74tN)hfI9UmYBbqVgz5b=W`;)g-RkAjFF z2N6FBB7Pb~{49w0IUxeIdqpe^a)1F5&;XPkEf%x{haombGmw3TKadMzjI=;rM3GvTH0IN z`XsFQi}#J41!(a76vyJMd@4LOzk5%8Nz2YH&fZEH=(_SVUv2QXjWVHkuXFp!XC1dE zM8sil81k|(Y~%w&r=-}uIVvllIZA)VQjPgu z+Ez{;VRme^L*qe7Im80~3OBOm>m3rd{svQM zor-%c`6Q-GdN4YDd^`F^i*v72jiAYT_wH3OU4C;AJ-H-9JduvB_V$k6cG^7D-E~%5 zS9ecOM`wFqS8IEF_DR@-RK4F!s~B(g(?5$C9vGV1*1vstP*feZSFM}fsk+4la?oyFbdC7Hy&6-Vt92_1WqE9G|jRK7>&i*zMtPMDAwI2c!ro#0AeaMD3 zrqGo&6d#DA>FUt!#LS@(!@|$Z16!H=R^eiBMunEJ3R{8x<;>2JofB;E{Ec49|Dh@C z{)_rYb_yH9w|0)w-OXSM$(Vl10+M*yt!~`l8`U z>z6SKjSSlO8X2TDS`0|sEd~S#j$I`(Q~VASpZsvEWr};kw3&`hP7Mh)MYG!8KVE1` zAjD1NNdI}%D2Apc21dpqrkgzsNtH4+I&|?A?TDO6+XmX|OXUCUp;#^yjg77po=E?s0{`-3YTp9h0Z^fZV$RM{ z8Q}n#k~_!7l`3n#MvWj`n#{gqc*oGl@TlldFs@m<9`QV&|9NsK6vH4)Y#jz+G;|sK zUdhnlR6p9-AX8Wiu#dG&QW$Gd2xLMl8u)%e`5(Yac2fRCK8!X0uIt-3h;M>?LY zuJV}R7DBIu0!sOj7o`+3QE@FQXB=mVYs<^;xtMfwI4V8&SC!|+x0Q9^xjpQySTNzR z89evO5{m@N2rtpRxAB3btoa%pc&bH$6|Y93s&bDWFv|huVsp7m&r_-uc z{h=moVK##$7RTD7{SG>`?pX3Z&u+l|+UyvJBXU2Y3LY>D&M!9Y#Xy-RtXRtm=~_COnLT`ttC93>4XcgLk%!*t*rN;$B8?}Nq| zH@mer*(1MdlGAYo_nv4TG2wd{anQ^ngTO~(0o$nfoZR%U?n5f)@FDO9whOCP>C2X| zpI2%h{bpb#aSR|=?w{Vbynku;?hSK+b-Q02K78OYbVi)|_yjLra2)+S$1yYIIBrcj zj^_%9QwMhd_gje5yBr7bD2(ISl)-V_cyk=jsyU9S8{+p8?dfTpt`jqk)#$&z_wWJa zHS>V(d@x_WHqV@2=4|r7wNB6ZdYUb+<2cS|8y;z)d>5T79sKmX(6x?@iLXT7kCK1T z_j;7h%xksJ)DG6X%+=N@mj83^JB)9P3jN=`wfS~9L*xHn#Q!(w8fPvubbcJ+=^rp9 ze0Jea*2-ZFZT=ADe6>V*OdESXHZ7M{X>&T!Qk1x+|1YJ|pGMfP(e*Rv{ADej%Q~IX zzd`ApDNlMQEI~hh9QCVoPS7{=nI1$PpsXrX7Fdx5s86rGlY9wh_#w`8vm?$l4-n_a z8R_tK;9i^3Vg7*Y(3$2Kf-Zy$<U;u1^7>C@z-e>l*yRC z)#7j0;%{@r|F0wcU0S-04|M(#VQ{8H=(y7Jttr1#Qhwh^uSs{oAMJ+PW^G7bBTb}p zJ@U2d{G*iLm(w%G8p7h+=i_YQJ^X<^OCz{OCc=mt_MZ8VIg%&7 z>eSP739mY1>#ye${_>W$izh6K>C*c?aGdaGX8OgSz6Z;jnH+Dw$;#Ve8Tm(TU^X^x?ig|c*UWA0t8BZ<_{6)}s0wvS5x?$g1iyRRO6I;@P&u7j^UpAJ6V ztNJ6Kw)p4fsXu#P=j%Uxe&(}_%(It=brlg3KEo?zJNEUh(s%7V@!VdntcnQLW5(0h z9(+19+9$`^>?{%#tL1b`_-N7MNc%}3|8qt@Sx@l-ecE@ZG^{=$osUzrIMT|}V}+Y} zHA27A^69I7x$>nCW%`-dsjRh=D1vypBA-xAC$wD)-#iv)(2H!ix&S^N~ z$(Wv}#~Z;x2CNL?2~;Xig0opS=5>k{AD_9IXpzsDM^h%pQ(U1hfvlW|vw4kGZ9U&? zi=k_}eai7S@hNZdC5O8R3!6g*9N1}qGpF$xG_ch#DNmOTb)a8^R=-Z;!dA$GEG!Sv zrejy02PGpNA^HCR00960cmbqWYitx%6utu#TFR@upLId36p9o?N*}b`oxM9dW#{3{ zEV9NpDisPQ1%kwqpo9=47!yGuhWH33SV+)6DoUaR6BI~@0Ut3MevpX42P!e~hbbC8 z=gi!h?iSh-Cb@TZ&iU@S=Y8+m>^?SxF}CTKtzW>4)xyZ6lD;NPUzj<=PiMK-q#CyG zdfdyoM6B7;v3cFvjwjm^>pGrp#%1&@D3G)SXuTyNB_&qss%U6H(S6CQEs)oEOL?x9 zb5!A{_3QwIE-Vnbyg#9;W!RP}Os{`+7ZpfuxTR$7y1Hf>1Cn`vfy}y_%H(R==Z=;` ziN2S&MPEK$JOF_hBUh-NZkhdConVJRtMwP1?eJm~VBqqH^lVDyPRcj9$u;31j}3w` zmhD#0t}?j+I5Tbe zh8FCA>?O>Gd4KCG`|)cc48&q`K;qfLObJufg^Nsj>PYq(V_6PA$G||m?y4DK@B+z0 z*dxGw_0sPn5h=Ccu4Wc$fp#us37CHyeu=6|Y7t{y&+)xvY+(%>0r+b--tB@Hn*@XW z63Iq;jBIr0TKxjhJX`Gz?r|*%&o{rBIQ|z6B>M>@dt{*H07hV$)zC5G%U3 z*KrLi8^zdU)(*ek+Ff%V7nQ-FMH$^zD#;6Te){?xvdTkNP}531s|D(@c^wAOV>5b% zS7{)xr~k{nguux#a0AF--OKw~J?U^K@7r9D{?%1-2!qi_Igtj-SOQRkPwvCu#;IVLsv|rsrJm}Jq)svV zeESgu%WQWumg5DLmr5dos(L12KYwHT4veIX4b>dhC*g1!&X&Xc!PSN~RJRfaS{BA8 zYPA?nKWU}%zz?2rR+5(9llJv2uaR z)Zu~-ql{-Yz+dp(+1k#*v2Ey#I3OW(Ib{&ryNUEby-{qWM~EsTZ7Zw3d#b0iZsugt z6{n%>b(kx4C@YVjHZq44>1Q+d4ny;dZRgAu3#MJdn1pv7ZC&Y=eL z!4o9uX`g5Y9lczE0=@=EtGRlgu!D{dQFGxKnR!>0j_`) zX;RXHItvligt&I1rrQA#vRrKLKTiziXW9W}RN9OC`qr1kW) z9-jUfh{3eCa^m}s5GeHs?;24TN8}W?3g$X?WdWLlrHt_nk%~%`(>wo!{s?(n$aNz@ z$ec>$ReI$4>-Z(RbDUAW2l*mAWRm775`BD+dJ!qo-+`eaRuN=xTg4Ndh>`h3hr27d zqh|aSB5q#gBvD^oeE}mVO`(fg*g{dt2(}UCHI+wqB0|QHI}Xp&r4BJfPLpR&wQu+r zDe`DWaf(LLN0o2fgskB(&}j}gwV*E|HA9%))pbkpD+S|053)e@-7K`vCR`9T$r_t{ zt_3$s0XH)4i6$fl!O;99cSUm|{tKW}yS6 zm;OD1iw)`atyocDg(>$aw&ITWna&a*yMFH8(rS3i)7yY^%}U2@ox-8&fM5*&1Wlt= zd!A`8!*j0hRj!hyE`09S1FLbpJUVZ-hhk7gcJ8a4h0ubYs#>PUbu%nwWSjlRq3B?` z{Ww?yi^Co7GlOdQ zX&Ez7+YE{+^LYw4OD0;{l286w1u(s#*XFSB6%;o?3eu} zHPyL_Wg<6%pK@BKq+5rGiGN0 zo?_b?>Rj#i{`>9y>#Q@(4Hc}%rZWKT3^=_#GZ(q#hMA1GY@AZiBMGl@WiX{|yPKW? zmDvE8iezXt8~huadq+-?-l(m2c{5b0+M?M?cg@84P!7Pz^z*90gfqW3eB%UrH z*MEVWh&J6hp7bW}%wUu>U8y->qb!|7;m%fGPZu=IQt>N zU~lf`{PIvLM8=xu7&f<;$N0l=DGul)e-e&*!eCLGra;w|HuFdNr!9m4n-X>Q8c~u;rjD2s+6ucBV1y_ZuFS5aeUy2V}T)vDC z&4U(}IQ;Z!vwMEj2MyDkADeFQE7x^S4>)U%h!JXSY(jJTAWWfmY7FH;`$b^9Vb~8ZMlI7G4VZ@e!agVz=#m-H3qHIy z5g>?Up@9t9&BOSCFOfKYPM#4KhSXayAf+Ise*T-Vot=~RYJ zt@(0~HFTeYymHc+a4Hf+I0K@H8zLv1kS8505};$Qs-Sv%&{TiD=9wIp-tcpRb-dBS zlq>-zK=8vvix_m%!{iIjx=Ww$(Mw5Yjb?X1=#e7q*GIWF&W5NQgPdS6b=(RZ8Gw4~ z868Pa|FMqJXG`qKl0?vn!AI5(7LC(Gp^`2}~-d*z%1FJsi%8+eJ&Q7s;RJbF> zrPCSp+$EP!zb($wr$y1Pd~Q%9wJ6~$r2;VX03K{2dZjudXvw>I@Zoo!u)ENOV!j%F5&f3^4h_mV}U| zAqez@bO&w!5bdP1`pl8ELIfjfjyRNBeRfjUD2%Dn+zJ659l>TDZiNsvEKoZL^&+?N zLb4KD*ZHxuCdoQ|4V}j^!mX-y1RC2roE}B+Q4q>U32BaOW;0BTcdH$BB9}-nUzwpm z=@Ii;{IEAbyR*0cs2F*J2|VHTS0%-5tnEfDGx16yrEi=d{>UK-SSuVgW&NSMMX7hZ zkb&|&eqvINV$NbF&l{#`dPA)v2$k(q`&3B%c!Rp%L;r5@ERCQF=<#cOFQMRqYvN zdorluUWYnx=I5m=P|u6U4R36cpD=m2AHw9TP;&6Z#X{OCn!&TwKNa6aqQ;Yl9J4ip zcQJDL!;dT5`M+&h=m40x5_-Q;8yrH9Qdeh^vB>Q_YK}AgTGq^$C`{&C?;UD9wj<== zT|eq_Bp2`u;HZ_f%LbOpTeK6#zs}5F6@zOdqjA0{Ja3kXqS)5KIu{oo5}$Ct(Hf9X z`Av{iplK_R`8yEd@=Hla*>$30`o|Nf?ELw!6|TV-tJr&vW&UwDh%^AdAIMSmMp{~OcnARq0P8#BrV{flZHW?*)(hlzw`v+Zu`aA!}?Ai;UlmJ zCDd>h`}9-eO~s9%FR6>*{$1R}Rgt&KJ<*iVO0o}>w8u4JI)lQeTx>~dn@OZx|8y4k zi*yE`y4US^p5uJe`KTRacr#m^h=o35=(H#QP$8( zm9N6>_VJyj9D(f~9ln;>_#1pmhc-<5P%tleY5E8Ulh6kxl03**=3g8O&<@Nr0y=Qoj-1Cm?#&Yz;vzG;(0a} z3o+xaz_{$Dn?#&z$59qdq77m9zS z#J{Yx#&+;Y8+H>P(~+>`4G8bHJJXQY7b80&7}-8|MvDInp)Sx?VHLq!>P~2e$ARUe3^xT0A`PMa^=w;hlyrTlb~aYosEnOtEifJ=`~8UU7J>i>K7_+ zu!|4s7r`2IUKhPI-Ev188{-C7eI)W@jO%YJ2yFY%=Jf!{N0NPansIPmXn*O?k>k|M?Sw$__c6T#45ypYu3j9@lOh5k8hpA^Uu2tcL0nz#n(v*$ z?!oDzh4Jxd*IrA3(}eDn#Lz~Mtzp{6N+!Dtly$jV4sbP*!!j(Wwz(CyE(Gn8JI|-j zO?tF&pss+xjxwp`iBdu~p&&+H&L};hESB@#hV-pa2@NS~-6c{B`)*F0E@!_~k90-> z-XZ2{+O5+Ri8{LEKr$l@2%GHP3YdBq8S}sfpBP_lZJI*mc8BsE&XKahdyE7Gg(=^Y zj95d?41hR2)z7q$YCsn8UB}XN-b&DK)a%HiYd$-9l@`;ck<@p)dj}<;^M))+z2_{* z7#RlaRfrr198X5_4Us~8%&y{?f~IxWL4o0f8tYnpj@7eTeKqerOLw!+&MdA7?wBTvG{an` z4Nwy+&CMPbeBY>-Zsjz)H;0Akde9Vo?nw)@mX5xnYZFg5kR0%;wDL}i6vntUyM1H0 z=T)35(_n2zXf?#^uAxj(Lx(w{He|*1jR7F%_{6^29j_CWIJX(N7l?AgBq6u?@IJIZ z$6CyIm4c-gJFYg_%7a7GdA40OHR#paeZ|&&SP047%@`vsl8PgX-0+ z`CwUE<9E+f$yGmPT$*%$iVwSXZ`Ll!ZxMo9TNGx}$J$jHtj z2r6xS4*K+aroN&r_ucA*lZ~;3>9bZR!|_;yFlBAFd_pd z#SK&8mhy?BB-747RDG(4S-AQ=c}WN=D@{cnScR*z&CNj&5ul@*YjiVw?3O%VqzQ;q z;bOI;J4h0)uKFD_bQKW>`M}qjS~sytXR|BM6&rA(q^Dp{-^e^8x~8}Icxe~33JI(1 zd3||4#xM%pt=aT6k@|6j4VMni+R#L3jrNV?t=!0*CaYbuO(4JjmBemUJ3Zx9@vq1 zEIiu8`@pq&soz{9V80w{8;8i?GE z>Z}jlfxPZAM|)~{{ScSgkhDc#?`A%f{Nf_m#elMnNtM9%do>pSj+ah-& zM`F{L+?^xLoFimw0NqnU!cFJObwXRkTbO~Hv>}{r#|;>c_U1yxyC5Hr$8L}6n}`H8{^lnv~D=_gB_{e`oxcDmg~6tKRxwy&AuTrvMGF=>)_0#jy38-0Ad@z9(wmNNJ5^`-|`DD1# zX;3z(ZhNk-`t(0!1Xk1LWu=$dI?&-9{W`URo6w8ZIwABs=l{W--mm+HU6*jbc5<$h{AaLuZ`-6!AO@U~S(U*Aq|w)ACog^Lxf0cICC zSmB@>9L58DO^SQID7d*EVp~iO8|BwKhN*E~)S^9u6L+-BG%#1Ftx)a-8PW8)Cz4+7 z7>3j`=yV#2d#xF}RRP)hrY65SRq`Wf{I#3Z>`GO)Pu@Gj`XP}-P3Bcv*$OtYcVe9V zv9^4S4w-e`La*~oj*T)(B-Lll%PIkKJ3WG=!+yPF zqN_=(2WG}YSenwreI?xMh0g>&jbi$Gh5|Wekf$2lAk<=XR8LV_ZGV3U$m9isT9n>< zckv;vd$9$xM)6YCzp_;`$3L<=#AxV~wM$LnTqiQmMa7hDA0AG8p4~|S@UKTv?#E0~ zv_(^y)rj4F@XXkGrm&qLiOLZVKdA;cVv1?CtvIU@lU>9Gycc=uOavZ$fD6~_`{^Rb zfQoj3ue;cs-qcZ7O4^X8LXhO*j|m6PYJaA#fFCveS<<(QI{F!kZsH2?nk9&9vEIbE zWlb3=h{bXQ{g3#i5po0o_Om)8T7$#>zyxwsQ89&5k%^o#7R%f#KBWh)61%r)b_WC2 zbWuffW;W(>R}^x)BZ>Q0&z<#i_eGEtCv^cP4N;(eGWHA){o!+QyMXOWqn3=IRKra@ z8<$cv5==Tk68Aqen%qvSgK0OOY>@SyJLb5wMR$I$fGRbU=BInU?ZqZx(8`S|U?%Mo&&jGBjMm@~= z&MTq!{Zm&9`uRYUOn~hTG~;nYBIR*QS>tmpn&XOX&tsoyh<3GEWSN;yj5A$$a>t`! z*_RK)sk|2+0tw56lay_51#E`5aQjn--cBZPSC~z`n2AzGd%X&E_2pK(it<+XM?GEl z3%>T|^F&bQMRWVyK%qDJd4O`TpKs3ta=r|4LoT1~6mgcOKOL(O+Pq^;(79qF2)yPf!17%t{>`7u0GTcy;pn?c$ zwa%*q@Z;6@HEfsu%eRc{Qnq^n4pBwap({iwkGT0g&L#+f+)3r+*$l;~JiuI?Fok6zsZ5fC85u4aTW%tDSt})P zE5Xt|_Lfk7;;6Qj{R})+Fz_=TI(yRVn-C_dT7SBLLXp?H)oxnw;G zUTcolJL7@fMzyG7PD%gO>v|nbBG#FL z^ySk_ur*aw(TpCGAMSX-O^C-!#%AgKL1OymLkdWt;tiQfg$}E>X2*PEnR;27K47VX zXAReorf&N(fss@ktizJHkK04NpK#DwKU2ZItXHVE0|M(7cR*=uaobRXyoNlylt=|~ zGVCg^t0X;6$yAi^W+;ON+@gx;4{Gng>L6xdt|auIdQAysMS)2?rOp|k5_jPa`-4+% zo32)?ix3YNH!W}0nYA4Nl;SqEjeWI$nsMbXJl0rt|9Lu!nu%8T^;t4;f;ThsA8 z-vgxAl(|MH!P63E-)l>#$hVr|Mg%@SK_luubsE+4MZ}1`*J%G8X2ihy&0~j2-3sG( zsYyolKCP+46?W!@MU^R5Rwu99;QDO}gU@0ADEO6&M&=H<{nUu+Ad0pRYp1HNDviI$|s6i+2C*UsbIV?qchxIW) zh`lDVCBhd>_P22`Np%5 zgGw|!m&vC-cPo&8Bf1lgdBx{|(qmu*2*_m9C>fJTLVNOMuiwGu>g}T}*`xXLi#1RYxa>_3qC-uDyi$gr<#;bK~uQXlfKs$;Sk8 zO-JHf8?A_B21?RmbBQCYbG?-Nl+iR%I{@h?o_Z z5NM+bR&V&{GDxc7Q0qzY<9)dq>&=Pqi}S;Du$Va>(N5Yw{=MK(XtUX6Uz}0xFR^o` z=tTCAzghIXzo~~(jpSnwWkO9>hPJ`lo`>_z3CCB!N*is5@9z8KkCNcos2(4tYvU^N zFuBDOUwBm?A+9VtoBU#*a8pecz^OmQST`o{teCwp$3Ot7H}bQ-0&cizOdbOHLmR5r z;bbJPyWDEAvc$CKGPWlJN-tM$g$1`7TVma(9lwQ(OP79>Uh*(uQ+VsA?4PecN<8Uw zzO}B}#&^n44ojc+KWm9n!Bsn9yJt}QB=NLbqv4`wAyjWeJfU6dxN?tS1Eee3VFa07 zaGXGPcz}_j4tT%c?wGw}?AI}X^EIkdwbGXu+pCiRn9abn_!^T52))WeUOb_ZR0b_mz9?<;HP1RR$1 z(9^VSbStYPKEBtk9KyQU7QbM%G4V#~+){&WH?FEW-qm0+`W{PZ5A57ABF@-TRQgIb zq4JT)&jV}uUlxa$R~U4f8Nj}t*3Z0yb{~T9%Z%eS!Et+}BtT(np))Q@-B|uROXL!( zs`T@W@xWyA`r4lTuEcr`!P#-VQbg@})#(7{qqhfLiP!O026CSL@P-GT=dS`pfN9{0 z?;&`=$*#s3lQyfpK_b;@ctq8Vwznx2<4C(gnn|F%kr*b$rV-krdIKQ~k|-v+v$#WS?p1n-}dQ6qFxD^&7N2JA7l4H!)B@YqKT+cb;rq?csMw0 zY`_Csm5F&LMle@d8bAGnd55Y%#Mo3meT-RykO3G_HFrjZG1indb1qpV9*(BM_Y0Nl zWts4@$DqeoLD$*!cH(IYv04>PK7(D*+ zH!En~Zl~_AIkh$4Z>)SCRI_BsX^uqo9DP}pL)2J_IkdJ(RpN*0Irg^Qkv9J~W zQYWjb?@h+ZmhwwzaE9k;Jc(`ITj*!fx0!*qN*%T-yK%c0)JTkw#?*rCP<<@f3F!v= z8-qNE2=JCCM4=-#`Ax2aHJ8lmZX}I)vYL0}#m0-yX(xm^+29w)5z#pvW#+_ok}d@} zSc=^&7Q2Py>*MmwEr5n@7Ws8)4}`^Tvj6cI|M+ot1BFNCbR?FLuwfQxPe!1+fr9NW z`02VXz~wfOv9Kd4jTdFQLQbhz-+xKDsLMdb2zZ|VfV}VTlBLo>EW(`pL0Te#!^~oS zvBKnu!jMK6y`^#?J!2uT?bvxXF#V75J_cT_?w?Tbk0CwAndRGvex>or@iGiGN{qol zj2Lc$Lx-mJXwc>7vpQ$smz5@yg$!q zFwjfMX{ccL?qb*ohHIbpUBws64syKEVk-H_o+YhOk2JB|!suTEJ_SIid&NZRPfgHM zv#W$4(_-qURhIw5#IH`DD9HLkLRschKXtyC_|7{YUT*k`{oI0_Ud^Ln>ukicB3&8C z>vxA)r9?LU8t?}AuFVsM_}5J@#`TZ6Zu}E9su~=Gi^&28mY=cA>pWb^=V^+3#1`OQ zHipuTZj1Ykc%BLA>zbo~+1A@JDF@UR!|tsVl#`g=E=f{i}ii#;x1XtG9Y%QVUJHHtnfNEZqgrqpcdT z9Z0F4*=d23R%dLk-;eH9G{B4Zf$+2Hep7e?^q3&}-xR!kH`D(t@G*F~J~2k64;ehR zA^?hl#9|8xMjM48gKQ+R0z}b=FvX*IyT-2re;3&=eJi*iordu~tb7>>t`i~WFN43E zHDn&`!?5=IMmh*XYha5?vvkEg+OS}G4Roi?=XeYBvpA{Ekvrk7Ve>gnfvFEr0;TY9 zQKHJ;;E-u5z7eQSymRXo^%7}lh$TgKxzDNHq$qbe@SB0fr12`tCF(PO|iWd+pwg{_g?}4E5@5 z+%s2n!AVhO0!M=*Kr2YtWxyYX+Afam(gfjeAd%ijei*-%RkzF0QWXJMK zPDJo$otP6jH69@`|W&#Ta4m-z1V%4yEyxoOx7LdZ4sIlj9}>k5{#HKZW1bh(1u66 zddwljB#{zJjl6G!FYm4z>19vF>j<$NAFJPE1=A=Y(-h~Km{VZLWW16dmw^n6yu4D{ z=)CyYbUz*%cUcMxli$KhPK>S1}Ph=LC8-MmxOq+|a665l1LU=z+&Nt1h0j1I<+Wr4=t z&^xC%ohsZoNW(SeQtUgSVjoRD91C24Fn2Vqy?I7>4#4j98sx(hEqo3ojH?ol=LqrW z{b_m=L;~Xi+p&AJLunFjc^-qb6LKlMVW-kJKjaFMIDnV_i#dOnB+qKO&D2eOWXzJR zH$JHOO=`bShrKN?xdtifGOEeML*{*9aG~y_+)RR!t|48*qGo9fhZA1sl;ee?Diuc{ zf67n1b$Em&r5N7+&&e2tj;44%}`p8FDIFymB=`DUSpI|RrR-6vtg>%JG}Lse>ie{ zYx0{0czIe|AbZMq>Ls!(=AV5pU+?}fMcHbAM+I%Ig|q;-&1NU->?v0dk0IkZ<{|HD zxc@IA?S=#*)vy0$hv^S4$%HR|A5yTfmjB^KfHeP*e-;`$hz6#F7(+q$twnNZ{(?v# z@@0ewBl1_dIaZS~CNr2EM1hQs1O%zHpXS%NDy=l(4H`!@R%s zs>E=IG?OB% zkA_F*#r_XBUu5{+&Jl*Zyhym%`2SF|%>Ng)k8=N^mh{gO8k)%PyZe_O`V`Ro$(#4l zFR#f=%{+C5Po^Fm{z~+v6=D93fd38u?Ep za&LN@*P*sodYrTt$F81V(X1b&l%ku^zVGvr;Ql`e7k?6VP7l74(MG$tmKO0UEyg3Y zB?DGUg{;O(y_Ar+1wI?U;}{)dQS^W zFdX|bBK9(Jhy-mc1)ZR5zbJ*llJ@1L%+X7k{g*PAFJ<1|hLgfqgXlLtoO{(@2Ve!E z=5jr}@iMn+;kW3B(K#V0{8d|hE_6HLc)zE@Cx372L_N%0X(lRP*sYmxmbn(*4;57N z_T_eYFSiR5?F42`C#P+{xU9v$6u11N(Owj-aOGtaUB*c&b?vz3ga%Iz*~iSyk_5vA zMoCq#l5+7TB)()+4HR)J_k?yGJB-Rr%0uab`};lDPNqeA4^Qa1wKw>wdve@do!;HI z@RG6iPPj(7;To)5D1S z6uzL*6y8i5I3~Tg9^Ja_`VM(?+~fPs+@E?|crab$ISB#)ICTNC0n-_nY}bYQKKf** z%>oS#hv%EU;8&y4eju3_%ax$2&ffC~Tzd=Ikvg*5fo^0TN1ZliDgK zyFOxcS^KJb_bLTUB#00kus0g{3LEyi+zS>#?P$gOWorVopz|mY8PHCS5578BTrIDt zm=@tD18o6&v$yt3Q2TqX4@s<24@nZ^NFYi)(s3m(YdIp)K#x|p6X3(97zeqj%xn4D zO=zf$AjuA}*?8*IErcLW;q{{(P3Wc`sxoGrlSLWi3fMbONpyn=yqy&LX%KJNYamQa z%j;^ls-Q5@o{#v0cry*YKL2Y@^JI<)IphZr)Ni=bAJV`6Yc*I9%PYyxZ>wCSFX};K zbvJ#)t7N1ua^2Mx)ABt((G?iMLY*5(aS`j*OQtG{?&@!nCL7x6%cuy{6tuY2-n5+| zu~3jsycPYd9;Xq1)ZR}?f+3jKZ|{AptZ5aWMslrc`|Ew9M8dgR>+Fb&O;TElWlPB= zD1Ipb?dDg==rQ;dLp$&ZE;)__7|0~CPEfGomT8e`QDNMx!kXutM3vakG8q@Au=4rT zwF1sJAt;{R23=QfWO)?W`=z>VX4plORh(!-$?Bj-%iKY@jH<9-%bO#>98dCSV5oBx#lU{8u!;! z98y6ba$oI0w5xRkxR7Uj;+yv9`xfMrvHzn1+Q$}ec9Ss1Xihlo}~V?32~YWuFPc|gg^0v-4U19`ZrmAWYuJ-xwACN{`8TNS8w0HQW0CKTuNKG5O zKUlFUE_mZNvI^&2osws~uJo^{Mhgtdl};E!0?s~4#kDVs5|M}_=v~W-ilK#|$rAVx z;w22)nUO_jT}ah+t}2E#9{bVd%tI(8(c_JwaFa7U*ARDSphVn0962cNtT7|9hwf`N zmG3tH-M3wM?hzv5sD-`D*${@3Lk@CJdxpRPw>1&oz@mhA(NGamH%MX?-2`K=1n!Gq zXvI$$U$9ra9ev-1qI?ZMFk@CL&O$H#6{L3 zDQHOv?nH*SYeW3T=EW{^t)HxzPZUMtS?nCmtgI+LdhusTmCRtJfgQx{BAxPS%I+|N z@T*bT3 z2EHt&#K&zmKZ9C-I38_pxwCm!3d%;k6W_fHh0guhYj8*8JdbLs?h&T$S(6v=Uf%pb zHQKt$YDvIf=kUBxzZ0I2VbxMndw;R0`4xtg(LCk=rqcTfH)x>uppoq&;ACaeBu<0B zpJKtw^|a^Y_xi`?D>XOwt4MpyABgtq4s7`kbrf>KP1m5IlTdoR7hmKTx#4zuir$Y) z5<7wG{=`il;aVGf!k0wqUD?JqQ?r|wTi4k`7}FF1Pe40rD*ym)Nkd<8<|+qXO4yYp zfb)LZE(BzItBqSD_llTC^71KH16~O=i%L=-YuWJVolzcPFZ=+eq#NO~p>yasnUtHx zDp`jkS1BlT{C(L|uy$S7jm_DiTo@)#v*s;p+wxhZEf$B(ich&F;Iij8j9>vvikxzq zNjQV5{_hS|TCP>Jf$yVV&Zq+PFtHo%o#Gl~z?3^WSQnC#!;+I8r&@z@DffhlxtcaY z8TZ`?SB{0P#Wz14AYV_RGJh}Jmb!*suD#oLC=Q5&Mxv(k@+&b|HC!Kv=7bG}h$GU~ z!CtJjEI{)wVq{tb82I$9BEh8woovR*AyJ6>wlZLz;v(el1)z_t!jJ!!KP*{)qP9729OUp7>X5dz3BAl~_hTd?SE5 z5m6H_hAV+r!pWkQlrPWD{GV7+k+qlZPq6vX8px-apOeynzED|12=%D|mkQ&2AIC7# zghN1%P6RKHd3e2jMuQieri%Qs3A0`;y9qN6b@$X`_$wMHT8gIj($G2aoUD}2P_IRy zA%i!(0l9}f?@_TF`-knptkra;&(5`$fPZZKtvaQBCE~7sr$syD$~FWDF9IKm)M*HD zw_j0yklU`BJ^-%|0fm0m1|#ZqBzsvg_#tAD==qe|m5F?%pFQdD&o~e=YCzwGhn0ot z1x5+l-w1%n^)cv5vT*q-iN?;Y*C)4FNDEh5F@by?<6QuQp2?*3V#D1!X_{Mus7kK$ zuI_oVuGbJ#r`M+V6LhrBKjC+(bRJxRvYj>pxniw11gUL=Qv2`yinsUW%fHUUxlcR| zy9!U3)zE3*d_0g%WLZBa%D#GmCiS%(iF$JCD!~JJgS=2jaZfw;7Zwc^g;~7?FcjfI zcV|yCgS8klqavPHiEBawlW|N+??`q<5UPapb$YD}lOFe*(X0zn_ng&74pY@fMZ{lR zeOc|y5bclZU_7K~_5;SZ=pf1@&&AS6 zR1(jz7)Bv?c9pys>N=lWtHm|)X$y=R7hAgJMz!4BjWcltQ|D4TpFD3(P6I(^VWp}U zymX#k2}NQWWhV#K{? zBHLySj4i$oV~;`w=i=ZM(bet@wrkK;qbMl>e4~Ie?ryn>&{e||M#FST)ucbP)=zge z(Pd*8yT?KnWPX*J8Lhbw`I#&Kyi$yY-bSqzpXkBtN(bHsopATBo#te8ei^%mI_eW- z63`SRy((A@YQazh@$6ubrL|ZI@Gh(B&Isu(;r(!WdQ{HEFc`)g{q3tY1?mpY3vcpP zTB6IeRnbpVFYdWbT?H5HxzUKX9jeXqBZOp z10ye#*kkhw;JtkKj-SQ3dtCx!1e}7Y$u`8!b%mtU&&o$!%N>;PPOfl*LGQ37#xbV2 zHbpJQRM78Q=!w)e>(_&cKpHiS6~)e2my0sJ&GQjhdAMXIlt)^S&ibNiS`R1Ry~T-JQdmD40bLOOI}(Vn%$)_k2X@;x$- z-A5wnZ;?Mo$eTETm>_1;i-CxY`tGQ`%)DaP;qqv9SXrGzzR&pDhwiqfc6b0TVe%4k ziHuNUZmT~d#MbTDH$y)_@L1cH)jBG?if#^nWWjLLg-Mn6=*Sl*b*cGIedfuSY9-)` zaXP3~GzuCf2(}B~iSrPXL^Gc?JnLMtM&=|N|RQd-DiWFUq$Mu{m1F@I4EYa6Kk zS1&8=^))j;y4}^5JftLx*y=mxGP$eiBP2(9Boo;yFaM`wqNz zr5xefn%a?$xCAobPEMIYa*kYo!N2vu76K_pOd6GtlOSJQl3`@I}_#pC-l=oR3XDz)sOeJdPm7}go*1S3TmU+&%Nn6TfR(%0z$^XM2;>eaR=0fbAt4D zM%TzP))9B!Bg-gl^bj(rvWNTaDc5XFVV^4}UhVYk*}f*azHJ3&&qx@>*YpcwirbRt zrjQkqs(cVM&n}nVdF7!%q^Bl=PTd(z2N-OaI^v$xbZ2y7$wRo~vlM|idwFK|J~nbN zafa1~dKB!$w`zQOVOrsPoK2ESv8=ONmv&?p=;gsEYugzzV*FwLb$zS)$Tncnks0@- zdwK9=8!5dBPFv`mzTN3_X0zF??U5z%e2qVPn=5F-c7=a>d=*BdkyrS9=;7g^C8|9# zG9+faT)p1I>oz%Z*zDHM2H<64vst(!rBMlMPC%aG8X`apmR{)hqPGnQl>OErO{F9! zfDH_IEVo&Xl(1_|#H<7dB%bcAtWdu1Vr;(BOX-YyjH0I&-+M`_e2BzyL*&8f#MG%9 ze*(Gk^gY^qDhxDsMVQy#ES}|1^+W!WYbuHoY=r`E-RZk*d|35K+#zqFX2B+Hi5n}a zUbk{~PRfXEsYkc@43%JK%cMF_J%)jf*YJa*BkIlm!N>UAtQ!Z+il|Nm&p&RM2sp{~ zFfhl3==~|M`##l}nv<(&B5qScUSX+s>S~}BM3DCAk+1GMjh0=TTsAOC;;-{*fQugQ z)#PE~Ox!ZTnNyQJ6{;jw1Z`PqSu19$p3ed@=rFY9#+3*Kf6owxMw)yd#*o}kXwG{U zAK673Z{L8^BWPPsQz?8_p2GYy=4QxQ)b|CMrf+uf1y8ciF-lxrD;llNCUJ}9lmnoT z!9QyXL2IprTBPd-gw)eJ8uCVQt3d_rHcNI%OCA;=JSTaST#xeVnNlGqWEDx^ue0jN z!&zSb0iIuR#*;ExY%GELI{Kg|)h*4B+Tth+{R;?Y;^R?hWm0U%ss-2S?>@E$dQ8?A zmHy5;(%C-B=diP_kYg2Ls8mlPS?&NWBoqy>x!@V1`O2dU1)qQZh-J#OiE|f>ntA{~ zr&^43?Kv3%QE-JT!zsuj6nR9qU*t-|=~_N!+s{3=<%sBJb?D@9i?9|F^>sPH(h9;hhN*qo>wPe&EDUbTDye*LIiZDcu%EzK+=bI| zw)cg3BV#c-^z$lp zpZ-X>#<+EacoQ3^7D7zxL=&4gb!Hi8p{bl#dS-x? zo3+>~__=u}IN29T@@07^m~0{T+32#vQ*BPfLT^sLy5q&+vIC>IT;Cj|r?HCiC^(EN zSkdv~df5?GQl4R_mqY~d?*mOWl`FmlcJ2iKRwKmVyxxw46Uy2tU%R#Oc=1Q~xFc6m zp3`L*8L;DFMa!t(JLF9vs8Os?^KJGpbbHHfrAJu0b8x7hN)R%ddUc(!6WlCSq4r{O z*6!-P|D@NCt_<18ki<=-1<)ik_o z(8TE|Jty_H4)%#MzPED3p(#pgD^g`g|4nxmRo-eE{CwRLG|vO8Xy0&#;&NE&3II)HV5Wr{ULi(zZ3kN#0(;0>DV7s76s>@6y&LG+G!h7 zP;26$aOhs=p*)f?qKPbJvX-%tD^(i5uAp=VPlW^nGGm#=#OjO>JQ50lWywNWrm4^A zHk>+H(~1B5uLX)0^h9;i#jKdCA1qP47JnYmNvk=z-ViY!T@IsqnFl}LaRf7G4*x8S z6cRxNaT28+gw-3%=1ch+z1unOn~G(wCY}!*5_n%a?s0MU2s^wu|5`2;N#cFIT`vL7 z-zV`X2F-lF5wYRk=t&DHior8RChsBzB7ExD-Fdih?)YHI(DxO9lz1dqU@&|=B_yre z@#REB!$zkg>`p|>ur63xKV89HQXbwzoJKnU6ky-~bIfC-R>?(n-C0{-kMnK(c)kg0 z7b0qkgKAXDUK?wMR}|%E(i%4m+p!-ifB*bZYra0UT7 zNX@6F^0#L+&6Sz#^+OXJp(9Pgt(%}=zC-cd$O7x(iNbC(1I-G$i&k^SFFft5n*5;D z5fVJ=lvJ)cSBk0)UoWDKmf7$}w?V91kdN9@t8Pdgjn&SWGd7r3(ghLaz$iaTR&t4W(WheGqZJM_zn&hs6bf$3AE_ z3Ksb_jgsGz2b_xOvO^z!37;@(aKm5x&MWD--;{eECUAT;X4$n%GK1s`eUM1} zv#M#KGGQ0)->t5dD8Mfe;>kM~>PSe%moeDeFoD?gKTg82AMiy2WQ-#D1Y=(kAauOC zxvbh=Hd@$Tjkz?K$yZ(zxK zpa)qHjA)qs722Ip5NYNyyxkhWbgXl#O>r0*4KVVQC{X1D?Ru$vogpYL_KF;Q$V%u| z#w`0tu2iNYVq*f~(JRfUsz_m-9o5j>nGE?u^MqGKt5@wI*(|W7R*^+avRJOleY$&VP4<$@oTP5^Mg(KD@N`??DL@tH z-&%xhxFjn}91FwbpE?V^fiAn%!^5J;LGg%jg4XS=qVfxHPg z71v!9(iFSb?j;G(#LU+iDf{O>?-%mmJ&MP-c95=jN2&mKfsvyXb4Te7MMTm}JSPQrSEE%BEKZFw%{7 z%==A?%(c>bo4X*~yN7Gk`*8J zUs_sr;%W@mMY(?vq$w_K1aI<6qz#Y5qwgMlg%nwP7fxJ`@oxr>^Q3(mryx=M`mukK z5O^l^+qizt`+AE-!$5~xRNMDC{663*7NxOn2p%GyCEMU=95n9ciH`fjMCs3!rnMF4pjN)Y z({SFsrNa%rmpHscpuQx)s6EYp=&LGw4WXxTFFa87N8v}QrYKjYt&{A?Pb9E(uo>%P zrOO$$EYr)NOM;D&xxT^|Z9icm~ekHsFrwEBczMOCg1~V}qBmdyM!|~X_)z}>z~EDo)x_;UC68W|0L5^^8df0}$6rnic6`GntN zHkkH3;GB|BP|Jr~mF?%JSYq$9TXOS1oPugw%>HKLAUw@e58zSqN5VzI)}0lVc8!^@ z5JcP2$yp*sLJ-Hx54__0P;5nMy!$v*v*PX){UHx=W>-_xo>O5dRh8z>qaqb0y!*;g zqiK)K`?(4mIlJ*5pVP&17lHa^ilpJmCc2ExCqVWKKHSbO;3;3qQ~y=ZH3_{!k8v^( zQ_gb}bPY}-YhKAlt7>B$QSg;{^f-$(dZy#m)3-x#%_f=~HZ@@7g_Ug_Zuab@U1;iH z)t7E)=r8@bT|<6UZEBEVf$)j(BCPd1XZm@$? z$v6sfQcz=4UhzaAw#u~8;x@npFgJl;gs8n>bZsE3T}*z|0ocBx)vn7+!)UZu})!fuD&BNA+vrM5~@gQOAgCN zpk=-dQdpCdRDq3)LPVrR$HdT?)0dC;exKFAfZ|n*oc2A;a;-zy3dZbx7I9tqY|1}T zENScV{{#_?oYUIBT?f=Rimw8LsZc3l`J(9pquPu1!YCy%kTCkbK5gMqW$8o^#7L(=5>jH*$7cF9|@cFJkw2lNJjj8dSeZ`4X zTYpDqfTFp9hPxsvJXh>#Y%-OpOPMiN)(m|bw~tKrK%yMl^ck-GAcgo;CymLickNI{ zj#(e;zK6Na*YmNu;7V~#Tba=>n8CV9T`^Z^b-{rD*ODcH{&jGQfB&#gWRFT0gbkcf zwjZCTF|boke_%GX-=px<=DnF+Cn!aJZa$DJVryjq(6queW_}55&+1xPiG0SM8jMoz z8EUwSAF`Y(LHHtTidC^r6G^o3CuEjZEux=fn@dkGTw^^h8r4s;v* zpvE)49C6Uwx%jmiiqLqdNxw{M(hb*b4GR|C@v`-^+_zN+6O@%>M6w$`4%S{FVR&6t zhJH*EL&uiJQVD#dqsJ94HppXsRFM7D#WRktXj*@zG(R-hD-LDp{{+}e56v>jZ2aS& z-cHWF0k*~}tJfr8o=%21PT^Bz<-+l-1m=cPqX7oFCz_oBfop@Zlv?y+u+NF}T(It6 z0Q?2A6Wlog&}KBYM%BgN;!bUzNH*9it^Yfs9W40&5uGIqRcT`pz$oyGtkgPdwO?mU zV7*c3`LJ6(WEWhQ*!w{QTTyj!F%V>5aE1};mUc&m1J35rtCS2^zZ!r0Dt8&7b~*8B zjteSrQfnnTg#+&2Ko+dW^J+Sq<+a3G#X>JNpdc|Y^z4Za&&f8kJ z#y+6$s5ImpXw66M5e^;s7&nC0N!6bX-Omz@V&gB&qm%@Gn2|RZST$rG>&1u*p``*f zLQGkQ3YZsqvyV8`6Q0@4-jQc=ssn^7O24=pD`lSbg^RDpYzK9Guqpu4F?At2doj+( zu;rDp!I?7!%~Sj3BrC(lMp1VA;5rQ#`3vj$pq`%}XorD;D)|>PwO9i&w%`Z+jm_y= zEQ+$0U@UU_s$|j!bPjN^bXy932M@@ff9cy-Vual!iC7>n15q$E^;Zr~v`}g=0(B9a zpX6uLkoD-TaX(u!@tE)N|Iv}d`2QAcXp}Lr$%b!~xhOS5{+Ia4|Ki!Ps*q(iacGi> zLL_2)p<;I|M6;+lJME<};_5$$fY|+VJcy$|_7Aa<#tPL%?Kj6~Qw?&xi_^!Km5+5r zT%|cP@gzcOk&}gOPu#bryyHN}fYZ+%^Xgs9v=gs*fIR>%93c;IZWOF&Ti5>6Xh~|WoNKZ)jkBGkY zOB0G&^M0n3p`#Imb&$S@7mfY;*%e_UdW+tlx+MMxt&6H(8AisEex4{yA~p{$ z_UdZ|C)V2~2Ti3Xx&nds`z(UOgp>7Eqx3#s0Q#j3h_}kP*ANe6>iH=BlzAbOw+97L z=?aKnw6P#GRlh5Yc4u2>Iy&0z@{3BtxB$)MN~w zd0{a9I$WAZe!FYjHl;EuO`@Q;4xmQ3TcPTmN|>S2taPB)bhJ^Rc2g9f_-4Oaw$qOe zTb*q`P+kY}1LWD=b3BGC5`>c5ILRlrpA+DWtv=nRZVR=G$HxrVoQX>weW zKssK-cqtEd2Z-J(8%NE3W;tzge#eu%)1(pGij+E}@~`^(M z?eT5X9Q$PIMwEySd80&FeuF`K5NAaT0I`**8!Ffk36q;7*5?3Z{`QUp`Jaw73I6G5 z7uW6&QVATTmv&fNQ)Bh_CUNl%~*Y|PGAE@ZPs=0)f{?!#4rH2{1biA=C zA%+Y&tn`T{EcSm=Vz-L>^Fa{g$+1p8llP_?CEBtq*|ou|3KWGER)5yXugOi}EN^z6 zfzP8Au0<};Z9QY(aUB2bFWP&vx@7@zw{&ac6=^hdt45|IBZ)>cgk^FD zSw1t1ZUL%PZWU+IeXMnl&Pad9l>fW5)X8N%(&d|ULM5n`K^UR`Tgf0yIBLR$Qe#e( ziWC1|Qw;A372M)if|*h46&)9&I6<<0SL!F)e0Z4}9IRMtI?De=D`J$4X`V61^@G^| ze|;=7;H2ui6Z^qC1K0(jF=WzV_}sei{?UbfX*Il`QzYY?He}zlF^LSdtM!?gZ@XUe zd|+C(ki^L|-0V#H^1nej_)iSW|A}GXuNeN(Le+m-D0tHX=uHf7qc>2nOPqahz=LSN@ zDj~vB7hxbso06eL9|@pQ3N1bNk$k?S#iU8q##Hja*>!MuX2Le&o2KouZ;%gLk59$w zwBV%zg(%yJqvfpz5h}EQ15zolaRC(htT_DEfAm9zRMNl_j>MxG@X5Y8(Ed6;!2KYD zR}pVEB<8QPA9zbs^_Hf9mnvlPZx#X{CZY3`ht)%oe4yI46lC^CWHZ$sPzWc(TfN-3dU0>{m{6dq+dF%nZPNF|fAVjP+H9r}uAtWKe&c9XAhp4hPaY+H#Dx=|+=@qMbUWv{Zy(D5eUV9PbwM`E}#P|ow7aiq%Y5ta72wTXCK UVYqxnj0<2qg07FVV+#6z092;D5dZ)H diff --git a/cpld/db/GR8RAM.sta_cmp.15_slow.tdb b/cpld/db/GR8RAM.sta_cmp.15_slow.tdb index dd63b1887110888f8da77be1f471854277e2aead..2437df48123b6b4f9d82e77a50dff1d32aa4960d 100755 GIT binary patch literal 35566 zcmd?QiCa?J-#(m~L*!(y}etb5(}{rT** zjTS9hRIywG{2vYe{Q(}mgQKFaBW`ZkZEw5FcK3!qVy=f>*|5ic&xTzNcDwi3?b)^4 zeuGzVRP6Pj;0>n&gF-j#-r#j?gHQ0~4TrB>zXg8MJ7NiVnMI3i?*4mNG^q8TyagXhQ%=Q+?-FS01eRoqhEUH1&>QEP=|ss;>+_z8TZwgNx5pgo zxpxt;~8PvYuUn0c# z^Vn-`jL-~(=j#znJ@RheZ&m5~duCtVf5_Dr<`QJf<#tWVH6ckoS5&pUEi$;j?K&Q* ziBKfaV`3&u`ibV*LFlN)W~tgMJ}OD|wp=jVL4(LJHP8mQ%AY?HkfiQYWsPyMLwUnc zUm;{?2$SmZh;KNij>=c`&28qY`{uTC6(fR=vC6*sFLM_N<^@}G)n$SK=KRB%I-b(V zvt8E2xXxFXMLrT#$GbS6v{m~s-ZzW4a1k`QWnq>muCAwF?Ze&52$_k!^}FnNX{}ual?jNxSP8ej7oEHSb|JF zDkDyb5?dHI2S*3@PME1#P-PjTVl1|pHT7#2Q;Wj%v%V{viUcFyw5A5mkdxyQ!TW0Klw_Y0&~Zvst@$amtf5uZf8T%6gm>iqb`Sm%P=QsvWAxeE2& z)_+PXICbILD|W33ftZ9iBJV$EQ5rtAad=T@U^-BwOXU4VrzEy|mBPT*Lqj?ayGBFX zdK^@oY(WS|r?k{iAg{KkQ0bpfk+QT%1)0@`iafILislnsv%L6>++GA#oa#iSs>30R z`19MPU+WapyowC0Zj0|QkPf_D*kITiWY$1PiJ(V!$@~D@-F=yOn7ty4C=(1pciY(5OunC!v z23ZPU!+F9M{uv)EWf-AXDJpbfro_FJGBPU*cn3IcBA6nNruk%$EI1ux6Zd$1=|8&o zrI?+R(3Tp~^D9wd3DBprWk!|IB4xqvKF4vLn@Sm6oM8;`v`DpL-}CU{nxILpQ@*PL($f-`M)eG4385ude>62$L(t+Zc0W;@h zvpu&au+C0yudlSc;cguY6I{^GTc_}>P&%Dl8?u>8ezC^`3tR=60liScV&+UE5386ehY`~j>#;qsxRY&O5I znhhiyF^!=cC`qky_jtHd)5dCuGFF@+$pJKgLL=x3bP&7oNXYCr$0v#3twsn;gqq*HYtMQ2g#DF%%O&K z*^lJRb?`35K_k^V!WDLGv(_78@UTmS3sx}V_+ZiNp8#H1zinI<7FW30h@qqBch$6jI5knlZv-Gj}|)9W!fMevnt+@ zjxk8ati9*8T6Kh-Sb6*Mc%)L|=gX*46nsKk`-SwuJX3ZWsJ2Wy`##cwFZbKM_lDDh z=O&9W>1GGH9eYGK*ykEvn!yU6`z)3)k`^Na(&%?c>p5ahwIyMrqD>dJ6lz29Ooo}% zi*>^3*MX45Ar4LcA*bTEQ)p>0qk416{hr0D18kL|>OsExFU*j6$XyrMt&M80R19i> zl)!&~QmQ`)xj*P2UHEa}tZPDA8>L-(CVQgccdPRl*ac)D8^+7KlvtG-Q;Cc$_Il$9Y}D z2-xuPd7iBk>F_+S^1iXOVY5f%-W`|5>sORK;^P|YTptG=$ng`I?D4XZ-tkxaforT@ z0xvn`Mq%Cd$p+p4MV5;2_%SlZKbuB-#&Yv-i#=Cz*rKqob zKEzDScbREQSB}w+w{m>6iJt`$;dumSMaV~nP%zVRWKM1Ua%10wlSaS1a!v{u(pMb^qxe{-&|o26 z!o}*H6cMHFa6DAnPk#Qj-TD}ZPgW+B)-Xs`jcEDa>2$VTtg_ZAvR`MKabaOWvJi%8 z7}J^KEwFpQ9-uGT{!3w<4JB8{h%jcRbXM!ks+gOO1#QoDN91x?K9*O>kkJ3Ruy z1-JfdVs5$#Ji~`J{Fu?Lx z#Knx+>gVC_ML*1KVU1e}>lMVCO_RnUv76C{q?yTbWztHd_a~T^$}bJ4joBKpbx_IB zQ~kw$?T}YD4rDAWM;0lT(f2Lf$D}s&BH(#Tt~{yO{&?ww1k^d%po2>RdO7+m`_S_` zWsHVR6YSIAYtvBMIlgD1bjL->eu{ll;VLtOeDh8F_F@mmU?g6wmB06cV^#ay~X{kRpIsnLP z;7$iBqAh12cW}laaIr1494WSyb|0`}hiFqbH(}r@j=IBhb`!_HCexSa4~7-;&b&3| zfFfmy)KJuum645W(I?m{C;!FxHT5tL6H2Jov_u{XyfCUvsxmcFITI4u-B;`Qn#(e@ zZ+4W+Vuww7}1n48cKaeTf#) zD3kcpxjYn`wM6w;lu02OIJ2eiw;>!t&B*ZMx{aY)Y~GuY`&g6mqIeGAA>8lNqv*$f{Mi$drDbL?w``#K$}s3 zvFc(PWI1LZ1)D)y$+05y4#)dTyNm)LP*9NJ*OSaz!8r(vRXe=eWx2A%8kv~pmZzqVA@e-{O3cmMC-qe4DlxTf z5JUgJIY)-3ak)s3Aztn-n%u zq7l(3U^9huM`+GrwMy;-CxH|rl_ipa3NtOVioie?fJH_X z{!77lz~55gD76KLyk_%6o|DC`!WntGu0O7$@X2c+V@)o6D%S{Fma75|IMR2Q{jdm>nPZ%UWWG&XJo$(X66oAz$?7ykC6wzSasyGBjDjZ03jiAo&# zxYo2>Py%RLs+{BR>B0@|Eb4`IF!FLOYFI7nX7Q;F5x5K-JLym9ns}8iYZ=Xi!(b;^ zy6V%6ISB*Oy|id+AEH|Vhp`FiD76D4TjQeOf%1G)ShxxeBAB!R>6In~v+D>PO_Pn& zp%!1^=B8oRfZTWxs4)sKC2pm3QD8Yh6mZTcz=F7kVwj4*3oHX9oBqv9eL&vf{C{95 z-ds8if^-1nWA4`2s=4<$9atxe5FOHHE7M5*#GO-ZWE92;w7sD5kV{rAqa9ZCuxWov z*8wFUTa@fqydtC2*EKL3B3PJ<6fov@0Qn}xf9Hf0-&QMk=@@X&h`9y|r7&7L4#&IK zGx0C;<=l_<$Sa^4h>%- z^GvQ=Hq0^{Hl*`B`aCeOm$bKqka`lNUI!h?CgO(wWu{d4T8ND z51-Lu=V?khj&GD2-V=A)>8Wj7)O|Bej;gvAddT1o#9_9XCXAJnOLVQ%uap0MbX;<> z1lK0pBTWoXZR#O|rN|>`j(0#p`A=a)@k$o~f}P^~|AP7#`K+JOa86I=4#fJB zw-aAlNG!3aYsP9Tx602~D%C~kKukF1?4V5qW8~R1)*{jt09#&gqKWLjq>^SbgeEP6 z?pRr3hP;*r*Cg$vfTfW?rDEWq5!w=YDNT|gG4K<*)yB@H8S458u?|c#CM*I|DAW-e z*TZP6CBoJ5bBdna@Dzp$MepxY_3bNh2e7yJ&06a8E9+-n*P{K?Sj$Kb6hsER;O9qP zKz)rnE|?l-Cm8%IsZpDh&A_(K;Pw0}$3iv}qSLVHae98Z%srSL1QdHvu|nt}U2O!_ zU~Z*=MpBDfaj@1+Lbl71N?PnrMEFZ^slFCdN!xFYsM8(l^oY%PY~O1OGQvo@wa(wL zCC_TK3$ui|gHqC(cSNce{|MC3-V^a$vXa|b!YR?2rio}2&+Jba3v^T(Nf)tJYCpAu z0kM8W-Fwk`V_31R!q;)55zB^}h8xHxzqcH#wCv3=snUFj-<;*%j0$eC2WNapI z2e@cN)D5ttz%zV2BWf^O!$WvlfNai3p9rM~3*h%Lw>ISrsT~MWX?CfgM;B7CcL50} z!(FNa95VJ3&_np;%K526gnDBOou?>QLO788yG3*O^xS)ZC;QDNg_kthh-eV7i9&D9 zbeA59e?S&L-xtc$j(<-U%N)4>=6Dh{f-PTS>r*rpocv_}!k^u#7RxgCT;e>7?>`-uZ z?R4O)IbaROQn5>VUl*^3aRG{LVXN6fEof^E2`qYU_ShnI`A@AAuK`~bZ&}9#jfy5_ zsG<%mc5bHrx3^6R#T4k)Dc?~4QC^nw``CQ>$}r4CQ^jx8dGN;(@)zMuI58YM_jr_a zN*AGv*-i0Gp&g5;Az2TDseJgXfG+u3TF~Yv7mwVcZ65u+UsH894Yw4ti9$<(S#UyH zA(=ol8@siB2xB=sMDiREc9IGq@lH}!gA#3_O1w=uW^J8&>5(+gCE2XgnPW#WJ0k4@8k6PndQIP=|K8Aj* z>R?%dX23pRO49It81&T1{M|LOF3xIANkY6~z($JCf7xjx)owx<8wv|$ztIeF$ivmF zG5|e!2l_EN^g1qG0rpRb9RZrNv~W z#Ohyzgu2=?f9|xDo-8K_bkut&k_?Oy2gEuScnHuoDKi8}xtfAscNmH`sOgXqIe>!GdJWUku8h0nbJ=$jPhrDaBF zSH%-udYl0*6KDi-EeTL$Y#MF}Zgo9PWDW4Z^arZ1I>Cn0{*{rPNF@85AM*t{1Z4Xi z=b0}kCGMkp|0{vhs^kst@BouIaHi_gKqkDdgw3=8f^Le~KMwz*0LV4@GM|==g*O+9@%2ybNucnsqq<2iWDHGu)cAq~E%fbD zR4iNw6r&|zU;Z=5da$oLQTh`67L2B-Zb{`>H^~UP3+yYoq#9qit{X>lac&UWZXB^} z;Y$~E3Vbt=2xniUDu@6vxobBGB0?<&zXen^hiOILGhuLKK5P-wK4SkG_0{nt@S;0Z z2c+3xPxYE{gf4g)m@XOx4iD0;h*=m<2DwSc);-wl=QVZ&P0xF-qhd1q_?ZIz_O{=C z_4Utq&L%g$eQ4iDYIaK0+sMKl8k-z0I*1L7+iZ}hfKRVpNgZXt@+{T=z_HZv$@rBd?cgM;P<1vu3mim)1Xxq{Hp`aL*g-lrt{$#qbp)RK9@|-`x{6;t7}d{$$`RLi zr06Dtqd$9U`K{{Pg8AwMDQO&IK6g4H=9qRkDIK*g2GR8JbTD_4Ht&&WmQ@s9r!K=- zq1wL3=`pG-BfT@6g3o_Op6p8B@J=#3elA=Tn&M@lHG|y-IVStFeXu(ZKZiOX(`xU@$IrK}-RH_h zM*4ckIFL3u1#7L#f>2%0O<>p2ZsliGKNo~AN=iu(iCtgRO189D=i{YA%${|SIN~Uu zAlb_uq{5F};AQ;C!tN)n4EDFH!B8WKv!(P&v)z;O9p!GFC6k3$TpEd9TBEZQJI8pFHC`q#{dt2~ zKOOwoQQ7`!vx)orb0to>_Ad}#;d$5~XK(iR(ScjrVxYJoQU|vtkdU1q`l!U8DkOgn zbI8eo6qHr2y0VL;Cvx`D_mqZO;|}=y9MK==Hz9A8vs&IJa1&K;H;(mZ&HKHLbc4-q z8vByvmXG(F9PTfexyrN2b*|G7yy9SnSv~e>8^3P<-t&q>&v1uFUZ$yc2a8M1w%9+z z>=Mz=IR1T_Zo_ph_9!Y}ABr<2J^Mf{aZX1>5hr7A_I&G-jn3bXzTzZkl*1WajzTa*5;x z=F|80m7))qhpE@l#0`_rdUqrIM5dKj*X`yu#{SAL;ZAg(>Igd~_vR5opXFTI%Ni{! zcQ9i;Nzh-@T3_7gaSfoEmz6iZe-Q`}I|UacP8~7Cr}huu7lf8nURc#ruugc3SQ&YP zwV~bSS)H`e;u^-E=F}n+dU@w0?x~GLYjrZV8D7f&slaXv%}cTm7*|9+j!_9|@wuY9 zGv3o5Pv$zq!_OAwR6Hd{MYD$CO208TIJB>OX z{-T{AyB~_prNu$3zpJd(R+GeERBfJB#DAdZSc^)U znwcGRS?i9?SRHb8lgH_U>Fo<=Nn^0KUyP!TZd2+&i&LXISG}VM8zfPeOkY~>AG$ik zds7Zo-_&CWe2@7VbMO05kB;|?57guOe)+1$F*|#eQ(aV{dxKu^2&6BVIr{qz;l=FL z_JnRT(!Hn)or(V9B|N@V@oZL-)qNFJz%VFw{qygZ(O-UVf|`;jbeVg{T=>Iv-E>*V zt{D2RoJhZpzbmt=*SYLM5G#usiTxq3x0{uih@5G6OCitk*C7Yf@udso5+b5&g;Z`x zjSROsH{qOh+?*~x&@ri*JF}A{BfU-uRVHH5o*mk)}R|?&9f<-+^c;2eY8v+`fYcGG7M>WP`g0>DT~qT zXT!MV-XIPZB<&3Cl27DBRCuN~8+O_uIxZXK>NG?V`}9&J=a^=arX`LgRhgDo{kjGT za}DD@%l53LLrb>%7e+qf=AP+yD8IbpGePFHjW6BaA+;2>GtTtSh}Uonsr2UiQHiU# zG^&sKR1Pa}ctjR=x_{=}y%`f8Kj5zpdQ|&JKCJLV4*q@?35-ynGm#lI+$ZC1Q=e#9 z%#~1a$1b4wLG8`?7>(c&!^gqB?L%|#uzd6-{i2oE{7?$_&Nu7gB2}9^8@HARE3%io z!0Zq9H#WEoUZXK?+g}B94MZLtdGcJz#7!z)d^EMvvY&pgb}Z|{V6jW5p#B@Q|3fVS zr!q$xGbUL{j#=S-${&9m(XS;|cB>Eg?>s_tKjm$(X6c@1_>Qvhw`C82j5GcF@qexO zN-`~uW*!-81^H{JNPb=E{a9PnS6JLTAt{;~ew{exBMCPpzF{Iyh6ltr;7gyrZ;8(H zDfr5Ft(2i!7q<(G%elX7+8-X^zL<3S0bKY8{=CRJkDd@9(gan9@9KH(BK^yU16=!U zWlEd1u-F;TH|4xQgPpGzG z*;QL?shT^www8#S0{aT^W91OyG%_;VVL-9&(>KM_Q-O->o%eCL_iMgMtsk$(*l_XEI*Mx!k|J0~34wW#!<6$N>-d-`M1L7k1nYa!G)mGR>kzn_8STx5Yd#&7-N zJpMuh^*yeQ9*vHER%w^Fj_0Hf>}I@IEyeG1RrZF;x^e4p(e@*otS8xNJrza_jkV|XGw}zXugfRQmrzmA`N~R$- ztO(8jI<7p6V_g^amj!v;IIhZ$iM-W)`iTtXs=n}&cd3(+mlc$c=bN_OTHWqptJ?SY ziKFrK3~@sSiJ!EoK=KI%Vd8oq6a(5zj;&ztrK71n7h>l1q54dp2FK}>2e?m7`ovL@XAwD|a(Xe+ zH#>HS&V2OuBfbA6ySVJ^8)0BU>f7qzF7hhUYIY*MaNn-`6V?i|QKXyIOVzMx|A-9I z`jPfq_LY&3(LEtQg_984Wr?n(Dpw#p^T!nJBd**4>Br3%Ob~43{#`q!{3GX5T1lL7Wpw=OJfl? zyBN2Uz@Jz2)RFbf&bPU*G)!V>l98VPmspun<78(qJ^oP77ghinn6`r}z`&DJSD5F16$`h|ngNu(RSg!>msKQTunjz8M*0B!esH;@1R zK~7OwV2BHx#tDV|iu)6^l@^dv_=Mw8T9nFim-rs1$|@g0*BWD=0Ov8lq%ewDuN_`3 z2zug12M2tka$(R4A<;H}Rc+tUtI`6IL z1`<-fK-N@cc+S!3?l}L_>+Kb^SEOZoD|y6KaGWo!zs4O~w5=FF#tNmf^ov!ctVoj=r`d9+gM^@lb5;|QEkCRx*42RPc8EWr_}MSYX5rgud+nH*I@rhRFACts!;OI z36ek9UbiJJ0alSE&&dqpwaBWD+2)K0`_gwtt2PY%Lx@S22@f=Aq`$EELYj&zCYw?o z*ICU797Wr%1{^=bH06+lqb7H(5%!ldygD}9hy5hY_Ai-B7GYDt*8($~)#skg_OG3L zB@)zo8yG}F+omFw4@7PIuI25!I9S|X9@bMZhLJ>~E)95x;tKISks~IjhQ|)l=o2r7 zOy;yeY~PS1$z34%k;G;HNY0h8T$HBQhG+k2{KqzD^6Tk>uc7aP!+?Ly06gQ_a?M>t zJNq#2#x-J=JwjpDd7q?Pl^g151d`!CY26nY>$EopZSMU|mgLnBEi;gRm%&bXWBL*7 z=?O*iwlWw$E)o-~y4pEOJ095NLfQ~4<`o5!;vvOJ=40`W!q#5;6E3^}A6_GpRZ`u* zjHeAw5u_llM}(*%t#y>mqT$=MaghGf+wJZxADfMr_$5`o+(ybqYKsJDPdVUtgsb)u zN*umVJl5<=EsiBgPQLY0?S1P(I$hQx-_DN#S|sHS!oEcTrn)I_G$gVmJynYp)ZzC8|Zd+>{BYi-(mwDtx&Y%sK=9LzbBT z23@mQZO`LJKFuj=1q`Ez{w9x8Yp5YJQ!%eTf|GE2PtlX`?Uf0j*9d8dIy5j90^d=I zyTQyIGqbOZy>@4lw-OONs6D$4eQCP3_|tQD{1 zL5@DZe32A~$`Y}P%2f?_=G&;Sln?VE=*duLj8?FiR?$luSF~D}VaAJ8=Xt5sk|ew{ zB>&4Rbl$+Y@)mS@=>kyCrGJh7H&Q&m4jJ$JnmcH$c6W^G(5@HYiY^0n%;?#y_cDhL z8H>EF7{-BX^7!J_V--uLw(xSVqB?zk#woCcuf#2|Iz2v5uw{8^7jc$58?=e zfSZhaO^c3veZkD)R^+EF`B>W@*Er?XZfdQe3TNfkdhN_oo^r7KBe<974|-uP@Wz|R zLRGry&wwG4wA#h0*1w#uMjujG@aP>S;IFt}7ex%P^a4?u=^2UkM~Xv~%=l0qf1eZB zGWdLj$B$Vo=4n-R6(DFr>ki}p9Ks7|VRJ|*Q>zYn6c{^|RJ!TJmkheAcw-?BMH zA=-+N8cGWedsOQP3Cjw_GBN)s!tM;t@2!!$30h-qsp>v?^Tv%KPeV?EAG(_B+4Tx7 zXMmze(qS^jdZs168KX-7^-ZiEVYVa^?K}764-+07#`C-lBGHh~LpD29fMjYn+#ySB z&Iacifq5hnJ9&V#$|dG5w3L66k}%a^>$vjW#`27XM#uNeD&PN*sWuq@SpT(C$VmN{ z-PeNi?uRlv9Y!vA^r-sf&6R<^6{r_O%7BnuortTxzZrZ7VadGPhuysw)-yczoBOTr z%j4#nEPr*UnmVVjo`U!JKfZKm@IzS(cLAH%l$)uAw<4Y0{k0v zLSHlwLHXfKK`@r=kss@bv3HpIGdCj+L?d)@()Y209l6sIXi(TMq&Ykhe(mUycON=R z14!RPJ=!SC!kZKA9tB_-2bv`&UQFXtt{nPHg}y3rQWz)ruKJer&pto)P*K~VyC?oP zv*M~fzQWe7*%Pq1m@9GZm%R)141boxvI*>Hoa;6qqD@i@wH_DkWrD@*6o(mzxdY%jZ+ zZQ9C4Jvq)rj}GAlPpWmjJKL=Fi?;h~*7nPy4*lvqNHwX8*_~Ga`7~n*-Lm5fRlj>1 z+U7EHdeo4d>--#}Q!i}>N{`j0qd1C|1O5+g ziSZk!z*UzNAA_8TJa?Lx`%gKa8#YObRQ=UCX_GiyixoAU>Q7J|o+L%1Jv*Ht9%-v% ziOk%Wp+Co}X!$U0IQ?_Sr7Z<4BRIB&njRiYwCI@FJy2A&m`dk5HPe9g1D;^ZyV;!` zIbiW`iw5i%ShGOi)1tnTSr>?#9NJp(F9J%qZA`06!Zrt5@$5cCaNhK`lIzb!S#ziz zIlDo-=-u(pAQ4ww9bcK?R@+;(ulG9B#k;?ksX15VaQk4Pb~EjEak1oeOHFgU47;Iz{ZO1Y>MHY*b?VDP}GrZzrT|CET@u$eD;;_R6xysuS1sCDuaW0$f;Pc*@+@jNT!;NUy(*(7`@*23f$v|`ni4ZYB7 zCI(lV{Ju~Wu3U!y-g)hLZ11w}`UUB>E)EFVPs?1o=PXz)6s({((4xgj`iRY=13{TL zdK2LL|MoOhU2l%s`z&;9l*H{V|J#f^@0UJpX7eD=%Affg+}7ROtm)D-CRl&|=wwcc z8%HrwMSGjHJoYIVjNkjGw^wz5@tL!ZK~Si%Xw1OD-gBvL;|ge1P4CX+07{+WJ40MqvKvj> z{+cEG)v@y-7okqu#XtyDS!U*5C-Z z0FzKt3wktkH5;tV?f#E%DiW*w=^c#L+KcjDYz%70r&@#91gDk7e6HcH3YJ3k^8&pC z+$ynF*qT*|m25@2<@AVW9;V?tB1Hgr(;77+9%yt2x0}IC)Z=ORx!yM66QHj!X$CqCio2v?J>=NBX~aCT=pPzEr0Y^w0G_qpA>OzTx4uuOVi!z95M5R zzk>lfRAEqM(Yub6@%)Q4=u#b6)_Ro7sY@MUBS$kuw!qRQx_o}VCE zO?@)sOW}YoxiW@0^ro>ftImbA+OPG<5q{71F8ZYf?!IudLESWu;Z;@iLz`(OI|Ya@ zwY6~x1IB@_`=2c{b)Ryu01M34Hj4X=WpEg?gwhn%03@vTpaYz z&hmRATFQeLzvBl^@c7k>R(YjL=>szR3#B>P{6J4jb=&R8oN1 zNvzB}bg9He?SS-mY-%lxA^JN$N%bnguOHxc@(S!K4>HQXcRK953bOPLbra1@OaYNn zXyw>=lS!`a2ce=>%pc!b<>O~zkv7pNvoVV$hotNL@;sXj&tYwZ9rR0r{LfhyJ^_D&8x7XFRpvhhI=FEZ7=*MXyvAvs@adW&4=DNJ z&2_#yCOP(r&RZ7_`4|ydxP|I8X_4;69?d_JANy&)$(#efO6tR9-Bat8@8R!)K7MWk zc&6@*1}*4})Uze$mg=}Pe1>+rnXfRA8Uwgga}H9;d`*lST{R%5lGYas3+hwmAk)a2 z<1vXR9=t z1Deq2gtd$FveZk^M|p6a(32z2r8pPTvKiq&Hw{!8;7X<1wc#^7V;pde_`E-4F_*7Z z#d-u4uSUmQjz@1)jofxzsZvV6&XkSfx*B)p6!H?*V%$`%<&645-u~RiQ7Nl_&S#U# zvN!y;fICFW(#qqQ9RFCdUsWW9Zy6HpB3dK~^8jBq|GlI=O}&9g?0KcDnyFNF7Z+ab z1@4EEY7Z6Z|F24+yvt?Fu!ig%c zb>hN7oZosk_^UvTt_kGz$lr?e`0#$qtHZ@N#;FlCr)LEAzH8{kj7$W7FU_;UBeJdo zcWz6ow=I>4Ka>=Z=4j~%OLtC4Bp#I?!MW@pe<63AYwqm#wqf*kNV){N7>|Q%%Xgv^ z#7p&A^|9}El)UvgugCO}g$|?qFD&(Ncv_ zamk*$QEOEPLB+KlyHv5DxWes%1x6enQ0==~crxy(jpIeTlV7F|fi~oYBv{s-Rc3DL zogTgqnl5b=UOd2LMkoBz?LpBae+qPSGlF-G#C@e;=&~o58imeF)qn8}Z%?>>Sb=#i zN@}^qI=7tkL4-@5Ach*L7*v>fvAoh$3U1G?vyl5s{PTc)1F_BBS%oyaXW(WBzDxlz zR)s0vU365P-rP0%n2a&TSgU-e^tf^z^{+n_Z|ZAl+Z&t`-Q?ku+ADeHCM6n?+pC#o z_oBhN>y$Fwoq2s@!?aMP*KM=UG`8@?3Q2}cH_U8v&8y&%mqJKAHmMI z$<@bYud7LIpQ8BZLD~h!5Eyi97Fb)pIL7cj#H_(J-!KW+_)6;OtkxLd^v1LzrW7Tg zxyvS}+i??$BYO|vSNo^1KFJaxDFHT(CYW>)_@LmS89Pdeb5rv?Vf0vM-{TG1jLI$D zk2~Oh=+Q#i7+t5W9J_Yd3e0Ym5g3+VP>#LAeufpJ^pmXKQkt}wbSIQF-PV6PZq1a& z4u#MVy|+;@91jffZaq@vb* z>yV8}-t{`=>TKL^zEV&(*3OQ7P3=r19v8J;lV3A&Kl*xmbFx72Q(?QS_iW_AHXb(Z zLF4bl^Cmo#ML(W+)dX7Rt1WH%-n&6!pNPa4(DzFd6=vg#JJMUq-|Npig2wspY2!(9 zx-%S`x~-TuD>UUXP$^Lnc?+=h`~{ZK|DQts<8c`L^x$ad4K8W)Mn<|upl`Tr`V$@X z$6@bp^f8Y2vuWd}t@9181j8QGAM4ITcs{o$pn?0Qw(?*a;453s+wmOJC!iejfv;e_ z{ql&_dM!Q&>9(~WMezmGTD*Myh}GHT1s&#rvv6{4^&oO$zD%BWd}iNyi(AS*zAA1D z(qH|%Q60P#vrlz(aw`YZMQ9tO7})&vYO9LbYep9_XW_>`*`-~Q$6JcV`LdssRZPxH z>(S9)vY*0jKBF7fwm5gwxxbpN{q*@1=PZ8Y@4DU*1G6zjc=;{JWFy6SKgP%TKJ++7 zCoD^3n7q1$w5GpBR(8p(v}v^iRR(Xpf2J<`eSyfj_-qLe(+*ZWTSLFe^#spO?`Q9* z{&4bZ&4-h5kA0`FAG_)g-k!SPloP-pZD#}yByZGg{8HIm04DwEvIwNj;05x4P4!-yGLG`NWE z07*M$KnAaZHq+%vifAyiSU%CrOyX2ubAU~j>9AQx^k}4Hl*h=vPkxpjiuKU3O%`! zNbLG&ag4n&5o*``xUpS|*bRQ?DOmZ+W$vdDeqMRG*F-OFtTS`1OXwR^P*y}IENaIF zr&s>nFVe7UQv7~Z&Ywm6#x>f)+)_!$|4|d}k~1t$|?jyc~bxcZhGL>vTq?awwqhYlivg zXs^bH&>uB{W%-y*Cm0D^%JW6ye8{UGt$`31jJ`7cY;ilK)0w1q@a?g>jEYEfV9Kcz z+2io*Jd9^jua7gO-xN+*Q$VEv_k%|hM{>98Y+0~Fs0kjJyuJ+25x|4S z2Nz0?MdBZif6crR4{W3Lhjw>@OYWaQ-)#O&@$_+dbqdASFp*Fy|G}&h`dct>PY{1Q z4+K#0+C8X=O9SANTh^0sWl{M{QC`a}_>l2jkw^z@IIpNJ5g`^j9~q!g@mgT#4Fl6u zjDE5F2S1SH1ZTy)lmFOQ1>Sq1>%_o^%-h2puz3R-jdmyvcLE^1F|)nxBwv8U1M#E2-}U&@Of}u?P3@@#0i=(h$pvR@SIOA;ofX$*fkH^U`1Y z?|9B&qRsMCa@kdoKRh>OI**pbvS?@%aB?@5}$8`lJ6#Q9??|8WmF6l6@T|DMS*ojwMUh5W+0k$@Z?SS%zfGGGr$+ z*+XWOWh^mSCkBJT>@(l#^Zowv{SUrBe1G9S?%aFNopWC2yw2-+p64}7Q)Q@O#+~2B zN0d4>!Pgt*#z!mRIQrw8g*-OnESx4(fmh8bf%*FzrSZG$^9Ng}qlPH5=i)x^qwCKV z!UwbB%mw4R{($uACcqO{r-x7&uxS585j|>w;<5AGglarpg{sJ<&@+Q~YKU3b{-;iX=uu&?`d1L=xgwjZ3I7S2gDeD38% z$GH?1z)6RxJpgX?Van*P<P{Gzfvn@Ka?zuRn{z_M)AiO;bF>#J2vOG+{#YZL%wShQ;oEt$XOJ+WSMN*ozBELVoi1&+ zOsMrBhbZu#tB**k5G9=CiSa09i$UZJMa(r)X|0j0R{%U8rWIPT#EuR>H(x)P(93|v z5_R5=jqF$q*g9L@>NO?57fcZrP1Webctu?m=p|~A!!I{KBSW_RX%= z8YPJ2S{nA-kBCg#5_WMG&Nw&|%5ZgJFA9+R&aDgeizq19;my!vF1FgNm+Y``5SRAy zUooF@%(bu(&M5O`rq%yM0Z&9_cHFK{M=5dZBzE9k-3y^QlsxnKGf$&%KtuifrSL=w zXd`rda3`>rMCYY9?!q6C(M#xWk{@;s=;Y_*`JGV7VW8)ciXuMF`9x97#G1_P*K&3t zAN`Md0R;5P*X3Uv#9jJ}@X%vzhf%6t!^@S5q0UQt#IT(V(|xqnu7&5viYY z{;OdCD?+<&Ryk4gaZGlh&sO zN_^FJIO^}WbMAkj-UHR%6fV%gKBDH)z^p3La_pH^2Av+^%ju&9{Id%DV|2 zNy8I`Bhj8ZE$fhtGGw$nkVz*Ha~%P+Woj8d5wz3~?!l;d9XuyxQkKJ-JY7#b0P0Ls$H!M;Y_RejyYFTc4V(Bo8XJRxCNRIx%hD2y1tc-Pi!h|-1~Ne zjZfq{pV;7VSOe*K6^D#nn|_PJgm7h5;g3gQD5UO%k^tV#lQ_Jtb#lk)dQu@$xFxkC zsJ^!lcq~jhbjw9j6DdZsgn-)DM;$eq4E> z>`|Jq2fIpjeNwOIR<#o-<$Y@4?r@y2&D4I^BhByqLbnoUHtB|baB_8xRjd|O|52e- zy3Bw!MnVr=wFNq7)6-Rl7g0a)I#eQvFY7&+Y1A zz?sf8r0!xyKQJDkwHW?Q=?zwUF{2Ng#0u>p!~l;Yy?bn<5Z$b+i)eQTbm{i-x^U}C zoRUi1NKm=1l4JFg#rEI1NGV6Ga;58a{{uvwF~23|L)bP-{V6+U7!u!~n>*m}7hEr=|EcQD+wf>XIT6A8 z+U^oK$#e_r4)%ewV-s0B@cqIByzf5ocuded@;L<4cep{5=|}cqTo4E)vxhVM09Xou z1NM2sm+as~M;SCD)k7(TO180%qYm_-zMo-W^8%P-!QuTUW&AE(Q5~8p(X(|^JWIR^;W@BhKH zRy5JZ&eBBcg1tQ+ZcRJmmtL!wKj-8nLOQrwQ&TLI$C(24lZxrHC5Q0XtWX5Cc(z1X zQU~a7S?HD-qTg=@c!ILg0`6w%tk!%b9*AcJhhOq5`*%QHtf=oTz!qHE(C+NEVM7pN zE9di=oHpH*E;i^NhQmgoBwxe9M3tJ4#K0a5F_F_{q!23xbBk1ARid_Ys&|_Gu`*QR z3y>c4spd~u=sc#mB}ug3g+@Bf?gwpoOD=Pa+6VhbGPh1n%hu^pw;$=T4i#%Y5D&V_ z*eCB#3*h(%Fd_FxTn06f8VH1K#Vx-EB76W7t^*l*I!^iXqj+@kYctS)!o^>;j_`dV z+*raSl~a*jU*6Dv{hUo?ACs88mH`t2#4eKy;X)J`RLvGI})+eBB=}QwAd82+(-jsBFF|!Cp_``zN ziO)ecNv^9_GtSM;+R8}-S(vw|FQ4uOF0T>2Wx4J3(C5rtZ0TF2ovFOMCI zIPmIhsd!EKHs`b!iY085-N99FTnN2s7OK>Z3Wb1YOFPD0`E{VDw|U+Y z$g`Wbarn-=Hj4mm9W2FZhrc_JH-C-(mMpo1j$?B)@)0U6x~o^oqVh1SUE3w}eKIwD z)o}O2RS?a5cAcAL1nQ^X?iP_}CilsL>WLHsoU*D@p)S zC-m4sh7EoD%v|SY8Gv^ACVcDJZ}70EBE=G$_jDi>{MP=Y`mbgnMrajxVmb>2kAu@e z7K|4-rBXBNNF`=yC}OIDP9M03U8F1RX2G1=5RO|QFs@>+v4}cXh)n;oXH`J;Erg!& zcvA(hL{3s*kEafTfE(}-1VYjVGnh8PABF&*@H@Lvg<3Pl7_V;p`S0dPeqBbK1@!K_ z$Ts%Y4OPP+g9A??mQyjCmp&S)1z7H3)5Dj5#^S3Ki2;Zr>WuW~UqUK}p+WbKX5pM% z!0bHI_YFlX^?^Fmj=bc*t2Q0!wRBEfPlC-qgcC5OH$t^)DpHUFm0KT9iv0z3DV86$ zCd~f@fk_q9q@&V_>$%+ur|yly$<*40IP=a)$fZ5jFuc{baUo23fc%|FL%B*$5h zzDb%CuHL4U+?YH)m8QKsoY4|4?w3oPgg14yeya;cAT+W+@AI)!F6i0vEj^K`eu`{Q zQy04To)%QmY;KNsYKRi*s;wvyofd>xvND@o1D?RiA<|PQ^+71;h4ST(S~Z14F*ZHJ zSNS3VG?Ezn>3_rJ%}%lY)w{{k#_Zm`>opltMWaWhi3*58zQQhR&NQH4*0oG5l$0p8Y zL)P6Dr^9+8Ty5T(sP`AtZwqKY7gj_R1Tt1mv5Qqu-f~Ba*M)d``OSm(>i@hzUal;+ zBNoa|?=Op$L4FAy<`VHs)iB<~!Y#TT`TRKTF{zE{kMxziW|1v9>RT^7ka!Nra6P3g z+D^7@cuh5w%Vqa%U;(AfN5a6^-3vde0ic|nP{w12ZBz0{GTcMSj2tM?>-M-X4VV@2 z`Idj)YKv_~wBMY0@D|4X!_xxnbn;S8wbjks_c>pdEIvV6QsAVhr*x_!Vu4V?EEiVN zfoinamQ?g?XhY@xEz;vgLlAqVO!Z@7F>EHJE!3AIs-H5s)12YelOE0#BX0zzxjyk~ zt>)GD*^pqk*KbXd+N+UH1v~r$w$Ld3MRxrAA}b5(I(?-MkK{@L3g ze?rAuhit*Qt@QEV7XD?Ads>n<{ghc?oH-_;Yn8rduo`l7^BNBN-6RXW>_X5)UP0`& zpRpODbU+{J`*#0B4oQZURYuf7m$?H@#GakhBAzCfg~psiY_~S~9mD}|tPq3zRb%^s zBfN{_UJYmEl06(T*YA{N_Y8L)V&bpEjC*agScCteTU}QR;Dp%ns`cUej6yg~Z#6`u zriR#z%tA}K`W66B11TUOn^}tFq8!Zl+ds)@+{f|-41f)k5_YoCy=;*}S!jL%b|q=* zOX5{}`(>C=!nx9Z_&>>{hIdLy9&~Hrl3TsZI>z9gOteyv+K0e##*5~Hti&<%t)3e- zt(7UI7~0C$p~$>v41e-cf2JRsuPTR_ZI507Z6%T~2hBr#4%HEjV4GV!z1xjaDzGi8rtH+16 z+;3_;^JSKD1Y+6h%ubKM@vgv5a%7hy&1roGO_{1wI=3Z%e%3De0J6B`cX|sX`x7N= z$f95S2|#~R_Q7>;t_TEly*Jb$ew%71=fsDVyPnWyZH)nlJ^G>SV!(Uriq>uuEhrD- z!#n=NWMcSW#f>gOn*qx6;>QJ&EwhwPHo+$#+OlvygK8eS8q5#k%N}IxJa|a0h(n$Q z`b5Vel@QtU1?=q#638OSj5=Ixr3s+l>f6j z9A|>Q%4&9`&$;-pZr8^vGMjHUvlD+!9J&N>jaBB#!}gA&woJ@7A01W*s2M5$Zy};L z@}vxqz+RhR4Ai>B{pyI5*a?^|+b;tMC&(MKBD75w;qlp1R>j3q?HE7hCeJ-}*%{p@5VZ zm3ng`ANRmh;T~QxAU(7^#H0r%utyPxsBHLkMLHQ$bT1s93>`S=NZ6y?sA(rAE;epF zc`$8=BD{fvAY8tSblU6T3U%sH9541ekO35YK);~R#^ z<+LNK!!lue-c1fxFbw_NgvD)(sqgKL%evzniGV@?l46o#V)SwjS`Illa|4ZKNE+ zxlue0n#PWW`yW=*$gNsHnkl^cbYF#b)Yq?U>DK7030ykP=6x(tma^L`eK8=~sqp;{ z+X^$r=a|>ro|;KksBnJ1)ezNjh;O#(2=EmCGYiMqhtfZPY$a|*Fi{wWR^q)# z9taTU;LvpXf0H9hpK)Czx()cxs?>}RQ_bDm1-1T0wH+2%xIE5rM%~sOYp#$p!zH@a zixmQR%Za^Q)0c1=mrv$@*ce6P3!$784COL_Ph<(?pTYGx+&ju&rz3INMxrN@JKx^O zy|ew`Gi>Dg;BekEV(Cf>Y5Wd*-wS^IQ8UuzffpE*`OW6go0}#=P*pP;LwlNCR;vvKP&(6%rl``GG4h;N26yAsuJO7$sCxR88^ zXGnLLZ}oGGI?)awVontSp41ng7(ShW$R#|rOg}h1g$S80+AZmxLY-=RQZ~1wzqW)Y zO`i`xzUe1aafxBYXy@4s!db*s0em$_C^>AZb2crG%@7(ooYT6M2Tk$!Z&)Qjqn!n&T@M&SBFP@RK<4W{a!6=Aj5@xHZg|kBvfhxo9hH#};nV^=}?Og4}zcSPUiB}5SA?5T@Om~{QAU&Sa)&{cdtFw!(sVLbGQ4D?I;W( zq*B4%CA0ueETU!|vTHqaS_YKEt=7YTD5fvlnp1f2Mk1kMvH5r8oPdEj=et4aD3C&l z!jg)23KzS7GUD^Ehe-|7%~oi+&k8E8gKgwUXG?IUvR^Wh5cfP^^+^cCVR!=;jD3ly z1>)=dr@lZZ0JRD&^qX$gQ2hs+CIMYeu6}nq&ids!5FXw<$ilf8Ya=zx7{v3_ohanl zafMXSn;UG=l&ZguWr8JqXtg+lULl8_RjE^ zyr)S4T~n}}-os$T0q#xxLlnWB_n?;K!dVEFGh?)KY#QUj6D-YY|6q$ylAKmhecr=H zqEccNv@ULI8w7^&_nWa;{r^_IgD(1k*YaF3XL|SEU!`oIkz*En9pujXdMYu%uP;y$ zVwg)MicTw{pxot5N73^9t0{1--(!GdH5VOlh&XPR^HDoW@uX0nDR0c1OTX*;&Pe|W zNO~>$%<5;G>klQzqnyvqQjE?>Io^dNnHpHez7m|kf;BG3urDsY{p@VAYxvPjqp&u= z_oS`QR!)=S))mNg$~I~AM-^_ps;&{^Q1Q7sCog%$!r;~p z3LPl6=X-Y#WPXhT4U}fxoAzQ_g;`1~F|`tQHGL>b4M~VijR4~U=o|Vl#9g7U-DZV! zzmMbl)$IiO)?2!AagZMHIHg&NVtCt~iRGa2I#7M=Sa(gl2R0l z*X)xQ_bk#^NJscalUM&kYQ1C0d!H$EOp>%nUI7}5{n0y45tE{zqZ2&UfKwSOx9d*9 zuJ>t<%Z>zoj#eAb*X=9uE}wr==gYnvi5$?_b$epFoFMI`g&fK-pgm)EGhmOL?^T z{m4A}|33~*f~w3%|HA&L2*eiYyTp`JnfPPkoM!t*{n`f&3*;qjOzu;|FF(Ode@ z;vmFtuGtVNN^A50<;!VrK*|b$LlifnP^<@dha=bpA#}N$c-RVy8KCT)7;8yiVVzY~ zm7VI(AWBh`*!KjV^Hxe)@c=YRc;k%rzQ8DY-gEc%bb7dmtg2#0+hsHz2ic5&tNX!v z5p1lh_^uVOqkbHUz~VqN7mJH7&fsWZR1TWs)0Pws%FPx$P+TZD1mt8NsTFUX86vVQ zmC+iUTTyT6w*UEo^xKcV(lOfGl;a6eP6sXterPJw@14t5XJLh5Aw?+=hVcr?Qn>hs zdKx8Edr6uRc!nosIzoiwHhbCDJs|f{Gn^x>!SBRus}#*EWZn^r&7Oz`6>nhr3fkbm0-+U#yEOXgQ-cjv#r+2nZg=H5EoMC^UrE>Z&y4|5oz-K> z=zF`H{KpEy2a6Y^-XwmJ&lHsOBSPLN>t6(zr)_SY5XCKrJR*q9oMnZ-7MeTTG4|=< z+z-q2Az3M!c*xtMI{P_o%|9toQ~vw7=;?csPl}MNF;CornXU!;JL`rN>s_iYi}^So zJAZ2rIQl)r$-j}fno4&Tp;)QxIpXFvl}5f3BRuozI=A*P9xEEC?@(ze=!<*I%v3r; zgo5^1A$|W2)ste)rqV@3C{DNbaB3@;uX5g0a{7bGCxswNUT7_T=_8uHc=6y*w|Yv` ziQ2+2YRDroIeX`iPCp@?WOu*J_Z<(7U)9*TMHmMGj5M;(LVlz?zapm|FWWqpPaO?= zfVeK_Kh@ye_|RE?E^J{UaQJ1z&xz;0A&^~5;gNfFpCJ$bgFDWw9KOxDTSN?11Rm@a zwEBY{{s;+xJ9nQu53#|aep{$kAF3C92ez-QM{2f#7uzSU(3~u=BDy7^wGouEhgBZ5 z?rG$5MCXztXh->2t5fBj#luu1P=^h*vG3f2cC-5mFK6yNpg9ttzL&{V&{&z|Z%Dl# z{`01VzWHUMho(6Rr_@h@QbQDbe}ylNtcp(dnQNl?c0aI}3y3#O-sO<%>$BiUO3q~O#Xq(bdC<0q2IBCs zSDXsCBp+t+Yj7+059#R#2!#z@^&rp0o`36+-jFfKEoGI03=iLL^%^iY%hnn&_P>+U z_G!Mx6#UB8s_o|msN~A1(mt8GqDok`=bX9%z5IqDtSfe@kntiQdN6O zGVyflh|`tF{ z=7*14QU!?~XyNf!&U@pT{*7~)Z^Pv0)b+0ne#l5SHR^3>2l#GR<+n8JYb^WMsf;&B zE??eNWt?>KQ9!BZf7F@R&XIM4SEK8Kn{<*kU#?VCj~m0Q6+>*dFH57Pk>n3=<1@1D z)I+U9k)u{`b10WJ_YU~~4RaWNLiQE^aC49>5XyJ(Sezcp1lOn6W#otMk@^~h2OBia z`?`V4b7$%Nub7<0V4gv{u_%eZb1?|PS$b$=tR*b@!{J>ff3doeZN^>k z%w;&3J*zrMpG4s4>p{bMH`QLiO=08aPM>q<8X_bd?%oV2@Ga&1&gCe?&lf|=bReCeBd_) z$_PFBS2n6W@phzcI`}8-oTbu0cU#dK1$mElwF#RXtE&$vp)OM?4qe`=Y zl-Prf;>Lwz47brBH^x;U5j|etB}M;oL67Mm*^(2f&sse)2iT27lIWyY9ZeCeJ6gHb z`;@#Zb8b*23m_K1^@p*Cp;pbk^O3RJfYn)_ZEY%ns)i=z3; z!UXY$&7qYXAp)O#8HFx>qk3cYF@xaJ3gk?yOp$+=Q+M@s7E4dk$9m>8Bc|%ciB^NI z;>d;vHn6GTNnbVnsM4$xpM_FD9Jr#SG073_NsII|Pv2+0gfHh>)y4n$yyW(_;aRoB zj6J;NmbJwza|?SE_N9aHyK5Uw{WN_ck*E=or6S%3&$c0N6zYzLvi{@Ba%S8bT<7*Q z&rsNS99ZT#3YAm0 z^^MCHVy!2BeJ0(Foh_IJeAJlNta2d1?-jk22rW>{uxMRJ*WPiQoF7L0uEpFQcwjQ8 z4QS>EnV98QKhXwVMNP%>tvn|%OEPrAd&c|UM+W?+I4&GShotJ@MP*b!FDQPmaX}3~ z$#kjwlDE;0SAgNI2+>@gPPL!ZM-BL3CY zyk?&)yTRzCdonF{+y3E0!Z|1892fMSIQ|W^z?KTCZGUMET1FLaP6XwO=-Qfl8Y6>n zbNP$uoqv`F3In4P5_tv-2_`lxAYA7)YREE5<8dz@sJl!}qbVPsVbZUyQ8%j#xZX9zWTVt;qRUa5%{STGl{i zVNOcC#rMCiuFbLkg(C7`Tj~{JBJNe;NHxXkCqaLVzvp})8THu_qOuJocEHkBqJT9Z)j5i3x{|OzEeR)aMb2*kcW9VqY#9UBMuG-xd66HK|%4w*>3G zDE&&B`ux{G)E2^9mC#E-UrERaMO-_FneD%)*r^f0Fy&h0nCY=V_Pxr)nepgrX5wXy4#0 z&jHD(C&=cPdP~B)bAplAGCUKeKn~0yzZJ6 zc%Qrc!9iELN88hI5x~Hnf&1kBgQSj{00xX-Aek!Sl6D+bcvub z0=`tV*MGMV_FS@~HMnc5@z$q>5D977{e@x1)ArWo6dtMfd9(goK_>@Pyv}sJWImA7 zINdAxd_5}SlMG5VYVA(Sch>dI8+f&pW8G75)Vthlkdsb5wIsc{$qr-3i zApBXiH0j4U$#%F%Gkd~tL(hK_?beK_IH~^juc+My8f@49yO^Zm+b^~?1znW7KI*97@jAAzEY-1LDo{(UvE%$#es;VeWdE!sDIarZ9kDqFGo7NS1>^vKL{)^2-a&jsyG z#;zn#Pi;qdCjQUl+n=5nXVPwGFctaUiD$KPJ+NPt{2mmUO8heRkyIG7BBi-(+u1CQ zyjZ(@SL#hj^`#+smeHS|o(d20mH6N7GYc<0Sb+chOdFgm*~VJm-qSZ|v-tB9YZpDJ z+@al+)R%DkU5lp9>_GHWn6R|S3{K_Uia+fB9{+gD%rkR85%#HfV@td&{e4H4MBIum zN8shZImaa!PGa`qQm7oR2PhIL2D7GTRHP0Q+}}P*6fEh7u7tYP_f%3YOd8$er%Rxk zALsKM>?K{IXxW(?YLJpdxxYaXEH$vbfdIZFT=!X;w}QF0$_{#PHAAveoE8 z%NdOwviJvZcw}mg^8qFLfI7jvSKQd6yNrAmq_EU(_(vH9Wt4y!YeMl(z9Pg3{sYb3oq=qxhX!7{<#xL&rt(% zzk6W|ZY0#y6H<<4ShD!9r5mqM{QVS$bOIBPcd00eXGB6zF*POI0JSp7UJ7;u*=dp& zm&CyaUdMmR_9>NSnG`?MXt`ssvOW^=+T~!bGc={4?E>qJObAI|9E1$wKm8Fbboyj- zwodo*&*2|ul9fZE<0&EmKZi8mo&ETPH}P#g@PrHRvDkO=HJDnIy;+yGx}fh4GRyM$ zn~+_NW2qXrj~{MJ>OE8j+MVQspVZ~o!>wG2)&DS9RlMuDX@2t2+DiE?VzQ3a_Q~s) zC@A7Xy);nvpSPv`>t>fFbd9ZfZhms=J{#S(MvRCQ6kUBPfX@|r&pL5;?^V&sF>2b| zs0L}ZTFHc1|KXAkJ`8E4F&{=tYN+$#^7M6#4+AHIx8H1yT=m<`FhWnaN4sIgMGM`q zWzU>a7zXfyG=`xJUh6kva4pv%FffS2GTeU*jq_YOd^Zh(DG! z^9iZ!>(6v8owC+neac_g#e1Wv=Yz-avl~=M5ot8?#g=ThGPqH~ktSb!+h{7*7dlJx z)XP;bt9p5N`_%icrx)*AXTDY*-67n#|3H||I&%J15zfX;BrW`s+V^Lp@4WT%#eo6? z_^(4a)jW`Nc$>xp53T#|4{E*xT0HdPDQS-3&g)=6>8UaLa?wp;JQ8{z&qyR=kn%=f}^=0Fy^Wg5^cEfa(rqb<8G$Q>-Iyn zGjnnA_w-H6q+clEb7eAlh8p4cDh3IlD5y!CnM zdO6@`Zk}82UiV2Q&L4bN|2z4zwyO8BQSbNM?KuM-^>6B?C-b%l*3zU>w@2@fY<|2n z41J3ds8K#ltiR;=Mmwy!&`MT#sX0z$!0Uxpzc0_}N}<%Dr*);NGL+lN&LZ7e$I{F0 zns&LEB`k^jd2__KCHB9Yh!t%ucEul zmX63=W>)2$xf>gm$lnW8DX;V2P|3U@p#8@@C1cB!OMkrkvj6d3)qBfAYUgJ>xixR< zFi#QpdlD|Kc1Y}=`DwHCdY%S9nz~x>i_0{rKqb~2VHD?lK4K`k=axF^p2 zhJ`y+a?`1-UT+c&R0c8Ptn0+CLg%6cQZJm|8Yy1DTx z&5`fCzytTu<^17aSA%Q9dxCU|S2^88oZS(E=a!yLoG4*l+c10tOQIH50+OgFCk9LP z1rBJBkU|HKCyYxyd5xFo!Ud9QY1HPioN035!1&mLc#C49!t8ISl&~M0e)Xr`-`H$;&Gp3sn9~_jwaW8VnNJ+`AC- z#Zh%RHl+u%BdRm-DFPwqe`nj%C5Q`UM-J??zrj5vX;#$3|1}-ihlYr^o)di64(Qz6JtVJj-(u?%O!|qe08=&EIeZV`8n!Jk&*Y zlWwu;A3D?{7k({hmpf}li9^)~^rkzS(!)0*z)ug&gYM0&(tmBTPO8+bEcSS1w@tru zvOL6wLo&OTEd~gWv&VMcuHN+WzzX3s)9YrGe3vcuiT1YFOkeN~)rx%*dLm~7q``sk z&FGxBvhML~9v^G7N6b;f&%f~eIJp!2WCM8twvG;@H_?B5a`O6;jV%x==>0)#u@Ct) zgCUnX1Dcr6>EZ!*F#EJRDEMGd4}oChZ&B6tSMj~|gSNdu2V@gjmG)KN`<4u%#<0EP zlj(wE26TG4Vg|E=A-4w!c9dgD%ujH{1=v6iWXgSiqD(~#d!IZQmGlRTA2Le_^n3!< z9^$>Mvw;U<>4kXeEEyG!Xn`D#^7CO`(MqF;TJ$Q(s*ypxxv@)#f(DW>v!`y^Bf_Ac zj4DiXo~BXDoLIv@MQp_+`AS^T{G*61{(&gy%?6nHy|rMa=&O4IP?%$)~yLgnC+AzhTt zwm*0y3Vg8{(oX8d0#OGC5A%_|+emc;u@JDi`*WxHp#3XL_Igbd4I2TQ_+CFGB3+wli9@%+EoSLr zBy#g^A%X6i5eNi@AZ=w|jzX{p9uxS^Emrf{qIr-%3%*KdBRS(?7m(_#dthj3TE-M~ zT*>QO{jL~^F;WjlvyE2j?&uFvjZY+f9F{3b?`I-2x~cs{2B?dGwJpLEZAUC>S^ta&0fN*R2=dxm?U)*kZQ5PZBxY%x{0r?^ zyY5TiS7lfdn{hOIPzyAFo+R||4rV0AB-9NKQEg>W0nO% z4le@RQ8=GbW<4BsNbk_)024d?=G(x#7sD9{sR9R$xVjlaCv!9m%qN+qq>1x+h&@Dl z#QCut2D~Ql4qDpF>5-s;c_@~5sJ8PCW^;}?vmoiMbt|8;tj{v;f^O=xd_k?SpI=D> z_^?FvMFEMOV`^Z3R;?bEy~p+}?VSJdRNx(hf3IrVG8kQPV3A^(4-I2Iz4u~*790F> z;Nk)KM<@%dVsP4f`zfFR=@kLp$`t+5m-Q42aCuS-+VcIUZBGhyA2pVIm0j( zfms42*+V{jV%eQJLR&_N+`xnCrZ(porV*e4F!bX;bInmz7AW%?fDn8U+Z4*0HX*7 z^R5UBfvNa%uoyxc2&Ar3)V68W2$899I*p29B9?vNH=>G}HvDGh!596ijcbAF&bYP1 z_&XSd{r6`8>~E!yffMXmO^j|32D9hY0!M-|7zFhPQWl`A6+s+6ch3Jn#5dFUb)@Nb zZb88|UCBX`$&wwTlSbJAAPic&#iFG{f#6!`2k=8^%W~-fG7!Qx89O3E0_M+llmq5= z$Cofv_--?Oa$gORYQ-L!%}n3jj84Q9G!3xLz$~#N?bm--ZZ8KeSERY*0kDIusp+V! zh|Fp=9wLJ|Nd=<*L!be}Q?gg7?BT7(Y6LTWQY&^t@Cj^#rm;mdlbg>9}okr zy9!dDR*mf(aBBnBe>>v8#H9s_z=9;PY-6gjJYF8qEFNO_T2sf`aDiIXWNtC+tvk#5 zh*>JT)GFEn5pe>8MZ-Yg-Ss)ZL+qND$mM+4D#3IR%-k=8F4qB3&YjFdC#)(+4e=Yd z>hln|OxnV-HO`(s{%4kf@8W3Wr>qf?k6RYJfL?gq>ui9`yrQn%33do@uOlcmGx_rY z+cXD%Iv?y7MvYZPo>*sZe}omK^=EgLSti-`RnD_MlfGP%Bs9^!Q{<23iA$mR399op1D>Wkwcz_Y zg@;P3Whsd`KHU$`+sbTC-M^8y_six+-t*>f=Moy0vg{?2C-zli9udo0+CQ#YnqqtJ z59XF7zw6vIm)kf$wOuPJe|)gm$vRp$&F1&q`Isg`5$^J}-42W5$8Cj2o^vl4vjOng z4GFJ`r}887qiD0()n9X8#NWq{&s%4&8k7zv|CmVcHc=)jz%^ET0(>Jlo%k$_4g3^x z+O=fLGy@G=K4aFO+-mx4`Jkc;z0$OIrRXWYRP6o1Yq8uFx9M0 zY+O;_agzpJ`1Cr{zBWXK&&|{RvZJ6cK`CuEyrvmit82llRidb(*D2DYa2V(p{E_#W zsietr&fYBps;c$iWwq?*qac)*_~_lX=QpT#A0+1{jGLsXZk(rN3fU{ay!_nyBY$ge z@LBO@X-iQT@BXT{pHIBa4!SR6Sbb}1wqY#s>9b)?gDh3s(D1n{dG_2#!v>9-MRfa? zaZxLB8Fx*@vGYcMEqP3icDJ?GO3j<_o_U$reE#mx8~%Ffj~@cI{Ix6BZ??2(n@yYl zSMBtm_EYUx7N`O|_)Gs&pH|bo@|{n$0#B=+dH1hp{}a4x`cJS;kwL@vOy!sx&+B}G z9G2oQ*$b3?vR&fq_1wX|4Xo0Qk&pm3mxm7%&rSW0Iv1vO^DZ3S%bvKOsF}s90J!IK zuYBNEE&X+c;qO_|R}W8vy}9+zxuiTBG6{Dcg5m6baU2ajVq)N#AZ5PzM6dJ_=UbBm z8;>9GYp&OaaG~0j7pbdg4nmojPE7hgB=3o0GY-DqwyNxpRxPWx6?t}^e(-I{GyB%diw zv>Ow>%jdLoyGFW?ynC-UQH5jiv*s6j>4q!ijgfNt-Ak4E3*a`Dv!U8YO4MQ{eg&PB zFky(j&f#@Wao$k!FMFG1FViIef2OkPQPXGWm{LC;>f7<4+U|kgen8oE!Ekw_j{y() zUUb}^Q0KPs=_mFKpE1rh3G;m}k~i?!XO%w6$#4HF0WtF8$B22xWs@21iLdvYNAxwo-9`ptMRJHVL7`82X3uL zRVJVQ_d_gy;9J?i#HEZ9+#S&fm=BaG)34-nLF0l?x8#|3p0}Jdt<53+S+}owN88Q zt;tH8_2)HF^F`j)=$YNcH{r3>haBbCaJuE6r$YLa+NV;k$_-`7P4$JMejcLM($d>T z470v3rjyUhen|;=Sc0c!uO`Jyx?(aLEMvDgvZ(%4?zxF>*P7@6;#FyGTN57v;~`@V7RoE%m|i}LCw z#>w4nX}D8X_W6oI0qYbFu!FPxLr-MVb2M3R0Z4J2WIyHTP15xV(v_XqZFtA6hCdB$ z8w)yG5juZ;qgl9}-6zvsyxNJ;hf2lsX_03)(!O=`fj9>R zX2=|5(ytW2@Msnf4o4xv7U{A~dW9wc3j-z*dFT{QP2k9Km_F)ufPzKr54awxmP4WM zgn+)md|_#i5$ROLV(l1&#S|Sr$3?cGy`Hb z1-=LH%@Cz#SSJ?Fa>53qS&BQzBDsLeIm#m#RqU6=k^Ad;yz(_od&0M5=F)lG?G%&4zj50!=LL|-WC zaxk)ZcEx#^ojjm=ucoj3Q_KiXN|X}lVtWke>?i2pu5s$Bv+#(QyS$Q5>gvxwlFb~; zu4X}YZw7vyrRF?Eq`c3M9?yS0PK+Lp=PO9(VEt82vRcZb-Y@tX&b$1MjL3K|7LAwq zcEI`V;44?1uNz~UBPtzs{_uob^%It;dQq4KB;)q{)*?%uj1@Tu7YmZVD?Z{d)i8M* zdchBtNLKGhk#6{PYuo`VY&?7f+-g~|_7*DzKb%nP*BRGEFbe&WVGwmL!Ux_AdIm?v zG0|5w#|w=eUP>IJ_A0!beV%Q7ndV|VBY7o3h$5WbJ+=^Ez?3EjD(+YuWhrnnO_hr( zjNA9PzZ0zf1BlSUJoHi*!r$);+}=n%AC}lYN$xL_+IvpTTk-^>mQzRa z7?j01HK#O}|l?~aCOsNgoVg%oTV12vR z3M8Hiw*)3fs!aICLgmQ#Vg%;_nys6^LZy(a8^B@+*T(+};{qK0OHglx`cl+eqP`6E z-=Mx6^%kgqiTdfN|5pG200960cma%*J7^S96o${{otVVDjV3V~A4EITs39nmnVa1) zvvb$kyNPRaK^wtFgkWKzAc8@`#yX8yh#*7)7D5U^L_<&n5g~#KTBWhE3h4Py_BCb$ zUN|#5XTJa3dmgjH)J7ddR6jr0&NmunGv1^P{7Ds=ymfV$HId_o#-^RnNva4G)vz^* z@W{pEhu|4tgD?{W)(x~fZT)acZxJ<9D}%SM{~Uy6D?iX8;>;hQ^`py(?o zB4txLV|l}WkF}GoFmw58{sH*zIA00Z^Q#sbCQ~Q(LOhKugT!+g88ujYbNZNG1I&DxUV;NLx<@PTpp;4s1GE zZg4%V^U+r>+&czsv69=sU9!>2V*Wi~92AGEfKf!elGQ52*p2mTcr1@!ty`#9pKl(8tC$C!W9yKX4K~~w!)$c10YyiEmD>xpSJ>9v$zS|Xno)En@WW}#)152_{(O4ztdcKGQWd^V z_~#$ym%+ENm6`_!o#$fiCLRXz?vAa&Q=C3&@c4KMFV|(0f@X1HHJ0a;6Y89i-q~cN za~Ytr24s&S-^)G(W}ZJP$u^D;(m_Vf9++>0dZ>WAsa!bC6`4`4(a{7VKzj;|tfBvv zpli9b~D`*$T#LQ<4%Dy=9**_-*aNM)-eDNGWR?Ae(mAw~Fn+9bv#F_mR3S;EX% zLJ~uRvCj-K#$X1+j9H)K^L>`z^E|KTFL+*FuQ~TQ_qoq~?(1CF^?qOPbEvFax2{-W zJ@6X}{GA21H@qK)`UVB=IbnS4_^}gv&W8K?-`itkY_#XNiQx$&L!;v-jQ2QsKaBA8 z^4@dB)5~wqi9Jr2_qcf9-D7>vHw+m0HE<(vnRV+dpZ>d9Hz@m`?fR7eY@^oCqBoB? zI}J;f|H(XjsbcT`OE1q>>^;|d!RXaN`xjd;%^chO?Bnj&&ktBX`K6bC?d(|{Z{gyMM85-k43#S_pEI=_zI|w-`n3BCY2Vz72uVeU$Le##z^-~?rO@&36WN1lz9FN3{8UXi-Y z9TXeKTGl!QN?pVQW0Dsu)mWi!&ex?#p|4O{81Yh)iPv^NR4pw;`Ujyd35UsIXF`P} zkOWy6Sf0cQzTxMps-<(xmvC6Mvn7gUFM8H`iX;R&yhp)r9pM))$M zJr#>0E&mwL(L`CQv(ehPer2h%->Nk77wSdCi^;jlAe-T zHKkO7q;Rf3!qPY}B1{NjQ89h&`_lPOM9T-Hu;Gfjia9>JR7}(WNmWHc(ux#1D9u~& zT&|L^w>fro3@#O~E>i=F&E_tSR5N&8ftatt$YEol)HOotz9JnJuHuHMR6LXwS$xXz z1>zdpZ51;SGMw|dH)yX(!sn_*3&TH)-^~8B{uQ|O|G%FG)ch{~X(|MH^nw&Mbo%vB zBnz?EowItNBop&u4xL?C=9h~Dm8e%ViA_h(_`|%O)kh`7_ z9+{o}W8-*YDb^&z%u@8n{y``$zS;}>w4y>?0DVkIt*B6jPk{JNy@P}5V$vDv(BL3Q z;zg?tY{QIqb*-N^-H6bKG5+E!$ED}SB|FB|U!U1#WJ|NTN-&?M@HUm=D$h{i9T4v7 z%zGPN0F7Gu1|2~D&6F+Dmjl10CF}3>aaHA~coN60Z{CnAIdMm@`loP{BzTwd`|DTV zILB4X&)HArUqjFY?)wI7x`(nd9MYc@%L|Tp65B((g?%-8jVn+&MEIt-Ri`ZyXIc=s zXLnA<<HkTYPIS<3? zh*BFEbqi2XV4LlU#mtq5*?Rca4VMR3&#xNa^w>NybO3pXzj{UmVagv>5i4@m$Hk&*o7qnXnCsaf`f0j& zU%h&LPy(Zo`NR$)h*(bKC3BFR9F;N9m~OCpo^i+z+x+=`L2VtB2<={_OQWuz9Cntn z_N=ZqFx@yW-Yri&*2Ip3YVyba7?%~EYd!D_mZt0ul54EnB)+DZRJzvyEdw$6rF!q~ zMq6P+g*dD2+qS^DTa!cierJc@8`6iC_XzsqvM}#^cfHY<;fW>N>a7zXTZvXpZOvKM z{Fj`Aaks6cebM3j1g&RCda>G=jY0fFvvwCySHE`|a}*>A=p)ml^nqw4ZN>Mt~b51-1K{3DN1!0FJJXooKFEjfBB5}o?Hw3c>QmvIrq%iM_5qV=g& zy-9zg$5Ztit?G>(HnWCLGEz9{bZ=ew5z(}~bce^mri{k)ryN6ClB~z0`qkB)tJmUE zc1z#EpUFcv8>-c~2c7x6Y#_U#dg&$*sbBVNvadezJpjQDi!mP(KbBlnucnq&_|e*G zmKYcK;5gDl1_?@wl?`Not~^la&{2`-!+G-5O(b=C?W5W4^t$e2_?0}1@JAn# zBDj1o0)392C=XGWxX~g;IvkAo{08wV{q|kLvxTpRG+59UqUHk1YPuM;)JH$1C@^L! z5Bl$JZLacVa`idJ8$ewIe#b}Kb}Nn9*$KgdH5Vp}|5`xI{?&Yj+M8Of#!1@16Nevw z+tJE%tv{d2l+&$0Dv~rDN(w1l`Xj^lTEg$7*JI7<(|yi&XM{5FX#=~}%BZ`+`-AO# z#LNv8gvIIwla9J;yLQk=X_0dC!ZIMbX#MHsnQ|nBk@38U(aE9Z8b8X7p>W%7Oo!5d z)oYxCUf(9MOfGcRDo+w12inPxe46i4oBFG>(cu7vh<%UJ|4ppUBOaN?)=Y36_^ELn zRciTlKioj{$mTk0{+=j`JZLL>dz5OV&aMq?Ek0p3{q8{}-FCN~W`(oSd}P#c!)~R) zrS{`dZ4KV%QeLDbDye`sjDeeM8i!>A#fL2&8w{03Fp$C;$DXPV zSJYs`&a=sxUtj&|Q4wP~Mk<7@$n!J;%B4AFW1zTDyC_awX{5DcX!$E(aSA*9_^sp9 z(5)dqT#`cf#<;({N5*7q0x}i2ZCR*1%WJp;`^e%&Oxsus5HbRp$AqkkB~>`Fq1|Vq z>YpaCibMg9lc#Q=>NcSg**g60<6V8N0UUUoaOrmmf5pyQ-pV+1AF@^88wkH~M(S`6 zo+FP@=hNrm53PjaJp-<@t+4f6mhQyTMlM?ppLV9@;^*z6(FVlGmj>#y|A3$cJTL*X z6{F2Bd#*coZejIV)j+iG`;_!9v2ncQ2BlZuD;_wC@ zBj4tkv1gZ?BOCVDed@cQ=~I5x_r#nD(s*_fy8Z`J*c2-}-xdIgB0VKQ>TMFJ>)AR$ zuppBfEad>FZX1p7l4hZ$L^>+zJt;445dzhQ+u)2^P#PEn9lt@EP+u9jUx?QmFmGw0 zhw|xhwtfO3{T-$q>D~rPs7{-1Yrs9{B+-uU6M6C{RV0T+zZ+mlHAle4w0>etu^yz4 zSlHvH#kC&|F}0f41xn)VB8t1$MZhJXI__X9;Fat91HGsqv(Q2*@$Ghc`)}Q^`52LQq0pNx&tkKjnbvLK{AbgOK6z zQcN0oN+Z>g#&D86bqfh(R_Grad8mu{WGOz?u|;~VhI#DH?YVPpl>JqscNx1ks#W8= zrQ2(GFc1JbuHMVSM%0%2_bC+~DFN~#SuA9m73sjLz(KD@xU)y@DQ3BYGILM4PeZT zM~2Ti$sFFkdL#Im|1iP_oN8Usetxz*L{@Smf%4x$C~kQ5@?5|=6c|7p$?5c_3KdKB z2Fx=~3hkwCy^83we9#7zQBzi9n@vlV*Q7VgM+qAc zS{HznK0m=(S8tz?{-ipYQ^t9t0#-r-oN5Enpa~Rjn$E$}QaA7@gR8;8K3Ynl6Tv%Y zo8GhOt-14)IiMO)5~-1-IMN1X_hhT~5al8IP0%N-@C-pm8wwytG_a(Cen@V90;2A5 zx{1-~_+Jt>R35ht7@tC$l;MTk+-eBi)NmW8T!pX$8Abyu>C;-)j>{Tq05=OblRQ+$ zP>b$IPuvIB7QL5;DjRO2Lz;N$91LA}fq#%c7B?Ke7pgsVkTWX7TN?N`(MHeu@N?pX zWDV-SMQ$mk4KbAZ&m00G1w%4$6$2g5Zj+om5+R+mkPt~SVn%P@MctJW=hHG9r zXPfH+K%cNN_Cge@rX=GnA4_3JHq_bh*YOoqz}t}S2~-)PNt0Z2-NyNJ1^fzAt0q0G zWLX<{Dmv?fK=eG?+y-7q><*+akkLb8vzrdY32Rc5Oj9_A==S?WN22oNF#s88!o)$h z(Me6D6b^%~xDT%9Mk?4ZTsXkbRFP~EJ(ou*B5x%S*W=V{h+~}zl&vFB_Sj<<7%f_$ z)G;|0aYAJFdYm>rsL9WoZxEH*fIuPELBPR~k~dB1N+TdPv3V{EH=S{MLS`TqcF`Y>&E zpOqr@KvU%2!@l#VBRONFQ5sQpUZ@X&`_aHTvDXFwQ%J`+_9_HJ5u*WNr5uOSG&=^H zh(0XJYQSS!X!^SK8$~R6Ls_X_lX5%@e8))1rXj;RYBwLNf;)C%c@ya|aaRopl1g&~0LxL8|1wUvK;8-lH!bVTPpBY|hPL_rbeE>K z^HF~Mc$G0VBr2hL4Ix3;wPNs4R%$40%Q=AC{+0!sHPtoA0a;>1b<8jr#PHw{fRJJ| z0+q30y-NZwp7)$1;pnI!mj5u5FnBkpTj z&g}2d6YD`b%9N>8E6B+aW0a6BXv!QlPI_Yv$nLJd`1{t!^j> zL^u_Us-N9V)vDoz2Js6vQuEUc#5P8Vm|GTjWkKp09O=F5$V$9)2fw8zn;u1$U%3@p@+^R;B+x{ouT2xTjEuMXd~T*e&+~yYPsL+X3B#0WXYSsNw;V zJp3+k<*4h5wD<}t$YY{!@{s>KO`0Y;KINp)9nY`9>!qAFt&M?gOm8*QG^dYDo5zw9 zrd_zdAjf=@=595$U5L`>Ps<0%p!PHkH`-Y9!#Qzrs6b?SpfX6M2CRNxJf;FRxQjK0 zUrdOMQ%>WQaL%ZVDIy~ipvpx3rl2^gMorFc6G%`3b$3mUlL=B)w9+7sCLF4V(j-6x>6DEnB;!m8nBNx%n~2BfavW5^T4Q^gK#!_7 zXWPc~V|q9@RKz!CDN-F#)KwNDW{)Vh4 znl&A2$hyEkA2rb+wtBF=-jjwL1Ir?j!0k)5L?chd>NLiAzAeXC2K7%@xgeI6n`;Ix^uiw8vsw6~AN(6DhX-ePtw3#14}d-sMGw;^Sc9Jp-!7_C9;JZ1 zoIr}hyrL~i&(*BrNEf1|g|c`s)LTNbfu8cTkr+O5N#g*NUl+c&{%nHbJn1ql$A z1j-{)GUpb@RJUFU9`m?E8cLs%-hwYmSh3@OV4e&j!D+rSLEE?M5pg>m)&x!FRM4Gt z>(`4`JzFd@6?owNyEH|iiiDgn9$ zb+XAY38FjGxzvi4!8Pky5NvKYwg4TG>g(x{bN1nYAq(OISW~XrcXuJ#jN>1!P zkp;g&p12;or%A3M=(vu+T|A(Tw4tqz*31ElWld|1T7C`(8OO3TPUR)?wqy@S4^C9C z@#A|H0?Ce;^RukF$dYu8&1&iyPDz}Q5+6@@-?y?>~X9A$c&HQ$Egr7Ke9UyT#;;hP{v0Jr1A9q60} z1f1|B<0;2|_3jJ6Pm`YY-h{yp2=1Pt_EhpT`MGgI9)gev5JeOU1`ulC^!{dr^Zay< zSXTt&6I2KQW+enAGL%Q!=GIvA%g)xh$SrjMn|+hc_g7e05MAWM;&>qu5lK=FJ|NS? zHdJq%C-R~U`G#>UWBH+^-x3Z8AdC9zpdh+jBgHxjaCCXY|EVVeTpA!#2k0F#yafYA zsS%)58*v53z6 zs{zN~39$r(!S7wGoFgj8y&`5qIH_~?WP;-sKq%M+K5-XoE-C{Er@Io$EEwfpaAfei zE&UgNuu_RVmj_bi=DBuYsMvgRF-_0u*ZuF2#m&YWIC3(Sh=MhA3HG(4z7dJz{4B}U z@#$OKG{{hEh{O9%~> zXl7l5oZPWgCT=e(Xloxrwv~6uS zm1DWqJK9cFS=(JJ7lGZ=KX_}?N`SI4&elti^G7IKGG~VZ3dn~169~ga#M%PZO39j& z1teXJIxrLgiej&ILKpGREycy0+iSCkfAF>f*AWlXOo2Q6`R3!*@nF(^ec{B7<<W5X)5zX)UG+Mnkfl)VK2~<|#{hd=<)kxg71Dv1jw#h83y$DZO=EFCswx($ z79ou!6s#`I?mKyCc@PyJAs#dnLCGkP;M4O>2QJOI=zah2Z4PUor%8$Gk8Hnfl;&SI z0iCe?oS}^{EzQ?1TkxI&-?Z{>xFRbGMdC)d`PxM$VbB&%YRzgyP`E zdoLQ5*uLz7RNSIvs~%BhxRkI<%cspsGWIUh;1p0t>Mz?>pxw}r$cjE!%=_2e|p^v zjEHHu#;DS~85sI+W4*z>ij|D3V01as>0J9P=#s>FX8z(d@qN*WzH@h9FqIEUw}*>e z!|+BWUNZ(ilgk9X{K;40;Jy>^LUN%8!zBN9w#P`7GkS6|Z*g?vrPfJZXW!G5nbo#e zleRg)&9%MAFpS=eM+XUgdZoFP)fLyTcwlnCoD9GGtk1^6d&WYsO($xgr>tbD+<&C= zu3$9&O54Y@=!u{D;a-|2CTb(5v#}GOZ9nN|U8BxqM{2W#H|>H}oZpaIBXx22OrHA{ zvl3nqBa6ysE*w6$81s6#g8fe#3x2e7+nd5{Os&sVyGWnilib?YcB19Yp?^$;wK|`O zwG~!0uI*v{3#VO&%n+_WhY$0-cF%D!PB*e*%f3Do=pJT?s2ScxWa(wsGN&w{GJ#)5 zubfV65q+(kL1dqH8T(mfQv5mqVLgC-9j?~1_mNBFHVg-XS5Z>p81x#-4zQj;$YcQ79DJ6}Zv8?U?*;TmN(!%sGnh+lm zhW|&RsJ4VPE!yFY&X_T`L>yt=`m{Q`70W*N?WA{S`h%CImeH?>AM5||J{UbweGUA) ze9Wbo$+Z9z--~n=28%oxRn13~x9!i=Mi++pQ~&N}iSoQ+-+$jvW{E-%g;UD5M!L2A zU6M+^Y4Nuyz9g@f(C?kA=f_Z6v>-k8)yni>jXnOz{>&R${8AxGJ+lPFLCw%5=UTN6 zVHzvuy;rzZ$L2rwC}nT-p{z1EjcXA!<8~@#G73w4SuVmgF6NSX?~9iH_`jLRmPGut zaLoC&_z&4n2MCrGRMEeU-<1Zo*&Ym6blXXyWh-;1@p7Zy8^>%9${uu^piFC$J5!f+ zn0GIl!o*;U{C=D>c%3bA`x4l* zny}eBwNc;ojiq?`75f8xo9!iR#_2v^{Nt8cKaoE3?G1<~)%Nd?p_sQJx3l_xR*hW^ z^m0Yu0s>-*V=5)$BRiD>mWGGRqW(^a|N6Vg<;kCAJ@vop_BPswKC005aT|8^K$M8E zkM~c^gns$$eG?f`f55xab9V>fkG*u8w>H$K)PG-4{ZXK$;JOkK)9Ji}yu~03?J;rg zgva-CEIB>DXrj=n;|7-EcCn3e_l?K_1p!W;yon5r>1+;zBYu@)dok#q@!Mjp4{O0<0QQ$OvHQDZ(R{p`C`8OlN1wF6A?fLH}BRAX^^aIVq zjlebh=1UF3XF?G{IA-MybpI;agL3GAyN!QQq5tsiit^&fSHmH>;Qp#AJXESJf(^}J z@O2qg4+tkStlL*8uSJf%&aed$_-AsX)tMK}<(Nvv@Iwc=yes|Yj>O52_XL4|!n>A; z?2j?4d8=QM6PMb#i;R6U+&agIz-##N!sx8uF*EFXIVKq-=efPKWfF~8b~wB;q+O|C0Cwj zn$O;>KEL@Ga7q=VcQDgHJ^6Q zqeBwXL;Qs+x3ceN_!F`}(+8E+9ryMzO)FkC>DBeK?>leOsHT7g0XW&NuBfW~c3<(B z%T6pM!bFK05)*RtjR8u@#~f?wa>7`=GTR)73Lm=6HcEB_*KL zI2){7z-pO4E_D|n?r4ySA0T9=u-T|>=j;KP)Q4+`4v>_ zH;2h}alM2nYWXQ8PX)a^=l=^;tbFHc%VxVS!{ih{OP#r%WjR&%kz+qAmfrEeSzQDGy@ z>ZMi-yr?GxOIly~Fs6Kv^^bJxR2EvX~0q<*gZ z$%MuoQDT?zNh`hBUsKFv14+eSF{vNSvg1?fU4*H{8c@!rzw-C2 zzR&nO{inODD~GC#-2z@9vi!2#ZwHYGbL22&KzMoG>AdSBpmy0ixCD+%}#q9aP}1w#y4V7ngvxi;!lMOW{xK_t5;2X0CISy?H^=a zMH$&Jj%lW`HR}&oy&+61Jn>YR;K=diI3#1q7fZ2nn)qTodv>kib(W}W(ZZaoeZMQ4 z&D~K!Nn~VuxLpdU0^b-6oh#Vr@#?m=IOGx(2_?>_(wFD1bZS8%m zOzQ2}>n?4BSMk2rVgDuJgr_W4%iR%0?s8^k4KQy1H4)>!Unn@*>y!Yax1B(4%CW=DWsCC@OMy2}VJUdaR7Y{n3>-^gX#uT*2hPB;GpVGK zQdtK_6QRh?8!HDJ`b4eyS`WoMipZ_-Vlo?of!Q*XOnk1Vaoiy?pNTD%2> zf6i@>@HbF(|1PD5s53?<^$|wPtaeQ(kn$qgt`pz3`&W>M;f^Q9$jkr& zc2`h%Ol4meV->yT&i5*!7XWY0Vk2;hmporb7vomc?qP^Bsmk%6@pTYcZee$i0aH;GlZ zV!u``HD6Pgt8@+*C_)e5TwIB)*rJs5dj+dM233qp<_vJ*$B&8}M^5IT{*pcml7C(B z`L8GQ_AFk$z8MPq(Le~fO6*>B$}s|BYd*{xD}7qtiY3_0pT-*6WZu0+v~eF~W?bp# zixLI04$wD1ClXuxg^W7uIuWX{yo8qA|EYnAy%IznO*c?gwrs=Cac^L$N>%kx7B9gu zL|C~x7E)TBt)0bY^4|EFA&lYw_=@{i4X~JX%`IW(lD>z6$H!ajiHhO^GA{nA9gYUo z#!BDK?X2Ec%5;DleGfQQltX5n4rwVqJgbPM2-hbM>kari1hrM(<-8+%fn(h;bnf`& z_tKuW<)z%>{v5UM7uuE|G#DRKnz&P2pq2|dX7{~uD%R2R^y06Zy+IbY$8gJ*q*Sza z`>&*DU1@Jai1?ewk7oDzJ4!8(g$~5Q;(I2Jy5}l>3$)p`*${HK?X731bW0RhN7b1b z>6u}HpYQOqVwn=eL9hDHq@OINiU}jka4HmVJ+Zj70;+)Tk`bKds5#(-d<{~cr?NSI zES?;dqfqzzUq4I_iOFIgX)Q+Q_^-ev?}0UF>X#4J*Ho2#EdRa2HKF=xm>A(lsbqE( zbW+q)vF-s5If4ARWJ6l74_>L``2a39(_Gb+hE|Z`MyZ;+?)ohB3{AyW*F`-xMifw| z{xyDWY;DvK+>t0AB@LFX<{*_tYS~DXw{*xH zbsLjk|+9!8aXZV*bK`eK-3}a$~61CqfPUi^z_D;T8tB z^#5jNN%C5XV?XYZ+Iu@#@6>(qKCp;Yk2~=G6z`-!wt!Ms8Am~kkx^V>( z6-K(?9LY@IeM;0b)p)+(zhqSD6NH}h{J@W>t8de&DT9pLU6^;rwTWm?tQl%dUa%K{ zX<=>7Oy(K%OCJkMxy$D*-dHbGh-We{EF36mc|NS6y4%P5Hv6zk);;0~=4+mK;KN)1 zu@yczQ|#a!n^S)FX(lscA{~tR;P<2KSk61j=b#5=l7W`aD)P{f-HM}dV(xIzWW4wD zdxTw-TV7|G@(k?HFT%53f7g3VHg_YLBA3|wOa=Y9*;kLRzAjM`{$%DW7C7YtiolAs zNfzto)F&RlQymPY+~*DCQUpM`ZZD6}5=)`kV>z0=Z-+XV|G+SsBjanC>DOIvbm*(u z!3PsnZ#>q*!v+YCE@Ko09-i?pw}=f4)|6=v?a*x(;24{}qi)DtEco_hYe@mG1&Q2L z6;cN&-7LNVqs}YOrXn4&F0tWmvt7ha2i!{Gyvx9t1IoxQ{2edgp}-vALkk)<4p5nb zi4Ug*7_{W*+cbq#vKUR!vTL)}kw~YxpvEw_KlJPCu`YE165<%MG4t(?^M27piB7;? z7}lc7o3(D>7K{-95E#YMc=Xs*rd$&tG$sosS!em38H7deaEj%yZ)4ew4m%k~0rMk` zVp^h&L-?&s%@5eOV=q&a&BaU8I~n*p`e=z8H+jjhbh`uYre0TaBgFx?>yrcPngg!< z#gp9sNg~|JdXUnRi3KuX4cw8HQ^*`S`0O}mzunTWP2ZPqswZ`LzJoc~NdF;2Hju3< zlmrvm9wZl5w)2a>;M3d~!mXuWlP5V*SPEn16sb2>1!N`;KaOTBdwk#QAl+ccShegX zvblc!?Ghq5=V?Lm)51@13xNj2Ce|@52Jz%7FLWio zGc*RFbe{4EbLU>g1Z@8>3vQ;3dQ}7sBMdwuC`qD_kQlU(YBxQc3r!`c3F5OMG4Ai9 zZ-oq4FdPxwci7?{6NeySM)c7e7v3zKBcYz{=CO8BLht3M``pggGsx*s4$*#@<2Ry@ z&nor89o2JUEGNft6X=)~{nQt}#cqE2z>NF)CP;VE|*7UIs*@m}Xgrx{$ar~aqg@|IMlu<7y@7ZsBhW^6N|VE*(# zqJwmQ(f;t)o{p9Xr?*q(3U?II$Sp-X!ks-|W=l2oZpxbG);b_A zjoy7z;9y979j2H~jID);olX;PmJp9NmxQY+3*pQ@7hQ#bHIh9pLEY& zKlGECv~KcpfFv~{=CmfR%u1%em1+5&Qg(I6$|G|6Uydj6$*4I%$Jy-;vTeFxj_)$A z1s_YQjEt!R>uu7_)`?yb3;-(c>t3IuH>xU6tYOi&yLn=XJEiSRZt)bRwX7sv*xg^} zrj-`Y6x65`h_fU&#*0{9q1?-@vH$ceFO&fUe#HQ~X$2+XYtK zpbx~;xfzhk9%^=X2gatG`UJTV{nv??+~*6Ef5JTc9fMO@F?;c7Xeu4dv{B<3%>c*B|t_?ao?CSn^D}%w7BWv{ai{OQAa$TfOO=Z?lH_I zBrQ=_aLXa0CGRT*1}iSnInV!|#oGiis!-SS-_@AOUjnpE)NqN)(4}72)eA!(;f6!K z-GjT=<>`%PfHP;gq^2;tuTR|na2d_=_JT75#tt|}{E-5|5_=PHTBn9d{uMGbD7rn_m-$Kpyit(oSq(Rx)Aa*O8%J4$Eq$)WU=1Zxfc@Kslx)bH}UO@eH(y8I<6DX>@hk#R8wB3$h*6oK7(p#|{KN zBk06@saWW(pWpj)sWkT<66{bQD^M+?uX<0ntslEI4YH82rky>~83D4;-Fy zkUP=Cveej;3u#|akBkFatQ)D6y+6qQ&m zb+Z#2xI5b~qV9e`k)EL2KfruUEi7xHxgSYR({SI2Fx0vFO+pppK)-L_jNQOLC0fr# zyDba)Znfiz=b;N3Mz=!(C&y=(D>*h8*V?B8{z~C5W{@uhQ?C87Ax0db6aJ@~0#F_g z^M>c-QLYy*PNh&iyw3W@FFASpD)nwo0R&#@qm?}j;*fsljiI`(!OYEhdT5N2-Zb}} zLLu6z8^s11#^--C@*o4ubeVnjN2jQffTus-{f_x?S~)~u+wEj`^sKa)42kpb%D0j# zg$q);{Y0qe5=|^h<8(`yD;Fpkr`*>{M$-3n`2GXg9^MBk&HIV1b}$xtGC6f6ML!dK zvJP2XZ)h9zt44I?mfFw*xY^1n_B-p5H??MA3BF^_@ip2L z-J>KbBp0$1KhKb6cN60X+XaZ8j6*klu)74jZej{j1?}lZYP<+N;weRZ?T1ZfqnQJ% zk7|*?NBXn9EnN!(%xCBa$CUDW49`M0u2caZ{ZB7z2NilAGDje|pbv&hSrc5`dx(;F z%fJx_)G}^Iqx*UCre_xL?RL+@}O;*6c|)tTnSz)VCdV;!X@6Q&Mk! zbH#L<_&OG(Z26>?)omIq`277UTm0NwT2IEr%fu*G9uO=6G-WURF;YtaLjx_XM9CKJ zb=dIjonq`OeZ9Z-`(=P}pGa5mNs6SxTng-y-XX5Q>Rt#}p&N9r^jF%{X8j&aJo1?) zbx^Z~P>?mKf? zB6+}7Z_TfqhUu138k5dx})7ZQYD-eL5dte_)fW z8~j&Jf6NV1#+l6W0qCTrcfvi4EH@&|(VmG^mwTQ6O^;3tFx?&yJ5yAzA8?JZjgTH3 zvE%%g-+sP9a#*6qlatQ7Oh%YV4f5_WibXHUY=wJ=u?|lF1vPc{dfSTsK%WDS#JgtK zHx5Xsxaj@oAmW3wfP~80)2aHPVIE)yN*~_lv=IFO+POKo@$`S_BI{r8{a@+1WZ*ur z=EWd(aM^DX;Tq%BI+=7$+I?ff^bT|>p?vl|E~VN1c&94($#O)n zF(`Q6t61n+c3Dc$@78zK3KRDUB@^2P%{?rH^v!0m`n2gaQ#G;0q7O`8yUH-}%e3+b za7Wo*u_ysCimC#8*p6{)G4OSXiCQNUv$aPZ$Nu`ZL9P)$+YFQx?vKv844j&6uJN$J zVFS0#g0WIGTIZs>I$$eIdfcLnps_U90lFz-n;@{%!9lv)UpqXq`H7{NSNgbD{PTAs z^GLyUtPrA$Mxvbm=33Qj8|%qsdjsUhx7eShJ*gJ!);`-&#RE(=C|YzAI@KC|?cy&9@RYou8Ez5{le2v6QI37Z!V!7P z9pZKVQ6*k~G-m=Eo-pK^ldqW`&!v==J_n)(Wt&5^8&a00f8>}6&V4eK7*`4$e%f|B zhDboq30prblrEvAem$sU!tPmfEG|xRnCsZo6)vdmW>paE?--hiw~U9L6kzW#%n+N$ z^GH@FAGZS^LqG;j>^PXm6T#k&EqyzjOJQI-uJP>7;@IU;m|6v(uxo=J^wpXf`}@Vz z@z%V%n4|clI>zc}?J;R9|1)bmG_;%jW*3B= z3vKwo=0}eXn(v);B(0x`*`EA4@&05|4r2#^8kGUCnxXx|6}ytb%H@^WVX!@ea^q0l z@WktL)_vQI$(EamtBEf$++T(!yFLfN{ z?G-(i=(=l^?_I0m2%9|d_f_1=mwEevt6dI9_qe|-krnnb9pgWv(VpJf9z4$+7XuH=fnUQdRM-Qu&)lu++yn zcWX~e2@x?_8G4%lq&QI;&AbfgIoGnh#D2fR06z3@z->QG_zJrHu|lj-Q7*b-VfMAJ z#v|x~$GbKqrLd50mVKw~(jAt&)>xviN6hJ>M`V{LKtp}j28-E9j{a!qkHQ9RB-ejc zpM_zGG6m7TkO6`NO4V-ZcTTCj15_J0f&xlesR?jIr?k_7s!|Zm)Gj>@XfetUJchIZ zPSutg_Gl^}_ui$vhDrG4I8C}ZjXFbw)Kaz!@IAzaU8fbrcF*6UdEKV(gOvsEdNrZX z6qz{t0ZM3@$w43Bz4KUx73OUCY;Qyam+)uD&(N}$=`!EBg0WE+#{hq~1x1%-D6MHfQ3`q{`G{#N1XxrR(`j1Kee z%&~LC5$3YhZ`Iz@k3B*@yuC#2UY~Jg;E6|j)YT0FGXZ?FxOzHE_otg(HbGv{GaY#b z7fbQ63H{K&L#eSX<_l>yk%4WWuJb3hwB=<>K}|zfA`j21GEmV2GQ9{H;Eg&ezB0dL zm;W;|TZvpTrSI8hfdG$F(`vVure{kcMH-0`KY)=^H$?0dTq{*ZBem>EACa<_W5Bmw zT)P?1Qsrz3ctC!J@aV|K9mY(B@xizzmFd^dK@L_)dC)iAs6>M92cym63IAabetsC@ zfFE37#hPz|53U?qaamRLY@V96^tB1~&Iiz0Pl0lg5Bm7o^}gRV*d(~IWb6=zIs4~o zfj-&(6K;}wz|%q<-W7!;Gl@_3+Jn0IMF4$Jacp|=Q#os%i}gzQ!o6|x^nYXT&HthN z-uUsNk`zUSY)O(NNp@yLvPMzaO}6Yw_F-m{t*qI1lk8bW$r8pCA;iSk#guLAgPFnX z-~09cejktTzwr6t^V8gO?t9LC&UMbY*5`E%AeW5Au7!8a0pYW{Q7tcljoU!zD9PE& zoP`D~wu*noP$d8(QISKnPjTLk$H|F*#L-MEfUu|UxU-v`$bT{KEIE~Fl(S?$pjCuK z5Ac<_>)H8+qpjYDjUEfWIn{lKc+NvS=+JlXmHF+%rliWpD}Y9GG-vst{;+B=P3CEy z0W%u@0S5u3m=~CQAJ8J=Jj5H%FppGBkRXKG&g-SID&F&)(|qmP?3nXBtY}dyZ8lcF0hAl>IV5h4lyp4iPU1BI~g=R|Vn(^8vY{GgcGbfvpsv zO*j=6I1)ec>Omw_Mpsh|Q0@bcu@0MLntj&`a+J+h05o29Y?bv-*-W7@tw^)eRfYGk zdoEz0+5YE0``TS}(|yl#hTgc_VX9cI48SN(9rsms%xYR|5KZ|U#k#?3@^rJREd$;@ z)&0+L(r_*7)1PIsgRD1i;E@4&AijxO)+wn+0J492Cb<=`%Qpd!@g{t&NFU$3O6kZi zs@39yNmL*?!(X)$hWCSM=}x|t-mh2yiUPgJRh1vlI$xo1-249Q)QVpK?O)(Gk2ObK zL<4S}o?=@J7C_I(UrsMCmeBiW>~mEZ6~{6ryVU>t+9Bh1{lhpnOpH9ZC`X$c%`en^ zDsYvo>o;LP)y6%Z(b};>3}#hh0`Ct+1qJ+n7pGnV+OlN6-VIzNRjzZ3%#*91rdO`>t#6RKpPs5(KP<9L-an1(8=XD8zCxaTgVkSY>x$5V z+-2XU9bVA}i!ftW{0vuuFmz!urvOc97@5SdWv_2 zl2O-OoWuMTQvGzMrNi6+CGljqC1Dm$_*^^vLSP`&9p7Ym{fo7*O*z}l9pl_XDX35L zOj`dsFVqaJ^-Uc*F!}MFWTmIS-1rW+)GN{5dV2@+t+D!8`whDI8$C<=wqNGCN{~hf z3AZO4oK0~zpn4zY4zlf7Q7)bO%2`R5_1#%gWZbHwTQy$Ar#Qlekoxz6e~?>o2RA(2 zEj?1i&|A0bdRDY|&rF7mHCk^*n2$5Png!(8R%gvdRphy;of# z#*x1aSQrQqn1Qte5QTa?_M0zi@CD6%7^{ar`UKD>?;tKg(R^Bfh4GAkj6Dh|lJj%3 zGm%(O?gHI!7Ni%L7t7FVwir_A&zNjt5*Z#;6yHDd?kwvN>6h%8$Z6^=EJN@w*7Pt+@PgH#&8uDL7}0y3EXS8 ze+lp}-#7g3R5SG627At3?YJ?i#H`B51iyhku>t2FWu|bWLD;T39NiL=3(GI`zG?ym z4-^d{zw6kWH@i&%aWQnc5V|W35Ae&RkAdg1@PMz;w=@>oUxfrgx550jOM^q4#SR0XNFu6N6Pxu*gEQ}9 z+8Ri@9@$rHFd8sUa$d^jC2%2ZQ|`8rS{tO%C@9MZi}`nr>sE=yvPoz zpEpCf7{Y({oT$iF_?VuQW@fG!5Fz_)pwMyeoMv~;7u@<>nsdnU4HJL|N+)&sImH3I zJo|y3yWk|j3@k3AuD`2qs7073hpot#@9q$lDu_{zu!d8?8Mu7|6dg`=LaL!V404>I za_q{XJrh;3*Ua>f&<-y27X6%YqPxR>Q0=U_S!xYJnLQ3`K-MEFNd|ao75$mpQ@k32 zjP_~u+mqb@Fn_}6t$eXl^lz&aW@+nw!`a~1xcxgQVwWsWIDQocT)8rm%hGUBN=Ac^ z=NQ>3#>*xCu;#K3(#}nvUm<%GrqV|`NBO2Hia7SzK^<`XQncT$|5h+tp%kKz4riJJ z+Eg&L<2W;Nh&@){xsvjV`In)7wkfvNt^R_Uf&^c2-C3V3+(55i75&7MfmJum@gV7^ zei~?>%B`E@K?QTu71p%S3rKMvYpUq997OKvLXbP+ng}9_8B>odV0sS=>O;=#<>B~r zF(;Ve0Klq4ljgi4*X8I>(;Qw84?X`4pie@FoHf2HfFCMNvcy-na4-v^JH%-GD`rM3 z%J|>=i|sM2LxdFPCl_G8lgEIIZRsGTrv!DTV0~9*HFx;4V)apqo-%5$3I z&s!PTJ1Ezkh$GC36^P-A-Fxtf*{|Hpu9e2k0B&X=c^>@fYA&;NMG-k3@H*RhAoM=@ zQQ3t~@gNqKV~qjk4hHPTaHSw$kCWk(tCZQ{M`bddwrVi0_e1Ra|3$_-EcFR&?*H5q zE2J5Xefz}!Jf{5UA-4Hta;u}^v{vy%gvAUf>vy)ULPs7{x&c#gYZ<3si8*1{69h{Q zG@k@2Yt$*kW1j&^2KX>~zM+Wr&kXbK*5g$U#`+>T&f-Z#)9;mHAXIl2som0Hze$!J zmIDbKgdlP2ZQAFMCZQ_CLereuF*{u3&j(X=7!)?zw6|l z!U|xpl%i>6-v9g+Cs~kV>zm~JQS6j&40w=uI@|YmcpWLHr;n2x-63GNQ0kzI!p>C7 zm+;e$t#p(4X@bA2(P1mZ(xLhH2M;p6j<%(0EX1cwYhDP>#(CRUVQ8IoAv6VG;9E!A zW>z6_Rp=n6*#g$>oN3KdDnEf$GV>x*>+8%QBIOItCw%I01EB6l%B&!N=+uP&j*8D4 z9Oekl-(Rw(0XG3aHmuyWf33=k$EW_k0X+cy-Z*w({knwe4)$pdpriRY^ALN{o|-r$ zz~ZqiK&i*-qxK8KFOw_YPtb=#E|UX(d(Uifqlc{K_b-z*AWk5dJT0!WfeGR(xfY~Q zb2O+28{=7E&k1ZHNUUeUAFj4PtJvsI>*TR|1Asj#o5gnC2m%JDwt6J|&nhxjcD&0e zTaBp?AZmE)cg!GBg%+l#{z8;05DE8ypC1YzSzRxlP!m4xJx^9BuE@D@ch=I(k68zL zP;ed8FPD32LGKf4XZw)Kjlez4hR7a0k(?$abcgD_1t&j%UF4@ugidDsUZ+VzdajTq zoof|#Jb!H;M zpl@RxcKTO^GA1WMeu4Bft%J9Ei-9_3sbwAmgf}VK^XjL9Kj7Avk3C*(tq&=1#LHBN z4!wHpPb=Gav{Q2`eBwU^J#;Ag@k{8S#93_Kf2UPYFXc8Ri@T9T`j@RvadXWR{l`$i4#n4kOCa4X$Bp4(}^hU!+Pe4+B+=|h_#UfTh( z8&BQYqD`4dgRYJc8c`2}I3c$}j?u+rM>7AC^Rfpr-Re0D9sQqRBo5E~CF>8%{eXPq zeL?g4U5)39dRXW?A-afh}->KhYFr=P3p`Jov*u6*O53s z*NS)o>*moPjA6QHJOS%$#^!`}2S9|zY+x6p3@!7?DXzi6-4fja#Q)yg9T1?4;equ6!q_;m9c2BK%VXj{x_Ain(S%E4pBA4RHq`mwn>j1&fbb z^x2h-h$x*r{kIDq0??f++~EDg{aBe{B5|*pn!neqx?$kX*3F?g{FD7l{#^S7^p9}( zJND&9NFh)nNW>$*n;TrgkJ!QTFIW;M2C!1Ai&3-i-XmZ{S23}G`DF4PvTYa=2Pg>& zcK&oRX0sVW^qoURh(4kc$BqRpoY)Ylz>A@KDz4;r7r-ZOfjM z5T@9A3-D;nho0W!zE5k8cElhqda|NfI^^kKZ(YbCk$E5$5XPDKk({uxc-&_Sj(Syv z&&*P1OO)4y#%KFOVG^QkX7`KFAT^i`LJzgDG9Uv@bkW2qhkV;L^57>YkcULp1mSuH z_|~u^Z0Pkzvisy!!UlvRoo(>_ye8fJ+ag=0e%A~Uyv-H@lyHRN0p`e)@2e6$u?+C} zrr)b}-xdi5H=o$BDWb`tn|B$0ouKj&r8fvScf>_gmSCj(i#=3aAE0!61Q>#_6(gZ@ z8CY}^$PVOaH6S%Wz%y|Gz%K56#WgfXa2gK9)lW&QS2siLl<%~Q-UG^A4*d4k%D#tk zWrkjcCJ___05)#|4b?>)f%?+Jbn&u3NdN9?#l?g=4w{(^mPmU%OA(mOM@v&=GV4}_M6Bcfsp%5Lk5$d$30Tyb71RqTj4xwLq~I_B z6QkWf0)ziMXAP*kMC}z4k9%j226G2U%#O)^T3Y~@g#vedCOs;^$Ix>wMJK!$$l&6M z@Lys(?86m!z(sZEWEVRaSjN%kuk^wZ67a5A(eNJ{LaAcP4Uq=}LaltVN z6hgTHgp*RTSO0sC)932cj)fp|e+AhaOYr+JfvyHL0S$l|H*hl__~hL8t57=n@m7;x zAZs1{B=-50*;U3wc&!Hfv41*l2A1#mWk0xLf>EF+g+9t0H1q55kg%=s@pgG~FU?3} z89;=!n*W!r1cpq17A1!bNz7tp0#{Si-_X?%t(}2zwbMXEg&4ES*yh{-oFsl0zeh*! zGupaW?F0~o5_{iLX9^rQAAW$wO?z*)s82TS&Ta@cR7tCam&~AgzTWPw4|pcj<_j)t008%JB6l0$ok5RzSt2GI&G5pNaN^;%iB*a{ znzp3J!1SzQd6_RoS$F~&>7vIR;S6rXNgTGskpF}5pSej%u#l-B>ew(Zf&Q!#BOm@M z6Gsjyo|k@AP3_zc>uvzG>?RtDO1A_m(63#YSBw9jy@ zc}&DUYoMbJazSdapisJ4yX$q=vb)WfM`fBHU?Wp_(?hQN&#eXA4KQuxJAY2?W#GWa zaiLGV7Rj|&y|qj=;8##M*MPmqU(GWG_<=-Eg&@bFer14OJtc{b|h}l@|4G4 z;USibPmLm3D=Bnr3Ujs`Bz{D5cHQYL&Vjn$iraSyr`{3@{sA40{J zTz|GveR?5m)@oG9>v;Y;x#X!=xK~Gm!&Xk{R-ky+=0&_yA`tb)3t`G`V(ddP16OE&ws8DN}ltY zG%GWNcKBwJ>R{4&O<>w1q7%K}dyJ-@c1_czzKt%o(tJCiQBP1)zrUg;L_C-@UQ~I zPrra2sSAeAU}z5#GT9zl`3mI|Oo1cJzKuB@3YU2Dd(~G9d5Hdf z)$dzu&o~#LYp`zQ^pJMyPXQ<3o+3q(4JaGMHRiZ(te*^-MsA>(WzHVyS9q ztEH1K{OUbrbY=6Lk97n&>_!qHDKTBU5awI6)6SPPIlqBCfeU4wY>LBS4&y>W{Cj{` zv^n=SFF0;87Rtj^B0QGQ1V(9LEKa8fy)kW}-j zG0reToQqI$Ne27I`3mBm2s=SdkPAKwchUaw^~vA;**8buQMO`%ymf}Z#{!fk_zoiT z4xIKWDui#5cxn+Gt+LEskYq>eu;e|WJcuC1LBK`CvFRMPw;tb- zLxVj8x8hn}%6x>l0+l1uWqq3EAaRa~i3UpZ4zkD*k4(3_pJ32_!ogy6D9RkzWX{HXI z9WB-ctkwF#^==m@dR!#Fx%iYrj{WPVK~4?*gr}9y8b8A;hM2_KKn<@O+C3|1~;z z%xJs|q36n!Y{u%$ZMw7gd}ogAwsjpNv1L8z&-IF^SmtDKq}I=m+Z|G(g4xXz)*`%! z4m=?uj8g}#QIFlH7bqZ)8fqTdLnZ;^p$rESboaO)Faa!Vm}eQ zeLaNq^{D+_og$c<(~a1jwor%s5(nme@0sE150Hoa$MzHqLJQf(O z=Y7ME?na<`!#;7(V{X4Agli8a%$#P=MKWj}3+g7hoLtcyRd^q}UaMZq_d_@SKhwy^U=u1~`>~440SBpCVnh zT)*uxrJwt5;^TDf=;Izf-bAY+4Ey&pLgZboeCb6*+B>|mBo>oDx*WoeW^{9h)m8fj zgOKmQwq zQMzD%Q=uv)|CWQ#?VxFoAqCJ0m$0m=j}U=HIjgikpxv~e0Ubk2)s97^rXBe7pC9(1s|B$v;(+>`E+XTQ6Dm* zKzCWrKcc$`!$E*F66|9g@NX5ey&s{eYB!n*Z3~R`y$IEUIG1C$t})v4LwCLt_b!;U%m41K z+CS4@bbQg;N;G^87R)Zm z531uM`kYYpAP`HFS&sPMep0jW`T1lOPpOGG)n;|>X?uyG%@seSj+F+J!)MvK1pel2 z{)}v!eMP=o{xiL(WnZ}GKdHzYDhKPQdCiTAn!B$v$dtcp*V$ctnpF+&Lvo}v#wDV7 zoBJK&+oXd(3+h;180ac|A))N2n7pCa@ixj*`%Exv@CVgpTE5`R9E)mLAD1O6>AKk(`-2 z*%rk&)I+c^*OE%- zsR7*rJV!V^3Z6yL(^7L6@BZ#CxIbT>LdF(@BlB9elA=P>uz5T7ZcC1wZ5eDUQ318N z=oUj{!a}1O?-3^dXhhv7PIA#njDQ;EeD7Da1G(E9`+ZH!XJ6zG!!(k)3rILTah{Q$ z#XdbPo)U#<-99@ipeBS3kY~HUXCI;giF)qR8Q_z*m;B-|@(=yqw7kFdm6LZ|^?edW zvT1Ivi9OUW<~2i=iMra0Q20iRkZVpY6GI|>(%7fuh#T!ZEuWa7a#(|(rF@=~Av7y% zrm78yeVKP@nAs0PNJo-UzVwP(v_K8B9JK#E!>^~I!54EnwhxjlQIi{2lh1NsFq#t< z!Lu~HIH7dni~rZq;4ho`mltPc#{8OC4<5UPPevMNhGPx1QP8(WPq-UjQcfgcr###| zDg3GCGrw?rG#8s@no;TZywcJzN>9P9v#+b;-*zW)S3#q_(9`{ow0o7H$+uX?%5Gl1 zGpg84*Afs89}spf;`sXW7gIpC?obf>U2>))bG~Oq{a2#6?3#sNnHS>)zqP+M^aqBe zC|-~WuX>Rvo_8hx#`!%|lg)k#;}O2kMM506(&mbDn*DKov|6#+<)0;1<<}b?l;NWG zn!l0$pnGYC{a3}_f{=wsmF8v@Z~N)?Ug)fBc2v*ucGZp4GY#Qdua$Qro(-s3)!xf9 z_cPLdREurzm3)jnhby_kYBkz@o{+{z|Jk~Fr)(tOsx~U(nJIed@o4hLeO=~s!8G`T zszBj*3-P-~l6lbobcq zmtH1MSeHo1)~nUxo|w`0A6~c~mx736=5xDiv|h2GB>p!a`92Mfw%#7=GCpS<@zQ+m z^D$$~;g|=~!u0hx=afHVo;G7r9X#)#qbYGW*D|GQ26qYPE zKj)r8Vpwb(Pj8{0-ek!+<*kSr*Kxru{(~XUP$mzl%%_7S?yjFq|Ekv=v(S;UIUFw( zqRQL8H!+b(n`R%)7g(MK|IFLadXe~xw{IzE6ScfkD^c~&VUs%dPQ1TvF9K&MM}fUs z)%!E^&Q`m%XExhmAor*@Wzz{dzPQ@d`KuMb-!yc+WBuZ@9p#HbDKf<~@@tQ-M_jqb zSN&M?c=M1dNlA3@IqT&^r7S4MZlTlt&0^!`4{)5?It=^$U0+y^xVTGI>YIhzIgJat zXz0;u%20g$vYoeWmA0w*=xlB2{33nl!aCEZU}?coT=-LIW~hFZluJt1mtSh2+S0ke zx}}H#^ZXJKj1cw_p6Yf-JI`Rc()*XQTvvsK|I0lb-D`O4gI&QF>eGQ1q|l%mT<{Lx z!YyaT@hZ@Ebt06(`x&+pYqH@a^yr$GYF<@tUP6qDgop58L)^^-L0hd}B^l=oi z91Xo>iH4J_<6Qe0h<8&G;csM}n_8r^4+{CD2Rq^*jCXn5Hot2T5q>s=Bba;fl%8zk zq@00wcb;U}`>mpUvxgLFD^*vDB;h@t!TWy{UOxJ@m5ujUx|DCDHTU)K=MxR#%tRAP zq~U2Q?Z)Rh8Iq>b?(`Pt!KScpURay#kfD6_oLbAtDvHHiv2&{XXoR;>A1x`TMv%x@ z&5ePDw#3Io*ed!RE8x=mO2qBYS3O)){j4?=9BNqyBf7pz7Y6M#(Es3aXh!w7d3VI3 z!dw=6&~stGjoYQ{q@m6#Eg1W~Xu}`k&P2t>;Dk(?xYXp`58keyz$E!|0a0@cKi4W^ znEEQ({ylRG?&AIhlz{p)wF;RvwX6e>R&pzR{ub<^xw*vr$-cpPDC#PuIJdU_8i~q- zk-Q1n-dVFlJp5K@aDPYjlvg~ydt$WbOrzP|Zk37n&;o_Vb1XC6``zuU<#o}hwnN{t z9|R2cNZ>o&{~$PTzd5m2sMdnL-A=EsDIiomz8F-VZO9_f0bnLs1S;LDX>C%~)MI zhKxw#zHQnZWLbKZX-k~lv0!=i1vxF9^oyy!jRC7d_h0`Jk-w6q94T|AMymP~{K)2? zt}j#ASTOwsFRwLEdaHWj%7(g$>`Teb<~y7}mruiuBR%?6zIdQv|0R!iHLN zXsJ8L&L`44CIYld4EWkYbetG?*jfjdLIZd%BF$OPqA%A1|6gSa7#bfCtG35#G{gsEi0*<@>ZlSHw-6Tub<|9LNsX?cPTDfJ)^CKGr1KGU-JFjETEQHx-bS6x!aBp)rLM( zO!L34m?F*^2kwJ%>vj7yq-3d$(U+Opd6Zh$-x2JC^m%^NV>D9=~+7_K3-d zW<%W;{cVv!Ux~Kgse&TJ7&JOf05y^NI7J3T80k#7xrT*WbR79Yb-H|D|z^(`9su@_@0N@cGBf= zvcdNK0#Wdm(kcDE!ApJl=*!ye-%QeMXG}gfYeL0qNBwW{cxB|+Fh)jxaNPORsD5oo z8v3`@`|_&fPw~6@@rc)%LfhuZTYOtxhcm}tDuim;=Dxj`d@Mxj`WRl|DJRdmi;%W) z_ss+0o_}w~lypx$kJRe&vMR4@_P@XL@0S_pf}bwI=@D+FmN>RroRqaT}BnAcdJ6injDR{1}LTRf8bAx{u@2fwutVKRQYFo>eb%LGA@R$wz zAo{av-0!n)?ReQF@pFIQ~Amab9B=CVc9+H0H7W3m6`qth}9RWIx)(`x3xR8 zFlWJ?EwWL|RXLE%&!%!Ww#Hl3ys!Rn={I%z+-7&;#J1?;O=Qx$?ezUJI= z9c`Tr&JSWwyWfd&w73kVmhOIM6s~W zU_7F1=gG$pp^1Hq5_exnJ8!2PSU&Y0sLgqPo5fvq=ZbfhVSowYOJ8WAa-W^mc~rOd zT=FfF?#<_aGMtNMJ7Zf&ZT#AC8@KU{K zc}d2FgR56$Ow0-SAYH8_;wpl%fHeyjh4^i=dr)lBA+)G;>g=V#`L6jV3y6SZv$T3y*+Zo&EMV7JMaw9lN!@IU~hYc(v zwQf(G*tje~xP4UYzTVfaz8K}rPY0Dk%MdOm0cKfwy2vuQTdu(b(-9{s?Res@`obJ6@EE%p6fczkLD!K<9)ID@e$5{r>}C2gqGj3D%SL$ zKT?|a`8p`@!p}iu`JMjHp`5pcF63O&;bH5avunTNfP5a|P>Y>-;cude;}32Inc4Wg`bWAoFrnkECsmf= zRZ*mRPm-fiE;*yqNnWeJAykKY^NoVG#l1;ZAj5E{YW)t;K@H zPi2dplG0?Hf=k9eM>YpjeAG=8bOK~C9=YghT)xds%T=?8j|eW9SDx{V>*nl# z6DI6?Vo$GapkB3q=>RK#Z>oo%`{grb^rxh59*b9223D712TS7#!uHo#4-MZ;s0h6F zctLz|jiKzaW^9Qpew6zAaENWhEu_-+Dle~Rmq@wd1-7GJ>g|Ny$f+HzUpV6JBeD~e z<8g1AE^%$l?bB{%y)+iN4tkmVH%WJNu_06%zL(x+WEhq`U82cYW(dksX*v2grQu;ts5m#m*;fd-azjyu7L>cHZyJ#4YjS2NMBnpF&;i z`@#a1e`l23NKiE-f4y+~X#Q-I}W$5DB|Qr--=T0C@N2qhM!&YrJJ)hv!l@^L{M zT902{NT#YmPFRO@a&)qjSiz>T=|FMLtD`c-pktJ`l_~l#(Y1vK5(%`sqrLAz+?0ov z0D943QthmYy&Z-Ezhlq1>mu-b#_1k}Gk;^|i5lh%!MeYi9kxRIUE_BYsqg?C-*pat zyFome;){H*>P%Mzn&NRh^^vojqdlzTzl5k#_uxqPFAV zuho*xr=hRj0|oSRn#kBZnyJc-@rcdAyoT(T*H!iY9%&a|W~-No@=R>432+_X;+Z21 z?_Dk+z9z}}Z!IRj7DfEaV>jf%T2A=aKNB&let9%BL1$Y)c3S&nFLS$ZhO7Uzn3(

$nflhlv5v?l%F@Fm@Q)_A)H0dOR3jpsQSGb` z10-6QF9Wu(P2%SVJy$oi2^$!aP4>**AT;jmlf!BUGNSxX8P$sTU@M>0SkOmkJp(^2 z>vc)DhM%+zm|YtRnB~1Gd2tXT3mU@`us*%!{n)$HLC>>x^GUA*a>C}Gm?U4O^CL#r z<3_=6k)4B$CbY73NENH4*J>J*TZdXm$AC_TM@TcuC?@gdpRwyvm(v&8g04O$1oq`` z?(l^(fSb=2h@j+Pi7hufcsC zfz0$eNY@a4JaTvS)(F7_V25VFp#~hX@IaR*(tZWtA@H8IcKzeMM%*x;o@Z zl)yn&d6>*EtMLaSPrZ6k6K;6G8xA+x3xW+|AbG3n^vYfgnPpE*DLd!-g)s$3*tfEya-!;&Mb8#d3n6^?HQmUyes) z_9!e()T6Lab`}<&JCwPM90kjQO(rl-5J()1DYSo3wo|wsAq&175vvWpOz-LdWjNxO zTUnJP_dgRdo~)#B#>l}?5Uak|s?*9AY_hMA26I66(y6wq6wlEG)V|-yiJJ{npnnLs z2Zne6W#&&!X);!%Bg&4KQtbA*!j6n1AFJ=TWj=lfbold(lh_E0c()O?AY)42hdf$RwdQe8SYDLJQSs5%=_8LJ+2f=>+ zWxtm?{IKo|BJK1w2gD0=E;`XM3oXCG+6#^j()7<#U*40Mtx@CTRKgq`|2R(Ap-xT z6*LqK^Nb*9ASUT44+&PQ2d(Q7AG0>rnJIH@L-kwf)K>VSfkNZ+mc901l+Cm1guP^;HT~47hkn42J z!+pdk%Y=|+NBEDV(+Cr6NExA-#=3jq@DkzlyIu2se8HywUn6F^bH*}q4!nFul)}0w zQTWn88`Ln1@Qf|n6RUIhjM_&+*|Puji`}ER)ZxKNt?YnV#On0lI?F0s;I>3qG?^up zf~`dHQCBiF*LEJVsZ4***z$20K<^29Hsc_X4!jlEM-vqHc2e7I@T^AmM``*32;&~k zGG36{v0T^!=(ox;fMO)knBV(x)HCn4GkawGV9NpS8X$w3Y>B8bL;(gg``OkJ!Uma( zBS+Q^=4jhr%XX^aaCj1(RdKt^xEC{uA@2)X;bn-CG`s7C1&bP!Pf~2b66jw+^e>O( z?XIR9QiC?rD7hWr)IC8OTYgvgA`_9&zIVW8Wt~N=(riDPGmyL6i;IPF`%qtsoA+|K z4mygMTM$S>+YlPv>H-ngG`Laxdou0DlteJwcp;ly#xkPHu3`ftN?9fc|E(@V8Xkc5 z97$vO^g`A!KeBhtN3stFcf3Z@I+7vclAervNe~b;cjj026?q$>Ahvref*bM{FN(S% zziPtBP4@F-@Pqg<>f-lpM<#&f)*S)(XLhgoJg*3(53$M+YwQ5nJKkO)?@Ot|jzLli z4V&^{m8Il|E|BZCS}V>a-ftc4ScU+(h7rADm)pE%NA0YDP zj%7dbVt*_?g1o?HzK$kVk}Nx|=2cOuz-T4*XqRdw(h#yN3wp`8!X~m5yv8V61$Hn% z5|E0g5n^;ddkqZMrA2^JQXCPa1H(VL9q?og_e z;xsjO=}3PJJbPYgZAYF090DWE?T*|!g@2a)$kl_49`MAD+$N?Jq5%Rpe`iGo7`G!F z#0U^$kFZQ=CF1yp^z3cfrIc1gz%1Kd0`p&M9)w@ljqx>^Xi7v(t*ny-xiPs#5&_z9 z&xp7|g>Fc^-{hV&nhM&0YmGJZ$C_27#^^59A@RHNZvX37{$C4sL z?xvSqqFCP|-^2zU6&tu3y|!)CtjMo>{i_;%VeH>CvOW9KnTg3y`L)^~(KzG#`pLTW zHJQ)Tm{ZY+g{E%gQlxomXk|nR=MQV{q^TFa^WcT6tM~OB8Kdw=%T_5!4 zpZD!aQ_S3Ul>GNFo=ds3=4XbdP-*^Bqgn1`xTuY3cbfXO@r0@;=x5tbY#=W^UtHY( zkaM%YVUz`TzWn#*J;|-WKbRar1@WwjeUF}h%aua!tZyxMKf0d#-uCZKm-Wue4E;+g z+B&D@sTOuN50xs)n&Ccr8S|rt4jx?b8pl3sK8}j{EA*x6nl;z^&bgk`KVZ#TJa>{O zVEv1on@0>ywp9R6_>+$SYSG!VZ`~TTwW&k3sLnsk*Q*`8C_DI4RnwNg`%2)A^nmuQ zDWz}Uy1$O{w?9+Ysd}d4>{X$dAb9Jfi&o*WynIkr=-<27vM+FM+iKL>m>v0bI-zSA zCgh#Zxnxo+E+bKO_w?B0Yx6VtK#|Rb{`kpz3R6FK6HCtb*|%`dJ+M%Gqp=0~h!f+I z+0t`9^kbvXMmU4u!oBkaO>vo#RQ@P)an9Pr>gC}c=qK0vr=o*u#S?2`qUYNvkKRNN zz7~2Xs_gc)Vn*%FYH>u%rSWlyXyay1t;TjuDfaDZZnvi#sMLqub46xXr->}73RhG} zRZzK)J3jp=F-f{|6-$jSSv{_5rk{Tmz|(*pc>Q?T`?D7S%>SmAo)htH5zFEsR!YB} zKcs!G{9etLzHN_W7Wt7|O`}T(U-8cj|5w*$Ovh$@6I^||xhBN~hs*a*CnGmgYp?VB zmpGgYXb)Afr944H-xkWtZaDc0e+(6JF*1+g-W0LBr=*hg-nM6)*IrAs_E8+S2v^SW z57GzL3I%>M^hT8uc6XQ zy7LICn28(Mah3x<)_-{C=GSgd_Jqby_vBQp-`GBlyCU9`V|>zH&4~Y!yp3S~-lKt* zUdt;6K`W3O-*O0gUfiIp0`Y4E?CyMYli`n~S5JeVpKpyS;JoD|ZTinzyZCpSfFtVG z`HCvBk{076iD_AnYyO?V+2q4bNAR=8A$`R(ZyOm~!2_Y)oZam4@qc`Aip7F_W**XU z7KtX+t_9kP%C!mh)%)dfLhEYbx*78+19?xJ(W~z_D!(|=nUhUwYon{isI0{EW5*Qo z>Q}FOh=qWgHV1+?RNi}`e})U$$n&pIO?jp5;y!MLk95WV_BQIcBbC7Q%@VgFnfxm} z=Soe9lg}q%dP0@jXVL#?@lwUbvC~*B!0SwKYx%MJ`hCV5#X0eo*EXyZ@7}+E>c#Ji zUinAlnw-PnZpWn(q}v`^-0S(YSeb#D`g_ON79XN28t@tC^2XvN$Enp$RgoSv26Qlr zy5X*PPC-!J@w&S0wPYoOZvURNEO)`R&=L{9f3}o7s;6L9i`UehACjkxyCuV}k>@t7 zg%Xy!XP_7)U+v`c9`SbQenz~n?X{CtZ{2+~o}`4S%YAZO8Q(X&y)2FEY2|b2%n^#- zf5+RIe?BR!?~5W)A?`Vkf|KpZKDtTV;{Al4cGu~g{fUvdt@dasMIEA~*n3s^3#2qp zmpRBoKNmUfX6KeUV-0)1*0xVuX|>ONT|BxWXF7X+#M`_*NFIc))w`u1nU@fE8>3&k z!F4s{5jeapr^ipb{1Qqr314{@T2W)4ntsn!T8}xs4R7%3)(j4FBYBmuM&snx5J`&- zGIDFYE~Ma+eXf#_v|+kmSKCIa@kk}*yhv)-{RQU*Cio;tDs^rkF-}2*h*g{u6pFDD zl9Ll|cF!}jLr5r>r>LkPoK!sM+K-OKPn$+geNVwRh8mOW-lk!dT5QSP9qOW~8*Iaj* zqJ}x-i_&ANkD~Npl55O|2yu${14uL!JStcMS6*Z5pcU5GchP)n3h!g9=gidbLc!SR z+1VE?(*k59$^9>bS58me>c;mz4$gA#T}qi+gAToEB>n!GUUL!uUj`zd4vx&1GL!D$ z=iYHMZ*cjmErNNeaU0a$7p!`1_SGSYPXu-{$zYSZs#d|dRumgh_e2YPj)3~6?yniM z`S#^;*P*^2W956gD~v-LT*WL?WNR@RA7vf;2XSS0!}sSB?%lwmMBJb7>_NQ|{P$0V zV%VsEq(2~7$8il+JwkJRgD9)$=!{jV8|sDh7carC$YWD37sDei(tWps`w!ar8Dihr=hot!T%;z|j;IWA`@C8jps0l# z^@tz!`}RrM75)~e()ve8h40lMNkFA4KsA}`BK_n~@uO3GM?PCcHtY2e#{T;8fvma> zoEE0)KS}u5i%uRI$R_^VK;7EAdH@r(yR%HFlyM3Wn6y8XpMBZKg1~-pJ#ypNJD8>` zSoEhOXOY9QtVWrALRNMs9{cl7GKfoM?^P1&sETfx$Q>V9vSbEE;D^NzmBVf9BW*IO z8;*xrH^%2gQgO(w0CC2-z>e%;>iG@gAA@$Mji>^IfUyGP4y)rZq^<5Eq5Y6X=_*_m5EL{wiC`JelXsg+rEGXhFnBy)m^ZEOuc8H<)2G_m5 zhWh84qL?_)-7F-te|VyTV$dYiX@c*X z3g4yJoZl8IkBQIRA!y2LDCp6ZOaFUJr zhJeSi;faLdgmrtWCV;)GzznT+;jIlR%0mM*klBGVo7F$5;}&GnyBC z!LJGhpKbg($x67z@VzOH-&7O7IacMfr}ihnZI7hD!CpRzR}WKMe7<(kfa)t5?qFck zPdq~P+m|#ir2hU|V=lHP|7uQ}bLHXwp_L+qm5#%=z&g*FLJKXbJppIdF!z}HqdX5F zuW6Z;m_(@W=zg&y7X@7@kwOz6Md>o7NB^Gy_yPz0d!fD*^{S|sqh1a5GSsW1UW)p$ zsF$E#1NDQb*F^mQ>c^qxqlvXp-;etL1poj5|NnRajFe4i6G0TmU()ZI)_z-UYPEh) zz1fReN@2S@P1bI9;%3qsF9UiJyogXdcu)|*py0);y@&@9gi67KP`rp}DT*K>R8T=r zy?F6d(D$Dv*{n&S18+C;=J$Uy^WNKxPzQApQB!fDlV3E#rkrW*xzi$01#L?|rvl6M zmCjhcl@b9cinFyE;jxP+kH9m;24U9owCzcIR=fU;tP!X_<9>?Mp|P%dnH^Xd_WW1)gdMVK)NDx;dpp&iv*# z$WRVMtOSd7QXl(1=_jTJ`}j8wYvaI5W^~%hrE9X$Ov}s_I@Z4Oi$+VDK&0FpGM;hm zKx#*LRzYW_^mHZ_7PyHv_~@$_?wx?PoXKtA9<$NvQsDz&92AGEf{{clnUOHW_>GNg zn3mgsn{AG}PJ5QJ(;}xmnKCrGt=CYmzuY_yR~sAR3nwKoH>1xWqw7CTVOmCzzpZtC zw$LO$@4xx}4z6xCMDd04I3L;;o(Dg@XX`R0OE%vcf5@{QHlU~ou&}&f`wg4OpZ?90 zN{^y4p6kzQ?k36E&S24u0mIwNfAH1R<=qIs$MExGKc7|exICZ35$A-X9ZOjzHXehf zlm32w`MjDhby6L^(eN)m7FWQxvsH=*2c73+?j>#pa_)|=!&B})Q{ah-3LfTVi-Klp zaV>Js8OxV>WooBWfy`%tnlWVdDD(a7Lty6lqcXRR;z>Hp$k{{17O00yxSh`Xvz(DR zVJjI-A_BBiV9XfasSF7VW&0yCv>`%ovscS?!eiV9f2TM{ PsfXb*|IYsa00960y*asc diff --git a/cpld/db/GR8RAM.tmw_info b/cpld/db/GR8RAM.tmw_info new file mode 100755 index 0000000..ed32a79 --- /dev/null +++ b/cpld/db/GR8RAM.tmw_info @@ -0,0 +1,6 @@ +start_full_compilation:s:00:00:28 +start_analysis_synthesis:s:00:00:11-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:06-start_full_compilation +start_assembler:s:00:00:04-start_full_compilation +start_timing_analyzer:s:00:00:07-start_full_compilation diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 916ce05..5768021 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Thu Sep 05 21:45:11 2019 +Sat Sep 07 21:15:42 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Thu Sep 05 21:45:11 2019 ; +; Assembler Status ; Successful - Sat Sep 07 21:15:42 2019 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; @@ -89,7 +89,7 @@ applicable agreement for further details. +----------------+-------------------------------------------------------+ ; Device ; EPM7128SLC84-15 ; ; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x0017D4EA ; +; Checksum ; 0x0017B9A5 ; +----------------+-------------------------------------------------------+ @@ -99,13 +99,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Sep 05 21:45:09 2019 + Info: Processing started: Sat Sep 07 21:15:39 2019 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115030): Assembler is generating device programming files Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 277 megabytes - Info: Processing ended: Thu Sep 05 21:45:11 2019 - Info: Elapsed time: 00:00:02 + Info: Processing ended: Sat Sep 07 21:15:42 2019 + Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 7725ecf..211f188 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Thu Sep 05 21:45:18 2019 +Sat Sep 07 21:15:49 2019 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index 58f94c3..78cdae0 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Thu Sep 05 21:45:07 2019 +Sat Sep 07 21:15:37 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -54,7 +54,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Thu Sep 05 21:45:07 2019 ; +; Fitter Status ; Successful - Sat Sep 07 21:15:37 2019 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -107,11 +107,11 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; Global signals ; 2 ; ; Shareable expanders ; 1 / 128 ( < 1 % ) ; ; Parallel expanders ; 0 / 120 ( 0 % ) ; -; Cells using turbo bit ; 43 / 128 ( 34 % ) ; +; Cells using turbo bit ; 16 / 128 ( 13 % ) ; ; Maximum fan-out ; 52 ; ; Highest non-global fan-out ; 51 ; -; Total fan-out ; 830 ; -; Average fan-out ; 4.91 ; +; Total fan-out ; 831 ; +; Average fan-out ; 4.92 ; +------------------------------+--------------------+ @@ -138,13 +138,13 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; A[9] ; 10 ; -- ; 1 ; 1 ; 0 ; no ; no ; TTL ; User ; ; C7M ; 83 ; -- ; -- ; 50 ; 0 ; yes ; no ; TTL ; User ; ; C7M_2 ; 84 ; -- ; -- ; 2 ; 0 ; no ; no ; TTL ; User ; -; MODE ; 44 ; -- ; 5 ; 0 ; 0 ; no ; no ; TTL ; User ; ; PHI0in ; 8 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; ; PHI1in ; 2 ; -- ; -- ; 2 ; 0 ; no ; no ; TTL ; User ; ; Q3 ; 6 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; ; nDEVSEL ; 21 ; -- ; 2 ; 16 ; 0 ; no ; no ; TTL ; User ; ; nIOSEL ; 74 ; -- ; 8 ; 13 ; 0 ; no ; no ; TTL ; User ; ; nIOSTRB ; 24 ; -- ; 3 ; 12 ; 0 ; no ; no ; TTL ; User ; +; nMode ; 37 ; -- ; 4 ; 1 ; 0 ; no ; no ; TTL ; Fitter ; ; nRES ; 1 ; -- ; -- ; 52 ; 0 ; yes ; no ; TTL ; User ; ; nWE ; 20 ; -- ; 2 ; 10 ; 0 ; no ; no ; TTL ; User ; +---------+-------+----------+-----+-----------------------+--------------------+--------+----------------+--------------+----------------------+ @@ -241,14 +241,14 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; 34 ; 33 ; -- ; D[2] ; bidir ; TTL ; ; Y ; ; 35 ; 34 ; -- ; D[1] ; bidir ; TTL ; ; Y ; ; 36 ; 35 ; -- ; D[0] ; bidir ; TTL ; ; Y ; -; 37 ; 36 ; -- ; RESERVED ; ; ; ; ; +; 37 ; 36 ; -- ; nMode ; input ; TTL ; ; N ; ; 38 ; 37 ; -- ; VCCIO ; power ; ; 5.0V ; ; ; 39 ; 38 ; -- ; nCAS0 ; output ; TTL ; ; Y ; ; 40 ; 39 ; -- ; nCAS1 ; output ; TTL ; ; Y ; ; 41 ; 40 ; -- ; nRCS ; output ; TTL ; ; Y ; ; 42 ; 41 ; -- ; GND ; gnd ; ; ; ; ; 43 ; 42 ; -- ; VCCINT ; power ; ; 5.0V ; ; -; 44 ; 43 ; -- ; MODE ; input ; TTL ; ; Y ; +; 44 ; 43 ; -- ; RESERVED ; ; ; ; ; ; 45 ; 44 ; -- ; nROE ; output ; TTL ; ; Y ; ; 46 ; 45 ; -- ; RA[9] ; output ; TTL ; ; Y ; ; 47 ; 46 ; -- ; GND ; gnd ; ; ; ; @@ -345,14 +345,14 @@ Note: User assignments will override these defaults. The user specified values a ; A[1] ; PIN_76 ; 15 ; Clock enable ; no ; -- ; -- ; ; A[2] ; PIN_77 ; 15 ; Clock enable ; no ; -- ; -- ; ; A[3] ; PIN_79 ; 15 ; Clock enable ; no ; -- ; -- ; -; BankWR_MC ; LC102 ; 8 ; Clock enable ; no ; -- ; -- ; +; BankWR_MC ; LC106 ; 8 ; Clock enable ; no ; -- ; -- ; ; C7M ; PIN_83 ; 50 ; Clock ; yes ; On ; -- ; ; C7M_2 ; PIN_84 ; 2 ; Clock ; no ; -- ; -- ; -; PHI1b9_MC ; LC33 ; 5 ; Clock enable ; no ; -- ; -- ; -; REGEN ; LC35 ; 7 ; Clock enable ; no ; -- ; -- ; -; S[0] ; LC122 ; 49 ; Clock enable ; no ; -- ; -- ; -; S[1] ; LC117 ; 49 ; Clock enable ; no ; -- ; -- ; -; S[2] ; LC126 ; 51 ; Clock enable ; no ; -- ; -- ; +; PHI1b9_MC ; LC50 ; 5 ; Clock enable ; no ; -- ; -- ; +; REGEN ; LC41 ; 7 ; Clock enable ; no ; -- ; -- ; +; S[0] ; LC123 ; 49 ; Clock enable ; no ; -- ; -- ; +; S[1] ; LC113 ; 49 ; Clock enable ; no ; -- ; -- ; +; S[2] ; LC127 ; 51 ; Clock enable ; no ; -- ; -- ; ; nDEVSEL ; PIN_21 ; 16 ; Clock enable ; no ; -- ; -- ; ; nIOSEL ; PIN_74 ; 13 ; Clock enable ; no ; -- ; -- ; ; nRES ; PIN_1 ; 52 ; Async. clear ; yes ; On ; -- ; @@ -465,6 +465,7 @@ Note: User assignments will override these defaults. The user specified values a ; A[6] ; 1 ; ; A[5] ; 1 ; ; A[4] ; 1 ; +; nMode ; 1 ; ; ~VCC~0 ; 1 ; ; RA~120 ; 1 ; ; RA~108 ; 1 ; @@ -476,14 +477,14 @@ Note: User assignments will override these defaults. The user specified values a ; RA~73 ; 1 ; ; Bank[7] ; 1 ; ; IncAddrM~9 ; 1 ; -; comb~43 ; 1 ; -; comb~39 ; 1 ; +; comb~38 ; 1 ; +; comb~34 ; 1 ; ; RA~68 ; 1 ; ; RA~65 ; 1 ; ; RA~62 ; 1 ; -; comb~36 ; 1 ; +; comb~31 ; 1 ; ; RASf ; 1 ; -; comb~34 ; 1 ; +; comb~29 ; 1 ; ; RASr ; 1 ; ; PHI1b8_MC ; 1 ; ; PHI1b7_MC ; 1 ; @@ -492,7 +493,7 @@ Note: User assignments will override these defaults. The user specified values a ; PHI1b4_MC ; 1 ; ; PHI1b3_MC ; 1 ; ; PHI1b2_MC ; 1 ; -; comb~30 ; 1 ; +; comb~25 ; 1 ; ; PHI1b1_MC ; 1 ; ; nWE~1 ; 1 ; ; PHI1b0_MC ; 1 ; @@ -504,14 +505,14 @@ Note: User assignments will override these defaults. The user specified values a ; D[2]~28 ; 1 ; ; D[1]~26 ; 1 ; ; D[0]~24 ; 1 ; -; Dout[7]~113 ; 1 ; -; Dout[6]~107 ; 1 ; -; Dout[5]~101 ; 1 ; -; Dout[4]~95 ; 1 ; -; Dout[3]~89 ; 1 ; -; Dout[2]~83 ; 1 ; -; Dout[1]~77 ; 1 ; -; Dout[0]~71 ; 1 ; +; Dout[7]~120 ; 1 ; +; Dout[6]~114 ; 1 ; +; Dout[5]~108 ; 1 ; +; Dout[4]~102 ; 1 ; +; Dout[3]~96 ; 1 ; +; Dout[2]~90 ; 1 ; +; Dout[1]~84 ; 1 ; +; Dout[0]~78 ; 1 ; +-------------------------------+---------+ @@ -521,15 +522,15 @@ Note: User assignments will override these defaults. The user specified values a ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ ; Output enables ; 2 / 6 ( 33 % ) ; -; PIA buffers ; 219 / 288 ( 76 % ) ; -; PIAs ; 244 / 288 ( 85 % ) ; +; PIA buffers ; 208 / 288 ( 72 % ) ; +; PIAs ; 246 / 288 ( 85 % ) ; +-----------------------------+--------------------+ +-----------------------------------------------------------------------------+ ; LAB External Interconnect ; +-----------------------------------------------+-----------------------------+ -; LAB External Interconnects (Average = 30.50) ; Number of LABs (Total = 8) ; +; LAB External Interconnects (Average = 30.75) ; Number of LABs (Total = 8) ; +-----------------------------------------------+-----------------------------+ ; 0 - 2 ; 0 ; ; 3 - 5 ; 0 ; @@ -539,8 +540,8 @@ Note: User assignments will override these defaults. The user specified values a ; 15 - 17 ; 0 ; ; 18 - 20 ; 0 ; ; 21 - 23 ; 0 ; -; 24 - 26 ; 1 ; -; 27 - 29 ; 1 ; +; 24 - 26 ; 0 ; +; 27 - 29 ; 2 ; ; 30 - 32 ; 5 ; ; 33 - 35 ; 1 ; +-----------------------------------------------+-----------------------------+ @@ -559,12 +560,12 @@ Note: User assignments will override these defaults. The user specified values a ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 1 ; +; 8 ; 0 ; +; 9 ; 2 ; ; 10 ; 0 ; ; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 1 ; +; 12 ; 1 ; +; 13 ; 0 ; ; 14 ; 0 ; ; 15 ; 2 ; ; 16 ; 2 ; @@ -586,109 +587,109 @@ Note: User assignments will override these defaults. The user specified values a +-----+------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; LAB ; Logic Cell ; Input ; Output ; +-----+------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; A ; LC3 ; C7M, nRES, D[2], AddrLWR_MC, S[0], S[2], S[1], Addr[2], IncAddrL, Addr[1], Addr[0] ; Dout[2]~83, Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~79 ; -; A ; LC2 ; C7M, nRES, D[1], AddrLWR_MC, S[0], S[2], S[1], Addr[1], IncAddrL, Addr[0] ; Dout[1]~77, Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~120 ; -; A ; LC1 ; C7M, nRES, D[0], AddrLWR_MC, S[0], S[2], S[1], Addr[0], IncAddrL ; Dout[0]~71, Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~73 ; -; A ; LC16 ; C7M, nRES, D[7], AddrMWR_MC, S[2], S[1], S[0], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[7]~113, IncAddrH, Addr[15], RA~87 ; -; A ; LC8 ; C7M, nRES, D[7], AddrLWR_MC, S[0], S[2], S[1], Addr[7], IncAddrL, Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[7]~113, Addr[7], IncAddrM, RA~108 ; -; A ; LC7 ; C7M, nRES, D[6], AddrLWR_MC, S[0], S[2], S[1], Addr[6], IncAddrL, Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[6]~107, Addr[6], Addr[7], IncAddrM, RA~101 ; -; A ; LC15 ; C7M, nRES, D[6], AddrMWR_MC, S[2], S[1], S[0], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[6]~107, Addr[14], IncAddrH, Addr[15], RA~80 ; -; A ; LC9 ; C7M, nRES, D[0], AddrMWR_MC, S[2], S[1], S[0], Addr[8], IncAddrM ; Dout[0]~71, Addr[8], Addr[9], Addr[10], RA~62, Addr[11], Addr[12], Addr[13], Addr[14], IncAddrH, Addr[15] ; -; A ; LC14 ; C7M, nRES, D[5], AddrMWR_MC, S[2], S[1], S[0], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[5]~101, Addr[13], Addr[14], IncAddrH, Addr[15], RA~79 ; -; A ; LC13 ; C7M, nRES, D[4], AddrMWR_MC, S[2], S[1], S[0], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[4]~95, Addr[12], Addr[13], Addr[14], IncAddrH, Addr[15], RA~120 ; -; A ; LC12 ; C7M, nRES, D[3], AddrMWR_MC, S[2], S[1], S[0], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[3]~89, Addr[11], Addr[12], Addr[13], Addr[14], IncAddrH, Addr[15], RA~73 ; -; A ; LC6 ; C7M, nRES, D[5], AddrLWR_MC, S[0], S[2], S[1], Addr[5], IncAddrL, Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[5]~101, Addr[5], Addr[6], Addr[7], IncAddrM, RA~94 ; -; A ; LC11 ; C7M, nRES, D[2], AddrMWR_MC, S[2], S[1], S[0], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[2]~83, Addr[10], Addr[11], Addr[12], RA~68, Addr[13], Addr[14], IncAddrH, Addr[15] ; -; A ; LC5 ; C7M, nRES, D[4], AddrLWR_MC, S[0], S[2], S[1], Addr[4], IncAddrL, Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[4]~95, Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~87 ; -; A ; LC10 ; C7M, nRES, D[1], AddrMWR_MC, S[2], S[1], S[0], Addr[9], Addr[8], IncAddrM ; Dout[1]~77, Addr[9], Addr[10], Addr[11], Addr[12], RA~65, Addr[13], Addr[14], IncAddrH, Addr[15] ; -; A ; LC4 ; C7M, nRES, D[3], AddrLWR_MC, S[0], S[2], S[1], Addr[3], IncAddrL, Addr[2], Addr[1], Addr[0] ; Dout[3]~89, Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~80 ; -; B ; LC25 ; C7M, nRES, D[4], AddrHWR_MC, S[2], S[0], S[1], Addr[20], IncAddrH, Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[4]~95, Addr[20], RA~65, Addr[21], Addr[22] ; -; B ; LC21 ; C7M, nRES, D[5], AddrHWR_MC, S[2], S[0], S[1], Addr[21], IncAddrH, Addr[20], Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[5]~101, Addr[21], RA~68, Addr[22] ; -; B ; LC23 ; C7M, nRES, D[5], BankWR_MC, S[2], S[1], S[0] ; RA~94, RA~101, RA~108 ; -; B ; LC31 ; C7M, nRES, D[6], AddrHWR_MC, S[2], S[0], S[1], Addr[22], IncAddrH, Addr[21], Addr[20], Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[6]~107, Addr[22], comb~39, comb~43 ; -; B ; LC29 ; C7M, nRES, D[6], BankWR_MC, S[2], S[1], S[0] ; RA~101, RA~108 ; +; A ; LC3 ; C7M, nRES, D[2], AddrLWR_MC, S[0], S[2], S[1], Addr[2], IncAddrL, Addr[1], Addr[0] ; Dout[2]~90, Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~79 ; +; A ; LC2 ; C7M, nRES, D[1], AddrLWR_MC, S[0], S[2], S[1], Addr[1], IncAddrL, Addr[0] ; Dout[1]~84, Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~120 ; +; A ; LC16 ; C7M, nRES, D[7], AddrMWR_MC, S[2], S[1], S[0], Addr[15], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[7]~120, IncAddrH, Addr[15], RA~87 ; +; A ; LC1 ; C7M, nRES, D[0], AddrLWR_MC, S[0], S[2], S[1], Addr[0], IncAddrL ; Dout[0]~78, Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~73 ; +; A ; LC8 ; C7M, nRES, D[7], AddrLWR_MC, S[0], S[2], S[1], Addr[7], IncAddrL, Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[7]~120, Addr[7], IncAddrM, RA~108 ; +; A ; LC7 ; C7M, nRES, D[6], AddrLWR_MC, S[0], S[2], S[1], Addr[6], IncAddrL, Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[6]~114, Addr[6], Addr[7], IncAddrM, RA~101 ; +; A ; LC15 ; C7M, nRES, D[6], AddrMWR_MC, S[2], S[1], S[0], Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[6]~114, Addr[14], IncAddrH, Addr[15], RA~80 ; +; A ; LC9 ; C7M, nRES, D[0], AddrMWR_MC, S[2], S[1], S[0], Addr[8], IncAddrM ; Dout[0]~78, Addr[8], Addr[9], Addr[10], RA~62, Addr[11], Addr[12], Addr[13], Addr[14], IncAddrH, Addr[15] ; +; A ; LC14 ; C7M, nRES, D[5], AddrMWR_MC, S[2], S[1], S[0], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[5]~108, Addr[13], Addr[14], IncAddrH, Addr[15], RA~79 ; +; A ; LC13 ; C7M, nRES, D[4], AddrMWR_MC, S[2], S[1], S[0], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[4]~102, Addr[12], Addr[13], Addr[14], IncAddrH, Addr[15], RA~120 ; +; A ; LC12 ; C7M, nRES, D[3], AddrMWR_MC, S[2], S[1], S[0], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[3]~96, Addr[11], Addr[12], Addr[13], Addr[14], IncAddrH, Addr[15], RA~73 ; +; A ; LC6 ; C7M, nRES, D[5], AddrLWR_MC, S[0], S[2], S[1], Addr[5], IncAddrL, Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[5]~108, Addr[5], Addr[6], Addr[7], IncAddrM, RA~94 ; +; A ; LC11 ; C7M, nRES, D[2], AddrMWR_MC, S[2], S[1], S[0], Addr[10], Addr[9], Addr[8], IncAddrM ; Dout[2]~90, Addr[10], Addr[11], Addr[12], RA~68, Addr[13], Addr[14], IncAddrH, Addr[15] ; +; A ; LC5 ; C7M, nRES, D[4], AddrLWR_MC, S[0], S[2], S[1], Addr[4], IncAddrL, Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[4]~102, Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~87 ; +; A ; LC10 ; C7M, nRES, D[1], AddrMWR_MC, S[2], S[1], S[0], Addr[9], Addr[8], IncAddrM ; Dout[1]~84, Addr[9], Addr[10], Addr[11], Addr[12], RA~65, Addr[13], Addr[14], IncAddrH, Addr[15] ; +; A ; LC4 ; C7M, nRES, D[3], AddrLWR_MC, S[0], S[2], S[1], Addr[3], IncAddrL, Addr[2], Addr[1], Addr[0] ; Dout[3]~96, Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~80 ; +; B ; LC22 ; C7M, nRES, D[5], AddrHWR_MC, S[2], S[0], S[1], Addr[21], IncAddrH, Addr[20], Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[5]~108, Addr[21], RA~68, Addr[22] ; +; B ; LC30 ; C7M, nRES, D[5], BankWR_MC, S[2], S[1], S[0] ; RA~94, RA~101, RA~108 ; +; B ; LC24 ; C7M, nRES, D[6], AddrHWR_MC, S[2], S[0], S[1], Addr[22], IncAddrH, Addr[21], Addr[20], Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[6]~114, Addr[22], comb~34, comb~38 ; +; B ; LC18 ; C7M, nRES, D[6], BankWR_MC, S[2], S[1], S[0] ; RA~101, RA~108 ; ; B ; LC17 ; ; nINH ; -; B ; LC32 ; C7M, nRES, D[7], AddrLWR_MC, Addr[7], S[2], S[0], S[1], IncAddrL, Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], IncAddrM, IncAddrM~9 ; Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13], Addr[14], IncAddrH, IncAddrM, Addr[15] ; -; B ; LC27 ; C7M, nRES, D[1], AddrHWR_MC, S[2], S[0], S[1], Addr[17], IncAddrH, Addr[16] ; Dout[1]~77, Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~101 ; -; B ; LC22 ; PHI1b2_MC ; PHI1b4_MC ; -; B ; LC24 ; C7M, nRES, D[2], BankWR_MC, S[2], S[1], S[0] ; RA~79, RA~80, RA~87, RA~94, RA~101, RA~108 ; -; B ; LC30 ; C7M, nRES, D[2], AddrHWR_MC, S[2], S[0], S[1], Addr[18], IncAddrH, Addr[17], Addr[16] ; Dout[2]~83, Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~108 ; -; B ; LC26 ; C7M, nRES, D[3], AddrHWR_MC, S[2], S[0], S[1], Addr[19], IncAddrH, Addr[18], Addr[17], Addr[16] ; Dout[3]~89, Addr[19], RA~62, Addr[20], Addr[21], Addr[22] ; -; B ; LC28 ; C7M, nRES, D[1], BankWR_MC, S[2], S[1], S[0] ; RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; -; B ; LC20 ; C7M, nRES, D[3], BankWR_MC, S[2], S[1], S[0] ; RA~80, RA~87, RA~94, RA~101, RA~108 ; -; B ; LC18 ; C7M, nRES, D[4], BankWR_MC, S[2], S[1], S[0] ; RA~87, RA~94, RA~101, RA~108 ; -; C ; LC34 ; PHI1in ; PHI1b1_MC ; +; B ; LC31 ; C7M, nRES, S[2] ; DOE~5, RDOE~1, comb~29 ; +; B ; LC26 ; C7M, nRES, D[7], AddrLWR_MC, Addr[7], S[2], S[0], S[1], IncAddrL, Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], IncAddrM, IncAddrM~9 ; Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13], Addr[14], IncAddrH, IncAddrM, Addr[15] ; +; B ; LC32 ; C7M, nRES, D[1], AddrHWR_MC, S[2], S[0], S[1], Addr[17], IncAddrH, Addr[16] ; Dout[1]~84, Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~101 ; +; B ; LC20 ; C7M, nRES, D[2], BankWR_MC, S[2], S[1], S[0] ; RA~79, RA~80, RA~87, RA~94, RA~101, RA~108 ; +; B ; LC23 ; C7M, nRES, D[2], AddrHWR_MC, S[2], S[0], S[1], Addr[18], IncAddrH, Addr[17], Addr[16] ; Dout[2]~90, Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~108 ; +; B ; LC25 ; C7M, nRES, D[3], AddrHWR_MC, S[2], S[0], S[1], Addr[19], IncAddrH, Addr[18], Addr[17], Addr[16] ; Dout[3]~96, Addr[19], RA~62, Addr[20], Addr[21], Addr[22] ; +; B ; LC21 ; C7M, nRES, D[3], BankWR_MC, S[2], S[1], S[0] ; RA~80, RA~87, RA~94, RA~101, RA~108 ; +; B ; LC19 ; C7M, nRES, D[1], BankWR_MC, S[2], S[1], S[0] ; RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; +; B ; LC27 ; C7M, nRES, D[4], BankWR_MC, S[2], S[1], S[0] ; RA~87, RA~94, RA~101, RA~108 ; +; B ; LC29 ; C7M, nRES, D[4], AddrHWR_MC, S[2], S[0], S[1], Addr[20], IncAddrH, Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[4]~102, Addr[20], RA~65, Addr[21], Addr[22] ; ; C ; LC38 ; RD[4], nDEVSEL, A[0], A[1], A[2], A[3], Addr[12], Addr[20], Addr[4] ; D[4] ; ; C ; LC40 ; RD[5], nDEVSEL, A[0], A[1], A[2], A[3], Addr[13], Addr[21], Addr[5] ; D[5] ; ; C ; LC43 ; RD[6], nDEVSEL, A[0], A[1], A[2], A[3], Addr[14], Addr[22], Addr[6] ; D[6] ; -; C ; LC45 ; RD[7], nDEVSEL, A[1], A[2], A[3], A[0], Addr[15], Addr[7] ; D[7] ; -; C ; LC33 ; PHI1in, PHI1b8_MC ; PHI1reg, PHI0seen, S[0], S[1], S[2] ; -; C ; LC42 ; PHI1b1_MC ; PHI1b3_MC ; -; C ; LC35 ; C7M, nRES, nIOSEL, S[2], S[1], S[0] ; DOE~5, RAMSEL_MC, AddrHWR_MC, AddrMWR_MC, AddrLWR_MC, BankWR_MC, FullIOEN ; -; C ; LC36 ; C7M, nRES, D[0], AddrHWR_MC, S[1], S[2], S[0], Addr[16], IncAddrH ; Dout[0]~71, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~94 ; +; C ; LC45 ; RD[7], nDEVSEL, A[0], A[1], A[2], A[3], Addr[15], nMode, Addr[7] ; D[7] ; +; C ; LC41 ; C7M, nRES, nIOSEL, S[2], S[1], S[0] ; DOE~5, RAMSEL_MC, AddrHWR_MC, AddrMWR_MC, AddrLWR_MC, BankWR_MC, FullIOEN ; +; C ; LC35 ; PHI1b1_MC ; PHI1b3_MC ; +; C ; LC47 ; C7M, nRES, D[0], AddrHWR_MC, S[1], S[2], S[0], Addr[16], IncAddrH ; Dout[0]~78, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], RA~94 ; +; C ; LC36 ; C7M, nRES, D[7], BankWR_MC, S[2], S[1], S[0] ; RA~108 ; +; C ; LC34 ; C7M, nRES, D[0], BankWR_MC, S[2], S[1], S[0] ; RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; ; D ; LC57 ; RD[0], nDEVSEL, A[0], A[1], A[2], A[3], Addr[8], Addr[16], Addr[0] ; D[0] ; +; D ; LC56 ; REGEN, nDEVSEL, CSDBEN, nWE, nIOSEL, IOROMEN, nIOSTRB ; D[0], D[1], D[2], D[3], D[4], D[5], D[6], D[7] ; ; D ; LC59 ; RD[1], nDEVSEL, A[0], A[1], A[2], A[3], Addr[9], Addr[17], Addr[1] ; D[1] ; ; D ; LC61 ; RD[2], nDEVSEL, A[0], A[1], A[2], A[3], Addr[10], Addr[18], Addr[2] ; D[2] ; ; D ; LC64 ; RD[3], nDEVSEL, A[0], A[1], A[2], A[3], Addr[11], Addr[19], Addr[3] ; D[3] ; -; D ; LC51 ; Addr[22], CASf, RAMSEL_MC, CASr ; nCAS1 ; -; D ; LC50 ; PHI1b0_MC ; PHI1b2_MC ; -; D ; LC53 ; Addr[22], CASf, RAMSEL_MC, CASr ; nCAS0 ; ; D ; LC49 ; CSDBEN, nIOSEL, IOROMEN, nIOSTRB ; nRCS ; -; E ; LC72 ; Addr[21], ASel, Addr[10] ; RA[10] ; +; D ; LC51 ; Addr[22], CASf, RAMSEL_MC, CASr ; nCAS1 ; +; D ; LC53 ; Addr[22], CASf, RAMSEL_MC, CASr ; nCAS0 ; +; D ; LC52 ; PHI1in ; PHI1b1_MC ; +; D ; LC50 ; PHI1in, PHI1b8_MC ; PHI1reg, PHI0seen, S[0], S[1], S[2] ; +; D ; LC54 ; PHI1b0_MC ; PHI1b2_MC ; ; E ; LC67 ; nWE ; nROE ; -; E ; LC70 ; C7M, nRES, D[7], AddrMWR_MC, Addr[15], S[1], S[2], S[0], IncAddrH, Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], IncAddrH, Addr[22] ; -; E ; LC74 ; C7M, nRES, S[2] ; DOE~5, RDOE~1, comb~34 ; -; E ; LC78 ; C7M, nRES, D[7], BankWR_MC, S[2], S[1], S[0] ; RA~108 ; +; E ; LC77 ; FullIOEN, Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[16], ASel, nIOSEL, Addr[5], Bank[5] ; RA[5] ; +; E ; LC66 ; PHI1b6_MC ; PHI1b8_MC ; +; E ; LC70 ; C7M, nRES, PHI1b9_MC ; S[0], S[1], S[2] ; +; E ; LC71 ; C7M, nRES, PHI1b9_MC ; S[0], S[1], S[2] ; +; E ; LC65 ; PHI1b7_MC ; PHI1b9_MC ; +; E ; LC76 ; C7M, nRES, S[1], S[2], RAMSEL_MC ; RA~62, RA~65, RA~68, RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; +; E ; LC69 ; Addr[20], ASel, Addr[9] ; RA[9] ; +; E ; LC72 ; Addr[21], ASel, Addr[10] ; RA[10] ; ; E ; LC80 ; Bank[0], FullIOEN, nIOSTRB, Addr[11], ASel, nIOSEL, Addr[0] ; RA[0] ; ; E ; LC75 ; FullIOEN, Bank[2], Bank[1], nIOSTRB, Bank[0], Addr[13], ASel, nIOSEL, Addr[2] ; RA[2] ; ; E ; LC73 ; FullIOEN, Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[14], ASel, nIOSEL, Addr[3], Bank[3] ; RA[3] ; -; E ; LC77 ; FullIOEN, Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[16], ASel, nIOSEL, Addr[5], Bank[5] ; RA[5] ; -; E ; LC79 ; C7M, nRES, D[0], BankWR_MC, S[2], S[1], S[0] ; RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; -; E ; LC69 ; Addr[20], ASel, Addr[9] ; RA[9] ; -; F ; LC87 ; C7M, nRES, S[1], S[2], RAMSEL_MC ; RA~62, RA~65, RA~68, RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; -; F ; LC93 ; RASr, RASf ; nRAS ; -; F ; LC84 ; C7M, nRES, PHI1b9_MC ; S[0], S[1], S[2] ; -; F ; LC95 ; C7M, nRES, PHI1b9_MC ; S[0], S[1], S[2] ; -; F ; LC91 ; Addr[19], ASel, Addr[8] ; RA[8] ; -; F ; LC85 ; FullIOEN, Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[15], ASel, nIOSEL, Addr[4], Bank[4] ; RA[4] ; -; F ; LC81 ; PHI1b3_MC ; PHI1b5_MC ; ; F ; LC94 ; D[7] ; RD[7] ; -; F ; LC89 ; C7M, nRES, RAMSEL_MC, S[0], S[2], S[1], IncAddrL ; IncAddrL, Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM~9, IncAddrM ; -; F ; LC96 ; C7M, nRES, RAMSEL_MC, S[2], S[1], S[0] ; comb~36 ; -; F ; LC83 ; Addr[12], ASel, nIOSEL, nIOSTRB, Addr[1], FullIOEN, Bank[1], Bank[0] ; RA[1] ; -; F ; LC86 ; FullIOEN, Bank[6], Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[18], ASel, nIOSEL, Addr[7], Bank[7] ; RA[7] ; +; F ; LC87 ; C7M, nRES, RAMSEL_MC, S[2], S[1], S[0] ; comb~31 ; +; F ; LC92 ; C7M, nRES, RAMSEL_MC, S[0], S[2], S[1], IncAddrL ; IncAddrL, Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM~9, IncAddrM ; +; F ; LC91 ; Addr[19], ASel, Addr[8] ; RA[8] ; +; F ; LC93 ; RASr, RASf ; nRAS ; +; F ; LC85 ; FullIOEN, Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[15], ASel, nIOSEL, Addr[4], Bank[4] ; RA[4] ; ; F ; LC88 ; FullIOEN, Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[17], ASel, nIOSEL, Addr[6], Bank[6] ; RA[6] ; -; G ; LC97 ; D[5] ; RD[5] ; +; F ; LC86 ; FullIOEN, Bank[6], Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[18], ASel, nIOSEL, Addr[7], Bank[7] ; RA[7] ; +; F ; LC83 ; Addr[12], ASel, nIOSEL, nIOSTRB, Addr[1], FullIOEN, Bank[1], Bank[0] ; RA[1] ; ; G ; LC112 ; CSDBEN, nWE ; RD[0], RD[1], RD[2], RD[3], RD[4], RD[5], RD[6], RD[7] ; ; G ; LC109 ; D[1] ; RD[1] ; ; G ; LC105 ; D[3] ; RD[3] ; ; G ; LC101 ; D[4] ; RD[4] ; +; G ; LC97 ; D[5] ; RD[5] ; ; G ; LC99 ; D[6] ; RD[6] ; -; G ; LC108 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13], Addr[14], IncAddrH, Addr[15] ; ; G ; LC104 ; nDEVSEL, nIOSEL, nIOSTRB, nWE ; nRWE ; -; G ; LC110 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM ; +; G ; LC100 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13], Addr[14], IncAddrH, Addr[15] ; +; G ; LC108 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM ; +; G ; LC102 ; C7M, nRES, D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0], A[0], S[2], S[1], S[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; +; G ; LC106 ; A[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; Bank[0], Bank[1], Bank[2], Bank[3], Bank[4], Bank[5], Bank[6], Bank[7] ; ; G ; LC107 ; D[2] ; RD[2] ; -; G ; LC98 ; C7M, nRES, D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0], A[0], S[2], S[1], S[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; RA~73, RA~79, RA~80, RA~87, RA~94, RA~101, RA~108, RA~120 ; -; G ; LC102 ; A[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; Bank[0], Bank[1], Bank[2], Bank[3], Bank[4], Bank[5], Bank[6], Bank[7] ; -; G ; LC106 ; C7M, nRES, nIOSEL, S[2], S[1], S[0], IOROMEN, A[0], A[4], A[5], A[6], A[7], A[8], A[9], A[10], nIOSTRB, A[1], A[2], A[3] ; DOE~5, IOROMEN, comb~34 ; +; G ; LC98 ; C7M, nRES, nIOSEL, S[2], S[1], S[0], IOROMEN, A[0], A[4], A[5], A[6], A[7], A[8], A[9], A[10], nIOSTRB, A[1], A[2], A[3] ; DOE~5, IOROMEN, comb~29 ; ; G ; LC111 ; A[1], A[0], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22] ; -; G ; LC103 ; REGEN, nDEVSEL, A[1], A[0], A[2], A[3] ; RASr, IncAddrL, ASel, CASr, RASf, comb~39, comb~43 ; +; G ; LC110 ; REGEN, nDEVSEL, A[1], A[0], A[2], A[3] ; RASr, IncAddrL, ASel, CASr, RASf, comb~34, comb~38 ; +; H ; LC123 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[2], S[1], S[0] ; S[0], S[1], S[2], CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], RASr, IncAddrL, lpm_counter:Ref_rtl_0|dffs[2], Addr[8], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Bank[0], Addr[16], Addr[2], Addr[17], Addr[3], Bank[1], Addr[9], Addr[4], Addr[10], Addr[5], Bank[2], Addr[18], Addr[19], Bank[3], Addr[11], Addr[12], Bank[4], Addr[20], Addr[21], Bank[5], Addr[13], Addr[14], IncAddrH, Addr[22], Bank[6], Addr[6], Addr[7], IncAddrM~9, IncAddrM, Addr[15], Bank[7], FullIOEN ; +; H ; LC120 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +; H ; LC122 ; nRES, S[2], S[1], S[0], nWE, C7M_2 ; comb~34, comb~38 ; +; H ; LC128 ; PHI1b3_MC ; PHI1b5_MC ; +; H ; LC127 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[1], S[2], S[0] ; S[0], S[1], S[2], CSDBEN, CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], RASr, IncAddrL, ASel, lpm_counter:Ref_rtl_0|dffs[2], Addr[8], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Bank[0], Addr[16], Addr[2], Addr[17], Addr[3], Bank[1], Addr[9], Addr[4], Addr[10], Addr[5], Bank[2], Addr[18], Addr[19], Bank[3], Addr[11], Addr[12], Bank[4], Addr[20], Addr[21], Bank[5], Addr[13], Addr[14], IncAddrH, Addr[22], Bank[6], Addr[6], Addr[7], IncAddrM~9, IncAddrM, Addr[15], Bank[7], FullIOEN ; +; H ; LC116 ; PHI1b5_MC ; PHI1b7_MC ; +; H ; LC125 ; PHI1b4_MC ; PHI1b6_MC ; +; H ; LC119 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +; H ; LC124 ; C7M, nRES, S[1], S[0], S[2], lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], RAMSEL_MC ; comb~34, comb~38 ; +; H ; LC121 ; nRES, S[2], lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[1], S[0], RAMSEL_MC, C7M_2 ; comb~31 ; +; H ; LC118 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +; H ; LC114 ; C7M, nRES, D[7], AddrMWR_MC, Addr[15], S[1], S[2], S[0], IncAddrH, Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], IncAddrH, Addr[22] ; ; H ; LC115 ; D[0] ; RD[0] ; -; H ; LC118 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; -; H ; LC116 ; nRES, S[2], S[1], S[0], nWE, C7M_2 ; comb~39, comb~43 ; -; H ; LC124 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; -; H ; LC122 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[2], S[1], S[0] ; S[0], S[1], S[2], CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], RASr, IncAddrL, lpm_counter:Ref_rtl_0|dffs[2], Addr[8], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Bank[0], Addr[16], Addr[2], Addr[17], Addr[3], Bank[1], Addr[9], Addr[4], Addr[10], Addr[5], Bank[2], Addr[18], Addr[19], Bank[3], Addr[11], Addr[12], Bank[4], Addr[20], Addr[21], Bank[5], Addr[13], Addr[14], IncAddrH, Addr[22], Bank[6], Addr[6], Addr[7], IncAddrM~9, IncAddrM, Addr[15], Bank[7], FullIOEN ; -; H ; LC127 ; PHI1b7_MC ; PHI1b9_MC ; -; H ; LC120 ; C7M, nRES, S[1], S[0], S[2], lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], RAMSEL_MC ; comb~39, comb~43 ; -; H ; LC121 ; nRES, S[2], lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[1], S[0], RAMSEL_MC, C7M_2 ; comb~36 ; -; H ; LC126 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[1], S[2], S[0] ; S[0], S[1], S[2], CSDBEN, CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], RASr, IncAddrL, ASel, lpm_counter:Ref_rtl_0|dffs[2], Addr[8], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Bank[0], Addr[16], Addr[2], Addr[17], Addr[3], Bank[1], Addr[9], Addr[4], Addr[10], Addr[5], Bank[2], Addr[18], Addr[19], Bank[3], Addr[11], Addr[12], Bank[4], Addr[20], Addr[21], Bank[5], Addr[13], Addr[14], IncAddrH, Addr[22], Bank[6], Addr[6], Addr[7], IncAddrM~9, IncAddrM, Addr[15], Bank[7], FullIOEN ; -; H ; LC125 ; PHI1b6_MC ; PHI1b8_MC ; -; H ; LC119 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; -; H ; LC117 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[2], S[1], S[0] ; S[0], S[1], S[2], CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], RASr, IncAddrL, ASel, lpm_counter:Ref_rtl_0|dffs[2], Addr[8], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Bank[0], Addr[16], Addr[2], Addr[17], Addr[3], Bank[1], Addr[9], Addr[4], Addr[10], Addr[5], Bank[2], Addr[18], Addr[19], Bank[3], Addr[11], Addr[12], Bank[4], Addr[20], Addr[21], Bank[5], Addr[13], Addr[14], IncAddrH, Addr[22], Bank[6], Addr[6], Addr[7], IncAddrM, Addr[15], Bank[7], FullIOEN ; -; H ; LC113 ; PHI1b5_MC ; PHI1b7_MC ; -; H ; LC114 ; PHI1b4_MC ; PHI1b6_MC ; -; H ; LC128 ; REGEN, nDEVSEL, CSDBEN, nWE, nIOSEL, IOROMEN, nIOSTRB ; D[0], D[1], D[2], D[3], D[4], D[5], D[6], D[7] ; -; H ; LC123 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +; H ; LC117 ; PHI1b2_MC ; PHI1b4_MC ; +; H ; LC113 ; C7M, nRES, PHI1reg, PHI0seen, PHI1b9_MC, S[2], S[1], S[0] ; S[0], S[1], S[2], CASf, lpm_counter:Ref_rtl_0|dffs[0], REGEN, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], RASr, IncAddrL, ASel, lpm_counter:Ref_rtl_0|dffs[2], Addr[8], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], CASr, RASf, Addr[1], Bank[0], Addr[16], Addr[2], Addr[17], Addr[3], Bank[1], Addr[9], Addr[4], Addr[10], Addr[5], Bank[2], Addr[18], Addr[19], Bank[3], Addr[11], Addr[12], Bank[4], Addr[20], Addr[21], Bank[5], Addr[13], Addr[14], IncAddrH, Addr[22], Bank[6], Addr[6], Addr[7], IncAddrM, Addr[15], Bank[7], FullIOEN ; +; H ; LC126 ; C7M, nRES, lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], S[2], S[1], S[0] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], CASr, RASf ; +-----+------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -712,10 +713,12 @@ Note: User assignments will override these defaults. The user specified values a +-----------------+ Warning (20028): Parallel compilation is not licensed and has been disabled Info (119006): Selected device EPM7128SLC84-15 for design "GR8RAM" -Info: Quartus II 32-bit Fitter was successful. 0 errors, 1 warning +Warning (15705): Ignored locations or region assignments to the following nodes + Warning (15706): Node "MODE" is assigned to location or region, but does not exist in design +Info: Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings Info: Peak virtual memory: 287 megabytes - Info: Processing ended: Thu Sep 05 21:45:07 2019 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:06 + Info: Processing ended: Sat Sep 07 21:15:38 2019 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index ceea669..22787c6 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Thu Sep 05 21:45:07 2019 +Fitter Status : Successful - Sat Sep 07 21:15:37 2019 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index 8c4829d..942d42f 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Thu Sep 05 21:45:17 2019 +Sat Sep 07 21:15:48 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,7 +40,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Thu Sep 05 21:45:11 2019 ; +; Flow Status ; Successful - Sat Sep 07 21:15:42 2019 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -57,7 +57,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/05/2019 21:44:55 ; +; Start date & time ; 09/07/2019 21:15:27 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -71,7 +71,8 @@ applicable agreement for further details. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_LCELL_INSERTION ; Off ; On ; -- ; -- ; ; AUTO_PARALLEL_EXPANDERS ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 52238299365.156773429502244 ; -- ; -- ; -- ; +; AUTO_TURBO_BIT ; Off ; On ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 52238299365.156790532703820 ; -- ; -- ; -- ; ; ECO_OPTIMIZE_TIMING ; On ; Off ; -- ; -- ; ; ECO_REGENERATE_REPORT ; On ; Off ; -- ; -- ; ; EXTRACT_VERILOG_STATE_MACHINES ; Off ; On ; -- ; -- ; @@ -97,11 +98,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:10 ; 1.0 ; 304 MB ; 00:00:09 ; -; Fitter ; 00:00:06 ; 1.0 ; 287 MB ; 00:00:06 ; -; Assembler ; 00:00:02 ; 1.0 ; 275 MB ; 00:00:02 ; +; Analysis & Synthesis ; 00:00:08 ; 1.0 ; 304 MB ; 00:00:08 ; +; Fitter ; 00:00:04 ; 1.0 ; 287 MB ; 00:00:05 ; +; Assembler ; 00:00:03 ; 1.0 ; 275 MB ; 00:00:02 ; ; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 259 MB ; 00:00:05 ; -; Total ; 00:00:23 ; -- ; -- ; 00:00:22 ; +; Total ; 00:00:20 ; -- ; -- ; 00:00:20 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index ba0f63c..8f7d68a 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 38a6b1f..a6870f7 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Thu Sep 05 21:45:00 2019 +Sat Sep 07 21:15:31 2019 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -44,7 +44,7 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Thu Sep 05 21:45:00 2019 ; +; Analysis & Synthesis Status ; Successful - Sat Sep 07 21:15:31 2019 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -159,8 +159,8 @@ applicable agreement for further details. ; Shareable expanders ; 1 ; ; Maximum fan-out node ; nRES ; ; Maximum fan-out ; 52 ; -; Total fan-out ; 830 ; -; Average fan-out ; 5.03 ; +; Total fan-out ; 831 ; +; Average fan-out ; 5.04 ; +----------------------+----------------------+ @@ -319,7 +319,7 @@ Note: In order to hide this table in the UI and the text report file, please set Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Thu Sep 05 21:44:50 2019 + Info: Processing started: Sat Sep 07 21:15:23 2019 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v @@ -371,10 +371,9 @@ Info (13014): Ignored 32 buffer(s) Info (280013): Promoted pin-driven signal(s) to global signal Info (280014): Promoted clock signal driven by pin "C7M" to global clock signal Info (280015): Promoted clear signal driven by pin "nRES" to global clear signal -Warning (21074): Design contains 8 input pin(s) that do not drive logic +Warning (21074): Design contains 7 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "Q3" Warning (15610): No output dependent on input pin "PHI0in" - Warning (15610): No output dependent on input pin "MODE" Warning (15610): No output dependent on input pin "A[11]" Warning (15610): No output dependent on input pin "A[12]" Warning (15610): No output dependent on input pin "A[13]" @@ -387,11 +386,11 @@ Info (21057): Implemented 165 device resources after synthesis - the final resou Info (21063): Implemented 103 macrocells Info (21073): Implemented 1 shareable expanders Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 15 warnings Info: Peak virtual memory: 304 megabytes - Info: Processing ended: Thu Sep 05 21:45:00 2019 - Info: Elapsed time: 00:00:10 - Info: Total CPU time (on all processors): 00:00:09 + Info: Processing ended: Sat Sep 07 21:15:32 2019 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:08 +------------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 32d499e..d314aaa 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(38): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(46): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(52): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(60): extended using "x" or "z" Warning (10268): Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 31a7788..5e35126 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,4 +1,4 @@ -Analysis & Synthesis Status : Successful - Thu Sep 05 21:45:00 2019 +Analysis & Synthesis Status : Successful - Sat Sep 07 21:15:31 2019 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 34c01b7..151b21f 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -97,14 +97,14 @@ D[3] : 33 : bidir : TTL : D[2] : 34 : bidir : TTL : : : Y D[1] : 35 : bidir : TTL : : : Y D[0] : 36 : bidir : TTL : : : Y -RESERVED : 37 : : : : : +nMode : 37 : input : TTL : : : N VCCIO : 38 : power : : 5.0V : : nCAS0 : 39 : output : TTL : : : Y nCAS1 : 40 : output : TTL : : : Y nRCS : 41 : output : TTL : : : Y GND : 42 : gnd : : : : VCCINT : 43 : power : : 5.0V : : -MODE : 44 : input : TTL : : : Y +RESERVED : 44 : : : : : nROE : 45 : output : TTL : : : Y RA[9] : 46 : output : TTL : : : Y GND : 47 : gnd : : : : diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 6d9446a33dbfe96a5f6de2ff20dc142444c10148..d90d235af3867eaf585f4ccc873bd7d800af654a 100755 GIT binary patch literal 8022 zcmcgxQEObs72Y;D*ijnVI@m9vETxzjqS%t-)D#zE7Z+lZR*h-<;0MKtMGfX^hL<^oI7+v)ut@nX}?bF^4hPtImeOlO2(Fu93lCZ-C()c8dzi<5nVVYc?%xyf+5>A?Og`&R5Ory=yVY3 zFsN0AuC5KXJ^?(f8vxM*hHQj35DZ7a;|7BnRBFy~%^=Qt+WPW>UV_8Av%m}_^-bRV zXo~cT7g0BBd%>`Iak2!KsZyk@Pc+F>FZc_jl8}y4-#qBTQBQ!4*nZlc9 z#9X1JqAf)fQPCpSEuzK^w7=Uf7N4@;C>r4dB>2Vo^x_;D7V%f;iWv;+El!Ez0tl~I zTettBGb|GuDh`~X3~up6>t0m3kVM>~7sS$x9{id~Wpf(VVDf*W7o7M)*Mn+|AnF`B?(bs}2A4gk4ov`JUkD(n^!_}j-6WWi&jHZYJ7dyf%DBz(1Pd+f5Y>YvZkFkfY75 zjeQT^a$Ye4D}myn)wwY88B?Z2<)Hxlt;^duOZ1#QX>nnLu3qj+Tkei-25D#Fpcpt> z6?b3>L?fEmykBF+&U0}SQY9!}HQFbvbzN42nciS$?8WL|*YXrQ& z+aX%KC=Ja1y9}?i=Wqc&67OkyPiiG3xl6E?Xmy{Dbq^>~f z26I+8*J*>aHl(qu-!#k-`m%duGfgM0B}EEHgYR_z)eC>k7VRxvqnw6CHfe*j7GVr^ zS}jCK8>F>?5H@VuAg$H^cDE<&^~fOCl$n**cvlV~+TbG}DBgXp=^*$q;t*e{c30XU ztpy44e_5$CPJ$0`tPrK5>~OJv$0 zt%ZAC){T8Q7tr-AK)g1^FzHy}y^fZS=IhxU%b!8{?}m+anGhxpDO6 z?K?ikK{b+gkpBFCi{wJq1a^RFYXzVN#<7c+w+P$=93Fz|z_&9$;n=A9jxpyi3lI>nm8N2P?}aBFY?%UMT$O&R<%r?|?bI+>s?ObP( zhXc`(8R!g_yomI`85>FKU~N!Cc_`DcnN!9faKVIbfu}L@dIWw%Q)t#F&I!Pka<$tU_J1JcKgsfw-3?n rgY>cVkcoc#;UW9sLAiRsLS`v$-!~mapazZ|569ZoR{6j6{onfx66@m< literal 8022 zcmc&(-D_OO72mcf#8C?^Ht}0oN-;K6u_e1{9#Vo;Tu5jWHKq+TP+`ZSQRGCR$Vov+ zL!J7$FM&QJG%@spHpHTk+NHu8>OY{?Lm&^1G*zKVQ=!}xS-R0q@0|Y5nHk-?+u4ckXKBv2WIDwNKUVl6DmBcP^Znn!fOS{o#k}$A<>aj!)M|rY3(l zb>`V;$ENDv8=HE5eDb;a;PLy8-8WbtzA%3NTz%;HQ2p5bM+b+F4jmgjUOzoH^}_g5 zWA%|UPfgSZ>!%;7KQVT;e(K!#G?2AV*FFy?r$)a0wZWkWPJing51ben{A%qpwSAC& z_qplu>GNafYJE^+`aH&`LMw8ouhZ=qY*4F+u! zBcmb<>>qcB-P$P$y&qY?vW^RYi0s@1TA_S#hb1>EVYgd1ggHS9D1$$+8XePOCm3tF z2`&sV)=h9>gaBIvllF!Q=O(avLWP^KfrU5M5k@N$I_iEnp(49D+UN{(<0QKl0QU@; zfV3ijQ2_;F)2tkS<9@T46(++_g&Q#erAQ+_fk)REJ~g zVZF4W1YLPEaI~f}j)(uivIM(PFD$GO*1jclo-BzyrWMlCfMicC3Y|-$1F%aNP!noC zV&71c!Xh`jOKxsWtp`}KramlTrc+5WE+5I!F~aiEVQ<>7g_ew2B*fJaYEkG|5*;w; zx`FXSVeLilQGuQDYzVULas$N)acV#@%c*6%?~*ODC<&Z2))v?D@?6+O;63HMiTqX?DysEx?Y& zCTX5E1(@@a*%bUuK@hu_fAjPKL1W}Q8cqBmZ!aD1Avchp<6>Hp5i;Nr4e zeJE)511Zwe%!Ac90SfF2q|jw)Z{*nSjyK$%ycv^QE~dta6~ZGZ=q~i;iczt6#B#xKEneW|W_ek4RlB^6;-g6oTSpf?$8Q5jKCc*xn$-sYlucxIwhO2?Y zS}`eU$@(s~6>qYAG@X>9*M z8j(g?$2YjFtHKV@kRnfw?0gRyaWLlZnZ-5mC(J!x7+CS-YUsKLY1k&pJv8;^FH9s zdCuuJ-WrPNI^&486n^m#jtVU|yrz=)nNQNfXvm!u>|oeLci5ZQF7T>I+f7T$P0|&t zl1J4poCm5(qs;f)N-B|7NJ|CiE16bEON;l&uKWkgQX?ZfJF43iioId;Fs1FLr5@2! z8#YPWZdz*jSlKxPe`$rZG$)I1N!Kk5Uih&cH}jsia=E+G;iRQ}xE19J9^arV>(pp0 z%pro3+Q7o1K`*V4mP$$TInyPqbk>8n*>1i0b?X|2oIrRgc2^Rp$E8l!EG>W1n~ZM-l)r=w+WJU zcVLTbhH2MIYEk1RZ8t5gF1PU(xDcMWP-$4yKvZdkv{b5{>zJJ)VU1W@U}*(*^2p)7 zU%r0e<%^Gx-1A7&VBqS$>wh_P;N|Z>z5khEnK|_KPgreu;H^vdufBf!;>o}F-*x%u zA1+;7I{4Y)(U1D){&ZyV(yu-~`08I}P`};xQka3-$9>m6I{51FkC*x%z5J!C`!MsB zv#*TozvuD*s~tLg_SE_P_x|qW2YtU@I{5C5C!gMb{>H#t`+kqX@RL94e{6N&#F1-D z_pb0@aupF=T{>~#%dfof_@g(*58r)g^%w6BzjUtubE^gKg-xYpaq(^GccV>LTnoWY{i+C3j+^PZt(6-7nQMRq