From 2ca22d3d540f98ed4c3c6fa4e281e76ff51c86f1 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 20 Apr 2021 04:23:57 -0400 Subject: [PATCH] Latch config DIP switches at boot Also rearranged GR8RAM.v --- cpld/GR8RAM.qws | Bin 0 -> 613 bytes cpld/GR8RAM.v | 45 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 25473 -> 25843 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4582 -> 4585 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1365 -> 1365 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3190 -> 3202 bytes cpld/db/GR8RAM.cmp.cdb | Bin 60790 -> 60811 bytes cpld/db/GR8RAM.cmp.hdb | Bin 20241 -> 19785 bytes cpld/db/GR8RAM.cmp.idb | Bin 18350 -> 17733 bytes cpld/db/GR8RAM.cmp.rdb | Bin 16410 -> 16061 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 106469 -> 105582 bytes cpld/db/GR8RAM.fit.qmsg | 78 +- cpld/db/GR8RAM.hier_info | 90 +- cpld/db/GR8RAM.hif | Bin 438 -> 436 bytes cpld/db/GR8RAM.map.cdb | Bin 21675 -> 21748 bytes cpld/db/GR8RAM.map.hdb | Bin 18989 -> 18526 bytes cpld/db/GR8RAM.map.qmsg | 38 +- cpld/db/GR8RAM.map.rdb | Bin 1237 -> 1237 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 15794 -> 15381 bytes cpld/db/GR8RAM.quiproj.3068.rdr.flock | 0 cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 339 -> 337 bytes cpld/db/GR8RAM.routing.rdb | Bin 1429 -> 1487 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 15718 -> 15288 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 28691 -> 29029 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 22605 -> 22859 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 17435 -> 16977 bytes cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 14379 -> 14355 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 55590 -> 55668 bytes cpld/db/GR8RAM.tmw_info | 4 +- cpld/db/GR8RAM.vpr.ammdb | Bin 851 -> 887 bytes cpld/db/logic_util_heursitic.dat | Bin 13640 -> 13640 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 191 ++- .../GR8RAM.root_partition.map.kpt | Bin 3196 -> 3256 bytes cpld/output_files/GR8RAM.asm.rpt | 14 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 323 ++-- cpld/output_files/GR8RAM.fit.summary | 2 +- cpld/output_files/GR8RAM.flow.rpt | 16 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 60 +- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1462 ++++++++--------- cpld/output_files/GR8RAM.sta.summary | 20 +- 47 files changed, 1199 insertions(+), 1214 deletions(-) create mode 100755 cpld/GR8RAM.qws delete mode 100755 cpld/db/GR8RAM.quiproj.3068.rdr.flock diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws new file mode 100755 index 0000000000000000000000000000000000000000..38d1f457a795f303addcf141f9087a7fd7afd0d8 GIT binary patch literal 613 zcmbV}ze)o^5XQf~Sfum;EP{vx;UtL>EJeY}%Gk@9+fzyOLULk}_&`33jo4Y`73__F zezUALilDR1zrC4nzS-Mt>Qh!URHlh4o#;lT#u|`~xa-TuB8NZJRJpd*Ma}0blxmB- zLtUyiCr48{TiOXxi>QNU)E3w-r_Hz9cf_#W9VSGtmf00DC!8%3BKLw>)iJ%N@bqfH zu)yx)ui)&1oy1Nzqr9g8o;({0`BKz6zP^YFPBvhjy*!8&n0va$R{^=f%#t%?Zl6yF|w=!T0Ki!NneAXij0_PQ%Abcna=++DZB(AYk}10cdFEVqgvz2SZuIk8F#$= cJItngdbt1kOEyQfN06r>#PC3Grj{rA0KIx>m;e9( literal 0 HcmV?d00001 diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 027eebd..7ddfc23 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -19,6 +19,29 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; end + /* Firmware select */ + input [1:0] SetFW; + reg [1:0] SetFWr; + reg SetFWLoaded = 0; + always @(posedge C25M) begin + if (~SetFWLoaded) begin + SetFWLoaded <= 1; + SetFWr[1:0] <= SetFW[1:0]; + end + end + wire [1:0] SetROM = ~SetFWr[1:0]; + wire SetEN16MB = SetROM[1:0]==2'b11; + wire SetEN24bit = SetROM[1]; + + /* State counter from PHI0 rising edge */ + reg [3:0] PS = 0; + wire PSStart = PS==0 && PHI0r1 && ~PHI0r2; + always @(posedge C25M) begin + if (PSStart) PS <= 1; + else if (PS==0) PS <= 0; + else PS <= PS+1; + end + /* Long state counter: counts from 0 to $3FFF */ reg [13:0] LS = 0; always @(posedge C25M) begin if (PS==15) LS <= LS+1; end @@ -133,7 +156,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, end end - /* SPI flash */ + /* SPI flash control signals */ output nFCS = FCKOE ? ~FCS : 1'bZ; reg FCS = 0; output FCK = FCKOE ? FCKout : 1'bZ; @@ -141,7 +164,6 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, reg FCKout = 0; inout MOSI = MOSIOE ? MOSIout : 1'bZ; reg MOSIOE = 0; - reg MOSIout = 0; input MISO; always @(posedge C25M) begin case (PS[3:0]) @@ -184,6 +206,8 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; end + /* SPI flash MOSI control */ + reg MOSIout = 0; always @(posedge C25M) begin case (PS[3:0]) 1: begin @@ -254,11 +278,6 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, endcase end - input [1:0] SetFW; - wire [1:0] SetROM = ~SetFW[1:0]; - wire SetEN16MB = SetROM[1:0]==2'b11; - wire SetEN24bit = SetROM[1]; - /* SDRAM data bus */ inout [7:0] SD = SDOE ? WRD[7:0] : 8'bZ; reg [7:0] WRD; @@ -305,15 +324,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, endcase end - reg [3:0] PS = 0; - wire PSStart = PS==0 && PHI0r1 && ~PHI0r2; - always @(posedge C25M) begin - if (PSStart) PS <= 1; - else if (PS==0) PS <= 0; - else PS <= PS+1; - end - - /* SDRAM address/command */ + /* SDRAM command */ output reg RCKE = 1; output reg nRCS = 1; output reg nRAS = 1; @@ -416,6 +427,8 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, end endcase end + + /* SDRAM address */ output reg DQML = 1; output reg DQMH = 1; output reg [1:0] SBA; diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 214d7601df78f73840335826603f0b796fab17cb..2880c4fb51ab84ccbbc61f4d352d947c42511b61 100755 GIT binary patch literal 25843 zcmYIuby$?&^R@_xfPkogQY$SW-MP};-73*xFX6AbN6pZ}SSAh=f8(*ut&&ALW!DO5#(sB`SJ*g&Pn!r7$` z9KqBKMs*I8dP*`e@m%|6zJ_|R{DSD9f6|%yAH)BoWtKDb8N*C@f{XINr`M_LgG$FY zvl=DsGq0o4N52H@xkk=wO2iag*4dhTN9<43GCcv1Td682i$t36$#@5{GKjK<>y?>< z9x>>$T%_v#3+7Rss!W!E+uzE;2D^IN>F;CCnpr_ex!;vQVgIpTE)>SC5xg?q%iRC@x=AWR5acN&jt zu#MJ_UlrGIT2Q_~J28MLY)R(;26+pBr=y#t=C5=reLuzR4TkU+;qz(v<}SKFS3F6> zC*;%LgnK!sx@Pi|Fd?k?7~T}laT~HZ@Z5JVOL*K@q=~$1wY;Oi%Tu1n?GDhmR}k{2 zo?XX)H8Ym;z(Q-xYROHVtw>)yK6$VJeJ>yRMVPJ5>LZ_kI&F=D0ds=ug{rW1DEUj; z8c73Y2A#rJP8|!HQS7e>A{7xL_5kLkeMj=B>{kWO@ln}E$|AW4Erp?1i79k4an6EV zu_VL7FJ?cOKCD-*Jz6MbN94zG{XG#Vs0@D1e@s@io)rmCT~jjvfq6~xps+x@M}0s9 z3(r%*`gCC4#;uPrMF`xM`@^!^gDT;ksHhxJ}ZRn;ybx&L3+3NK6eh6rL zZyVVu#(r>)%|Jy(jNU?nZR=#?z#D&zy0uVM#8^fMl^Mqla!Dq&X@2lWfiul|hUgDB zI**TeQ|!D0K66E)FSJQkvBQG!Pq&R2zxKFZBm3yp{u1KjYw(}F#r{=!}wmT4h zD%FOUEnf4P56jfU5kUbzUFDFNKPqNnLw~Ayj}Kk48CrKF2z6gUfx6pk6#-U!n4=uo zrW*oY;S#XgJvd4Zw|exTd2&~b7&|vv%?|qI@z`ttLTWQVnuD(7(*(Hr6JlODq#|lK z!btnldA^=fxrkua2<|kN=GV@^-BA0t5+NNxD&W^swvUgy7K_3Yv(yy9*!S0Abz&S_I>da#fYLnO{(a_u-3_cuV&xUDw*5XUP zH?ODjeqD19tP%SV)!^UJ?gHac!j*bl11gx5#NkOvo;zOqRZYR8;Hh$qo&sNalQM z`#BC3GA%Tm+>*$3x3rcEL7GK(Wn2t}^#JW&3i7UNcn{g&(mtKMeD0DIl8VpYYxK=; z92X5c+K`M82mf9}?^Jxj2@Xmep^X`EUeXSr%8(wM{X~g0J{(11X9+QD$NxHrc7!i| zlI^bc0!=KT13)ex9lN$pm8`E<5q1EjH%c){Ej3G;I}OOAm;_*eQToU}+rp=ziE&@ipMX4h z&5_1jhTbJxh$XfX3j2*6CTx_;{2CKN=k`V%BUS=-J)jMx1$sP>^zcsl8-bG!^#r$c z8StRkR;~YiNLMhBbgJzFCO{Az17P|c7T4h!0{3{YQd!ljwBZ?%ebdcG zZ9s|%ar(`Cn_)b6)?6CNy%~rk@-U1(PMZ>bUcjsC)!?0y(cS57&s7;Xe2r4_)P8En z7+%;uJX&9zNWcSA#@SteD*J0(3vSK!?o6@QZdKSYX7Sa=L%lA`^cg-c(KVX4-r|0! z&FAN5p0;o8qON#E+0lstjURrC1N?{uDg3hXslq@XUwoMqePXW_$4l+A$V5!MbNV!; zp61DmMuO|PyFU~(62JEMm>^n2t<`a}U`33Z-ff9!W1zsITL1lz+bohGr)`V9<> zNhm5WZ&omrT+*^7&i?|{j{={ z;K)L5fk;*lZd!@Xy%k#AQZk~Q_Keuc)d*rj?QF1~l5YlavMgVGi(5qpDLQ0%uRet| ztA1HBKJOEYbF3?Nmepo~O-Fa#%bnoOBs|(Mn>dysT@108Yd?AIc$GzXgq^6?eL59 zpX~{;_{ho2>n^fvluaHPaz$GyTidl2ghF-R)zI60|JFi7mf+6sYJE|kcwD5<(Q3!>GlF0Z zoflUk^M^^@2%yTlT=DbM@|&~9y6*hnanc!&dYwryK5UF&(>m)li}8raSugm~d5AW? zk3pW4!CWS*ddj5_4F`Kqx~j%4y?F!!e85wEn(oObwJ9#o_Z7c1o_w9#XZ!W>pvwC1 zan;tU;+1mhg{V4Tc$=7WT{DmKiCbuPzhOM&fM9sVb8924i4@*$wKkM*y*9@{U9y_2 z3lk@9_`Rvp<3oF&EU4y#dBhcNgeY_SsQAq-kz(72F4isO z=O-|)nSmu-!Q98-TQi%c@W5={p>oM*!o89unWxnedPpO(+|Mh)%vA-dpwnX7I0T6z z!_OfhK5y$^&bh6>BO2^s-?BJ6Wqjn?9eR23+e88%+|1BnpDV5WNO&uRy+g4(SS?MCZ5?(}1J<*$PIV*H^v{{sJrrtk(I!D#E&*pWLi zT?;cqPFZ%=;V&Jl^#r|5vTJ4OpWZPC{(q*X@?V$Zs8@C_6Ti2Gaf)})-<2B7=XM-_ zV9*kGs9NkuE{cu{_M`A38)R5;&CZnOJ}QzaRJ*vZ^&&^Lr{$EnZ}73pldE2{NS9X8 zAlow2i&fAyoN;5Sm?b~K%%IEECx24g(G#(H`8bulWqS~LQ$HvF{M;i=$!_3qs;!|O zUw9!cFOqTT?febn7waTc!&{Spf{HNcH!Bb4-v`7p{UZO)mE%2rA0TB2ME;7z_Yt?Z zEbVL6Uj}LZ^U6QWL{h7t>B=rdP_82Qp}I*4oMuw!VMKaW8o%-%iw@RVt%W3+Z$-ue z*?`7vw32z|oU~Cix;}i{d`>~XZ7Rl|Vb*cZf^IY@fa0B&ec4C5(YErQ%DdvkXUEli z7LC$+vh>H9_o{wfX3NKm7-G%RZRuVwc19GQCnLXvo7a475EY#XrX5`@iuhd|uHQT? zJcCa++7?WqwwST-DPL6MK|N|^QMsPijo$^z*sEXvqhY2BHP;erMB(_`IpSZ)>GjTj zF-A6Szn!xfduc1DNKt~uN0V=7dBi@#;@VpBT)8`!TWYI#U4HN1{7<2sfXLL(jY1BW zSsUH_kfLcnLgt%@Y0*5FePxiDf<;I$iQFU2iu8diI-Nh}s}s5=noOMj<)^egQBJjG z23C?`FNHW`8=ta^T$IL?BtFw3IWahNn<^=J{DD1e%ibe}H(Op`m=iKTJEKmc$@E8) zBsnO{7yB$ZU+uc+=9nyGkHO%fV2Zr7<_}b3co(XelT|6@tOCWJVh#L{8nsBA67TA{ zQt>Z^TEl!3c`Iw}>Hp)Ysm$SbDk%gk<(~k8R3&*b1X_rp?(tofM%uI)A*60p^S=Cn zuwy2^9O2QrQ|o0~6Zl6b1zQ~%dCP)nw(r<~0|mDVB+FbDgW{a^pH z5Soo5Gd_|DE37rTPO{>AH{3M)wK&nvc#EULLB!N=m6O%Tn1{rJT4y_Ua<}q9#j(u) z*lc8Kddl0inVejW0)}qB8EMc~w)H)$8!g9JUPL{paF_azP;Ty<(XEJS<69E5(HQBg zJxI}>=5JLjQ5wD~HUnLbNW^9^meLN#tw` z20gN_{9n5#UUYJPp#0omv{KF&R9kLgVD&$r5n?C7m%;xWy?#~~aIde+8evz8-P&Bx zyg7UJevmyZN?^URq?}gsCXe&Oq;7OqxU8QV^HOD-BZ-=AMQ<$C zYuUQTS=S%xR`^NH>RgI;oGwt!LhJ|GoF4?jzOmh+v)I_flS4f7G-pOi9uxB9f0)zD zEt$Qu)#}!qiz!Yt$`HYZ#zg(vY|vrf53Hx8GS1F*DLvm8rV!S17*jShH4mu%HQuq8 z5_NO%H*)x+tp(!`@uzDY=lxYA@4|m6k#(ygt^M$zLnQ~xWTq$Pr zRY4tPi@j+6nFeX4d5qfY;O0eZ9QL3%gA6dGoHqW<>V>>`wqsiNdXV1m7qXB#%G_wo z{*?*+?nk}dwFZ{zxrgQTgx62YNmCu~&0Si|ittyean`l`NbOBIWe=O$h5D1H+)q&B zY%4N8_qHDP?{sT*Fdn{4J~QCpfF)62jj`-`iwIc(Y=`;55s(08m<(OHTJB(G{cNueWV3EL+m@E>X*xtem(do zPAm1>Jkq}*28EnT9_)Nn0X5bxdd1@YJivSuS*vmH2J7Hs1<24K zwo4)BD~eLo(eV!93DHVlW{l2m9*=C0c-5)@p0WBIMdkk5`RmC5Ods7T-(zgax8Mmk z<*@m4yk2Nb&9p>&YxCYllk0CRCd{n3mrE_98;a?~MIJ$sD$NDT9OzwC1RQztY7%L8lKym};+k zjGv0hGR~vX{>|qWBwd{ebRnqvvAdN)!IQF1noNrtZbISTAHOV zUg=F;W^&)$UaYjJxisec3(M{jr#qyc>Xd3?v#8)T1)?w+rhzVwH1%QLyzwv}ndo)^NmceVSQ%8j-mR8`p&_SG!5jfW;YviUrGfUu0y?{AyuGKCI^=3o#CoRrPjK9=u5EO;D> z%of5r+{I#b;G;+chz)0=GSF9)z;M)`5@E+xDZdBKD}|Xy`1C*CdCyg;|28K;R{oeZnr*fZT|gRFAfe@;vYO9S z9tOt<@M?Uu>WD>+}~mmVY-E`0*7|$m9+Z6n~3bkT}NKZnxk}#=LL<8R#9v`=78>;ustf#~X_S zORDUwvJ?VgtVzuc7g?P|u{!r(CxOjye6zRhhjEtOjqb1iY&FWYx}#3*dT~}Dit^mM zjP=f^y(H*dNT&`Jh8@dxHTe)r%A8=7^>Eeqa3pWX9NAOcOLNkV`;mK@DeH#5?2^M= zGa+qwRwn-5!ao5R?;ho4SxT9=S5zjy57;K}CGt!#)hDXXf0^!;pZUZSqj@*9;c=p( zbR3i4=|EELl9l)=k0J10!rEhsa+(k5;5+b>>kdV9$VPPNLyFTe>meoMwHj~K8uzu$ z;IGbka*T#QH_Nm3)ZW`c4b4%&l1r^oF86u|Bp#72(Y|)Rs4tp#k9emCuZxxOB)OZW zA0fqYnxG>4@frL8hsR)h($f~+e0A27ccq92T$Cvxz?f{{WAunY^N|pvM1z~pSYE$L zsnbQtuDp!SFL%s&GWcEmsgA20N|q~-11MPz?Y5?~#;8hzBc;dA%A6|TA`uUeeQ3|DUDDN6n@2V|xF5roV4`EhH0s-6 zbHT;O(=mz;gT+&$xkW2U!d4UokkK5{^}8^vlGfq1@qPZ@i(fqxT{s?4P00nzTDZqu zr0qs;-^YJ{Gp<^{dttvOi#D)a-ULqHP@+YxCr@@&PA@Qiraz@T7e5IWleq7+uMLU~ zB!sxm5XI-#aiEJRx_rx5f)9~A)e~hXoidrb%$AK^lC;*A`^QV9F9u6C1!*UBc&fX_ z!~^;%pt}gDQA}P1z3k0Hzb`<~7{F<#EHyBf;!?27_CO3D?3C|o$62wF7xVO#M|;hu z7=7CLY_}6TDt3y~%TJi#!cHWveFc}E-#&tI#FiXOi-%zDL9zj#ZoT?2_b|ocxU<;8 zegc`K^c%eAZaWA$f*$Kon}NV7ws?xJSHFs|xN@KFA0yJ17sNR9B_Ys(#*+>jTHN-R z5T2m%PBsy4L$ad68}tN1T{01XJ8&@y+fktReiRpBLBC(&K-Wbrg9ktn@S$Fajc4WV zBN_u9JI?`ciQ|D8^`pR5gFA5QXQ8X>mSNFo z>*d5Nl7ka{Q3IWM+O3cI@5@}g{$#S9jn?Ow=ng3Ieazq6%C7f!@-Qm+aF^#Yt35fA zy$hpw;+ATn%^g(s^e#*;Kkn?zNeQr_Xd|uKpeOiCbIUU%RVudQG4AB$r1EtU?<5^< zuVz6gn`GSNCBKk5^9Qa9id^#sz0hKfhVK@$i3&t@;{y}O(+BS>t$iL^ofnbK==L%> zTr4=~-ZJToe2-#E_-Uth@g|N(6Bw#GGTW(0x6$-;b*m(&^^!B@62Qb#2!Gl9I{ULy zM#K0+5}xF@umyhf)aGIdW^B_U9lQ8~Fcu1XU!|ja zFg+r7Lm~?!(HWv+t~|IzrbPqg zL~@Xovy9f=D8Cf-D7eq*bmI4b4;Ie;$H~nxvBsv;H_n`N;xcNTx~6O~7p}CVVXsmh zBk2%$O=+m++>n#o5qfbZqR2&?VO$MPe3?{gHtY-tw&(9ZszfF-Ckz%OK{7 zCw@H@$mjHQwpuMNfk$FRNorB}doTfQ+ zmHVTeUE)fG3ctB|p~=t2Vo{5kSD;o}AHhklU%Gci$;ZwnNz3+d)z=~tZjS>+ZCUkZ zzv~ULzm!)tA&L2%MjYw(>^iwVNV)9$jdPAU%0(jT&aM8vdes#p_jGZiXyMER^@FYa zXK|r(6BBn40^+8&5Sz=NI>oiPca;vM*T?9Te<=V^*t%Blv>B>9J!s=4+?5@E*svLP zz7+)6XYZg@^%W|ZQ<3)K0)IhojJ{zm*NF_ID`-KDFqhDuc0)JY^#IBdJvMej+4riPg=l34-u!9A+$J3N02qT->PvX8R zdk|#Ig_QdK{8n0;+zR`WvmTaGEKA4XDqd*{o>G@cM9FNi-+|#&9|wV+(XokISUno# zj*yo_KhTwl-0Nd-MrurZ>cs1hon&?%sfXFKiS;?;883FEG!yRv#9Ihh>VG_WZ{;7> zE%1I5T9vyap?b<^9c+(sHsgmq1< z4GP8s_N-AjKT2@%z}Bie6FKi{PmIyB2G*EH;DB9+qIU6@pHbYH+!0)O4*r~95#jDoRqF+m{ zZ@J0(5fZj8NwRLs z25;X~xD6nyogMvtkbRdJdgyt!C^V-}Q6c7@%BlLiG@8Zm4BkL#T1J*fCavKBb#fZj zRuT@1=kaJmWGp!2;c6|o)=N9g607i!8`qXpm3{q3QYgvmE3!grmn%mt zYY;#;Tlm#?fS%rWiW6@9`f1gLE=R@6H!rboVF!xFPiamQFV&uMiAFd@K4FpHw%*ut zQ#%k|Qft%v`l-132~nAubx)g_SSkb0kHT@I!^BsQmelI??WSxC%IR$~{BFy}qJgt( zySN#DiuS;^4|W$H`%d>BQCch>uxM8&5RH-lItrX^N+4obW~(d*eEk%mTavMrj%z@8F9MEfFQrsx415>S;jGRU9PbxF5}fZ`q-d$i}6$Ty0R zv-n{Xu3(@K;lIsg9b5ERF2%tZ6X+Cnk^k=N!uh)k@$CX?!+Zt>HtZ4?7Nr{TPI|J~ zX##3~A5-k#L(zZJoaU0?y^ofOqDS-T&dqtRo%lZVY+ugmUCrwGDBfj`#y45bUn>J@ z!MZ$w6sPje%d1P(0xW1gkJ9fA-nw1fcXH1;tHKf`TZ~ zpqHt-Bcjje{hClh;9av{?b-#6bGJk6>G>i=v3- zuGx4b#ysk4&iiuj{Al;$%CkTSv}%mBT$?5UhE6qoI`KOLxx0CuXI)PY$L9E#|5n#` zXYzEiF5dXE(3Dr%ED#hfB7$&_qQx3`gr&F4>gvKbZae~cF?q8=gHRkSC$v3*@Y{${ zFuYb266*!3Iwh*^QtIyP)NU?Urw{$zL!nY`MZ+!h@y2VmroCe|{s|)uBQ2>j`ov=m zq90)Ss0e-H(hY3G!(kqP7!8M!V2^*rnqElCxK+i}(!u=FiZx+9G7pKS5k0kG#(YgP z2+l&E&$Q_7vK)(9BcVq`UDyJ{3{y-2=eCD!#Y;fC#9E^lrx(7CwGpyc@q1do8@TG%w zPT8Pjvg$f?D~cFu{GFpOK#9tX?G*-M)Q!YTc#&bX4HFwS9=}GTuqfoU)ufNx1Bzfa z#SLy-9kc)LS$@q(mG$NibCE@!RnKcIm8SdC-DXfgDB$x7(t-WRF@jT3{+MvfGQ!@+wW`W z*SJbIv@D~v?5)afK)iYl1bTIJ+Yp(+zCv-U9L!B-B$uZV=D~lm<8VNKoB!t$d3jaG zu>)ga29IB4KtSyQ!CExx9yQl^Ezssr>q9@LOL~C-!=Y|-Jw4YS?4^vqU3=F#06 zKK=Y5l{kv!G@vj%d1f}D`|l6*iU*OpN-mjvI2%Sm`uuHs&#TX?oHuc|lyk@3Zh6qv zl5UE_^B*T2@L=Ut%ky4K zcMdvNm3C*#=f2D=rR~M4@Am(BKz0JkLuKTqMR)hi8ya<+- zU;^1}p1aYT;myU-AwCtHL55AzmmQnE0l&HPRM?!v0rJnXNR#cZ15$zv1+w4#Y!i~* z@dmoqyL#X>FLfI|ej9PXFg<;{)AYr5K2(n!GXbr(xzs^f(qBC4$j&|S4GO~g=bTL_ z0_Nh1DFoHhA4VKJ+ov#CKCeB9k5G|V`^j-fdACQil4q?GQ(;3)1pEREEs66$GTJYk zY|(e90V&B5A{Qw}C9K2|L2{Sq{-+p1fR~lBnsXnNXbodW0ot%kxFpQb@kGz98h~l( z84N%cJB6NQf|I<=6bPSTj{UB`NMuQsPY2fR#xxt%^Uo|VKX$A^M-vZQ_@HH=l&Rto zTF0pySMiA6rY6Dj;KXEqVUB9T6ihTfN7ZcwUQM(xIphpk;Ba`|&x-&z#=GToo4Vdi zLz-yFTK=iY&DwTeO<8UhIM8)=+vZ>S2?dEkF9jTIErOg8!cA6up!22r9NBI+?0xqe%YwE% zh%4he47ho`HX<5~k8$mTgNfdu-N5p2fk^1fqv1`YTw8{52lMN$IJl8wz z>TXNnjbDeQ1l~;Qh>rK%(o7a%5Anrh%=rGc+|bT;0$hQ;x9j@rn}bG!Pv}f0hfvU! zC1_}f_Wfq$)0QDBt9Msg9dJI<5;qJeXB-jXi&3N)Hk$ zr~%MKJXL@yHg9HfH30_j^qh`BjwR#`elT%l!HKbAs#bee7nAOE2X#_hyaq&;muNQ} zK96^r`L?3f)^RKybj-&VhMBo&xr->|KB89+7!Zt6Ah-=#v*8GNzPdu~#(y_S2<{3Y z{W4;gCOg-)`gnAZ+x7RQolG~Mfs@8+yoTRs3yR!sZE#1OVX5WY*ftSex_I$&ERL%8 z+BX~+ihp921VQeRiiNcJHzRj)Htf9jPB1~khk~F>{^t&f(6*@L4~R#8sC?E*8n^68 zx;ifG=&g+>20!hT3h_?n(mIFlPAwoondShkw-FplNb%NM$KogAmUa!GxptUl#j`+2 zYze=gM^7KDkG9KCua<-2bj_TFtn=O=5T6^Pd!%K9-~MUx8FVl}fDLXY00!+7Vc3Uo z-zd9J1v}f}(*UjN0jBff3zb317>mJkv<5O0ca^+?f|90nVx9Y5+_3Pc$mO7M-m$Qd zebI;}X2z?rZZnm`^v_`H(>p@3yH*pYyJLvoI0~1%k2Hyq1NQ+<(YFJE*$ey_oKa4w za6Di(^>+;R+HC7yb z3!h)Y^&_1#=M#x2s&_*7PmxudnhRUVBs=rV9uDia(+rC}l?J+&IqRTzs#)T^7R}Uicg>(P+2x(|C98 zUaKme-d)kH`MXi4a^eUv0Cx0fdhDd-d=S<$rT{3gvEI?fAYQw+Ub*b;8ME^YLhOE7 zjJ7{#Q7NOD@TkcU&0lkNO+q z2Qiacy-p(&vXNl*w(kr)79Xd|IBKLqYLci&?er5$tr>|vaC^OmrQ#Z&04Q@#5|T4& z8Cgho)ix9MpU3_9ycu;mVh}$xp_Q^#`}PIxkISWU#+CNAkGC!+>2in3$J?={t+zIH zpS?5Q{(J%MFzcGX==R5JgI5>j_zK4*Qf4>WN?@alUzI6J-j=(k`QlbnEp_ zAcfSCHTp9-(p~IGLCqGAXo*GWXnjX;2H8FU28uzPtJTALsX;f^E? z@p8+Zy86y^V-cz9RXBcQG9?$+YK?xEM61neOth7SrU~n6mwp3Xo}hT1kVbu4_lV;1 z6_bG2HJ+{B?I*9#rq_7*eu4B6Jedhxeb)O_T;=cVDvz!42HD7Wc!uDUy!q>Oj5jsx zsCN@Dz`x#WgJ}JYEjSGlzb}lSrMk>qcM|V?>TjpPd&)~bbRn{3gGEb%|7`L z`s;d@>#VjRx5LXRJq%cBc;?=1M8zgZInV`_n(et2p_EuZwE9L?%Vb6FFw$vc#23^O zPoZnS>L_N{Cb+!NRtE)m##zTGe@ z{RJ1%*XN-8;Xd?RJ;KRQPCL}_0~4hPjJ+o?c%h9ziUk}plb6G+E9fSrg*fE$pW{!x znnwQOa>^#+o)&K#E)|J?G%PZXofaAAx*m)T&SDKj493-0ee)iCQoa6J9Dd%iyW9YK zBD_8j9pqgjIy^kFW}-Nqsl00;8v0DdK_wwMw)ne@WZi<)O@HP<b)N6oxjtNkSU@M=l+c*CEJpfI>iz#ob&G*5?Wjll@*Og;`le6K`h^x3MRCu3pxSg|P*~pw`iq&l8`9oI;qAF zH$Ka*LG?*-D{bN2?$LhnA+l;vH_4_P1+WG9#})UTRM&j-v-jyYmc&habt#AJsyts~ zB7=uz`+tNI@UCheJ*1$1DC_kiJ9;9V6#p$!%`U2UK*%Od+`mQqI3?*_d27g=(%5aK z#xp-HnzHuvxV$5ecaSg5)VJ6<=s|mHWN%@UvE!K}gSGVuTK9-_t2^6CN&`QKM1eS+ zTzoA2$p(*h$9(yITtS)E->~=Z{0x$Vm*mSZCpA^n%{CTZIjPgn=B{Gnx{E~*wG2Ry zSFo7#-&B2Nf`3_qVjs4uaB(CUi)CGxD5oc~eDr$ZUtq&pmy<4ht2tT#XA-TM==W46 zeWKuf_Dj=B&VJtXD)|AJQsiyyH+bbo+Q^`AgYTo*;24ZVQueR3^A~5nn_uD8L!*&b zDlCGVvhs=dB=8=TB~7PDq%)c9jB_O%)x-|-(HCQGc0*D^wd>EG$TBfBUl?NflY(#E zrWOod`&PBRJDK{#?;Ts!_l5jYlyyY; zQe-0UXLG1}h|b|)yA^>>jivBDfeTZJHEWUfdqyr5I6ln!;oHKl9}J$zReU9~q9$dR zMJYnXoLR6%5`b#R?)}s2kpAW7Lk2(itbXgc7`OgjT8rim(P~6-k;v>x0W^K;8}O(3ac1n0W0#e<2>DRly1A?M z%c1X-UeE{g{)ZtUnh)Y<`8*nqUd{y&H32mq6&AEsDY17JNbd5q_}pY=1!3 zCAYx!Y-J<i}O47 z$4y_=OjoL!w#1Tphk*Zu)?2K567k~V@J#Z;8p@246Rbd4Ttjr%=ZUfkuv`7vV@&m0 z540{1Sf6L;ji~G|@(~46kCez0xz)Lo?Tqg`0x_-PdopQ2n&#W{=9P?cn&GS^2M)ORgw@3XaniR@ zYf=*}^RWmqYy1djp3TpE%b!%3TaXV{g?;jJS8MOt6pyZ{uZUum@!dIkcw-gnyrrwi(@NCx3`&}z%8~I2RH@xG!!hW#@6_FyamX=ZN zOuJDs1iJ4uB=J5UNown)yUg@1{M1!<@1`lBEKw&d9V@prZG^2F-YMT?FvXRhy-cGi z+YHRIDeF+I!n~YBzTt~KmuuAh;iM~2-l3nW^K>hw0LC$MpO^?_qxvyHHINb$z(qs< zh3fs2XLLkFKVXk_PAvN*C%M-9!uV1QR~P*2R(_net0hZs|5P646j~>9hj+@|cnb90 zvC>Jm+V*WpbTR~23Ab#Albx~BDDcEJlosYbPd(-h*e|Jc?)aJjwF{t`vo-2c+j34j zF~0Up%hL}OOItO#wv_;LtoxMQy-Jhbl2|vt_wL!?TgyX*tcmUgu^3u}ulS3(m%rMB zEajkhPM^JJgYJEKXf2u;y%r$W6Kn>1e`?AEQQ2DO!YOR^Z~=dv;c*3SCL(u((oFuC zhceyTr$H+=d&Hj&RW!xC@-DaH3E-RU;_Tmga`!H6?sMSxT~f&vvn>%WkMAU;g(MW< zQ_Van9qY&}{! z4X-`YMqlyi1!k%<20pJ|JQ%1=cMewnCIaWxC*;GH4WCrN&DqM&Ux--S{c&MUuK-mK zLyU%|CHf3m^!KJkt>k3Z1*knwXo9EnT1pH^1*F&T=zBr*Att8TFDSl_%1QtEAiu{h z>tZ1Gh`sx`!qwZYBYY`pn1w6LjWB#py3D6q_-xUf!DEdo-fy~b>W1+wTU8}X!G)1; z=;H5P;l~=;TLaD!iWfz${GTRA;IRF8N#(li{Bcu{9nP_dp`_zn$r#c>QqZ$ymV)P| zRGl3!LMw!B@>w^`S?dQC7ej~hVloeF&gv!YsW<4yJte1e^6>^GXlCmObCOoM(WHh? zHrT&0P%DiIw0jj`Z!`P(-Zx|1cf&trgUi%>c$i9ONE)DoI5ddcm%o^d-Dt_gL64`i ze=5=9A${rKB)4_Jk-Dw?BYwtZWp|CZH*-qYSL0^7X`JHmlTLw==dANhOSCFU8Y*+h` zVIM?dmXUq7;{@s5L>l5yU(w`YGaK6Q*9aj{Zf)J}Utcj;SD5Y$S^7{e;`g=v(#Wk{ zJjE5$Q=ry(bX(pu&2A89p%r`UviGG9)G#Z5H~sW^<2GC-Nme?-)PPB#W}NZVlNKG@S;F zz14?M_EP9J;3^B{f+Xpo{;-BC6`xPj+WO<@h16WHQ?HT!Ib4XVs8=$oFu``Or&+}K zz|k9)hVZI6b}cV!J%#J_Jol^3ezc4 zkeMTzoChWnMwUuJ+|e$ldQVTFh@Vf4j+!# z2p6Q5MHa;^BW`Ut*H7ZfNW zh`A*fKAxN61L)~z4|b|AVVEvS?OIkd7sbVma7k-|gy>)uaW9t}7g*&2+t&nHi5&fw zX0bgFIbO6@=mKytFV>-Fj;C=sYY@EJmEd!TF_e*tPFmD@Dd?31X#pX&OF=kpYui?)ZokysC(3o&>fe?BSl=ZP7k zQ%QYJ_>_&i9!Tydku;mc>r2yJVZM>MpHZ(rXpPL257 znI5Y-cl^vlI;OYx^9SWO-BSp!zW07UssaB4!e1bKp6+P&b15nHzsXlwxVo=yBKVBS zNcfj=qLan_qrK&|afy%qM<_2*Oz|-A{JxO6u`1=0Y#IcQaZ=c$ua4s&KBAk)FP)e8 zp^WqB=Mtm-Kf$j~Zf1B>KOO7CeiujAyYWefVZRGFPXSWKRHzK|AkXv|5E%Pl9ADgk z|CP|+D~hl`#!<3IRtOaM@00Lfqhs4=vw44kaNp?U+4=z<%2o2~2R`$}iN%S%0&TJL zdu51pyhqf3Ze4Gd7SLaK%GB)f>j8r$W$_x}U!eKI+CH8y4ym`Fku_fLa2@*Lcui^X zllEU7TPjvKJ^i}z954CeL4mH zyq@CS%Ij30`|E+KVPs1-^@LjsjQdK-ZN``;eA@Bxvj_|By8ma0uXcQJm2;`c0R{U5 zhnN10es(TuYOf0A58Q9l`+?_9joOc^_Qo2{^;r+RG4zMgh@UeVKb6$y#CqUfQUAHM z9?16>zn^@qYY#vFek$5aTlsmG7i0k=`FQa2S9!MF$M${ociOZid6?nGvPh2Ya0`KV zk26c7f6{f-g6QXI;NH(4ckMOz{b|ix8xty^Dx0X?$L+{dXI@~e7kn=v2lxB@zFpqo z_%w}&zJGb%zCW@?vvdA_<^MRit>5NuKQarmzUO37Vbm+Lgpb=@jB>n+w=}f-^%;Ep zzew#upMG|{=0RQH{EU}+nFLXqZ?DoD`d?JFdL@C6+bi>|5R}Dd8`{hETRi)D|5x59 zs#?9$2!Cw8&5AcR_`lv?=HtKa=Hc;wN`wE;oA*DiZH(K*?{?S|e?0y?IWMC)w}=04 zcV#J-@n>b6$9X}ADHF$~iR++E0cWoI)tq04o9D(ptRQ8jNRI7rmhf>rEOhN>%vBbz z>k$w0>o@1IvRX_)vhcD0c|A&orr_m0Ir#j16ugr287F$e$NoPpPgA_Q!T8&zeB|5O>p0gX2JjQ;%~o0G5-3E$cAHupFG17q}O!Q*vna}AQJU%X7wto&jKEQ9BTj>1u zPtgzl`4sfrKk~7UX+v_eVD{NOeotx7Rf7M09b*IhBm2rOUVS|c-0tI>UGRmM*`*Iq z1-ooMUl;|WkJ&{#L~zi@?DBBlFK%`LPwj$#PJf>4i<>#w}k(MAyJIkbYNnXn3_53DT9T)~paINiV*~nkuO`jUXjo zAyUrmAGI~<^!(M!mWhL2)m{6DB0`MPxWGXQ&8Vop?1d8Hs{wnpe>8yCvgUjP@5`fh zmnOd9-E860UiVfd^^BA$7)Vg+hlyvl6HMRl+26iD^FbYhX9?F35D5Q96s|9E7o0cO zU|9SF>rq0>iMKYrDC%tu6UFam;?EbWRJ=7TeT;KUo5g!lVakoA#c0p)!Fjb_h>eW) zIdXayM2=^A=d1+A0&CvYYtg*_QH`~ zpqmXA#2PiP5{9!;GsJ`s049es`FFLT5Tnu!0cL2XpNR1DDUp$T!n9&$;f z{z{X-PZ#U7Z+o#{iDJz;E>Zx=q|sXC5J_8c#CUSwNW}4poTU=Zil!hNaPp0IkJnjy zJB6RIp}1{a9mB!j&AvW1I`H!)A6$}-Efp8U(G|c=?kNLSrx;u!R?$4KNP;?Ez5pL$MExeZ?k;UfjCo;D>uZ_vJEx3NAp?4zJ>#}%=s0QY|5SK7cx-fwp!QN-jQNMd5#yRbi;&e`O90R|weE7oR0U>F1Jbn?CRdUu{`yN9jlIH6%XTSP0vkT7a`Z$W-a!3&mAQE<+7YJv zFGoFX_}6EC!V>z_GtW4C;pjwh%ngV1N_4T`Q@mi};m7Gn{w(7H`LSn${DXscismL^r z^d+mV@`jOB*QO#H&~69VHRUjA2RgDo(n54CIet;~1zd^4ja{xZIv*!P=zO5`(UTsv ze)ZBd8oXnQTLOg+$=Os=Epb%L5nZ+gs-;s=tvQbUotXN{T6XNpqsCOs#C^DN9ZB6j@_^rq~IgdZ-p%9IS`p9Q@-M5+!XHq(@ z8xLK2Mu3bdb&eyqZc;0A4%CcE1_GlYW1p>qBR)u_>h;5$yuvd z!L3<$#-YcZo(kMXzbuaH(46}m;i8(RDF2Spca9?|ewPfx7hVBwZrow-Z~bx0*#rv- znge9RNwITszAdQ_*2XG-@tUK9|0y?=wUB?8v-~JK3*jgA{Q(`5 zv&I0o1b){bYPgi(FZ@ma#>4P^w=KW%20a6ETUsFeOBLL=i-VW0r#lCut3>nIIGpDi z&&xyqPiRypU(;YVgUXfh0j+uvkE6b^yf3zXGed^ zzpV-U*Z+3hzI_7qK5AbvC3hzH$GwrF6Ilr06BDYEI}`ZvUU1QgEClc$=K4z)4ftG^ z3Xj~Gz~_C9LL_%4@OK!9|54XoIRVmlq(#Y^r>;9`^|ERRTOcX)PMsuoCNvv5QVhla z`!cGFPGy9EJ~s}W28ZhNCmkalqnU=_Wc)pGV1GDF@%J}zS?PBrb6&ve{k446xq|b# z@v&O;E(U`{TkolSc)1L~cN0lb7i>|K$et=p5cr>R^P?l_Jthe20Pww=b-SQ*0QdNH zZj(pwKe3}(Sn-zY_r0rCF)moOb#PiS$#oKv+A)byhk*b1-g+&r>2;QT`>i;EiBD@n zPJ9tj0b*{#8{0p=D!_hyySunh{52fHODU+8wFLN{4!;9 z(-SchJ=Cb`R_!iXAB$8u|Ajl`dO!)TB%149YTm7)emNSGG8r2T3{EQEj7 zLWC#uSXQ!e@Eo@!_-&?rqkj8VqHy|4G(7%1iUJ``CaT_4r}q=JPfgUh5@oOLY7;e% zKNNgYKT+dQhv>}`^@|=>)v5lxpQyTiM`@_A2b8$MkS23r-4{nVQMubUv90P}KVG8F zidHe)r^!UsTX;t&ihHFgRTMSuRXA{+L>;c44>H%BX);mcxP@wQInNYe=XR8gs@_Ge zRBl32IB$ZsWcN)e9u; zv%RF%>E0%3+q6g{zIoPI&m))ui2H>^JuddPcH`sebvDgMZBuu zdDn@7u8PS;_4d9%?ftbVOpCvXgeS4BE^VO{XdpgkLACRw5LW-=A;4BeH+-4 z@S?v|G6T!A<^Qp$dM91jAqvCf#iY&pOREngUD9a(L)NZ;vT2TGcPSlM0Bg6bK8)}b z{)H`0G>@!Uf70Pz-VfAh_Gb6oRkzV`XWP^5qImpBl$X2uHaf}EU6?Xq-+7AtWi5Kr zZNd~#A4%3K8u>v1j5@>}RSGKuMIm2YP`b4CsFUGT;_5>Q;_N$UIdK(P-6?!3ZdW-5 z?h8zWD=V`4P;!GVNUw~>sh3W4(~7L#?$%{pLUbgJL=s<_U%4o^`T^Ek>$RlmFOA{zbD)s%f>1IVeb`#SHKT!_p9~ViZI}XrH zhHSX=K>VTnhu~`-*`QewFEx6~d+=D{4{3>aitiBq`~+->|G9rNL?4EiA@~Oj#AgT_ z!her}{GT_F|Cs~%v!yje{~rzHfAK*6pB;$5PWpUCtGR>XJsT~4TGPA5UvpX^B=YE| z9YYwuEgj;YCk*t@IRp9Y3Tse2GXxLOpRr^JKEFF2g3sb~2)^295Pzn?A^aB%)MxL3 z_(S8LX9I@l{~_sfZ^i$2WBhZ))0X&dkB|S{&ZDP)&Om+69_XK;||1cUp${^Q3|J^mo277oX$G-gNQ<0GI#YE60WccgB2ZRmJA zbbfsLKzsjwpg&oU4e|fb_21g_tQ{9s{$ z@R_qk+Kc}*_(OhxFb)49`s2?p=zdaPd%?exZh#NL-`Z{YiLN!l|N8^u;pw_|c}Cf0 z*(V0PK=?na^J!3J;>p$3H-OXM{$g+(K3xLf&nAiR$ejuN zg9hsJf`R;x8Hm4NAU-z8P;zGi_g4c4_)nC*vgl+k1o%I8pg(tV16Y>~d~*jkK=v6> zj};9!e&C&ZBFM``e||(H7`Ze4!GFy_|GaWwJja{MK_7H#OX!1-ghTF3;Q#MH{kI+1 zzC61uMT$;kgn;hn4$ud_zZ{6qrZIHNeNuSEju4AJ$lz^h{I45eFY)l=0qu*u&`>J( zNrUmVsW7O$-Z-FtN#SBB|4rGx`0@n<7Ss!bzk~cUWPy38XtPG9(E0ip#RCg*cy_H1!TW^b-~8EU<$93(V;3}i=9j%)|LR&_ zVefAS#54Fm8R&mvNUkAwCit@{B*dZh-cqfX zKIo55eeuCv@8YMA{&8&*^y#Dj39dg%uhta(zsc+!+P*{a@#k^F?VXWRidM(OljyV8 zK>kDf*O2%}pQ~LwciAx1pVQ#49O$2+_VVDX>@2!$4S&ZejCjTx0HRm({`?b77VbRP08z&Z(q)B^pn=~KH(9I zLLz6cb7>!bD&T%&f27cPOjT#d*~Bv`H2>j_PSaXVT$a!Q2}!S>JC&`nMKJ_lIu7}ksj^jXBEfkrx#`7l@DD+hD*thWBx-q-jC|Mv}MftFUg4a8#||ncM12A z&gk4$fi=caZmdTJ&jCn9a%Wm2yyvJf?o-O@oEmo*{z*=+jnNohNnXIl(m*hBXQHte z48W%yULkz8VPM{8tl!(&n`;NJB>Z0@-mi-Oly0pF{r#4WI!9}8pCi6EivN8hf88Ey zO?->#YuN7z|CE83tPfOw7i)5#RQ!+Arw2jtj)C}(9e|I$L!JS^|3hw3u&(2|YS~Hd zOlr^KxdZe^pXU$Y4?fSaNs*#c86kkLaO;iF?c*4mz4>3Dc#GR+Beh3s!atsW*Z7A1 z9})k3N*g-)^LW5e{<{zCFYu2tMqT4ABQNkBdP{7`ctZUAcEEhW+VhYB@yXA=Z?B9U%AJYzGrubkBDpj1n=JZ_5XqfM zTS$X`Q>pb^_+pG07oE(7fUV5&s**bs_A$-6_J$_!5><#I-enATt5Z8o~HL8-V&Z ziC>-p$M6l85JS_c*Oz(&5b}LWQCjX+$k)PWwoIMO2t{)!} z@j12x92@M|zR2Y^JHjdZ4lnp#AEN{A{ovsqe<**C@A>Z$1<)OrW&B{nfce3`)P-Gf zuiI1%C2+5&olM~-*TYQ)G{9BZ+R@kqcpPtQ?bU=Yo>km>{rc!FWc>qtDD=RfuOY@tD7i8|9R>O-=#+`&0DUr3OzAAiEcxW=<1 z$d$u45jm$^aL&jTRlMEm?1cbFM=Rel6RP1K)J%JfrBJ^zQk#@S_vpHc9&)<6k(=-a za@|#`CCKk5avrdRM8^dP4dgcnZl?^*oL)|NKwT1WoNiWs^c!mkXKO5mNU#HJlaQFc zjqvT1p_y=gB?PRNYQn+vXW?Yj@qH!!bn@kro#bCnkLrXBJqu1RZucA1o;&0VMPhEZ z!OqM}EdPqg)yP$%j_%}dMh$G<)Sh@qVmVsDm!pJJlkJJ)LT0pMRDXi_9@5lDtM?jV zSITn#Yd-&u8XQwCdC|N_0d8jcgy=TCe-eqsyny)xahu+f8i*jQQg6MliK30mgy_3V zsV0{hQ6-j2O|)KX(CD%+b%|aiZs~U+iR~*Q;r`Kb{7y>3EH}2$JQn0aFCc3m*E<$# zNqz`XdVZ9y*(L;{p;ZwI;9=?_o*H80=^VM*qA+5oI-oD;pPhmYb*>^QO)l^%)tqi4 zwVj1ZxhM6-nI?mp-pQR5ufs&NEXqX7RSA))70R08i125JDV~l>!>BK7F`LCxtJ%9} z%w`4UiM(O;PV)5@(U&D$COj_Mn$H-NSmfYKethgzm>?F;1TjPqr_I)jn4g(LtRcQ# zGMBzS`LXm1UBq-XpFHvByNI997*oeuL-sVlNVr%<)vxGPEc z;Gggiz2aMR@#Z}Ir@-GJ(gOOfG$sE{s(*a{MbV`@=cm!%-*4}d0spuUQFP&Q9(>!= z*i`sKw>F33582PeUX7K7Y$bOl@Q2)woyMQ{9H`Gw{h4)TXwhk`5YS(Xok94!Y()KS zABF{djQg6}>^tRFsrV!Z#~u&2eLoHNMU1n+zv)Z%HqgUI^G#vOG~wHq?3?5Q=N?ZN zA1_z+PW(P{;~s9b*EAU01mvdON_d<8T#p)cmml!6_y0CminEgUWyx!%0bb(Mz9nA$ zKYG?7|*k>aUgFAbp0~8-H35TqNBr z6;`3Nbr-r1)jy8ofn6W}#J$vr9}4~zRZSJ^FRTc?z1zqAaLLT>{{#2>8_#L*`|!zK zJTsPxcI5k~=~2Ime42%QJPj36BXx)hwi!s2E7m+@(O!$#r5vf$`e-K9L028aYw69& zjt1wI1AC!o*)NLhRnjDgYD&i^@_Mn~tJHqcUgBD^&uNax1&LhGp5c$v6V8e3_ZqV| zE{U=@qLw^+)eBB0?DvYkr!?&$!vfHKnu>j?{a&T^TjBd0YZJry6?$-YoY?0lD;1*L zKxVX)E5mVlYBXYV#Ojk}rFuGVTY0QC8Wk0R!!NQ&qB{iji4l1tmZRw}JSzW)pcW?*1|1PeXFiNVza) ze{Co4y4}Dl_dG)-{!{Q&NmKcZzGfFvQ5IUYpaf5^jmUP4Q^_2YcTxS$#w)T2;jMFH zE8xI$g+gGMDnt-UtLsMs)v@7h?2;xip4vgH>IUEjmaO^YvkWHO(wX(^@4_p_a z;}PNwFYvfWeg_@H|H;blQ_i(5pYP%aJkaeU{Ihj@sgA98u@+d=K%3(?7ht=}PW;%E z*`F|9H{rZjexkkcJ&&7Fa-WjDC62i^;npKN|2!{2TPn_r+se1sik8^N=XzdmbU(q6 z!;h(sR{itBJ4MGY)A2<*zCiUeRHx0+>(0v0Q&xi(XX*SiR6a}P^HlQl<|nFrjmobJ zhfkOi^W2VokMajq*YYj!`D-2X&e^L~@>5)5X@8YGA4DJcu#STA zr1Q`r>mOCVLFJQ_@29*%$7ieDSNUb~$v??I=z>h*0U7jb8_P{!jpdc@UlrXKg)5oa z{%JJ#7Y#PRn~YA_`x9m2jd}k#utv=ILT&9vcB7dg3$)~OGyXm+& zN^1++<{H_IOkkHNV>@k)e)t9dkZ)3^J=jlqjq(G9cd|t9R8o|5-g zmHViCoXRUzGN;@}nZEK=W$5@KGCsX~JO*a%@e$!Jjqr>@8_yKS0&>x3X)#6W73-+7J5w4*&rF|9Am(Qawx* zK@@(&|AD}9a19~h3S*%ZM=*#=^=9!Om!F;`c_g>q6Y*&9^h}d-J~c-V{mD91(53U%v~W0xYhDCX~7! z#M;PEt2^wVHrNnt38KxChn46O?3S(@cRuudSutT$kvF}HYvP)trQKehm@JoPnM^rY zfl64XuNJxi=i)ix|KmBLjV7?}uKF`9U<8(?^8=|I<@u@FJbeM<{&wpaU@J@6f9qq1 zt!$X`__)aPh~jVuirZWG#=rpki|MOHL%;e`+Jep)C$a4m*m`{4Y|e%!(ICI##KFrGVPtYy3f|2@@H_1>d*xQ{s% z3@ON&@Ws9#2*3KF(SN2zwMNcl;;Psk_}UduTZyX2hH3!sbtHe)4)-#qRvwW%-egZMBY?0rDg#Jw3feacHB{49+rIoNyM%N~3=W5cTh*yIo~f z_{@CI zPN<+d-pHzKnL4Vplngy%UU8awLlnon)4s%fKqI(e`{6PMCjUw=~P$oNqIptK6AuW zUu&gZV^8SIlmVX`=x)-tKJQu$W+o=kx%@NqR|=U|FM$BeWTj&$`dgG716xDtftZZS zk;p3lhlFM8M89$Bm^mv6!vf~|&gWOw%8|YV>R-Q0?M~+_7I>HvC;ws)=Wpw$x9s|~ zWu~?d7fD69z0AV$=fiosOy2!%w1y6}Ke{nJ)CP?R&O1c!nN~&wP-NSva&(lRie;5> zarsj)>D6)l(S9Qz70-L5>Y=Nxm6spw_s=?)ls@{;T52+vlrhT2BD$ggr2Gee@XcxT zKzqD*sSyQS?(w!Mmtu$YxDjI`?4-Vg(V#t^B+&=QgUyp}p4F`5`1FF^u9;0F_TBt; z{&6sU=}>IlTlvpF-W-j@+Ffvp7foc&rAs(}uv%G)Aq%k?aH_TUGWD++U3XkuA9^D8 zNa$mWb@i(?Jr~vu`;p#P<4-akO*hRnj7gl8Mn$DKw7b5Cm<;vrC*MA%hlCad3&~9^ zCpKwmkDf8u@{p{SFarfb;g$|Px9+33cUB>VZsSf`tHsC2o@CN2u|K|F+bzkn`3Rnv z1)~>Ze|8HLDnAbF=Kg-^-Rbc#v4UH7m)5Wy=b|+3hb5{@&_MGB<|60d*kAm#fomE{ z(M`y5UNJID74^%ithCj>U8A%>_X$k<1BeR#YR>#eky6v~DTlHu#`DX&-NZ`9sN1nA zQYDO@?Yn}DZ&VytG?_eq9)vweEP)IYLW|+4yAGzo)8NPQDB$U;9!n`cb2mHe zLug^u$G(sM-8Gs2YweS;t`k;;L?XJ*j?+$m`vWp?W#+`A;+#i51z>MqiCv;+2oZC&{P8q zLK-S}S#I=it086mw#|Nu#gIz<9YL?KYCcRi%fFf2ea2Z64IBp_s{qBe$c}W*?Rpo* zuishf-tMA6`cg#+5{7& z+x^?ot{a1^-m=&Sk`NKevt~3f2)YGJ1H?H zd?RB%%L!Nz5?mGR*7QD!BiG9$5Vj5$AK~*k|88yagiKWNs;?T80DC5YDR= z6&B3a0F4{-qe~p1@FJvGd5sD>Rm^PuR}rLjCXKwM#WtaW0KH}>BWV)-_q~SSh-G7e zFG;99Ys%=?E+o-6d7bk0_pRKh;!Ca_+AbLP%`t=maYqdOz+4me1#CYL09Jyy*}5 z(DK!!0Ie@Fs0{@xymyxe67`i%~{l5faD4Iz5~bv+*^7FCCt{u7Tu{GKECMl z0A-vCDfN5E&E17fMz^TY@OFR>M1>a8dno~~EbMaoV^SCICzf3WNs%Elbzl6kf_~{= zPsvml3DH4cuD@|HccSqy1`ql_){z~fjK#xK{C|@rzi6@3+xptAUnWn77Lt3g>+&PU zjEA5l(PzVMIZ=f%V4Hu^Pl$T$BA@?#Zkon-8~v|&+5UUux`r3Y*MHnMvpBisiB*v) z8P#-(6b*8#81y-gQGMkn(9bNln555|%dD>DPi2Z9_<35)8Kg;w^{MRn>8Vui<&dY$ zcq%*paTUs$MK*a+`L%8Ts!<$>x_jd=<{>^V%l*Xbw?>_Jrz_V-7>noHOdss;bh%H# zLyI==FL?Fs#5%Ol#1co^C|fTY#->#6u~xkjpY?{-rxg6CsJQ2oErY+hpG54bs}0jc zX1$mE;8u{25_Us(S=&A}7yRmZ8{HGC_Zq#mq8$;$tef$rH+*k{Bvhke0>SxE>$?KEj@fQqX(L(Zw8PB2UH>6Qz+ry=} zx+ME?TH-pMx*@JJAJ5SW{^j-mH|2VpRB!Zn*hjtG(s21XJLvV;`uKH_TqAbd_X*sn z>^_3XDX&jw3@Dt4b|Uz+|4d z>8z7K=L%c;x?K=#tdT_(R34QS;wtX?;Y$^#=99VkepSoN9i=ZfZ)+@Hn#Q`yt3=QG z96ZVbzKvihR(Yc>J-jDol%*~uAXQo9ldM_J!#rRoK9K+48&&Z!*x$8RPDJ0tpV_kKWFIg zg|Ve?xH1U`quU(+luP5rS8h^*$7Arfo3P9`mCPng(vV-8xM>!$q>vYRxq%AIX%?JX zq3;cid^0wlBoUalE{l1$bQeea$x8}uKX=zkiYenehFYWVh7MK}`_o2>JnSB5uT-R~ zxRsl|GA6LVf=OTk!)$r8mr-{y`>DG#fiHK3e&Q`Wp3YOfu@-z1BaMIxoT2)DdMZHO z07@0HJAicf`7fGZZRoSQg%^pL4OmYNM~Z-Wv17u|0v{tt4q9E_&GJ0%ez7hU{-SMW zJG*Z)FRjc*C2clrMyw5O_`DM*G=Hp}!p6~~2hV^kcfo%~tS;c?cM!Dp(omYqfxVpf z(g&_SYQ}PWC?$4z$wdM`Kp>+o^k!zP?RMc(b>nn`h(~s^vvK^?JEitNX~}40xo_jc zX(IrhvA`i!TbPvzUuqF8@NuG`(QaUMScBMLu7Gpk_eK8S?*$H-^>zxbC)mE}Xny7U z+A-Ssz8=d!GB+QInN^=Ft^1+OCq7lF%kCK)vfP)y$w8w1V@*8DRE(z$1qTG+ zDarQ++P@ioH>%Mc|KhQn;kLx$S~@#^p*nDnvlI^a?bJ!ZJp5wdS#evSPJTz3QpLS- zen4AT!@lxkXJfiA$&18x$qDht3(3N+_Der|+c=vQoCU}C40D5ix|iz{YdB%Fv@z>f z4~RmLT%S2t!Y3pf}zPs$}`R536ffwRSR|TKj0lRNX9lSa_!WuZ+&-)PfBwn2bVFh!7sabpFZv^7) z1^bG~E~Yp{|E5!K{~{hEvzhuT{1-v}^B3_koQRnA`l&6|8&L;eB3F&@Fyt4K%V`Wf zv200L0cYQ>0T4Glu%V|0V;reQVzsMHL*)F7p~fDH-+t}Hb}&CO{Pej<$7HJr#gtu* zy(N(=iC36G1<6ulK~I(GN5Zdl_HS8(DtfAl8^{+e41Az#!KN_`e^;8qetSIYuS#O> zHhSN*5L{vg-yzX=oL4Xm)$_aBcvXY+yAv~P^d)+I`25AkMwNU$n1ta~33V@h#mwsd zOMOg753l?$NjH5>yfB-~j?R6qwusqFvx>M7B#WXZBmb??`o%-=vc+_#F`=LPOT%e( zzDuwD!Ot>NYWm9+AFIP^=KTNg3cP$dae07W?t~pW`0Qsj$=g}e_NUCAp`xudtOmcl zq5r^Q9{!O~M!%sIB3f#G{#c~}htrR7@7eqQ(;_11GMJlT#ha*Vj{6&yms0Nr;XL|c) zYS`GLF0HK2=yFR0ZzS(t?UOv5kDlLeiZi7+pq`le~d2|&wDvOvWQSNjiHZlpcx3B*DzR@iDN1B-7)u_metIAPxDL`&d8RgIq z8+|-gTz%7Ji}^FFw@1b)kgov&p?X4$im67=@;-H!mD5+qco3_m=((RS#wIw{9BqnN zXXxdclNfqxgz6E*vXwgP-AAAOA<;jP4d<`t<eWd zatY>tW2S(ssl^RFr~aGrlltLcJzH_Z!XZ0ZxM8~9&CSs!e))ZnzxO{h=k`P=kOjX7 zsLL&29NSpM=#)W(xZzdi|6)}wurc!w`G2w6gO#(>SKN-!{%omvqIQj*+5V27A0!se zEy@ct?JVjkfyc9zzT}0}NmcZeILES$#*R+u%Ng$`y}30Q{Gm&iVs7XFwV0zBB)3uC z<0^OdjnS3J2Z)9jjFzC=K8@k$10;${Q0lgyUP)oJABvR&go{e1OBG7EV*NXZUXW9I z?$hSA5HCpfoiqF?r(Z)hi9FI1NP$KNIfRx-#c zU#@K_pD28K#r(%&?qZ01ATZlBwdySm>FfO|%8}1A?n6IxGN`C2AMc!db1{F(%aIS~ z{z4Z=U1iwRebI0ZWms#T+O4 z`W>@iGLOv-x}4g=~@^`Y?Z)GCYZRZ=n$mR!3HThrW zb;(?-Adm^D-+(F*5V$E6M?~b$A&R;aM*vj<5~%LsDOij855g!LyG^VN4)P?=dC=f& zb9Ha$k28q)NY|bpI(z43}J=$Xw3fn|;@(~nccQ?Y$niO#@wx2a6RdsGWn>tgwRLf8Tj~N0!&07P*S^4bFQC>_n&6wQP4uMqPKd zH?;?e8kw3`2wb#40KCQ}XgI8qrevb4K%)4w>6am)GNw);ZYa}WVWVsIJ}ic)Xf^CT zKgxCl+ME}t_3kLlfYvDk2D6Ds+B6UsZ*fj*l&{iHyC3(weftP(d9kB1;cWSW$MjdX z+VA`35Uo4NWm*EL(%0Y!i9dT^tx&38GBB^(KUFz?3;uYZ8 zS@o2r#t_!@0MsdcuXzcg(+Is&&`W-%ry3@H(mGKZ3F^!VT)}!uvaB}$pqwJ1myKcXn;U~*TP_bPKsm74>VITh zS?O8p-7N$TrrZMsdc0U#|BRl?RM0=i-yOBG$=z=*jRZH!-)CNeO3|&2pKh1GhDzLP zp`D6n?}g;GFBCKH%aO&E9k0&DG0C1i*#6uNAN`9Yle8@45W)Q3heX^b`k~Ed*t7HY zQ)I*)&v6L06%>J8Ouq9TQUy;evSHn^P8#P}k>m7#4b7Z4*b>ii>>9*42@Tqn*$16M zD^>4-pO`LyNch4FEK5`T4fxLcFF4Bp5?)cs03SadQd|_lHXAiVGwwm((9THr#jq>v zuYK&^?0vCnv|sz0?;>QZ2m?yH1syhE;UBO-?|;!Q#gb)RV@;2+xc_UyO3&Uy)G!e2 z|9Zfisc*o6xbcm9-qnt$pfmps9ghk=B+KJ#b>5>IS@^9zxRLvQLdCln1+^DA!+IBR zS&=zzVydezsbs$jCBe2=|C-;xURB7a&{S1yXVYL~3Xlw!e@9ki?*Fo3&ayX{8ubCd zdjC>Tk9(uzb}=*8ypeW_!q#LAOQ4g_9{#!f(61s$C;Wi=YBkP^MV7bA>Y*f#fxe3& zRKJzW#V1s1Pk^zj<0Bzeyer})Ft?3Bs@5^N)y8Kks)hHze#z)DuS*0MA@&-@{P7Zo zX+FT~>Wm->@COT^t{ci=xLt1^yX2_hH1)}Z2pNZV%6c_;({^>jpMuP|@3p9%(O=>1 zxK41_uqnqXrpomc16AY^*X26mVenq^H$Fb8FQR*FI0+&v#?S8o8=CRg%-k)Vwq${3 z&wL+90=o4Cr8Yr&$q&$ciDYo4>oZZl?Htw?yX5mTlw8CEXT$_quSx`~fvM0e< zTdI5plW#B53RrYrF!LDLAd^Mg8zY9GgVVP;MRY$c6?bCB|T*Ko`dJ*d>(w$jAWD1$wGb ziBf`I(rP))z0N#1hNS=#xt3Gz0ab$WD4+)_7A$?=oj@amGUo`WP8eWwnI-TClb=B0|O`ozV{3G?M_Mr^spKEHK;lH**1=EDe&7ZaBrFpT- z{uPtkTQim^0$_*y`M!52hnFli7<@tI!T`IeY`P(6iMzOjhI%?(#Ef8(pjPKkG6S1lP+4~nyU!e@!vk{zG zyO=XC>ToD*d2**Raur0bxw(f4XGw<#pRE-((%fbhU0Cz0sOz)brj9&2dD~h6)DT2n zo6;_%IZun!pDQl+4;`$b>XpxTDi7zZX;Ay1Yr^U|96@_gh$ig-LBLKZbTd@(OQv7| z?L{s-9n0T0Tsu=MBWQLX4Xxdea~co9{(*9Us~3orXQN*+2UQ_pUU|3MrCZ>< z=;Yr zk3~(Bw4ePr(IN9~b;8FZ*AHs1?)hV8Lj3}5Yf@jxD>bVwM7MfZHs~h&`XXatF(dAV z+!rwS+GQI{$XQ?gLI1NwkKUyULr`gXmzNj~u~nsz7RTn-)#U^oGg83&Oy!(6qU!ys z6UfJYhr7x8YI?@zRNN}W&|k^pWj0Uc$t!Kp7{3e-;7WvO6(rDWcD>s5(ZK*+B5>|H zcLqmsIj$+9)DN{2?fFrewzZ)D`008Llm)-I3BImVq3buMDmmE7T~v1KLermd={{2; z4l*vuR_X};WuqMF6<<8P_hgA?m(BTlCvTh^+my^VjKoGk8oI5)^mbQ)Z@!S)YWB0A zR7i}f4L<9vrNpdj=Ea?>?L==3M|I^TeaT1na{o~g|GpfUbyNnS1~7hs53A#=L9AoF+Sha5134?2{SiwK|Q`!bwILS3veWGL-%1N%p5-91Q#-Js++8Yx6h4 zNemI4Uu&gkThx?E4;`auVzXS9Kq8{t3%8H1AWG{hI#};d(sQ3%OLOp(bOJNdD(Xsu z%Inc}2XfVZ7rV~M#W7F3i+js!Z--;|zo5OjWH?UAm?9*(Nh2WQs1Az2(jWICe_*dD z(`P#-lT}q)4m95hyv~_jNvbyNIHnMn_zfvj^Ya^a|LX5XQvtea;Prk1)Nh&{O_jkP z&!Ah(MGHhtiH_pRXq0ef1SG@g!u0ubAm5$vF=UJXuYQ^Eo?ZSP`7QL#Wbw1e z_qHA0j4o*wHtWX(Q54D>=16(%rPed4Lb0nP94EWT+o0WJ(Zm!No#$yFwP@8S1&6-G z?P(ZYW(1Q$X_EpiqJeawFr0(+@H+J*GQd1*_S-hYpVcqhs}m;s+gFL4?E5|)xHpY- zZuQu@aQwbsHTl~}j;$TzyBY0%^S28D<}3<~pHBsLQ%nj9zGu9B{RgxUR%0kt zKhE59bcafCmI3XjNb0Z06m+k{mbWisqT-abyq79J(wQ^m|ny?zZ zrWMo1u6InqEi$^Kl@{&g6@PZ6S!b90K(P4FR{cR~;Fw~mW7>VX$G%h6_!P61*P(Qv zXSnAf=p^5BW<~Dv&2n9czvkzG^pD49-fDdf3rt=D^!&tk2HJ4`Rgi`6O3-;Qu0)Tq zftp6r5GXTMq}edy@+68vg5sJhfk`Qaf#SnEQQ-`^%UBJsZAFwU{dWW0Tqj}Disp)^ zIyXhWZvtL_W}P$5nTCcxjriu`o*`N^?_;E>qT$+(vNm8)5!w4@tGRPO;?#Z`wCg&Q zBgOXs!t-cl7VojkJF|iGI6^pu)x zw8lnwoOeukVV7g&S@0C$!i|aXmbnd_BQQ-e4M)|4z;o94dA@XhVmq@x#m#6uWSG0S<*5a?l zrO0h1Za;GM?XzaEo|_ih*Bp{Ebov~HIQC!9csE<!?v1i|SyS->VN8RVOGx<2`3v|+0L-~YDJ=|w(gd7<3vfo%e z9OarHxvX|J;(u35(jS|=S1`KN@b^74B{!u;v13Ds=-_H0)q}~+rlolLTPnIO)IZ9) zQol*s;$d@WC3#C@?)VmM68j$__YvQ++9L3wH1Fcu&YwK)8CBty-&M-K&qTOUn*{U2 zAM$pW@bwB$l9s&f{q{gaU{be>zn9!?{Jif9vO9hQ)rCzIQVft9`ThMc`u0~3E}!9} z3j$s&)H>3MydD7(gY`|F5eXwUjOcSyPu)|LH8JxSw^C$cswhvaUCm#bl}ZZsM`uF%vc7H`x zy7Ism2D~QAG>fi2K+;yRv-qgJPgR>uBil!fB2s|0l{5*giQ?V6jF3vFAXNuQ>yNVjt!Nu%!@N+AhE89? z0Or=M#Y1!Z5dsi%^45jk*%nQ!Mi;jg`g6ec`#*xQG_YbqqcEL)v82Wpz zGSgso9aw5KtR$YYJfAqINaG=6%m;Vk4zdaqs9EU9b(AIf8S7kv&ykbH+*CVw-BK9IzQ+B!Eq zz^X^UF-?XpIj^Ugt+wv|5HSHd-XeV4;$8AUIoQ~6uVs}0YxujtPgB@Se1KfF6S_0U zKZd}HrRyTVgRG<%d5iKw26bu5#X|O$_AsAa_7t~3E{=?L4*_UKvS@F$mK%Xu@qX1O zF|dJOBx!GK$_Bwx3p<7HJ(qr_8#(VJB7UAIWkjWdVXg{t4DWpnz2k_G|45EvFkD3| zMgID28C*q^z?>f)#aI2*#kqR6l9vK(?uh7{-Hi|`CAoC*c0@x8aIlBHR%uvH!?kGn8Bo zc;#*aAIKNBY_E&-;(CO}K(EhtE$#FH2!`g=ORnP{oVvggovdlnj1M()Y0QMbB zoj+99ey@6D2~PrO^=pvfwEM4*I`ig_6{nyISJ)OQd^v) zZ7$g!^GeG|>rWbPA_uAo8oy#l>oZz$_F>jQ+A#N*!|@F>Kzv zYCzw0-s?~!x3Dg-iQ0^tG`pD6|H%FUcGrk3hA+kv$sI(PqfrRe9zb!MfBO~Z{o1P` z&JgHt6Ikk#?dzu@Sh;V<+Vv?g!fXD$@CrE;xDgdZhZ@3zO5%cIEy{J%&PNVIg=mi< zKYKten~=AgZLcYvOzr{QZ%m7NpV$B_iyyCbi?8$ke&YQyDCs`sT@;{vtZK_mFLT&& z##SR#@}{@}d1`9_#oq2|(yGmzd3|;m8|gmFWJyE&Tv04yF+MgsScUmJ&tbPXgCezM z-kxv;Eft#Nl8cKmBzu;OKc)Lhe9--h!ue)TU!&u`?Dh5LiO$#RMVPcJv2yqg4hwKF z4zfWeq`eNyn+RG1DAq7|@OxjYMhH8B*|JHsHiv!e@h4kI0*pcuNdCH3@VE>*UhncmTiK>Wb$XE3&*`lYUBhL9wP8DG z(Wjc(+TF0J=w(Wd{8;Ra;3kg1{RJAS&D27qWXpG8iMswMVCak9+5ULA^Yi59&UNG% z8&bj^(81!R>QTrX*tLh=zk}QBHCyiP-NB7*rb6*RpR>&kg#yhGc=kBxq?x4a9s-eP zqMkXSJM0S?g`IjtRLX|?-kMoz`p=tZwl%}OuN0Y~T|U7H5J48$EsqxACk{`?eerA8 z*aWx=qDI$_ePeBEY-?5SUVGjjT@-{A85$bl3Cg|iZ9MMvTMfH+>-k7r42fNUoSRqz zcrH9EA-W4(K?nHAog2wd9rCYz_iP$RUW-hQ-hVhZ2t1QuqK1jyidt%b_wQ$%t8GjE3 zQgn%c%h_b`(E_~`rXl8j!@0_&rA|n*PtDmH6AZ=X-wM+~ zp(Gk4PyN%2by^XyptViE<)dFi-?~f|rUC=_t}I^#0Iy_99ZD_kcjT>1h+v@+R7|2J5%bx znp%0>no!=M!}l=N&HQ1uEB|&D@lE(j@RYk90=8Vl>HjaW@e&ds$_9?0MbjL9^}{h} z-K>#Lm-*HQFAtXQ-x_LRipFlnqsZt(;1H==_l}K#HpEF6vEQn@Z1;&DWxJ0PL13pT zh!UOXYW~Gw%l}@^o#Yh#9q6y%{FG#B%5d#o&&SVMw;NQniHd!cWh+RVxoLADbt}iZ z4TmBA40&9-AcUxMPS{e{`miooCesenGCMrv^~)~CLyvE=xB34LZc`Vh$Ef_g`)bO# z8oqJ=j$N5TM-xBwxGi$I8CxYJiM59?@*BdM*zQ3?aT>CSZqQKw9 zWkm51S^7;;&q+}|&}cWDuLYv@LcXthy9MMChUjFefUKpXdanJyWwO+yVa)7>+O?tq zACXnoT|Nb*ciZG%*@f=c|YVY?(y>oCdz>LK|$- z2XoJyymGNYe+0LO(72#Xn&3=O)raLNqQMArf1n9LTMenC{~wUfS#!`=)NrSs&n85r z^^gp`kvJ9cNHQ>ZwS{2q(oz$#lM@GwWjL5%e((~Fat9BCWp?^L&LmiMK?7OOr53la z%6mm;-;Y7oK`UDqvEgv|egudxNM#NdkaFV9y;#1fjEB#+|$E>cuo4 zRo&(fB?Q350_wd}E^F^20SjFRs-S*mkBgI^#|a7K@mDH?P8YbYpD=&=S^?u%DmlP> zpu&_q{!SoW9jy-+AWPsQjF7l5B25L0NDhJM9 z>%Y(S1f57gI)`?cz4Bh3N`XbPOPa}@bn-Gop^qRO3p5Ll$thg&iU?HAAxr@XV!)G> zzv*nhBJvP!t%wmETN>EFJxk!fuFM~=5qy3xjr3aQWQ@T&%iJ53n!w?CA3gv{E(nn? z?fh5CZW1Sr+ymP~2rij-9(QdC&~OAXO-k)4Bw}b zOKV2fDs`KU9kir3r^dN3_6Gw4xeF6qI1W`by5a)YzluINf>Sb{{_RK&~Qz9`Q zqQi8|x-WI`>B*T({bq$UNr_xH_PLbR+b6KL2-{-~mONg=f;F5NQV9u0jY>96x|8P*}Rl>Bu7pPiqW8=tJZ=+O}$kx@1X zd9~6W;uLq%rkxU_CS1Y&E0RoPg^H2Jx1|YFRW2w>W03Qvs1ZsG%llEmzwrL@zEpTj zp<8QbV#S*Z{h_hEDupW+hO5V_$?0BmUre^d#^e`q%t)uT3I6$sCt$?m6hTHU@MIVR zO45e2iFi~`mJCTe{P5wbiK!~bE1Lko7XqtFBebdb?3bxL<_TkcaT2~tfYvWrn!ou1 zH0PIG#5s;Q+$?&8%S(iCf3d)*75~JG?dM0yZF}w2(MQG+xot~V@d=vWPsj$$j1pcx z9$O>W0p#ik$d)V7!O%<6wtAQC(h)V>khA8%HwM(P^rWZWjE zitC$~OvgF$=pF+8^c`GLzXX`oT7&$puI#=ATzQ$B)w8);K8f*lcKXDYvj7`ZzTA*h zZ7)ju0m{!M@!UFu24UZ4?fxVStxovdGxW<&9vq7Y+`+IchuO0*oYcQ{>-iBhI5?cx z<#<@c%=cBvdU)t?$DH~&d*D_?=JVm^=i)9pJr`|F7Kr-x48SSIE2d{jL5m&`oc+r# zm%Tq5(O%foIVssP$rWH_a7jkPaI~zA!?lyi*m_l2{KgIM)t^^Yjg7aOKsHmR=iF26 ztQO7~E_Tc?z=qqXPeI1`0~^*UF8~xUvu}4|dh!04P%-u~n5lGPlH|KikiCm0tr+Y$ zi;dO#7B)opDOkS-m$_LvXkjoYD?>COtCbZsO2tF4PY}J{C?ounzSMxbeDI>HtsXbF zQQ#_-Dx_0Gsn3()5~6wVm+XuDGJ43dRtB)xY5r4J4+@O1eov zFYmTD@+Je3IA6J!P4x8fB)%O|^ZFl)#ql0{$$8_%$Wu&l_O#Z%52$MjL*HnFn#8(A zFzZq>nmdRAVXy2jjKl@5OL6ssmIR8wc4TDz=JFM0q-0|krR4nUI(9c^fAY9U?A*rn zQ4nOLx=hf`kdR&M^L1oi8-;LoSYhbOCK~zkUz!4>8F5yyrHweLIXc?XC}2%ZnjQBgHxa#<0BA*k0Qiov6IvU z*4SVu=!h?>Hp7WpUQc&CWPh|J!AE~?ga zh7~h@q$lP|j6$RT-Rn1r4C!ZQlkuX`e~k|S%DVI(+P>41RmZI2sRX+7S)~Ym-XEts zUF`hrY8RNI^R(?rgzA|olh0%0<(Kz;7RM{U<$kdD>QYv3bnK1A%UR$2aqkU@9L*sv z6MP{JiYX8?wau39Ki>Li?JPE_b70gVPOX^p~BhWzB?3F(j|2VV2{y9^3B* zNopzMSFJg1K@=av#4WqeBx-Bw!UoAGIm9#c4bI&os%wk{B*l9(t=MbypM9KnMZ88a zMw$gmy$<7Gc$Wcl@SS*`a2uP^_Nsz|T}3dIaNTKG{D`znQ$?p8=V9p!>QTRBRUezf ztsx=#t#l@>EWBXj{^;(Ymv|e`@p9;&ZR!)!(qZ0Yi-S)aU;K1mtYnvdeID{>*EX_} z#rwbmAY75<<%R!1rUf`XtaW%I zqUyV<<4*l2HPgXvSSA1B;1b15ywh7-d8BUQRMf7OhkTAN82Bqd&91o(cgKbz8z1vV z)xvN(!H@+ah*}7MdXol@Jyx0J zs(3&egO*bqry*E$F}|e)?Q$R)xL7t2*~yE5KV^9$OsueAT7TSDlFHirn2BOy5RXt9 zu;iRWU#4FTIuNrvz?Aj?*}at1U1M1*7Qi-lCReWriqv6Qy1qp9y4r#WxU z1+HS5%{DnM-DAzRhjPL8msX?D&p$6$HGc-l=0o0-o&yY-vX4>fK1{@MZDJ0nfgk z3w;tB>ep?xAbk1K*loA(e2DI8q=}#p-!V|&=@8+K=O|X^#yCYtFQ~}PX)8!sS`yt= ziZ)N4Eq6+tCzfA(tAg$LYD_5Fm~(+7+~ED}f+Bixelkk-fpjZq6j&BuyJ!BviKMP} z5?gWseOpNIPTYxIvYa!lzO3^dLca`S7>VUfWO?6}aPFRBT)eIigU;Nrr$9@xRzG3i zYjWjq%(sxOn2Lu->6cCXAhd5W<+zP`9#D5amh4=`I1evIBS$OQAVMiV=HlKLZRLYI z+?AhBT%tBbOxF?wg3fBLX8_`Rv-W8@HH=jGbNKsti0< zAIn5t4LvId-vmbYl7gymoXChp^2DI_#hfa|+CrJzN;iGQaz4@DzKTNAo#FD_LIy=p|1KjppyoFX;ovkY4p;b4?dwDv2Fm&3Oam zYN9dL#`E#um-7hqNqb^+Wrx>ga%nioy)3ZIv7)kNR!_FL^6TwN;6ZLVaN$?SLR&=F zuBJhp>x4I_%!j6kuCJrI_DA^D&gCnaMe5?=c_xxRzQ*&DSuf|8dae48r!wk2yiD?z zU>s0MxNcgZ0&N6YQ*W#h@0reAY3e^7m`7B~wbotoVBk#@4fg*9-6A60IPFD6TeBD0 zuN(B@gAy-pG4sxi0@HVGiN$i0E-Y7jXGMQwLzyP5P*@?!*AaN>AK6}B_@Q!Z!Aq*lSuPL1r?hi>DItF5QG0{i zOZ0&6ANjnr&JWx$n`p$T=z!hTaLKO{`t!)tU!soQUS0K7&N2eK#VVB(w z0d5I6hn-tK4E`X8@BQh=0q-}qTj2XM*A6+EQFfs`9Ith9fX%J@U7(PP4PrksfWbG5 z^u)jUK^H&8<0IVT53|?n>g~P8*<-xGHG6@1KX8Qu?0t2*LZCG^ewxO4Z`T2?T|EA2 zu3h98OV#Ep0Pj!kz8I3{uV-2c;I?HKae%FLezTX1`tA4R zjr2Sip>s=x3IYH9ZhQsCb)WKHa_t8!;2)Ogvqa~1{b=z#Q@Gsu7EMStUg@MLA?1JH zFWwvZE_Ll6@z>ZmceWH8Mg7;Vb#iF|^TnmEZT$G-Z1lzA6ya~Awz9U5mBlvo_A{Es z_0^{0bKgi~@srMLexBmNA7kq0sZZA9GN8ccO%C7NMcf)6FPG<83~o7mG{jfFDHD+GVX6_jnkF zC|JA3y?lT1*ZbIa9Z^w%qpQe88Wc67F)(c-~T5y z^v4%X`yY?C^e3nx6){h<1m5@mEAldmV;cNlzkjNcO(~9Vp`83Hl5;zqhL@h0_QSLv zN)Jr?VIA~Ce;oG4yK>>mzSt5zJwAJ56GTO#7kqz&hoJrt!HPSLKSr zJH?ed!Kc^HmFGJ7O;pqPrR%2sFztuZ1Jiz3ZGP|{$=*+6&3@Vw;kh`y{~{#4qG#gK zI=A2OY#QNuhI2wMoe$58?%?)OfX|Qj8J{Kib#Z!u5AV>i=TVK1_IOt=5+8f!!sGK> z^to~TvyV0EolO(xMgHGZz3o>EymAxaK0^ol#f!oJZ;s2nB z$FuRqU(eD8{x*;0_}|*VKZRcyb;R~mAI_0s`tX(%@D2V%6>=|J<`v~UgDTqIFJgN< z1R^E9MMbZ`+=~yN=_l7p0UG|z)PK~#Wsu$&Rg&o;LAq$KB@0DL+E_TCSyHP(KEZL5 zNU7UC?lzlrBB}f?-7ZEJb5H%-NX?6As5WYTsvh2heSSuBi3{($KcwS&$*ZGepsj2 zYsuUGhsc7LY*25uxAPoN9V4{SbN82N>HCmrFeAKtxaJ=d?%HHBB0idrNlNx&N|fo%10x zI*p1PJ@}COWOUU_o>e(h__T?N9N84+h`P8}OTCt4UfR6&(yBK}OQnt4lT|N}7Mb*J zYg_f+Xpw0?YD-qVE?Q)9SyHITkxkK))od#y8m*-#t4UsD9olV2o7+Xk7}HYPlN?za zX(76HK44Du3i6g(8l8`mF7kY!w4tL%#cxikL}IZ%$|)t)&U`vJCN0!Pc7Z^(>sVCf z7koR)nzzrQJ^C7I4be(NQQ7A+C9kbri+A0frFKmGn0}NG4VU~Z>GmwqoTHp`(GE@U z_V`1QRXL46=%EmeyY1>?n9Eh)YC4@r=~$lcw(IWwrcQ}cg7o}oO-=i%`Oa_P z<*)b@@X9F#+!6+Oe1rQO)AwGmaJIgY786ttzjb2eXZ03nKsp~t3{9-4p0BCaDxq7l zo-}h$7h9d>&@J3+@m`BWYcS{@S+3*?U~Q~=%{_t#0zNcpV#T*)`LXl&oU{F+U91v8 z|NXaMRAI1TIrvwsKU|Qf2mdm?0!c8AkqP`mO59>3vJk-MmBT7>j7;DkeM>icPu!pz ze=c36NHJ0wA)wFyb;R$=zbpQJWstYl{}ckegT24$Xz%aJ&eK|-r>moO(Z{ZyMU(B= zz%9{U9^c?ix#6q604LXx+0I$9|NNt=CqZ-hZ4)bxiI;NJ4@(+AYD1d8RL#-B|7q&; zdb@5xz0L;`gT>;V7cE}?f+h3!uv!S;Ktianx^P??L~hH-WorcF!*@!~f4}?E_}6#9*Q3S7`q4kBzUevo-=V|aEg}C7P2gYk_kR1f3Dj4reZ`bxWP*SEeO)mU zSqR`06Do3yOyI}&I20q1g#iAQ@~4U%BNO=kvV0T)_*_T|k7HyShyTMh5Q%Zo#C$_l zXzjA(h0B*Mo>vWFGew~qs5nL@G`ptbvf|qvr-iN~QCIx)%3fBCG*$@Md)XB0KWD^9 z%4n$}J{jLH>Db@?SMklqU$ENmh;yDMtiIAMHgn@|wf5Fct}+oz+-cjdoO$rwK)R10 z*rF(uJyjSY@M9Xh|1*+4Y=E#10RNkA8Lf}jPSi2#XW*YE{`Mo1oNAr|d>>YACKQkF zdF9IRf5nJq?_Z{DQ(dOu;>!@RbLhmu zP63z8RcD20k&Lik;OLk2LlcehWH;vKIxC~e9!n0GQxA1bveo^i+~V4(>Vd*9%I&U{ zWDg{xsyB$8CIbEkW^K z?Kk{YIj~$qMdxi>34-W%>UP$;x$Y2&>VeeKu1?;mYb zJ&Fzz(T7G5?Y+cpSFz#Gi=F0|J2vcf4#!`q2l>?YA&=Z20kM z8&&u8iqIyr>O_1j$js9H=YiB0R#);u3A-^`rnid^2xF|!QzNdyP%(PFKum5$)t6Rx z{yj9-ULK|8R&FM(PW1>$lpu}~()zK8mJgA%&$N(M_sCXlrOoLNg-F{|&3$&%a+q!P zcAIch?@&+9>5rjEJ6O`b+Co}=#Q9olY5l<$X-wQ-ZXvBdkV5r|*3$Z;Hgs>2w0E_T zR;N0*wY0jHztZBHC*+8(rkR-@Q1{50ZfTO+Q>c2toO%mvDrtNw4QaaW9!T_n{THYG zjVC*v7YE3kj(utM=-NwmnY5giCavDz{!`NK*-Bcy=d_hpr@BvyI13eJbxRUbTdludCjqVahthJl4EmMwra32_&zlifQ;VhOGPx1mvVwHqb} zYS@2N>@VUokR072t3=+ZI$jk0Z}6u4|AhNyx3Qjkp3BoxUx-F9j*$ucc(dI{+n6?#ZRLV4{pm7Eh#yoajK{E? zCXCI|8ow+5e;#4E*H06E_?ER`t@PPf_+47=!{V!P6yB})KfWV>#@DB5Jo{Q4l4SeP z#0;%54_!EV3i+WS{*;dTTrR#{{Ig9*{#SJ5Kf5FUt2^@NW5ZqSeO*WX$9CjT+jh}M z%acy}U)quXQ#srB*n&ookL^$$mH~oB}+3tZ3-`_3V!Nysx8wx{g0@?8yJ9j{2Y6k^jn$ z{E5vj{r68D`R~+`|5rQmzpx|!{W|jhXh;5+cI5w*j{LvZk^fd5`TwjVf7bq8;)m#md;dj}&c(?TN z>#HWe7<@rTeY*N{|Bn1w|NKok9pzGM{Owzw}$U&8_H`n{!H0BtF$@T zp)Jp$i7nB%w;S+>BCC>i>hdcc^y%`em2vR9{OV>L{$2FPpZ6^HmRk7}{HqlIa&2q; z_#=ka_~&-yKU>!pN2^~-C+?RFP3ZsW@>-+-u^ir;Nx;9Uy!R6Dn=#88@J}p#(;9#4 z4*10Lk+N0yzyg2ng$+yS^Ld9q43|3a4=#BZoHPHCH>H`veXqlB!ZSGhpV%?p-dx_p zDvU>(YdxX|yp|lh^yu0R+(Q*cd&kR8ZcYwMsPzirvKj&;L3`^2CY!S2X$1!_ohm z5doFre_v5yHxxxbG{OHYXYZ&12K{vpsSs0+kqQ2*I_kf%(`S?b`2Vb`gFfJ2)-gWgm+o8H3xA%Izz!WF6Zpia5IIIB@c*+T|64kapJ#NKU(nsJ2XwJJ zGzI8sK~u1a3SA3aP^L6~@a4h|7ko2?&rQ!R7eKQ_b9ia<$Y;9H=UUg_b5{c1Z{X|a z8Lj;Z{#!fZ-@ikA!vCp?2VI90=&5{Y!k>P;()rK?{ypU%^ZzJ(^f_Dj&x!tTvwrx! z8=pt5AK<^jwbxMPRS7;f9aJnv;ZhGiHmk@nGJ(HANB;2|uo%f)2e*l^82e2$7Y z+G|rcUX5DMw9$tRp2f?bzj*mkx(Y87WcPQ=CWANS;D^^?DR08@aPYeh6J48O+wNgB zAst)m-&LPur&yoYbmTwk3D>l}y4w4Zj{0h`PaEMMo~7dV z3VS45qCEZlQ_=2P()Z}T!xHx>AL?v}ei%M4+KJ1XVi=y)@fdm+eC}tC!Z+LP#UCaM z-FMOOcX2s|j2lX@F;qtFM)5nYlXZx10q;?>HLKK7O$i@M+X??3(r0GW zXViTIZtwfs-*E^sb_4n6rTY)Gx_x5gFWp9^;$y<+I}jjB+|aHel;YVsXoqp|p&5~C z{72wYJ&ixyNuB+})zJf5#wU+&`Tm<*jak3ILY={Mgk zZ76V0Zpi1Cl6te!{)<5%y+1Lggf{LeL~uoYw)L3G;m=?^gVI<}kKZD}3}npaXyK z)ugTM?TXLs*RIzN_f@~QTViUzp$Y$Z{-fd({Tbj7D{bzL;?H`p3xDw|E>S%HRbvW@ zk6PrQkN=I{sQ$}>=mTzC9O|61vS8d*v1ow{O7X3Z_#Vz6WW(suoA^FGSPpT`-I3bGR+j8u4f7%a%7WT?<~k&>nV=5D%(3dH|hGT zydD|+we@vn2o}gprz!ge4)Dz)d+;p%S|LDa+PUSJAH%iBrC->?Rb|Arp+t~!mSAWx zKG3Qnq(S@=4vyhR)R3X)Oh@nP>>9*@$`2S23N@fk*iFsx=UU9`XLPK+2thlyoM9)& zhv6<>LIza(rrJ&7Ge7bv{Z?|ap*QCG@PfFO$-+LKmi|Ph_k(>aj*vV(^7liC?H(I! zt5qdC^o(I&TQbPcVW=?tC_F4P;*(4@e3l2ou_3bOzU4NdrBq?`CKr73o0pODF*@Mp z&tdppE^TA<_Dv@*m%7_GD=}CO<=P!MQ+tWk{}0^zzYYH!zW8M04Lv1vKrV34$21b$ zwm1UF?9zM2R_?2Vrvtp-qHo|Io*b}t;aZ+}plv+9u4$@xh))^>Ip{+?r1-$$4UZI` zeuNF4zsLV+DJ$?7PI#0(jk#4{&dn z$2UE5_}GQaHu(CpkoMp@Dn@)mxRJnbUIe zW;2Ar)rK0AkTlx^hX{XM)ElL$B#rvX_bZ?XG1Z?il6rml*E=572^mFxXf=CVT!ZRR zBh(V)vn15qZi9FO`S(RG=TwO@x^tifWY%Bon~`GKTgZoOgj194iQ_`1$LrmMUwv@0 zBHmJ(`e;RxdCi&&!Yb8#{zEl5rdsl%e;@U>d8Cux+#f>~9Rh==KIL=aY~wca<3 z60Jv48(lV9^p!(g_VrPswY8(?$19t9`2LY{(Y29~pCnR?>qtol%Z)8WrUkia-#{M3 zm5jrGq!TN=BM%bIHbFNduYwG~6VyclRs09B)GJiSF+l88r}_ipO>|lEk@mGj{y?yx zh)h0pdB*H0>d&(w=#JNW64NbB`1~OmBYuJ5+DYIW>o4G?!wu*hu1>WXAti z#5+&QV;5yShQATbnrf)gIng}Q=!u#e3lY8+Dnz%VPUnDb)L$E7Hai$zhk+Q~G2?Nz z@jQ_?tRAB|(P9`peqF+4K(t&|^BG~r=mVO+g65s!4(Fv%kw=A}|*!ik-& zNb7Rv5?)}Q>RRK+B~NR7y^f_5|E~JS>%rFg&;xrN?44@-uJ#IlO8q&8K16?O|Nn7{ z^`{SX(Z}|tL^CqcU%Eay+?Bt+WKl>Fj*$s{y2dAaIAUCkWG)2wYx?bkze&lvHUDAy z+dj+{(jof2rZ)RdwmS9|@XW!n$HQ%(O~ZW={Sw&B!16K`Rv@>59zOBWtWe#AZ~Ng* za)HM^nxTBWT$O2$q4;g&#y#A~Co+^iRY`^0HY?AD>CdIO(Twr~e$M%y_F_3XijVgL zI<>`ze;0gk+u--*_jNHCx#!{G=;P1rzJ^`X_v^$#X%N4}NcnsJ`1=7(?c(u0uQvE` z9tw)I!Ph#xQ~TP!3<63o1W`r!AOG393*Ecw&z`CAYNLO>pBiPo9j(8xB8>A;K-@6i zAJMf#cK;u^E)9!{Yb*fIwLNfeuh9*Idp^0#ImS}_?E9zjtzXx?SkkK+6`(?!NFBV& zHUo)#;gYTA%$^gT{9zVvxQu;&uNZGxw)1+dxk$M2b>ex&+fA~9*MGOT1%e2$_14P``OX=1c!+BX%zPsDXgL$QTI*3{bhQip&w2)9My10m-fy}6atGiRt{vi=5aX=^{XdsKS%4Q95yD$_eJkK_p&kzr0^>*_f{vod|#a->mw>J zR{2MQv+MpY9j6L*vS5cR=QjdBQyn_IOviD8y;3miUB43(ttPUvbNZ~Qu+3y6{%ATL zta@voCLDeM@Fd;gfz-+rU>jg-q*;Whm~SI$pwxE@w)7x$4rZxSu>P8*4)ca8Fw z3x1;D{DkXJ<)}2J{=v#E?S@3YJ%z8U#J31dMoSk%7J-Wb)XE8pigILx5 z|6*&EpR4>GI@l2Yn2ytQfX{1KU$4Vr1bH9UL0>Sw|EBtFb=Wy{^sg&*Fc$FaiIyhe z%_$csKU%PBb#RLYTd{w+4$e&u_Xg>U9JlGfZpH`n(%PXoP`SlBZM0M{|4c4BF-r<+Sls9?1Hw4q}-$ z-%k0zD?ds3vy~HrTj-$gt_VXB7@HgFJw*Xr0u$0t?y z9_8yv2gbGEs_bQ2|Je#0)uR(_lgjbuJBim*gol0~77cMr|L5KVzBp9p;G4ZSDt@nt z=lF~<_68k1gG!7tj=Wtt^YmUi=*v9o@_pr}D8DJj|05)qn=}v5aSxSm7W`hy&(Zlp z;i3EOf*mB-D&_b9|6uRAs>hG$%KDJD0Y9C)R|&??Nd8C1&xEsM#JB!PtWqW?wk%Kk z&Fe+~R2|6JL3lhALD?VXQ)qYBaf^;qg#$cobLjp*00030|9Am(Qawx*K@@(2{CM(r z99&~axWZ5<#gS+TD2Cm=x!X zs0}ti>w;*txWO(s(gR-h8riK~S! zz^Qmncy>HTw9y#W4^RAQ7BB=$)A@l^j`I9eZJxe@aqoTW5MV1y+5hTehplXo^7wmm zZMelJBe0a~MUAGT1Gg@Xk~N5wDrT1b`e_TeQds%LlDK^~P;&{Tq-E$+eMD<v7#)&)lrHT&n;dr~Yf3%E#XFD8W#tn`mo<+NTw$ElJw;y$%WCjT{ chdPQhjF|B+n>?CiCdtz@t`ke~2LJ&7|ISmPSpWb4 diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 3d61b3ab43349b6f90d99e75a1edb2fdf17af72f..47938f61c1e293ed9c11223e8c1217d3e4681656 100755 GIT binary patch delta 4544 zcmV;x5kKzcBk3cMQ-7LC000000012j00000007+r00000008L`00000004La>|0rn zTvrip2Lf405Lv_`g4z!jF-z39XY1hs-L|`(X=N7Yw($f_MrPz`I|ELev}ckKLc|l| zA0QU-z#|e*2#GiH7os2#3KCls#3J$lgdOvJRj1CWb7!24O@9(O(p+ig)^_St)u~fw zxign9U%q@}qtW;;-u0i`4mBG80sY|TAO;6HaF7H0&4JrdPyUU%aV4W8kI-QnRt97dMx`#CkLDkJ%e;Y{S)qdu< zi1kd25)!wnySX(n!5Gb|SEn*=UbP}kyB!K590B?h{F_s*u3}PQncR_7tF{=td2hr<0nM^8s_m6gHF_}q=zSt zKd?*T(qOn^#F+O>E5m^iWk_T;KtuM3I4G1fI1_j+gqwFhUI7b4ohCxHUo0+8?$*He zs%kB2D1WQGQ&8i+Hpl7<~eULt5+aGS1?~jm^-xtLu#<~W6Pcyb8q*fW5(0g=^O zze!lb?TyJcJzN~F6;ta5wIftSNd17^yl4Z^F@IprfLQ}(445`RjX^6lhW!~!jR`fE zCJcu6wOTR+0?7~nDk80DMOtBUMIkgTAM|eAlZqjr776OEx@*OZI7MhWLh3we>O3KJ zo{%~ZNSz0u&I3^A0jTo;)Oi5vJOFhbfI1IAod?ibmkGYw=Llk8ho2vvt$LgQRsVh> zD1Um)f@2%w&jpkdt?DjSqw|3v(p_l^>D$2WZf;H%0@v_5f6=$LjwkF3$^&mAIltPU zFp6SAe^H~jgr3A?HKf1DTtmavidnAx_ZJPOw84vjscx_Wcl*oIu77`jnS7yPstB=X zvF%xGdluWC#kOa$?OAMl7TX?TE4KEi$bX>O2!$*FH)H{zkOhE3761xa04QVumo0N< zt*teH4zIPf1}LmkT;Z0~1A&Wq2X`Di=ipff&p3G6!LDDVY_tbSn_!(;((k;?cmX>J zoJ-(r0%sC9oxrICwi8$+FnKu`pN+!}c*!gRyugfNCP`*tU1R#k*8?1QENm-%j(-;b z>`y4jC5#llSNcN1O2;fB1ww2$w1femlM#SUMgTe)0qA4|ppy}RPDTKlFsqd%3?WSz zLYgpyG+_v7!VuDgA*2aIsI^X0hdni!tG#%QJ%_%#`o_*eaBL>%QZ+hPjUL-1iCc;A zUZDTj)dcl~)Z8IR+BqTZoRDTKAb+h{0JLTS(3%Axgvn|aC?QN%vp@-9V#g9?_Devv zy#!=SOF*`h1X#<@5s(#54Cl^y5+KZb?n6!v`Fl}*S6#wYkJ(W5di0sOO5#w62q$@P zTWDRXk_EbL9g6n;V>=rcS}b`ouFqhqj?T&oC!8WEZiV#{Hiak(Tb6kATYpd|7ETSY zu%_6OdCQK4GEGQRG~_S|nxRo>#^q=v&JtpNXju|%bLcW{$sZa_TYWmH=KHDUDU=-xoE7$^ zohM~K#_;;}m4OCNc4*}7z0Oj~7fEXGjm}=&98Fr}jjOz1LZi%nSujKZ6*P1u^A!Tq z0he?GE=hv-T%dQtd!F+T^g?=i!|HB|opiXn1k(g5KLo@(DavfOx_`AfTA8$HC}_GI zD@|slf^DsA7f|&sM%lEPiHm|x9Bnh@+Y}xV18B*Vg-7N}7!KMf$-4;*4YMZ}p>#l9xwPpCYfO22T+Ukm;EU-CVSdnAV1V1aHz_TJMr73Tp6;bfB zA__bfQQ30GO*1Qs)PKBq7)b||cxY)w8V)xc&v4>lT>Nn;9+2XZDITKYu__+4;?XM} z#^z;%ONCng2ru->%~>i7KJp;J{s`=k#ya!$ zXw9kT58cG6$pwcB_c}5&XtDv3&@Z0~TR_>0kfc{wqDb%11b@_PGgU7)WlELHF=~_z zjL@{btQ6SzT$cw%G1#`elB+`|!< zMzJSG!k!ovBiQ{Pab}-P`H6A;J8*G?@Kl3o4Yr(y*87w)Wla8&fx#>@3_r^vT}XJl zh8H!wmEk=2`u05h*6;=5Tw|Gq&rC+=TSnkrbR^IM+kg8cfo>9@iZM=(v4s$@0m=wW z{W@VG5||e{k#~_$-pw|VLKVbfS|?$>t&?0sE|SFlClVKqfT21{>^g2lN; z!5OGV!2)j-zmJg^2gS~fKyhC9Muo+FIMVG@n*=v7A`o;qw`*G!HVWI3xMn(9+_E@Y z+_pGc+<&?_T08?fTHL}oTHMAsTHMNzmhyc%%V#cqIe)H(cXGU)&xf_P&X=%f$odSl z%m)oWuL`i4Fk}5mJ~w7I?;Lk)86Wp?Ij)nNEK-bsGN;$~jsPL7&LoJEcnM4jEq52eB!BI?42~`1B`zrpHVd3x3VWJe_IjFK z4wJ^@u!~v4sf!o69_J-5adFkIg}uN+5TG$(^IMy(GVxLtOcV61Ev8po=VC} z%@R(*fasJDh}MIE=zI)_R*ryZSqX?nJ|H?Q0Z|hIk}QyYxmh5ejfpTWTtp*-n^5oLi>%sWoe@yMJ zeQFkmKs(Qz(|M3*M(9wWKRMVUe@=D&uStOH(g6dR>4b_ntG#P@(RDYj|s(msj zk*l*dqBNS(;L@SwdqCQ`JK4OsNl>#ZijscP6UP^_u~2czk*uPiezv0^7qEd? z{uf|h1csL!%1glUDI)|RNBnI_X_qGy&yg2EF>bElS@wuW+K{!k7}@re=M2KTbnx|! zd{Z4&Pe(9&Z%;{$0lBhs6p0ER^{PJu#M+ex@csWI?8Y>f_9tJjkALd#n_j$22RF(; z;=kLBP~K%eQ*6nbVTEV<7VtlSOtQSeAiT?8fn$A@FR>9G3X}#2a<|El^BX@TWZP33z;}+c9jmB|oN;C8J!QSNClBwhkx7yBErakb-B>lsr+uihMEbJt=XNjV;6{1Lt45R5 z>2JLS-*t`hgx&IOG7C<7PFBS-v7dRdKX}Ccn3pEzWiYD;nSZv7^GR>@jb3%;X{O+( zUhGAW+~Y&#;94efduC5*0N>Xe!VQ%@r2%~J7x|rQRDRtf4&Jx6H>=GfeoV7!c`X)X ziS(RTooRcI=e+D#rGu|6RM4Cz?MFP4seagDW#N&5M?UHmeA{#8JmTO+`7z(-$Bvb+ zC1cO|j&I|pX@8@9&WnA?i*X4xW@!N5WwzV+xMP(Lu7&qUUR&46mD#NSpyxQarY+S($@L$qoeV5^NEegcx!yVxv||e>3gT!M?cZrp6raz zZfuV>n~!f?*cmmS*xG)id1>pZQFE%@+`ia+bYuH$AY44x#J?w;A8j}9oj$t1zK%>C zzI6E2I)6D*9Ns*9<$jK|!9L18Ev%W&OmBkNcm+FYhGO+}b>g8ji|sR~`_+M_r=bo=3sD$ z=i;X|QjoAw+yeD#0z7xk0WRL$2;T|E5aGgycz^C_6I6MwD#X@^?|p{YHMzZy_CAFmKNn@kPp3lGTc6H!|5?>PFT6(gfXJ#BAwttLM3?xZ$NiYC)Ty$mlH4U z1uW`VMTLOik$?1*`1lh#>Vf5%?{Wt1G!FPr>9^usrG_NcCckhLP?^TCet!4*1b-WK z!SXzM?yZcn;DIk-y>j(|>Fx&2Iqvtq&9nb{ua8*yw4tmhX@rR?HRoegvY=Vu|Er0( zfwu%h`}i*8V{qVLsJ13wr1au$h5pmqm)-9(2(>u;Xw0J}Z~R zQT2&oxldlAUU<6~n};Dj_6dBJWjS&Mh1I9aMip5V96|j1p>O)t#NH=HIJ}tI5|sYIMixAv)39sZow|f<%xY zTJ-3>NB?EMpPBc+cjuXXw$0AY>>oR`>%1$x35FxjG5F{aER%+R-VB)5YRH>f6QXd$k5hRLUR+WT5+DL2vnc582K`VmxN8|u;$ zPE7$31rv}92@;$#WRxHaQ#x>MBnc}E1V{maBiJ^|-x^n2qR`vEJXSD_`N3sF`&;AV zjj{4mpP>{Xld862gVM{)>?Bl^j+nKF8Qt&gcUSUH{idj7v)C9f`-+wC6I@Bk&u}4i}9|aUJ+n`K(Yff;G+C>G;9 z%tK(JyD~*9kqU|KC}kmMcELa{r@Ya7^X^0Z+4s+U6W*yT63$5eGYjw#ULKRO6z-dDXe!~Pm_@X@6J^LR3{~rWR$?X<4oVeb5 z-7=1HsxfX%aJIj=12%eYsXMX$eL;;lI#x8Z9a+OfAw2UZ4;nY^S_u%%q@~Llvd2;iXFi!{eqkB$>-(!qf-c+JtsB+#+EUXD8-bC+*I|8U z{bucG236doLL?Zn;;nc?jwx0c26))ow`iJNS}1o)RY=8n3KBH3%shj@SKIC^)Vh3q zNRCYvfH5h>Vg&#=v@BIeo^XHNvy0|8*$<>jb7MU7T{(O9N=x{Z)};1s{ZgO9)~gN% zuzv<%@*8QNB?<;VxldG=Mp?6fdGo4hg#+9#4ad9*g3bXnI*2d zAxrQb=fg};sk;E7{-NN~1XA^IC|nRq1Svy3gG4drm)*kfL(0AJcO_Er$=lk^A;#t30@E#ySlhG=Ho1R#t#0pD}}N&GHXYlD}O=OC)J zx0I2#@e9$=xMAC)U}@OiI%R;W`$r388efk1h(n6d*}!kvrNM3vN;Q!XGg4WY%)oN< zdvxGKGmQ0Zd)CC8S9u^&Sb8<<6%ZQ8a(PIqu%@ zShE35l=Y)CZ_joCwCP;+vc0{x*#kw=lY^s>-S;KxI$XUc)k$OwVrn2WPirLSoy|`g zLmREI4LVXgKE3_TTGCg_rO0fCN?0@HetM6F#%Fe-RT@YDY#zHH%bIV6Ztn@QE%lWI=E9?5fC-7%-^n_ zvWDrOqO^zgKTU>sJ%%1q4AIAIywvZm6v=dUBqlTq5~u5*nE_XrhmHFt85jr0W-LB^ z^ZJ~X#^NX{XFdAT#OO?0XJ1iLi(e^b6qio{gJTikJlis+>Nimt| zw_X#35}zl%R4v$KPL*hbBTyD@3nSF&6}R-aFb?BVt$mYYgQEW4SDCA+>{LZ60B9T$ zc(OBSvO^H7zQ!o_dYxKte!haUPaso{%vkTSi@KKh zyC^dGqp7b4x>7$!QC+52R-m)|wK;t2{dxI52L$xUR$o7)qktrSD``3#dBt?7uUk^6 zQE3sG994aA>pfT}-ORM4FIKHs;pd(@7sXeE%xQA3bYpVbC#Tf>2V9g|rbZ&-itET# zNZ(k*1(6j*Y2w}lQjFaN8kI(hQsXkle>1%wn5B~gCe^q`ZCCI?@ zg-y1NO}x#bhAHJ>G{D1&XatWWSk=mON|h12v*>^N&EVh7{|i9IRc9mnrEgo!mio|! zG)U!BP!v8Fr9g#0R@U|1?6mleHjcM+YfKx3pG-Uzw%AOL zY9SzezvRnvNG?W~AO~t&`e4(6jKg+0$QmUIkxk{nUlB$%PozXVB-`rnis5&YOYU8$ z1I-IV?YM3w>5<=R1TF{CRO?~aBK63ZXM$}gV*Xg5^sM}IT&=uJL-(p^x?LU)cp|p6G=nHx$P^Y?l|9#cVqLB769uVep@eEih}Pm{K;O!{E395hMX>z5$XG3&uL4 zY@mJ8*+%;iej1{b@k7X7&Jz=ut zwr)VpUUj0F00B~lGy~9<_6fD!pAV9yN`ff8Q3z0W(SR#t(C&rmZP_4R(Uh*e06bcL zUt#iwjn_L`Ousj5=BOwt=7oVtg5Ayq->uMRpGzhWma@7sx{X=K=%u|WghG=L3{_Ln zZuY8IDHjrC0#C7z$lKUADdazEJ=FNDyJ_dS7VR^v8`;c~;OoSs#ve(H#urGxn+>j*qO`5F`%4b)+F0jh8y=;5P3@wD{rmSMYBqwgwoGEYgq z?3)A%-tGw0lMHA8Fz08n!-s+1XWAr2QYlXx0SkQvsia^QmQ1#Ll6zivDCCn=xzm5M z0K!>bLHPD2||n^oTIR6D4(Rdj#RBj_4&wU1WZ483jbfJmnY zHo?bA$K)h1`^RtqFg!yj7&3*@-?@wbPMYzMU$t7tTF=gLpM>Q=7t;zfOZiy0y)jgFZqMfNeqVu( z&%VacS%=#7d}7m?_}p3Z4b!=hz4v@SNP{*%d23@MReXo+0B7jha!|K2E8GN@r zo+k`B4?CSG(OlKg_st9%R6(LyrT?{E^h4){kLvC#Rd2K09lOVzHRiH9j;f zm0z81JOV{;v?uUpZCgiaUu)>BT(?%b1)pa!=~JPrY*qF$MNsHl}y#6&$8@0bUtO7OJ$mKDbhOa zIJrC9v`tdIq1zk0nXIQ|V`@IV^hRR4fi8NLt7H2`8=;v?mGEZIJk7_lGq+>QeeB~5 z=~0}{bxFreX}X+J-}<)*CsCn%av4k)qvp<{_xWByznDZl^NZhL+=0GY{VH+##`7@F zW4jLfqXQK_yF4rwnI`r08@;HAq{(z;+}LuQ#K*DS8NZYDUPRwMyak?^j!z7nyK`0S zy^CxZ$@rWxtgBw3j!0T%SIQc5c;OwpT7^=JT(t?a_D>1v%y~ssONT#mFIxg2k;Ioj(^+Oqe=-N)WXw0-I83BnDaKd4bQUD-4RLg99AVr$`p; z!-t0%lOHE31#*Era_?~1xHv>)98*&nZ=wLL%496pD8M;iwZdme)Uc68Dg8-NZLS?g zHuH80fv&9dK4Oj}Uu~4w^^ck_swZ`d_Lg&HqmL#}r(~SR@PmOH4>FM>s+EhMx;-tLUeGZZ&W)y6l)d-d+v9+k!wY_Zl7H*2qH4A` z!CGV3@veJk=X0iq{MI|{>GgK|LtA8tPHKuIUU|9fP+ZXL7tPZ&+JwBIl|nojz+~%1O~$ESVzQ+eu0* zVV(MsMxP_MU#pudk=jqoEcG-~lq1Dn#f@}-H=<*`$*|YoWns_8A#FslH3xq^jxXMIqv$uH&Q$HA(Gjh5CZlcYDsY_to9K z5ch@u8z|v7xBj@1uozidt#%JjtzNIagQ=^vjK`5wEL$D*Tadhh(x0X0572mi1Hi}l zya1q49>+pWS3#I(ocbGh{SIY*Zo&Y9v1<2V`4h|~%hH%IndrA5ebgNdcvX3P2B!Wd zlOX{Ue?yr_C5-gxAdIBu*sKX8rw~+aAQuF948}abyu{p>=bfAUy=@8| zH($hh!nI__O8FUKa&5nSjcJs79?*k4q6WFSf23}vsO^x`*=v2jcXtbbqF!BMZm84Uy$P zz|=n-v^$R5a-81X?J>BBFfeJUjbXOVa2`hP*V+!bor; ze^@OJc$%9JK`uYQelg)OL#A}f7-WrEM%bMi(Dq&l+u9AnY;p+qu+99@Zc#! z)M^X<<*S1K0`L9sJoEulxVyw2&k~Be!7PJIB$rG#H!0;B5%CsBQa<-G&1pB19wLWq z9)|`jM&+C<4EHTgtj%XuMlaKMpxN7a(zo!H{lYlk z#W;_IB3E9RqUq9B)0kkJ4v-M8P!nmWT%HxA3ezsb4#lr@KTPl=Fb7rRn}9wngFcWK z!k1nci-@*39is-kjD^{zlcImmZJo&rH1zEvsjsyME{n32GORY-LWJ*gonajY=bKYd zWpWFWO(0&HCx-k`NpIPOI6EF^e-@`q{{Yb32Jn^82^5O$P`KNVMLe@}e`+N4P@L3mI`iE;8+-p1bLF}% z)88O6M@eHe)kem2s?v!Pad8RE@1R~J;*3VPjc2|1U8uM!Y#@!U5OKfbOkbbkLZy{~ zZx{_c*ZGGjl#0fBg-p^YOKGAxvw6(-NT}vVd3E8{Kq Y&ZPHT=P_B)` delta 1002 zcmV+q>Rza9&oaSqI{SIY*Zo&Y9u^M+_`D4r#%hH%Ind&zneb^h1cvW?L2B!Hs zlOX{Ue*>9FC5-fGKa8a2*sKX8rw~+aAQuF94948Yyu=*H^U#xYoS869^d3Fg+c}k4 zs&@`fzd!AbcDyX|chcNsx%nkvtL2AwmIfOf;0(K2?#^ATXYNR9Ev9l~=6(n@>up=; zxcxlVQ?4aDR?5!^lWY6sYfR(Z^MD@Y5w*z8e!VmYhti#UwRmcaa|_cI+HS~%uyh%Em; zrs2t`({0l15K5~)z_BJs%$WBD+b+AxK_L%l>qvlmj4pDX5HvBueS zTw1QuUoLI2j_?dAWGEaq?7$lDYJPJ9^r5E^XAWJQ`v0iIsnL&8?-}VdS16AlRd6^7 z!fB2IWEj7w8*7~Jh{ME4g)P1+;k`5(f8XM=UKacpcn`w!&<9B2?h<=aCKPvrGJ`85mrO4=Ddidw@fJr?J@+cjX*ZT0B8P0A zga#}|?VM{2_bpDW&1Y6cuhO4Dv%m4AZ{aKZjR~X9eFe!nO%iK1TJyHXbOAGOf3)O^ zNgfGBuDmcs)1|GpF~K(NBOzR&rqWQgJZnfbrc;GI6~EHGFu{+&98^ti0{Wl|dS6}$ zUwUCIBHH3~j9TzA7G|4{i~c>gbtW&+(6@`EzSbVNEUH$ju-b475x&oLhIJU6Z%#o~ z$t_4Wfp}@281h3Uy=52T?0A$}f1E1)14N5Jjim~)@5fL?WbD4@`_<<-Tdv@1ZMFt4 z=x8_?_G#?)P9ChlKNax};A^21C=}bFaJL_cxU_R`W+e4doYZMM^W8k1c>fi1)w-?H z-ykxFNozdQM#gla(y0=0aS6=tpnfFcjK;W)%U=8rR9qFdkVaRCxZm-wOiyv4(#pU$ zj252j{KFJVMPt440_7XE{8h3V>n~#Nt4D6{py;dIR>`h@7n5`0IJooP)sdmwy%qK{@p;DY-^{`U5)P zaNg|fzWL3Y-@Nzc@#Dvj=P@liBr_8KKen83$JoC_^(#;05k@42V%~+8+&c&yoi#WrShz>Ldjj}z39@0-y)vF#vG7S#GD&|xJQdX% zFg~{scG=qfw12>s!oTNVLznqs8vIxa(G0!S2g-H+JK#QH%l0l>F^3N!q6FH13gmwEGbez#DP zznh(NbAY(vM0?b&ww;Fr>|W>q?p+N-GsK{uG$ft`&g(`dnKi>SQ|BFQxmF*zb|x$s zxHniMfPdQ57_VF0v+PoiHxD?kkJB38)Tjlpn+E^5uCCt`Vxn|+>^KK+TOmq^wZF7x zFe`O6izo;0h2H}_Av?wWRb4&AA@Ej{-7_XCKNtK4!tN()E+X2;jStZ8i`WHkup~1_ zzAGHXFwU#6TfQY|FX`=O*~LLJ>Kb8VSkO`=vww$;_j*Y5DG7->gXMx%nVsFeM9p-G!Kwrr?L4}`g_i-~C-oxjVIA!6VeEe(>~OsKHqR>4Ld zet-8G(GGzRw<&S&2Lp|)bVD_PIKe-ao^n6NZYxP~nW^Y)m_O<1Vfv147qFEV`iiTu zY77|j%fV^KpqIaCFZU2t9Q+2FJ|)(u!_+2GG}OdJ(-Lefpq6DuF5snXpy|D43gZgS zc5fd3RT}-R5*AVh%P%f|Fauo0MLWasOMmze^1XT|$>_}se|6J@X|uRp;uCFCTTjF% z>xi-Al*W8U{%5*{zi{ymE9h;=vYbZ?RTN!EGeozS!mCV+)+nQy`KYi|ex%^O!a=*x z%3-LiitRp~WzrOh?;4t$U}T#&XhXi{ki7!-18r>@__T!aE4q2G4ipiD&A`C$q`a*L4%m)973OQ`^w_N= zVsAC5Fl>wD25IT8-Vf89`LJhM5r3=nonoQt`4grv#~6>@+QFM=hNYqsj`Tw*2T_b zwV!|u&p}@XmR^K@l_n^NDd>rx(KKHT@Zpa*;%Q%_x^YU%gDm&c8Dl%(HmS~Z`wemm zs^d8u>x<}e(COsu>uj7C_;2Bj^SH3Rm&ik9ckDLjvWGCy8{9lXuzy+xE}urUE*p=a zuH}h_EjkOnd4Y7yLL3#!pB3g0h{XGuswNR8P+x)dNq=l2HC+NpCnwlN3mSKU1Jq-1 z$QS2UFV*YFzK%r(Uvl~kOu5$tho3KzYY^8e z6stYe@_gI2aDOO=>*NBT5-_c~Um%C7r|RgRmO*ykm^H8d>?p=rIGx)#n^JuFurx)} z^w(HZm%>$YR|SNSPLx+~?ldIaLJJOJJ*2_TsPo^%{6y&#??l8@jtjvAd?axwrDHU)sp2>k zH&jlN-GBGAu|mpIXi~;$fOp6iWKYTwiW`;0`7T%2D@q2k5TBo@2!6(A*`5R>MFt zE|bpdN#l0=e3-A3rrYnoA+UqwIBn0j%LRh-EbPeMSvZzat(4PS;wG~`u%Cj3T82gX zqFAu+39+1Vu*CUXMd(YOb6k5P5euWY1w=0gEZW3nW##+75rKm{mrKH)7g2ei-KN%CiW@+Zodut z*lJ6O`XdX1qB-T5APOT3yfBihYPjljc8)kPkzacmNwhz<1NGX0CVV+>i~>-2===d?_AiU>#G z|6q)tp`}?G`8@W>tK*0l49>x2S=u3Mzu#ci6F6K5BagHD(hR=?#d?9CnhU=#rGG5~ zhZmhKZ!UYp6?VPBdZ4vaiSa)zQ+Y&P^(y(30;+qZ&f(^J%<4!M?zye`F4VCkGV`vX zOAYxMx@!k?U)6W%wsFEX-zz~ij1F@WEAas#?6iYa__Wq2HqF${1F+s7vwE9jqq63A z2?+&~PRfgB=*>F$0Z#W-yh(Vn1%Fr}{14&(DpBnnX9kG@o@cSTXJRLtR&%OQhTUm? zk6b{B)sbXFC0t2vZY6gd_!VB|63Y@P$Z+N(RO6q^u4>l|0Vz;|-`CoRT_20Ovb zD1lSQ`uIgc>J#j5Z9?HaBO;0!Ef?2|OtQNAH(F5lI&9hy(JpLMG`f;{R)17ew4u+$ zZci(^WRNcbt`R}rAgg4Zt%{?r@A*W%jbO+45Kdv$I1 zvl_BGiX4;$y!&Gc_?3A-nGrV4;vD!v-t->^4EuWcRPc`}BmVO<@7~zQs25)Rw!mew YH#xZrbkY4E00030|9AmnVv{!vKZr^J4*&oF delta 3082 zcmV+l4E6JZ8TJ^ETYobQ00000002|~00000004Fj00000004La)K^`M8`pLI?%g}H zcPMLl$^9XtxQk1ge-a8qkx@V}4B?VqyOM$?LnV=1KLoQIWlb4{AR9=*EVS$;LvJW0 zuxB?hWEe0o+Dhw{(TDWSFxrAq{E)^ET@*o^z7&)psgj=j(tl!MSaQ#hlI+S--h08B zIh?t3&UerK&d+@Q`RAW!F&cMBW+eW9ymG=FV}G2EC-2JZSSQI*5g?$~BU5Ul7eWgM zL5cCx9cweyu;Q}orG>@j9UvA>^RKeU5V2i0cnbD+`W+aEU-{A_T#8cwo#dzeQ)_et}}??d6f9AiJ5TVroqbEB?H(;XT96rs!V8{bCaj=@%nJCC*b zC2tb5T%t4uY6A~=Y){v&JU>_VZ(XLLoo|5*ALU2~F4$dH&V_*EXM~Fnw#9$q zO?$_Ywy#&P#4ERsb#a<8l#Zgw{aZRKcBZDJ3$+Lg%KYMT8bJ1h=4RQj zg?$E^Z34==B5HB;y_%HmR6kcoEAQK~LQ{$VNPqgWwNGEpu^!E=OV6&5CLvfKD?_f| zrg$A{r_&dlQ5QwE!fmA>^$hSUhPi=Mkq6$g=FeOp>!G9sn}yjs8Dg(Mw1YZo{P>~{)fEFj<;u)BbOQAonKb6~%N z9grcqDG2-M8R%J86!1IX>KO1eB8&;_V}H?5+sM~L@k`htr$quF2pub_p$G=Id1GPD%j8DygY6LX!}jL%@j_g0N*%MnSwe6QAwLZ-jWrru2LS< z=pEN||Nmfr9{3GV;Kp0G@W{olR3V&S@)=5(Nrv|Y%{Kmq;oXcvcKw3Uiq9UAy;3UG zaJnzjJ}>S=085bDL);JNyM3u%eSeji!5bo6j#p3^?wA+kB8 zfy6A;3d&ytX(OiIYe5uTq_SQoUdb%Jo1a8)uTU|=4?t}epbi>Dw8Ss*T~h>(Y}7#5 z8))(Q=nYD$lwgtqEhi#-JOE6I<2psg1Q(lD4TQpBeAfyQ^L;P|{xoSB6VAT7pzmIW zsz-8+-HvPVcshF}6WTwqaDQZig%nBU0$PazJvMY`;Jkq8{TuWWFJ8-J-DOX7Gd#D{ zF@v&nUKpYof+padk*5gzACA@1EygZq&~B{ReuDiamGlqCL)mPr{xND$G&ZNDCRX;# z9_>j$RaP|Cl<_-o*?FB(Os-MVr12>1b+-dt`zhpSP{K7-BUxM>mVeKL?Q&q>pTf=J z6ZjDmlv&vOQ}))I>-Z7ZE2NGp{mT;$e#nNgIz~1)i)jPFV!rpw#2JMsNv4(QCkkq$QTrKQZQReix-0<#!%1vyiSbE9USK_+ZS(2eiPkIXuPI3HhV^ zj>L0Lz*zBT8vmn(oT=y_Ev`pL@K;>-N?bMC-iFs9M9d*@+ke`-S+2+*V#-l2-bZ*V z$>l|Lzn`J-=5}c9S>jB|sEIuRCg2Ct2sYHEPptr@!%EKmeaT>A55o++;IP*(tDses zn=c{VNF{;^!CrL@o=`0E{}^YWIe)cX3nZmi>=y50s@a(yB-6MpDo#m5AFAl1zHmG0 zwNl(>6hA&!d4KIQs@IcJ2cFL7VgK6r59G)^)h2_%>vR6g%%{2GuW1){IGlQd=-k|; zZLlD1qx9-LQsR=87~~=LtZKVfz^~$t6Zn47X_sZMnb1Q|u;F=h8p-i~*zqICvhYeh zY~MD9J+@)942wUfBGs8bJLx5w3@3Il{I`Y8MAiLg%zwrYw0yFfbrLS+By;U&@yrMF zdtz9dqA?>ru)4cL%5AOt5EVpYP-*`o)9zUVm2ytFtL zPQtd1P!6io_Y7_>q~#u-wcj$ra7%G3rNXJRG~F zW^_QGd>Pwr)vMj3P=BYL8%HbZ8UDh`c*sw~-dx?p;~d>_J+lOHy$`p;o?{A7!Ul6;15jh}rg!=-vimb- z8B?dILnu>R#eLI**sFkn;q+BI`tm`IDzl3`*{hIALoX01M8xr)Bn^JVS>S0f8%d~ zlQsHolJ}r+dY_JG$?u7Scu$4)9FgQQ`c=_>m4*% z{o+d;2e_a39$cTghd&3u4da%jI0bd#oX~ghV1B3c`5S5_cN%k4k;AExbu85pB#6oM z-YfZHe?|uRp-T$u+zZ);vd6qGjE}i!hg+!o8C@_ z?tev^dcGS;nO^p4v~#Zo23ytE@_b%I;5m?7*GkRp+jPn5ReXO7^NHO?idCb zU|@(DCZ50d{))HOZ=Ji&zH8le;_m&~=j^@DKD4)P-KwIz{jc`@S6=?3rj9J#EZcoLY8fiT>bF_P^ z>fq}4ucfym>A!An-Lg3NzvPw>+5e;Q$p1%!ZXbb2Tb}jJUe>md1)2xga{RgTdE-q> z`(27ZkDlG7`qLBj@ZRyC{D&*t8p+REv_G`(lifQOtR>!5Qrxs z1v$sn^8UEIpVdt%T#9qpC(1(Cn2_b>s+cSPm8$`=ta*(6GJNi`ioLmO{N$#ozDq7)^CGAX zafqTvhK4yxR<3zf0fE6T^ApWXLKO|$VQ$E9w;QsN?T%_I3)(OPD+QMPrQy?zSvvOR z2Q}+WhxYh3gj-Ns?0RaIjWLO+MR4?Y5S2Kgo11cIk);tHgRxdr= zM3GIazb{FnYgd0W>mxU)ARbl!p0%dnPF7!wyJ_>mL5D_UM#ezntnH({?4Tp(u`6^V~6cZ$I)udE&X@%P|gNN`#C0yI!n8cw z5THiuT_`VM5sa%Oewc@%@g{#cLpq+kN5^fH`--feGj;r;B}dWto~D=1{|V%pa5pD9 z-zgDemW}#{y5O>gp5cI`h0~;-;}|s;u75w7m~EVX&EjAUMy@~9i+P$ z)xkrDA6V`=LN4Q1=~jm)^15H*rh7Zl^B&*^rW@E?6i%)C=;XX}=w-Gi!a|Q5&;KBp z9m}kH2@a;ezQXG=IuuzDssNjvfWKXHYGFS_L{8vEe?$<$V9xL!-H?VYax_;}5*CHK zFj2ld;ZeTD5I^K5Zvz$)S@sa_rtYu#lLpIK_|_fZX8(Ag=nLxntW)+Y7LDbfE=8%_ z_>4Q0Xsk_6GVWeszG)D`!iXOvcS;4*azz)WtVhXtL?EE{#ffIvbaXf`=n-u3QF{pr zjzC#Ub=RY3q5L&hB2(b@ThP*&y(IL50^I4{+qrM!j%4VC*w!XWhtFdEqyd+CBgHXP z(Wla;4-0TXpy{kKnu?-uclP#4_O*|S(GZ+>mq!T<55*DlqIy|OtqbVQ?+FDx3TS%}?svwxn@<7|D4qzC40jPBRMIb2S~{7{$s6;{ep4T^Qne>~iST3!l1!k5PaPS`)6j9@~>X?D*qpDJyWwqIbX z=QY_sUlHt2qaoOhiTj)97oYS9F5 zfJ>HPNb3u7Qn;XHrd%p- ud*aG)s=pdj_S}+SSf$d^*pvbaI5BG>bH{eCv8K%5F z50u~J1&4R=Dk7b6M(oPqG$>=9^1}L|L{?2K&wni3zDyuxqaaR?4dda244n6Yfpi|`q)xrQo4kdQT3@JP}I_(;Vm9u7)KO z9NV}cj_7}g8ZG_o$p1;ds%qQ38NGDj#kh_R+|H2A24E$?Y2cFyPzLmr5Vn9D3F0A4 zevw&PpD-(jC>G!`N{AcOCmiP7cAI9lZig^ir8Kf5fkmE%f&xhZZHGNbTm>`e8dX$7 zcmYbH#)}i5Pm92{F(IsQ`!(zEFt*3RaYvh|oAVNJ+&`Stv_-;0l7`l)!eq81;m&?F zF-*c|3sm6na4RyeGlssy2XhP21(Ea@Cwn2CV`7L2<@JR7up&Br^hqm9f;9~~dEpF& zltIJ77si4-m36|^<_lC&q>Xjyy8`j8lVdVR6E`njSI>Z}ItQU@&9KEx$zj}x&7hae zIU|i%)B6~xhoI_N;_!uOk$!L(K|J)G!Y=AON^hHMS&scTPi7vlpAxQZ8cXyi2mSzl z66wwY0+?9A|Bw;>k`Pxt@E@SHYXKbM)|-hBCb*e6p5=5cf@^Qvj}RvOCfD2O5xnz( zGXEBsWO9L(lMy_3`aty5RyAPwaC=~{hz!6SFsfF$t-LFPiG{6RFHwb0 zU0pA&0%oYXIGB_H>uirP!Agtg@Od+JQud2o6y|13jg)=B3o=myOuFBNBPf8Ce2q`3 zd~uUd5BDBVG#q~O5ZbYxfRa^KC`yKc+X;K@*6a=HgXpaD6HxBMqlvCAu*_A_e~KvI z&Vjhi=79c@{qg3uoOLEVXYgQAhQZ{({`iDiR=CWeE)OsT7alJA{A_t8M-saPJyl-+ z3U1sE^c$B!c&&~GE>E(v25W+i$7yubkG$$$vAOC4pw7y+dbKZuz)I51G&jtimvyLd zm%nViw%k|jQ(SripII|-F6dZFM1?X;j1Z;}+&Gm8dkefA+CBL}+{aq9-;nDlr}54M zE*3qNZE?8?pHG~W9nn6a^~ACriLIj5%KyR@_L{6%m-)1XPHU|D-#uv8pD0KoxA?_j z|C9k=mQWZ1RJYu_&U@nnjoki39`j44oHjji>IeU|u}Kq0ZsY1lfYpb(J}_=tl@Yj5 z+4$tW{%^>@=X&gW%BXL~A!X<{m_NV!B6kb5;3TEz&j(E8s^gmHf+~CrD)fF@8tleB zH+v9fY250v;cva$_I%!?wOZ=f^{{G{e7TQmhQLotu~Tn@-NMNE;Ecnc zmS($cb1nZn?EfIp}?Ini!^W68!N-EQ`@fe{e zA$Ht^Jxqwh#Oq)0-r7zry{J4SO`4ch7CV6T5@Z*w@w(m0caU5&%EAXQ?HgP6qgp`x z0FCFJpWU18Dmixn>%!GNOWS>WOEk4&u3hAw%QA?2yH`dv{eYmjs41EER#HGae965g)X zpWs^r-~YD`i|wq5Kbw2sxDhn|afdo16=o@m_nFb*yHRl)9%Yj2RTgYnVB&Edi=%E1U~+jeP#7uwfl zd}k#X+ULdAd)guniyv$8t{iH8L$BY3GOEEd4Nz>w+huSN!m4)SQd|$f+>@7jX*J2? z^uh=G^_;t3L}F4iJN)6-2ag>KtsEQb%Vggn?WY(^c|QH(-3DVrRpsF+s(mBo#@V}L zUZjk)*Z9wMsCD5q7TQ0eMe*`Q`_cJS!Nxjln{!`4?|+3=1{oNjT9@Mc<0x#uORc=U z?RNQ)W$s>{%+yClw@SW-4R_IBSo*zR{1TL3J+T5cV($auSyqHQQ5#?GP-1UJiKis}8SrO4vH4wbpnpQ|oKc@VOm9;7(M=vt5h^CBgs zHZ}&|qLP2g&2yEqK+RP>YblKQoHNbA-D2*bv$KIRqw>#rzgV@ClKu+Rb7?7OTHa?u zNSyrG3tF=^5W9UqE9hPMwog@e(go}j!!+k+*lAvy>ux7%C*v~_fEvuMlG z`Nc@6mtSn0w;IQDY&U;S*z={{Gd2)%BFX50RmJvx-_^awqE`v`FP(a8@fsM;!?OTv zh5xtlICtsJuwSEDF^{jseYL@AR!>ZX4)})3_2{PD{u?Gs7u!|p1sOMLHXHc6e763` zYH(I2Pa*zIUXk4*eqv9~El9OXgL3)7nEI;3c(*D;rK_Nzg2kZO`9Akr$eI_vYoXff ztgL}dZFK;((NqTUOA1SG_@=sw8K!aU3V0J)LtED`o(oWY*I%CXOnO5EK66I041p*b znwf_5@_TSpZptD$YPy_O{yPrH8)b=PNE;8T<&`y9$*r_)SsHl8EAskD<`szVbi3caS)@t6cJ%Cv1X*-f(z%lXlZmvpX+) z;`Lu{#izRT7rZpQA0JZQOmDYL2tGTZ5D@4Z@!6ZW-%k|R9oE+`zxo$P+}j*#%g%+ZoazBe z&)C5-A0MbZRHo%sg@1Ghyn(Yi7ELwV#W1}2V*XP^yn%gpd?MlPgiiX_=GD{(-qwMn z4>t`GTEbT$sW&Q)`{J#EDJu!IPm8PVB3o`N*q%#^^?z;*c*CHa<~onmp8wl z9ltwv(UgR4f}t<%zF1eQy(}yo_8AcHITaJRB7jnFM8y+RM>?Z-)*3#oi1@vom0#N( zea)Y^hiBp)T5CsZ9{cI7KfijOdb2?7eWn#7n^W{E@2D7OkO>t2;BD9ToY}3+tXl)7 zn_J&8jGJQS0MC`IIYB-+|pyz!}@>%JnSp%-SoaJyZ(BS5JN;_vQ zfJL;^<1cTg6~_zTP9dRA(Bum*k>}&X=z16O&M?7$p&Jr^w{S;`y8mQ~j`f+ZG)1y9 z_Z=sN@rVrSkk*=4c}Qg&LcJ#xU2pcqnjzh~8u1U5S|YDsSx{Ojjk|-sjY8K1CYO)4 zXYYK7I+y6Ag<(=W%;(D+NAxv&b&H!3hT)XG*Y+-(lPEe~I#8xK8B@QAV6vofmS3>z zPe!Eb*A`vD9j2(3zuaLey?n1w=lI7QoAjM$Sr=%j87rjT)y+(+;rjPZ+%0>MV;A$)}}XVvmdU7%*eg`^49zOz7_zY)guE2vCIBtHH1j@1(i>Dg8?v*FC!y4fPhY#oWq zwe0CV+u}Hdtc_CZMWRz_;H>IR2%ZiQ|EsiU4?G>p#yS%kHz|@4sDMz~9ix!!-VFh2 z5agkM=`jMJWAZbzq=-F0W7ZI;18d>=Q9gvvAZ2ANRhnHBBxD}x17LUoNr8Ejdb2sd z@F9taXiqF8Js)hj&8FfR<`6_l?|rbGi*c_U-eKTe$xl(Yu&Vvd7t*Ek>u#0YjjCgPie4P4wIW1+(5SJ-$76uW;|HxOFQr)ka3E=ki2~ zu8j@1hfEJ5xhQ=Zf2QANCZeeowig*5k4=h7t=~zl_uS;$_FFMwI(pXm3PXx0t@e#b zq}6LmKu<81=+)J_eZDbPgo`OMJA8{aGf_~#Rb%US{GDaGjaJ3KZeaCHRe^kKu&sES zvW4;pK$~3u%3ScsP`tkD7EUBG#?A4`UdzxUy}}A1%6AK&JC}E4t-p6dsz1Gy4EV(o z$V|Ra5mVRExikdr6Sw)~M@#mF7-sqXI70kLh!DN?`%qnsVGl8FNz8F+c!zZSAYr`p zEXyfTq*%zB@^Z#=zV*sJbx#)!Bn!+pdKBT4+3(TvqnHSu0ZYj}M(7_O!%F*Q&E((Y zq^vpkdQUTGkX!Wdg7I{F0RYgSYU(BG~ljNoesrr!R%ID#&u0t}T zm4HMccCD$CdS9_>uUk(ABbPr?yp?XMd8(a>L4uyV8pZ{Ln`^n;ajna~lfy5!^&|9R zPB-zQ;-pm%t1lv>Ap&#=$uSEFe(9!66A6wmG^>mKBuf7H<5a#SGtUN3>)YMk2Kz_` z&-77(&Rh%eezT(=F980qBKRJrnbR`Lp0)qY%tAqE`VfFEp1&&Q zo~}Slc~Nx#&ot!^XU7R=ILUT&Tj`mR$SEUYZ12+1TfPQu`h#&#i6Js7C<4$MEI1Z? z)BKb5JVY~4%Z@s8x7oZn%SwKK*AHWnV_kjnElUbpoP`(f+^^+l0Ocd$%j1OlL`C8G z5uK@#BUW_1GkGVj{VB%%Q=0pJb1{Neafd9B`Qh%S`n(q8QFp&8QEQr*rN0}r2_ z<*=fi^ilT(vy1z-XXV}>r-L78FE%x-2@6jyKbu-+ow8UA?#F@TCl-oTgiSGT`P2I5 z12YfJQUw4L*(yF&i?(Zl)mz2xYt3OX7pc56V7`S*!ZQfX^c8>75{Ber3hdqJ{{@gB z(upsA6)sovX^r9FTQj-BzQ?gL0%_eRBZ9GrXN^N;V<+ov&-QHEPTP{vLAu`x)%tpT_@b&q9O|QPd&kHJ_}7|A|hO zkaf^kT?#+%r=1#!X5HEs9J0y;2ZeshD8yZGNo8V|XIRl~Ej(IxDAg*02R3;5#iOQ<{H$4AZ-Rk2ZTlf>W3jzcU;>MiYFlL!$ak9Sk(B37lPG+!c#B2c(snh zIwbY79#QVyw!S}g%9Xc1(Z~6udh)T=7dDni?>`dN2C0umvRhy~1+nIw^Fd&tndx(G zbe}*Z&$)ZcH!YRz&jcF}q(j2sDs<@R=Ry0tx@*abJhy%cj1T3Rf^9?mSCU@vFv?8Q zm4-10YZcm=5^ZQ@NfQ3pc>gN>@rA7x?uY5957h>!u_4hclvaF&X1NgsYJv)$XS4t1 zVZ%9i+=dMCiT^I_6j#P^TzUutpL!=1ac}RbLuu#h(dyynU@8_e_{U zAvdCz7F>X5>%RbMNkvL-a$j_1*ZLE@coF?|H;|}aKGgeAu+huCh(_`7;;TkKEvqL| z7IM72rs_7w@EjpdeoR_gqa_LVbz|K`^?|E6v()m>*6knp0h^DLnU)d)q_xnGi@H73 zAKh_LM^wfRxLvWiWUUR3tBoxU6bGbPk6k3DzAp2fs#@XkoxGRf)DY|>Vea9UuHcbq zNNYL#J?Q3zpp!aVL`|EJksF*CkUpjLG{O8TPQXTePkk$6uG`=%Fi5YG)he`QUDtI$ zGsJh0W2^Vb<=w@yCqHfVU{Z|glfB%ItNYxiC&1+V$(I;lH}#>c=T0EWcdzW3MCK>j z?@zl=$&QgvJ|Z>g8KSO|ya~g~iaZabZLMSsmn~Y|B%9RrYZNwgaI-Y5jCv$%zs3xktBaG9 z{!*=0xBnQ2VuSYXG1gIi?VoeoCiMDWr?0+=9cxa@<+y&)Q1|f2(KXkD`D3mOjD0a} z%`4UBc(&90ulw73NVEskq@(5rKhutf(BS(N?@U%6fAnZ5NsC{ zNFHHW&!!hM(4ymd>2Oj}d};kDzZ2vRxL*S^n6y578*4m&6MXtIgsv>QWK#@$dzTa9 zs=nCLQ1xdopmD+GW;}%)te1JkV=P?sXCYHmu)we&D|l})yDE4+feI^7v}4KHB!2NZ@2CS{&~nx9sDbP@0=Yb zlbi?S&8|(3!Ly3pPZON=hfbLDJj#_$NKGd^;t*z*9Ujp^+wG+v=2#je#BPFmY#{@` z@p&QP?x(G+RRzoF6#-MOwsEK8sU*XkQN-{gJ-z{1?xfg6UyFt!6tbDNwg;$y6#B13 z6Rxrf@p8PoY@n5r`ONJNCyxlt)ky6Pc0Bz-cOyL17Y5+N%W;y92(fMQqsdFq9Sxnf zLk{9&s?zrzo)@{d@t>h{3nhcCN5vkq!u@xKjkfAav)67*|4i2yGjdmVMfcZqz1bQC z&kXEzz0q=RYrWK1b?ZB^$TdKDScf*!+Ez6trI)r@T7Mo3Ven8Y=>V0!Mg1%yt}$|j zZd`bqoLN-0Vhl)GKf-@sei__p@OXVpSn^J7yh-2Q@&6#MP)2)OY#ifp9(LAOHzHT3 zyu$FwbNqyJAx zkGURAQ_!$Q>FskR1ClwxHR{ZXivr0FYEKA?5i1E4fS`}Dn9Jq=m@Q{Jn8od->E2E( zbn__waI;i3D_jiE$&~8!kEjRYH`Y@I)<5NCC|EMQvHaY*(dN#cmKQ<$_jG+)JgLR; zYi&xVKu)gOew}3E@H)+@sKf6Ar?(aJncl23TvW^ zZtWL)&HFWMmCa;RVE{M|SRPpeI|M*sze$AbGRAsAH&mDr=hCA;-uuXf3%HHQ@djkB z!P|w}wY!U4E!%mr`oEuJNdaoLR=+l7qbry$2#wO|507Ub-`%s^&RdeyY#z8BA1oVi z*s3X7*EtRRCxB+1bd)?Vzr=S z-L-g=vDf>5R0fsn-Z--~tQp*`edJbD$Es%lqZT3mvJGBix9V;`v$+&`uYdCNIg|qQ zjE2o9;5#`FTYn-}r>JQ$>dD5Swq{or&zS-9yl1yX#E`T2nj218YO=cV{M)MifWPHc zjE(csClk8CPXsQ_ohkqQl}}T2Zq7fIuD&@(Fm>E*7eT28-J8;lCwHf67Ja135U!S( zUQq_pt&_X+Hg0KTO+YNM7#|yJkj)j-9+Ya@Ewa0*iq26zN;E02&*(%&^az>?Ew`-PT0i zpS^d-^ZcK}S(g9a|5>ms^G!}NDrVX-yW7j79&{p|lY)%ze(}1H0?JJ>f=#Q*#QHjBpKtCw?Y^*i)o-eU z>h3Duw2vT*N#aX+4;SrdwUydcOk9+Q$cty`q@I_z0olFd4tWus{lM z3i&twqdmpnhkpb+-VGgjYdLnsfB7#e=ftWsa3oCW%%+=u6P!=Q=ZLG~Bb)_EwO7|% ziaDAkjS0M__WE*p;6AUwx$?74|1cCrtQaJgAH9?2#j55G#tho}Gh&AGQF-HP51d#s z>j!aS4|-Go8T*Chb@AY(DnaoyYR`YQxS@= zd0YC*0kn2-`-o8~V%hUw6e}lX4x-^M+_y5)VaFCxaQjvViQqwgcHFbpy!)^DhpUXh zGW7#s_N)&?))^vOqayA6TvFzt`=%dwl&em^E^3m#I>oZ_gRqP*{Ii~jYOAkl@L=pm zLNC~PC|j1JznA}ev(WDCM#?TplV-|J2;Mnn+1BD~E}n6UBIeO`sTic)DkFd`-HCti zMLy?Yy$gFNz^)(^V0PT8XZaYBUvEG5Y*@FpaIj$(B@R}ybZ5W_yor`^3>*onY;d1v zT>Uk@oZ!&aRFBKJVF?yIBl|#EMb%49d+@dZh_dnd?lRI%(nd0fI!Wl#3l zAC1P$*0 zdPiD5ffOBXDJNe3IDShctJcm`Ck)lWYsOZP0Z5k>|Af@iMq6v zLU~1q!$iRFgLicR$QP2_Q__I9XBgg#A=;rms5KmqbRG(*b=Z+C?VY=uB8xN^LecUU`TCAB*@h0lNI)e-eP1Mr>99@m-YCa0DK`LE88_U@peuZp;9uxX5=mt5e^ z-|;I4^4ABcNHf~-Tt~U?@z3Wo zUDqTxjSaohcgsrJ|8w*9k85={eZxUMeW(ZzE*)xq=$!h^2`QVjYd3h_ww3&NhM_EG z-cj>?+>$->;aEF_F8l=l*;Z`qN6{q_`Ak>&ikU-HD|$k|{k4@V@aLyjd|RKxXbCzS1H&fQ zEalk)f^L)mj539{PJ2o4Og3xLw8VVHGoAy9V78?kZD3)2zV6i*$)~{1j$64?Y?awG ztw_-R1!OpX)QYhJ2_FQ$l{whF_~`3D{wIUnVi8JxfhIo))W_8&(`o$%c{Ol58mUzt zX*`Vf%YG<*V~Ix?1ssn$ZT&OPkYU4DydJM#XRDTCxc;)cd8Tybe|b0%_^y>x?_4hF zdYJ6bar2OwS}YXJXnH&f@>INjFfn#?n9dkMSU%SIB&h+W+zme{jSX*q95mJJJSBt` zf+Z6PXXyY{b`7(66}moPNzt>i`!XX&KDryn9Sa0WWc4V$PH~{uQ5ls4;X)d*=HMZCMgJL;n zqC>Pj^$1_DC)EHdZ{b*pB}pD3*_8j0@N|{#=8?J{eE-61pfQ=4~9=qx^rOq38OmA3w-y;m@xZfM=`a0oAtCHG@ah$+}(tDNjC; z#t+=U!M*FDPoD2Xv$pT|kMC=<04qQ~&1xW;rNt&58(H%2HHkCm$m>q<+8yF6lZ96V z&6}#?NyZDQ;emCnH}e176`0Gj9BX7Nfhdf-5ip_~xKB;=hy@(TuKrs1ZN6eSHb= zhXUF6yhUr{Tq0)B2^}29!Pk^xv`1$grLbrawX&#;RL%ck^3`u|)Au6+O}E&qCUPmm zPho#8@3D-?Lm5_7anl#1dQSq=Q$>F~Dtdi%Z?!C!P;$4|;8j94Do@>_zrFm~cIVGn zSzV?`yt6U*UH)9j1>u-;+(LhnG5iN@#!pfKgO|tC*oDs~K@q9y#f=XyJ%5EK+-|zc z`XMS_^o%{W9aUh&d~^KzC~b_S-6x%nJ#?p9Ba7!8*aB3UgTCY_ zZD$TFMZ;VbhpNsS&oFjRYGm2YKypVT_40et3!gn^p%|9p1LVy7xoiP~~_Ju1Z z_gX$9pbl@C?w$Q6d778CG~SNhkw@XtNZ4IOAB`}pTnX5X{=S9Q6m7&=YBsx9agJ_v zxq5N=<5?)2&(cKlgp(@y0nH%`=}px2i5-bn5|OSCArnWJ#7GP{vx>qCiAg9v%nG9; zTZ#N6IF8RyOE_vZ2z>TxxsLU$H&C=XR=PVo>@v(y&PYT+RT?~BGM-q9EDi@(MnUD4dCOJAg!FjU92hQn#WuX@?N z6?dKSR!rt0)q5 z3tDPhzL(TQ2j&&n{ZbS77?S-q6)m@2+7FQ-H2a&(o@8CcvB z^=xxN@>f5P*3;^j$-2IJ#NzC__DqB?>=n4rE^qNK(bHIGiZ`A7{mfLszP|irKG!8+ zCb8=CrkDRkscyVocftH1W|>YbSVl6mKt~sI{7MmHK$6WR>)S0L$$$ch40cu!K7Kep z7k9dB#Q7|(*$6D64QnN^r-U>3Vu7OPG-pKPq5Y6DpK;FD3Eg=~?G-N-f3Ii6p)V|p zy~^?+HRD$+xi&p$o3Eg6A_WF9(Bg1K>azQntk&ZzzL>Hi>wwqaaha~M>Rl}EzkUdo z>Q(3Iv&e=2)3Sw#RQQUzB+nx2E%Z9O0+E*{ebXBO!9DJquigS&LF62vtd8twrym<2 zj`gz(5#l@&i~3xox}UZ>J(N?04WA)@p6^+!FuI;S`FV>w%P&Af%T+kNn1|vJCvtI& z(-(JySHbR#U%UIDTk{@GFc!~`JKUN~dSu5;%ErVm)&?-SG-t!sA9=?WhRWL9UZVS# zJY@M9k^xFElDxQ)&8U`MU^PkDrumJiANu)=#5TW#wmGKNq`h6tOhS)F8}mFb!lB2I zzO;<`rcL%DRa|YMt8K~mB*-v0NIIC@?7}ni$7LVOh4(K&sq8Wv68!2$*@a|n$=3z` z^9P7G*5TA?X(2$=#>ICp1;t8K4emXA=FNbD@iM>Jr&C3O1yfEyJCp0%dPCFP>+>7L zXN>UQj1l6MMZtG2DN(>Xh3+F4GVDj{n4=pKbgw^$gui-F(u=38)xLq$3oG=3F;?GT z7PepuDK7BDZyDL9TBrk6VEU+i=Cmx{cW6K{SOH5XgPz21pT1$xnT)_CCY9N5oUAnBT4m z${*WeZma>9ooOq|Udt<1*ze)ae-&eccH=kiq0D*#!AwWjSKA4WsS=26zD&)x`br-J zvhV)ggt`pZ3z*LRR;tcc)qObmb3AQ6ibsrTe-TKp$Xj`!uV;A4`dkYww^GkI@!g!1 z`L28SE6-zXtJ=gUY%v%X$;z-aI$>4wdCXH~w16X*cF&y`asSl3e}$k&m-X9u^JS!& zJx>JBU+x7NzXhT$+L`48IJ|NCIMe9Ah8P9HoPHg%u#p~Hl5zo2KZCAW|9zR5vmx7- zlNg4^Th90WCh<t)d~&pT`LtT*QeOV7TZtVhc!{nB&WtR^lfvw*@1mhN2hBkRx1d0k;Q zmTlnEdv)Up?UC`;=dJuZcvU7wtDZ37?T;w7?-fGT2?V$2%uy0QhrWGb_IGNi9}knB zi`AYF6V2D{ZEmGBs}=cYJ+cvthuPZO_Q?cL0useCB}-8M%-Ve-z0cCh`HB2Fe{Nk> zarO`>#CC8%;m%Rg?U3$IgVA9|3J7rJ(Rpk=rP8Kw)rq5ez9io{L6lXV85G6QG ze2CKjY7Ib(8_%vALeF7PwEeZ5Jj>S6M8|JJkEFZ}>>)rH(4V@!4s?!gDPX5W0z{4) zB9HV%ptrDZt)2V#5S7hPRkiNF1eldhkBADW;hG)JrCa7=leCLN3F#xKxVN8opUcR( zLywsE2F9obRVUs|PFPRrB*;xezP4+eT<&UY$*v*r(&IBJs%!MkEEm;tIsK@?FJ;Fs zvObjHzOY+xo&%AU<1o6 z%q|6Y@TN^4>G7-Bs51&@MP-d5`8C>)3@gl$&{9~@&dsm*&T2%HJ`v-5DH6|qu>3wp{T^Y?6U5}wk3-}YsvOhgYo96jpU z!pPu<6ibkf_6R^REWa4Q`Sy{#1gkY4!99HFa;%sAN~mdyt{~Bz>JU-7@~C?GrF5KA zci8*j(pU#qTfw-~t~UZjuQ_X zk3;-}mv*47x_NZ{KRW1p`X$DzisMBYnO<$mZeBDr?Vmg?-NPNdQ(xb6zR>^&gH=sy z3432EdGiVA-r2&t2*NHs-L}00F;OjKrG)j?Lt&rH1+9Y5hnyEV3#6=SETM2yVZ`v% zOzzY(rA?NgZXNzR?`!^OYY?TH1Dbue$^!(pOid|h;=>np{*D*;=u7FYbN#D6@n|a@ zPus%5h5W;1N5Nb=F#5!}EMo{;MpWAqo(8 zKtJ?DMzx{mkGi3?(qssd(ajy&<=_r{&b;h8R9J`C;$0uz@)9ynJ#`*T4c4g<#<{&e z{+-=@lYSuAx6?Dr*x1;=E|!KIY@?diW7Vgo)^|_?>HC!e1#x4t*!^7~htZ)wyx5)ebJV6la3=)wgYT{|^y} zb%XOG;?^YU>BaJoeeOUlRZLpqsQ3~vuf7j;K294c0+F)7O4|dTmVr)kNb6oqcq;d-a2tS`6gDbuzVB^HsWz;W3!eru)!u9#UL z%r+-{P!bD6hiL}u=qku*3hyoBogp_HE(Psx2jl|sA|HX~expLU>8fzxOu>YP zY4QZMX@CuS;vSCimdp{I1W8*ow-oi&$1YX6QF;ert&?VeIq;e{Rd=#8`XaXNhezHo z-ogC_7Ii*mTbc2_Jr-VPlhKXVy9z+c8%^Z~`YL{~d|NrLe7x@jp;a$Bu-0lafVs)Fxha&6uA(Pd!WlxGozio;-POi{M ztAVYju{F4eia&4v#9wP}Uk#lH1L@wqN4euv$8Z5JnHwa3_Ru~N3H9EU%UrL7Vh^`XnEzywSfySck5_}m^YOjt61Zgmp+z=diu zN4QzBMDE)0eKB|Nz-rU5&~*1B^6hJo44VkNe>+N&?Sw~j#HXUuS#qL^_%}##bk|hz zkUn9ac#mlP)qJnOCk6}U(zo?&LSZ!$XgbJLw7b6L*or)CNV@+1E4#gpnY!@4L7d|4 zS=&3ok8C@*$I^ryNwkF7g`bfb$B1yV+j<6KfjUSyKbIj<;CC9atjKx}_)RYRAF&rKG)A_dHSh9aE~=Zw}8} zalU25OTF;wFK*Aus7!CHH{zpGl<7|UNpQ#_4Zt5&tU?@mb+X@|_ZzkPh%y?W3MdlR znEOz5&AY~VBQ!#mUZ}B`04G0~(3lG=R9h`5x~@~OjkOoJ7QFee&biuuEXITw>P=>o z*YN_O1T1IBe)br?p;+esGT%G&RdwM?djCt_ALEZXI1g<^+v;N!E;Mi<6%m34BB8D3k|l`2Hwb z=$WgzUi&L$gB^(@Fg4SwAt?`KSSr?Nx*~#59)~g8FpAl_)=n(wMg6M$z|?d&{K%r= zc9g?^Bw6>#2L5Gq-W~$>0&ImH)am;x9jfcXU-a!jEJu|Kv;WK zTZ-o3K2&Bgy2Wsrg-ZxNsu#}Wa0Nv0yfcjC`*SHJP5OmVod|Q4`e?CtcdOl6?mHu^ z$J}6E$u-r4-yo)?iwyynL$Xwr07UR_2vxOHHj1fa8qpq)T^;R*owsOebqR7Bc;ryp zzl)TiUgO_~H3I@!@Q2o?ff;^{Nv0nry!HD9a~(JZe!2Qn<8&0Yz=!Q(nESuDeiVlP z_Cl)5t6Q`A(Yx8YGVwuRp}p(QZ4AG~a_5anurKG@Ks^3%qi&`gF}w~B9*&aAz#WD& z0<&%dg1J6gIKn8c!j$qHetJv6-}ExRggHlPRM7&-+paljl)D7O_XBx|BIW<3uYjFs z!3uIj)QKAhwIAipFu(`HV+v+YD+gd$hGvyt3D(2FUTO2|VqYb6i*jogi5^2lXLpZL z#TQuqVRrO|ehF|GKG3UFyxz>s4u7Ej>WYEg3C1Yp%y)j$5CzBr(_khf-wm!`b7Rxz?*b!1TQD?+J8L-5SOkHXOzdZPhr z*Hm_T6pyarfFn}DPK=rf50WmoctaXHZCe;KR3)fJ|FdJdjcX-LJ`}}%EAcPhXxCo! z#L+sb1qRp#5_L{+hnlDW3BS?`^9#3Ms@U&BuvG9)4sO1XSa@BE*AGA=0Jueo>hv0y zXL;HZe-w?}t8`GQBlK`Sqc^6Z?G$T($CSt(IHo#-SSd`*Sy@z%;$MLcW+; z?Ua8u@VC3fe{zgw~ag=!d#nc9Ln)1d8uI&9(Mr^tWpYDpRY z_DzVK|GDKq(~E!l&oj<{Y^FS+{3GvwoA|dYpp^eNnrTv=b)W}i-k#gEy2H9#l1{iA z+p!7D#-k+v{Ic&Z=xh0NF0~igm+|pm@t?=XFXg{m$$vT1Im7uc^-KE5C1@$Pf7wju zC(@t4LcSI9=MJ*}NwWXp%AZ{Mzr^LwA1AnA*HyV5zk%dm*U1lEME-iwzsNtIYm>jI zpYb%3=KA@S;_D6RhxR`m{Id^8)l5HUmDqoK>GvA|ve5o(z}~A%{_7xI{9~zeCH{DQ@;Hn-!zi%Ey;I@ zlkX+TM_yM+v%0W>;_B;&hc zpp$Tun-bKPbDXRXpCPp;BUIDuJ=XU{ALOwe zCyz!NUSmr+PPPRn^afi8GwYB8`?c3Xb890&^!STTH{*a1VR9ES5UuVvj0Uz}E{~_cc{_C; ziL()9~mX^ZT2rCxTBzEiG(_flQ-{W~)oRHcw&U@~Grue40ucQg)-lv=< zHb^-t%%j{_G4|4Ygf zhoSGw8r%FG%gn8FD)*bGEiV1{f@Tr_kCpI0r^YVhpR3q+IQ^`cbQv~Kq;T#9QUPRQ zc?rINop6ZePPu${LB8$LeuLyA{xco^17sh(2^uZw8u$SY|LW4;!t%cy|3=b}y@`tY z`&GhOTMcu78=OwrnJbaU#9)AsXvQLGrtpkUXjB6-9{1QwX&*ewA05-zRR6NR1{Ct1&^vN{l z$qaG4BfOEN_wtFo5iuie$R?C8aPotvR(sMB?v|7^SIS$9q#;LkhbFl#iu)(U4M$1O z?Xk+McZ=EW+=~2V_XFGee^*I4BidPP??viQzISr^G5!va{}_G3u{?_Yivs@x0A%6& zhu?R(d5Sb|4m)avXEb@_ql6L4@iH+H@o^TT5^S9TJ^u*^%u{z|03!{D|}Wm z3)@2d&ryHo-djNbUG#-Y!Zv7U{v4+M%&j-#n7GN`F!eY2IZJv`*=8K*QN9?ami~&e z4bX%;q`%z$-^)Mmkbl!Z;ov=ErMQjPP01&o)n-e)7Dj%#&w7*pwlR>k&B zoc`bntcyiY$%IA7I7*p)f35c1zQ2=xY&-fHT8b za{a6%|2S9rL0mQ!;^R!fW&@AM*0ffJ(GEw-5V8J-WR+k~=@z}s0DxfyH=6kVHaFQ) zY`AlheqEj5)8uKwu$=NvJGnV0gn6O)JED}Wa$hI8an=z_ncTQ`3@oi{0c*$#@2Gz} zZrDE=6D}LEm04kz4%{qR!p+L!<`-h4J8pD|zQreQl5TeIz|CJuxLHx$yrurkFg`-> z5Pp1fC~Bh@`td%6Zh^lKlp#j|O0%k_5$@!Y8Pq&lgWuFG$o?BMLgV3CW#AKO7!*b* zqBwAWcFd&aR{yzNg#HZ?IyjwG*2o(`!w^MiS%$E?QSuD&gy?@S`A8pcd*~s3mT}T- zDr_tItTWz!3?6VxPQW=rNFI*sQ)piV$C&ZOwfX&p|6VZ4!WjzAjRO1f*!VwLBUmr$ za{ZIupT*z!XxFG0`yM9z_N^K;*Y*K!;}O?J0;=j?AfbF0DFgBv#h&Uv!s&NG!24?y z?7vAH{lc{2aJf0zw7Y%4YrOu!{&o)q{U?_XUBl1hKY%Supw8a1S75)olKhikN;ivzgD(o!% zGCu7on==5X*<{#D_UQ$%myf)$|Ea=Xq+hl_sS&nJf6K^zx&Gctz7h^nJNY{Vb$GG}hh+cdc=fF|g`|$6vVICW)4O4sBNZYG>E6cp;Yk56%Cv( z4*`D6e0s=`@wbxnpW~ka_&MjkQr>b8V-D2;64C`=Vu2Yj`)T>HJ6kDts2 zlwSOb^fRgKTjU>%oE2O)l+GjX&**&a4e6IO=Ehu`eYlVJd~qAbl7CXaFQ*L2W}6v= z6{TN}wqP}|jb$mxXW5?myCT)a_VtB-ap{khf6#gX_m@k!f}1(>ns(Iv@_>6!{M++J zpj~?kKE0f9h?j6C1Y#MEpV!}K!as$kdz5dAlp!NL=Ar>RVF!2Bg#Hp6@%O#r=PKbZ zo9)<_0ol*fH~!K$g40!pqW0H?Klgv@Kb!nNUmZP2{`-d7x!!rb^RLIn{{xb*w}d}? zk6#&m#9S;xv;GfBe@lW<7OrP*==A%h=E=*QM$bNy^5`qw)9_;KgTw9k!>zYE3RoE`LYp!8ETKG42+${Lxk z+`m5Vkbkx-;r~hTkEao1A^-M$CB89Ru@>$U{||wVkH2wkeCNalWnuK^w_es%4(3em zDC~dY#?KiveiMF4#n)pzKq-nZs3trq{%F7aYzd|f%c-65g`q0ue^dqIe~{Yq{5zre ze@ps%6I%WPHp5{6s(8lE{j)S1W`93Ee=GgrF4=k+1o^H1?7|N|qWy^f1&1H}#yiet zR6FrwE*kl#TvMz62X*YV+Pmt{_Mmo0zIHp>*~Z_(1?>-@ow{NBu!8od(XM$}&GZBJ zi~MtZ`nTo>d><+nQOIj}zfJrR*XGhc#W49`ORfUM{;LUpS$s~Q{$=^oo^2co{6H1; zM_OOYKJ!CZ7GB*P$Jys;@PO)odjimsR#V9DoWh}}6T)GVk7(>{^Aq5aFYvu(u?)w* zr%xTDD$J$%OU~bS;%^Q0XZ%eC+8+Wp^&?#27}3x1Po)00>1>hnBl1t)$Pm868tEVH z_U^%>am3#(jz9QX&$Z$YdJjv2ztOOYT2I#Q%Z_DweU+rvA@v{(8l1u|>kxz8Kl; z&D-hf6fBGuoGoVUz!p#))+kwkhZ+Y_kmi5>y*XnYFM+6iaTczsg%z zl>E$g&$!vdA(H=Y(I=80I{9^G8iby_*Vm>AJC682TL#R%9a$s*EKKdrMzL_fx>zim z`+N9KOMPNHzK;^KI?mc-p?)-iHr=0otmLEqi?R*AVjfGrnll`<<^Vq*NI-sloX;+S5r3NYK6Tif-rBW9nT!qi#UdaNOxa|0 zjrO^HC&p?8uL<6w(bTVMpnLtAU_{o%AN|{|WO{I355#w8P<|^;>B%S|{^M_Ba5_DX zhiF}k^|bM4ou-8I)XaP7CK9dqr7Uk({*^N;;3 z@9}yiCB=1s_z%5fi;g`ytR1Sn^PKehB5?Y4U<-f^kGQxkYRAf3EM<9PTd|qvjYEb1 zJhd}#`Mhz7;(o%CHFbNgXkzit{%1S+MoL?|>aK%ca9PCvNl8t-3#zGod99LtC36t} zU5MK#EdItq41#pn%i)KrVmne%yssQ!;b)4w5$K=OXY3xLxF;KS7R7q5@6!gqm44X2 zDDRYAv*BC$N#DMfz~}Pt&BvC!gKtiurS*dG7Ihdh_ml_!oOX~T`L;n!=3U!j{A6qI7DRln@jv3p#H3%-sQdF z!hgLwaFzFA>5f&W1D4;x+DoeptlLBFyc>D2_)kyQr|6#!`nf;FO$}lNxK21!`r)`n zpCTTfjo2*q4$05@BK=wZ$j6m^VL$r&4KgtOi*KJW`;rDwhDYUJW%yTR14@qn2;gTN z{Zja;-&36ZvHBCxE!?C0#CesyL6z^lHTf=)f0fO99+dn!{YQoW&!SKN+ypj#UOw`; z+80rNA{xHI2%Fl+fMKjs7jLlwh2xrdssT3qHf8p3qAt394g5zTXPN#8gDwGYJwi+C z{UT?n|9?psh5q|H(0yP0!R-Ta94Y^!{6+cW73G&){*#4&b|yv@e^=*1z>Vr~g7i!J z8@kczK;_>jWuIOE=l(_i97pUU{3n~Vd5#$qpq+MK9GO(;vkow zJed4cv#r9=Zc8G*PlXSiFZ+=Hd6hqX{MuLA(Y*yfs=`nR$&%Z5Z}7_T>o1az_a-B0-nh0*+BitrtaNh_ZslTVsov>qMz9N$~r+OreXT1+wPzxXgnWm z$4P?g8?;>+?~apq`ouRBGxFU}M)q)~E2Uu*-*VQNFgpi z@6Qs9_QlS)YLyFt+4l4vtVB8bnXP;vY zxQW{oTHk@&>!2UPq>_AhZD?s#>C@NqttU@Gip%S`lK%ey{0QqfD@d!ZgD?ka)-%p; zP{`pE;E>-KO)@7v<2kz?d^00Np!k<2SCd1}3pCXy4xd2%G?g>6A$Sp8jl0Rw@G%?3_;~MkovoN4e5;6##=su6ypPW#>`u;uIq+$k`(Jf z&-!X8D^ZdZGlGW)!PNrbHsNV_3$N?XQm=1#i*z57o;L#KPToTQ!8;1j!wNGpcms8O zLpNBhWq_}nGQdlKZMGyc0OtVBwagUBzV`#?Rq){S*1%+6e3QKAnl!Hwx#WTC!cTDb zabV>Rk(Z=_CjkF~>haYav?mSV+uS{`CL6H}3f3=C_#{SeQ+?9#VANj*x+xl%V@Ywr zrucdcI2Wqdu-}uYX_k&_BS|`bNg2;K2i)TjEWYsFyq-Ops3+-;1$E{h_Dvi-Dg9n(C8X7DfGW z;3e6Gy-2Z<<%Xb!Pq|FjWEXmJvt4ee+vPQI^i@6fl5}%{%3bw3Qc2R`^s(&Cd3{v9`_u}#4LjeuPieG+`~hnoS< zH$E;|uTTDfxns}QO?FTIFg`T-O;dgH2WHv}>i)nuCB@b2`Wb>6-s^4mvZoA6>Sg}$ z95})|{sO)qkC;l*T~7>%As|yp{=n~iUI!_#QXRI9@JTv8!-B7>1OgugeC7}F`IW>Q zn^2N;SZ3+bGe@afd7jS$k#4dUuS)W(X6rRccQs)6_6>Bzk6Ph<|7Ftg%Sm&AGjBWQ z*e434TL84K1uU;_dfPF_-i>;$9i;k3j_qx-QId|i^tGn?WV_i={~+i#yqy#~lZcr@Y$BIi-(5=DjO{wN6koK)!F}Jiz`8eG+`K3(s^eLOtFw)#P=2)=9Hd zp-Ogfb5zwQyNnG!nYWXdvWXPes#{?QYMA}(olq&mkb1Lpjq&tf;AnAj=Dd#i@O0=H z&(j6cu=(v{pN{M~8?bm&li-td%xTx49@m3apY(hc>c>vJB{a&P-=Th-rurn^x2Qh> zbaAd6QVebnud}BQ)9n39z-(BTm;G4tBB+Z5HfcPa6LI=V#AGdl;?9t!I4vGeIbUhk zSR+pV4k_`aQ@#%`*obomc(}g6AJ&3(P66ym=#$`+#u(RUqMmC&sXl4!RMgMkq?zOo zoT)C*RG&1)+)h6vzbQ^h@eV-pZh2fA9*K7i0H#@%hV^;v^LOB587B+l`Yu=@Dl7h_ zoP!GrJ-F5pa2-|fNtP1<|5wy=`&`sxy6M-@* z?gq#dNt9(-z%Tl%P{)@emd|l zZrzqC!@P<5)ZhLa8RkM{7=Bqg(M_@+0r)|{pVq)A3*X(;%y}cj;M<2`e{jB&vjQ=U zEU*Hv8XXT<-s6$rlNJ61csyMb_-4mcpEx-T^;0!zJ6VA%6;n6WCo6E~&#Mq=l&7?$ zxIZ8_kKEA;^8n^C&|+&UDdHA{Rv(E_RzE22EZh`vjHG5wbUM?#5;7eEdRsgF>|Nj$UFGrsQpY*dc;EzT<$Dvf8^z$3kFAC}Q0qzttZ$Ltvr=b3?O_4>+ zrzDMql#6~1m{aRD)E}`m%f@^EVipdUfigMR)=9BF;9rBbm&h{hTgbG31T5bll;D#T zzamA{Ur?`4Qv4G2OD9fhTSfdyifvJkw?ep#IvcEM-`hx1Yy+5co1`FD%~DKUmx9sq zH*m02q>{Kg3h*DIZbr04K-uC;)PITkd+POR&bA`z#|2kBce*RCAEqe7N_uIOoq#A~ zrb~1izWq7ygC>5QZ%+yjZ05WXWe0(aJL{aM`PHG|Wby(p`UtkhO&z>LtVz;C0DmIt zIdk=#`~mfP;GDC$2g;EkI9 z7B(Uz?aH-$?ymuOZX2bMIS&W?zX6-*CY!DXc%B{O+%TjOjpxN>Hr)%{agLraB%2b$ z>;*P`6`JQ*$NNbVeDdB^0MF+ipRLy?PF6 z88+%^OWad{dh#O+q2?8E^7p#MhoFWLaeKXn{Ya%*>c*&j3OKzC9B&tOl%(Da@VcUh z-Yh8}{t~oy11#?e-yy;$sW%2ZwecwGBe+)HZb$uKa6+k5eUkd`s5cK!^+{^3B&<>( z^)0AB1yZM(31gF-oL-laQZvt-QLmxYJD{daYL4&MgJVArXFmKKnPjpoe}0GjxeH*q z_TlH@%!db~{(*XZvNdzyT#`D$C#knay=K=*=vtE6&9POVY|V4|_nY$V#K^bj)n%mA zTLI?$dJX%rhG%U;g-seySAysNwQdKt4vHX~brJ8Jc{FRXF@xo-bCwB_-Cz-$!JdfR z`<&u8644sk+_)C7qj=*gp{=1E2Y70ju<7aWq)D!Y>U}!3i5|ALI1MG!ufq)BGr z1DfiSCjW?f@`Iz0@&NB0X_lxF5yyZtjzC;1Gl}*GCzCYg0r(=yXOMb(z)lD36Giy6 z3bYU4_e1@-_4*{y-l%6pY*n{Jl89OQk4>B>i4H)$z8(|lrE@U6lNj~9I+NzNZ2A(M zG)r_L;v~%$a92ur6WnYRIZQFTG&q@{Num_vUjYxtS@un3P~1!i|6z=)5}Tykqfq}# z)bl>&gg@ovC4rywPyq6Wa!gC^9Y5C7DqDfW#z>GjqPSD^mc-#*sMmd_vA-C8fT$a4kgsXM z6=%5ERRIqutD&B2Zt)=gB`G#(l7bD*FT&3HodPNNRxCJtWc&nGYxXNh*&;I%AXwdI}HcBcxt+N3GIQf|Bi z+IR^(@LXVy2%mWPA>fQ74Q)IQJiJRI(M@<30v>zUOwtBN*lU`kPTE)( z^~X2WCk(rx9<&2U;#aH<$ddU+Bik$vj__QnxEc{N`GVkT(gQ^s4c`Aizwp|a7kKPDXGt5!0mD^In3FcvNBt2^^@Aeay+=tK zjYwG-932U5JQgKP~P6UMWt$DSmh9I3uJC$YXEHQ`eqO4mA?q}f6<*6+Yw zqaW!})@CXkVKFbwd>&vZ&Dg9)wV@1KYd&GmGHeS#Z4>rvv@yr87q&YX7Ilf>aRfkWLf>nsJ}C5 zJ|z1t-*l%FF|=~UYfjCz$zHU=tibjyxJqYkDNDQzDvfnb;+&3uwIx9d?`aJ2ApWH@ znulQDMjiJWanW3#&LdD4-|WwAlHx&NSQ?n2E!5D4|7`M^;<2DL${P0&eg#akLareZ zV;T$Yhu{9UX)H)*d{bjA!1LCiY$bUS-l)~{c@un+Yhu7p4d0;tQa1Y#zCpiDzDX>} zpE(NPU66pn%2@P=#K|P6pB44b*S#)jctX_EQrDw?w%9Len4=PLG)iX;od_raW+Vuu9qh0;*k0{0-m zbN0ydowNc#-K^E3;r~S2Q5Ie)1BgoEc?-bv>bBJy&)cGY?E;(fEh){ukt|~0MQY$_ z$TAdo8tXsL00XtHwP18e7`XO(Lz8zU4%dWt-PBZ{;Q5sbW^z)zF-A+O=c@A81@Pko zp0JJ5;BejqA5z=XfCMfc;a8ktIJPwVOwl+_e0beg_y>MFg2&4tH`d~!MR+~&LU_^R zb+1U7;TYV_yHSDWFNfY1E2zI8^`z4%4Y{~Z!}_!$k}}DhpxcnHcuvCWozX6V+f-~$ zavNls7_#h&t;zBbcn;w0`T8i9Y&ZNfc$auMBg&a<^Z?+?yo)Qnts@fNmdT;X8)-E^}Ok1kNN2d3&UZwu+%98GpPcnW2oUChX-eM}6F>|E1M190o`gxJ@}6c(NQ`G_SKb;`1%MpX`L#KSdm$_F6$Xd7C&N zO1y23+5RTb&rje3%!{Va=Kzm4Xp;w(r00F0=i>|NcWa~nW?2UHNtWLNdJo8wR#TEJ zV?)o)JS17j!y)1==^C_H06(8{1-{%GOvbTLAKUAHjd7=$=f3IxH{kgX;Q7(0&&2bJ z&?oPwt&`Ffz+J)fF!1(}Y-7Cr0KD;v;GXaqJar2`lm0nJYT)2r|M<*K;PrU;#X`=X zpCZrjjk9C^Bdw(8CsEJ$p1p$lWt~1xgfCs!BxB-vZOC|MLH%-F`%LQ`rk(#&{}(}) zluzXUI6FQXJo6qwJOm9LCRyG@{i3LU8})NzYkI~PxO;eq_>-t7b*q0G@RQ3{KR}z+ zzlM4!$dxi*Y8?~X$+9tM?*}?Ri994(zJ>k|0&j;x|G$sCBv}pw&)Y{C@MXbhv!wrT z06&lF6N9Oq-_@G2?ssfS^>4SCa{?j&5WnJcw05%aDY%Ou%O4{CB+C&vhuTlP9T0g= zvP=X&=e_Nxpzbi|V~0S-D+_#S1NhQHfam$#@h)Ee(h@KIW0zk;mdW8`iB^*33+VX@ z@wR2m^+^`y`5RQ9u5=|me};NKD+7J1r22IrOIaKlWZIU=(zkfo2C^_Nei7}EWVsan z{1@IO;>@Npdj)p9gI9cX`o-Ho6cRt1| zfO7$EW~^Et9epzK#+9)$S*|XSWxcLtfj{(N9N!4g(}K6Oo|NozEbKz-P6^*jc`5nW z>yU9M>Z#}NAiu5V^`icR0-mSrz;nu-jB#d5Tcb!6t5$m=a-Qz6J*6e1rZq!!3GCM>jaB-Kfd@7W zX?=`uc+nglHMA|i13S2>e_BKC1rNi~ch^WCfXalIfd@Xr$C^c=oXJD*wE$abM;q7T zCdKNUX{i<=lQzbIFY!$%v-}_S&IDe!ss8`ZJxGI!RHRJTkV1q+!_PLCeo2L>h!814 zWi015Ns$bdp$r)ch0O4L6YB2(ci8OsnM)A@hi>$|?sUVB*2v+p_Qo~wSX*V)h7 z&v$>;cUs@|U26}|K7B92OK)P6_xOdycKtP>alT#jnJxXbg!=3D7WyKh-(mD3Fz!L2 zU(jOFV5@1u3UHUm!G0fO5{VG*G2JHHmkoHM|55ZG3%`%({KrK1$-Sa)U|L6s=gD^7 zMzgRaK91xV3HJ+md5g}gh}&O8FYh9o#IMM!IooeDK2hSL;=q$E{4Wf%I$oKVM6R?y zyYFq|e3R%qw`lS;@rh>{kq^3atBkd2!ikZtbC}|6(ccs3#`#a8``O>^AoT@OU2TGm%r$%=W89=YA7cp{@&DEQfG~R&Uxa;Hm$&s@k&OuSNGeHA@HnlC5&7 z=wYY-QLdJc=-ZCgyGldOfoW~)L(5KAh{N5{dmAKPy;5|&leV}{T9DP<_6tR)HHG`XXx=q%<5lJ8;)TL% zh7hljz>af9DBDQZuZ=2-^*B}#lX#9hcP@(_*B!WmOm5yV z|I_2S70xw%tI=UaS&jB9iG#$cSPuE6I55k+QqdmBaUAJ?SdP9=YhQ~mjvS{|iubG` z`}pV=ry6Iy=5ur>=^#xDJ93nCSXJMSQq)N$QSFKA)iqCDZQ4c_*4OB~V})*3A1(Ut zTj;M6{fZX)t3{8DV^#JP+UeOM=>l)gkD|V!U`N$rVwMd2xNO^`?@80@`|=p*mxx|^ zO?Q(;=N(sKAB$PHpJH#Ze*bgG`21P1aZAw1@kU=#yk8LcEEIan=)|WZtuC$mw0Wbj zGbRnd5XtmNU9Er`#Y8j0>`dT_vy>!(`rXP zdLI@2kP6-UVh_=elRh?Ifqb80Z%0EG_Up@|h1sc3p&bG z{kN#l!mOJ)z`8HVy5Gq%<1+%A-Vx$6ug;S;l?;2%%eetr2&S;webI#9SHp?Bwo@w=U<%-(LxmqlML#>_(@rw#9^gJlc7WPHq) zgT*I$FTNApY(ehQ;!j@J|B9A9*DD(Jrc8Zfo33hCVUFliun7ud_QRsiA8@ACfuf&V ziEx{>HxN%XONz~FA`}Nn+nLhV_>2V1=fsCIRAP#ih{x4uMgNibOPXjm$!~D}WM4P5 z)&JHW-M>#kTPVy&9~0H?P@A@+qF>pf?aBkR{i3wJQ`)9?y7k`K(YdAVMy&mmdg9Vz;UOcR4g>%J;FQ6Gt_%(}0L&K(5HU|6?{G+~AM3ys?463rLW zEO2pO3;5VQuQw=H|EfZ_`@F9gy_CjYxq7M6%PTEgnP&=Hp`9d6n9qgQhQ7y(!n~l} zp8l7(sCM<{W3oc;^BiUU$uTb@_F6p*H%(R9b2^Ie%=d!*;NUxDZ za`o3Fjdi-OuD6oJ--^QxeTg3-!mi7*ihG_gTp9VTsA-m4S@g%%_RFGMThmSdrMcEqHv0%ua6Rg+ zl5a6=I;@B-k%z^T;a3p-KJhnyu!@^Uy8XeBk~m$I<%PMnPr(OJ{wZyLFKW6jdb#LJ zRU+K9y{Qr5rMCRK6mO;LqNT-$UQ+8wH~dnf<2R`gi>-@R75(xG-Fj*j(HECKwnk-c zMU$HiS(tUxg}J3qp&bK81Er_+83v zqNdipNc26TbzJfHaW^Q^J}&EcpI&O+3&iJQwdY!PYTW{&M?Xg^tnZc-eWqy`>1N#$ zqJLQW$j8zJ>-67uM(;PIC`9J`!aUHY&<+Y$$LSC9YN@x%YaMd1xZS9X$9K<= z#|-GZkIA}^$hrXUjf84Z@h4*~8MK0AefKiaqn`~PSA7eMewS$&>1N$Rq8})I%E9KuXxz_<%-86(PBGmH~cis7snarsEpCyFZ{_Z^!JKhYRz7$A#-|p%vQFGO2IUkE&6dS^!JN?bPIh) z(cxN_(xQ^aUb)6T-uM)@QrcD=P8P-1|7gLxM_BP1&%yk4B`4kr{_^*uOXmIqaqQs=dde_=ZRT%0%PQ_%T5QCPwLR1vjxIAS{g zU9x!IrsQe|1XG-q!nfh z|8L?TQOY&QuA;C~eXh=3PYV3amQCendI~94%$D~GyJ$r>v*j;pyPoLwtS&8#PCstn zg4h2_&Ds*L$rmPfC5?1es^62hHx8|g^SpZMhBI+P@o5_JKUb>fK8EMq=kSQPyC_EI z7Yz?q_!#}U!k4_+E4|GMN~pq)^Xzy0CJJNG&l>GGSNq9*RqDLqAng=+YUjnqGXKe< zyfe(PkpS3SV?XyC+BIfHVOZ`~xWvWOyM9NTr^Og?ys;;+j33bFjea1qy$9FUtZ|Ms zTu69%xKC%jeW-eNZRK(MZwux(e#!f~z9ulgRGK_P6yplT?%Ay)&Oa4Lo?U_J5?2rh z-YIlwEo1Y1+G{GDc_Q6yTsm+u&NmB*jZ5`88-M84r(ew5ZxC0XIF*q8XEdR=c5mBdpc2mR-#!a1LhEy9<*V4Tks{o9pn|DGh< zFCVxY=j(*T##i{>){xadwu(a_~QFxl7 zM4GoZlI^zo(N&tj-8l1{;b|4T(cdNfP8A!!p4e#IjkECail@u8{^DuUA67Vz1i=4_ zbG-f#8<<3{LNGeuX9u_^=#gWTQsS8v&L;64!rN8v$hc<`|1M3AX_@`GEAcz=v6+rG zjK?UyFdj|$oS9UqhNPXxr$v~>z%S(C@;nu+*~>4ic{X51e?@0>W~iubVUfuD^Q#g| zKkOClx**iX;WBa9swJN@ci3EL8oMSZivB6XOClxtpGZX@v}8->r>a(FFMilX+VHDI z^GB&w?mF0JQQKRSoc8}@#drH!(Ub3zo^}qYUvh;ttVw)INHifw{Z+Ny0aXL7S3h5g zD4yO&KOJLJsak+vxkFr~mUe9=o6OU+HM?$?^vhbjy_&RLU-av08Il}scd*a_yZ9fv z!?fZ~bX6-f2s#uz!LmRkaK_ulLoL6d^FpX^IP&*Z;=7f5aEQ_k6{ zwiq{T`GmH3mq=|*`adLPK|A*>%p3RVYzVXGM;h1Q1P65PtiIp_@4>CuITbx5sc`;VVGUaF0PfeDn|s*z#lD5 z(=+ZnHiXyUx=1*KFuP`o?zz-{d1&-oMc+J*HM{d* z^xH*$b!DVq(ZQ}k8SH)1%K8f3ut6&#*;Q2f>*wQ&3bP%r->xmN4j*RAA{ux1L^@aB zJSI1i^zv=HG>Eoql*OyT!lLQj9mXA3x4cJ2LhguKDbhG^GFmBROwxZAwPH2t>%(cn zKR5OWX!n)=LudaTGDbITpAbDh*-{wxK2a_Z=QoP0Wh{%XCna&M3TNZMxgKk($AlL} zT#P=iG+Cns|7+pxj1Da2Tv5(fOS1!tNt{a@KHS3LE5h^qAxJADp*l+RU5#EC9i)5J zDE5h-PXt|^Y8hZ|*}?w=H9I(VSu#=_OAX>*+E$d+_Qaa?7qbb^S=oFTk*aPG-LJqy z^?umld6F*2iW$^WZ#{etb;*NZxpk zypcYS&3cl4)c-tAo__1~{wDg@BjT@LbTf;=q;Aje;%Kk31K&RfV%jJV}@%Ds+>$i|G7@ zs`Q@zhjZu`q}f$h^WIV7{D;WFGL-CBxm#ZPWhKVeN_6Hoavs(EuPb_-yKJ=dvZd(U zzvFJ5(agq=h%?WB*5A-_qv!{S?(MxF_m$?KH+nnKoae49014sRjr{EFu|6hc}dt8qpirs2fHQPtd%Z6NG647L`bzRHi`7e z@|I^Jhif&u9~ENfezcf>crPwG&r$zGbq?g_E z_9Nz>>!iuw%s=tKNT^>ZI(JC*g-wO~zw^q9_Vy9gnOVicDO(InF{Cq zh5UL&HyaB(i2h{k4dY_b#hu6a{vT;U*;z>UhEa?Idj71!*>qb+`1rh&!QuJl&4Zic?ES%>4z~oXUe7RoZsbS3wtMvDJIeWC#}nBI9_HJ z&V3$we|?NVNc}3*T1ABAGCO0otW)t{Y41+k)v#`DLfY5*D-vj+Mv?7a=vjQogee?; zdYt`-xSnYqU&@DDU4K5DXA_RI;pPbH?FqdtePzO?}3^g=QhS33yIt<6hE)b*Nq;f1>fCQz^q8^X?9R zW!XJmUH*;UVJ4No=v=qALiXvL-idDtyIdyZEv^pTB3sI+_Y*ZLR>MnBoYU?+$BKNJ zi=TqdVI3Ou7C27#lN_-f$uEZ!?0w2viSY7gYmP|nH+J1*98Ol_`$*Bu;Y?Co7W(sS zBd$jG>#0 z0fp3OY9Wx!Q%mL3eV^rG+S^s`W{F$$)I&XeYv(o(TfF@o438N{#&iBUYA1KuUK;C> zA6pm4WH*Ms(lvwR#sIlMho)xAP zu`N&Ip*kFfvpJ~)KMYKMOv?2WCjgnI|*kW><0;HJ{od0<<_t}EgH zsXI;RwR<}!G@7KMFV)<*KL3LYRO7GaS`Q{~AV9vI{tIU(P+eB?VcxfSqRVx=!vHG% z7q+%TvxkjVsGxo}T_Z}Kn`!vTG0!XgHP+oOg8uTgYTEO-y}gcIj}_m1ojAt^vGm7g zSoiX>*oyR;ReaLj=gTlg+-ILc?h13-Z3XG2X48>?&6GutI@fVwsb30+ z4!kcacYIpZuZdwS^b(}~udaKXEVzDDSPnSILSNiX#t8E>I5b{BYy~+~UOq&wCJ5D8f<$Zobe4s?C=s;dm>#$8ODxZi znxo!nW$sNs!|v{5alBu#`d2YSq1Z>=t8449#I^Xyy4rCGjGu z>5?^8^_MsmR0UN(?a!cJi8aWV+ESQ$#_D@OeWi??m?luP$K@nS`d#n18_Oz*p>Ko> z&fFb{;#$P8QGMW(e6^I?q7t_=+_Y_gus$rq6#vP0Dw5bQ&GdFQX1sc0aA7&h{_^(} zh$!o@)|o654dUC+5hc5#b_KdsA?Lt)j8-yV(uH6;Ty6K=Z=hKqeqo^>fk`%&X02S> z=&=lmZ80gbfBx5>xo&1gp;xnoY$o2w4njYjsZet@&o7-#Gz>yzLifv(TM}C}J{>&d z(swAQ1nGKrp|t10n@zG$A!id+GCr+TwRKJ;5=h|eF^}N7FZc;1!vH)AzZ;VQ1w6k~ z&W=qpvMN4xpjWz{;VNf-_2ixK)Luf*?RG@JzzD2SBWN$wXnt|eO>u(@d;J`hL^vXQh!`B&?s&c(#C0KQE}Pzt6CCIXY*4s!*=imSbm|Khu&B+=kc>Xw@t4E!PE9 z(~3McOk%%#zLiemV-itymE0dQlsZ)Zr86*>-C>FJjcRKVAG&`*mF(-bo7bq^g+$=vBJA-%2nE+f!ufv;V?Er z;ag@o4N9+{g`LIwm}#9VOb2jGp{Lpu{2Gxrl_j{Zm+=`~-d?88N9%Ta$5uc1Y#8&+ zsFx3{eX1X}I+p!?&(?`Oy!twG>NwK}7hc@$1xcDKA;7<=e*rA{9?b5Apm{435Vm<- z?dP4r^}30E_u+*F)dwNvW!x?2L~DbV+!$-V3IE0Y$2=qYJgUFd0gkkMGuK7Z_DM7Z zkrkNEG!{29eVPV-xkQC+hSmWT`i5upOm~>lu8yo?R|m#!|B^zdeN1x{b^D+71i*1W;RrGRFSs zqqBqUkvE^bR7RH9;yiP>FFj9A%+KkD2E?i@2U7in^8ey{Jj{z>ykeievM#GF9aHRO zhpqF}5pN_3wzyunE|f|#YQ{f&x$eI@DDoREEkZZbz|Q1*(7?97GOvew87DYX z)HA`yN|UqcFY~*awzi?>K{1x(NS!xY(9MX#TGUcrk0brrzJfx z>#dDVHwIVQdXfy60{AP!<@_$ElM2XY45`x38x3?I_6}>oCh%F+L_&Xl>nF&?OEXJ;&4zxV( zpy>f7fZY7${HE$=39t_N#zuSVOW`4doi{<0_tgTqEBW+3k^Jxdq^!pMn4$+LI8>)dg69~ z!bb*FK%Z}fY*|L5v==4BbG6uNoNPw;a0f_Sek~*%!~!?TF$q4?m-_F^w#1dWQC)m| zDpSYbUC@2_v*`G5Sje&opEqv$G*8$;9Pb&IW)H$N~Lz`cclgf0MpFY!*G*9C@B zvPTIGr@9~vB!{656oH#!{sy5noAB6sl@S*`DNw-ixJCGbY;IW7-Tfj9 z^%upa`8gBIH(4_{9FOFmCHJ)Awuf-gpeprPWcT?VNsop#EIAWPA)z!8^%zyZ zAN-~_0`L~D5h%u1k_z=SD?FuKxpN(YiPqGR?x28c`LSw0bvc2uz8ZZO0rF0T|0lGEkPP}!+?4ghlL&NLUkF%vuh50?gJ1tAXq)JbGhURThkHWfv>=^>KF|evl^s&cLak*T)lA2(<0uG z#-f0~$B=V$&pdnrY%yl%{Zl!99keUz$ql}v~*MY1Ht zQT6NQ&%Yg?#%be%Nk789r68E*K*EgESrWu1nV%~TViV7YsS_k4uWJl4Mq3w56VM

7{v+NBNMb3& z11=WQ<+cncl3q#2U?4-ZOf>YEgdbu=Pg0B}9APy)cvUMJ#|~~!OVJqHrtb5h?d~i_ zF>OfA}ps#YF-pNI)H?AS;;U%o9oNKUzD(_{0icwC2F4tQn8 zfhIXl57x|&C@j796c>)7Zh0X+_F0b0x*7M@rm+>iL)CI-n_jCx442WEH_G<>T(JRr7 zZnU2zu?9O?2gIb$#|(%;kq(G_yHlEcFD1a+=eL@kc$-}8D!i!bpi^A=h9vfsL=6@b zQJ>>&un^xx_3#3Vo<{>*q&_12Ck{(QK<~I$AiR8)lvzitYetXrb{OSHqOxbbH}JiQ zPv8$1khz1a6UJ2W0Pj!%HsF_|b&1TRXi0Ui4efULktlvr?RTBsoZv{b7^Lzx-1`k) zG^I63n1j7|nZ2wGxz{m>mfKSJa{hDX^{<{Wg;@>jVt&j;ZaINJ>>>SyZ(_vzU1+d+ zEWmSO%XEA+l%t`81B*$s|lQ znYL?BM)}p`AGcT<8GJXO$w!@L%>Hdm}(&O(0d7?6GE?n z5PCJe_udHx?>B$xjaF~AM~Q$vsj!(Z4QOR6Yo<+gWHMW1`PMZ+i*2PNqLDL%{M&6R*uG z(7ZUXH0e{*Uyxwg;W1e2GWp7zkM6A*vj4)+r}~CyGeJ5IUhaEBds+0KqjHxvSpp%7 zH;eQ`&PoN&f70b{{2C-)mgB26+1oz!P`wk@yw5D5@iiCZ!3&X|wmT8=^mz>50{& zW~a%rA-XA3Fqj6~T)07I&kB0;zN5FSbeOM4Wm&<7&2WI)&0uY$oEZ}dD&9Yq3 zYw*b)C*N9-?d28*F&yMU793^GnD&(f_pU<#F9P97s0W%vGj{}V1SKCLVE75bC5qk~ zxPgFjVn=}929+CjfASkp3N91k zE$}7DWyROOsq1R$BEkwYw+@1N%gan=&34okc8cvv@s1V06DO9l3d1OiRno- zhtt(Kc;iXHx7v{E|AuylS!<8aRlFAoU~|1B62M~qzx2nS05cK}@4+OGQoIU><5f7E z-ByYm4-U1-pJA(qv1bMOl2ZzDm4gq@u_xS>b$J>TNekJuldwF9e z(F1@$Lclh8hFx5lNR(1zoSmGVz%Lv9^yjA58Oow~5u z@W;xC?XsB|IC|1)Y~{n#6n5BI{+tP619ZADS=#6aW*>rjHSH;NS=tb-QG8DkUlABK zwCIY0EeZMP29AfMQ>+f~IdpawN6d6d|Wmb$EWM2=T5(V70K z1R!fpBl98BwhUJ$gn17sqR^whX)EPwfqk{VY+XAFEcRp#{E7X>ke!*EugitKX3W}l z5?;2zuPa27eJXYN0-sVa`q7mvS!A;wysh>9URvrXw3NRBrmeMlvFH`2nkLf;hSlWF z&O>>Ex0p{}REq1=NHd0!NJZtQDk}ZQp60Jt9L`OXMsvaA&U)SH*G;Te94Z82cYhF2 zNsRd}?E?>A5^l*a%XPr@|sFEw#r&CWYja+YK)bb(&wAAdu2?DbyPz?^0~or;o^-$p`nA9S%Xl8&h12Ood&~8)>fIApHzMl${1LAkHaZSk2)a z=vqPKsZM#8AYz0k5e8ZdkHZ&384)_6NI3Mw~+#|n}op+|R$Ymi?RewqO zWj#y+IIFjw{^fKZfiTqPf!2O?JiR!C{?z>b#%^-QobHoourp%>L6df zg1kE)>J4ebQq;Qe>ONdBRe?vg=~;@p2ry)0V==9`STR3>uDl-!LGXNL^vkOtx=6nv zGJ|a7S=dg%E#}!*;(%i(^5++gtn4O&$c~l1IfmtXP8#@+4mao1mG9FtthFH%caSF* z!dryRGx6>lX?NbS_x4~VfUBW1TPh2Z#3Y+fee}|IoKFMXZ<>G6-^SSha1Zk_f{fPUt%XK@5xD!~^ zbWem>n>M1Z4H2cfXJ59@I~-i?m*F_xr}2_+8ei1@+Rk?h`w-bH{ia~v_E!N0b^x3V z5101a{<;c=wC}Ypd;S?u-Vto%B2#5AjKm^B$T~MbdG!_Wyp8x`f8|xCedRl)mKVU` zlJYBKDHuBRzQk98zJaJB98ST`g<&y-Hy=B+vi(hmSC&^^-j1%?5=4bGZv~Mo`MI6Q z5{7X`tIp9BJG9LQlgPICk)DZYl5Od-QdYJ#1!|ID^-gmbONNeos1qNl!Qt?5sF!e{ zxt_`=6zXue3t-GaVVX;L&5`I4pvCHknC{uR&`G&+D7o$jk*aS7sYFb|z4J9`mmT%)^q7r0g+g6or_S2@ebwkY5V*$j zmMymAl*E^k&DSb>?~-b4@8GuD$V}iprKk-&0k9>Pq0}p!RcPcNMtGvE;23E!zdvw` zg--@dSqWqoaIV&6|68*((Yu+R&8M$ygwy^^3U*t$V%DJ8ZuG_TbAwaSex z+Dci^%Bx&;w%tT-SOREbMsW!w%CQBQ39c84YK8#j(q0B^mxw&g8Bbnr?CWXcoNqU` z5v~ZcV}Tc2L7ua9!|uy|^06bV+^_>Ze3pGB5XQp1hcHnts){(fR(CJ@PEjCg|JzPE z8ZcG?Tbg#%P7&X^Jl`E`DWYywin@zx20=RzHkM*Pv}rN+Brkhd>K_yvi_@fC83$N{ zMdJ7cwE;BgC4@On@`N8N^&flz1`QO`2sOGs?l3Mh_AxSt_6 zETT@8)@Am%Dh@h8K-f5MKsN{NT8cYa7PD&{Vv})6Y||r652%;n`qZ&2RlIq&`i1-> z-Y1;Wu4dx1BANw(%Gfz}jF64xlz*ewcA-M1OSo%aFZXtYeMZ`qiO8uLV4X1U4PieY zG7+wTc5YsPq2lWgD!z(WY9;O&i?95>U99+8UV7P5Z&y0yA}-p?H!3#fg+j*iivY9e zH{L09@3w+oHX|AqS_$eUGQp+!__scY#6=5-#;29A|3fcJr1>V(aNd3u77MS?{@O!y zn;f^GjM0I^mAv>GCYa@XqC7{B!qaJgE%11u1al*G$^6UO*~EJ3rhzicZZcxvDW)(2 zmJ?ssLpHL7$k<34HR9_PkbYZ;d0JyRWqEM)Z!Vgil>%+2JP!n`b5TJgeCkHnQDis^ z%>lX~&e99=!xHwvWa!I`L?@5l1gA9^gVQw*sDl-~hk?E!l!|Jw$KG!?_El^gMYg%= zukB`&73mXptK6*E_%9;<5cJ1L-;kY3P1&9lsB&YpKxl`XyU_;5(P>H>;+EmkWdJWR z77Ew;NBNF4Bb@LOO(x_cKG`1lap>4f(`2U6?8&-UfVZ6Disg#e%%z*}TiKz8zwCR} z0d`e&?n~hdvlGCxUvGg;wq{&*OX_n19LwOg_iXl>AA_X@p7+FBR^~4q&3{mK&?01G z@rN5V^7pc0V`WHm0ALWYgUyY{sRVc&68#I2mod zTabbN-u9hrFxyv@0G=Eto${}?@)6=|ySX-~JTEx3^OU6p)XW}8OSoMY;@8u8WiR-v z8w7wQRSdh8N0e+{le*0D0FNUXj=Ze$S}D3DWHSulBeEGvuH8`tlx)DWm24-F>mp$y zk6xN&yI}{E20787$#+U0m26kSb@NK6`A|i5Bpd$N><%J~RY@_kVZiWDm9DgsjY?h8 zuKYr~auaE7b|WOJ`=r*>Z)K0FEOF4WoR^K~$KIL?n6qnSs%?W0v*0SOelGn*h(F&^ zx+vpBSt1L)uju8}2Wwi)nPKA=rpmHem|QZ`A}0L7;+b|}MWPQl%>NU!bJsHF?WngD zIPA)W)l_1p0GOS&w+BM&VpN68{PY05)Zaq!T8&%;17r(udw!5)o0)ii%1wsoB1oT5xl2f{ z>=Pseu}s;M^{V#rN<6h+VfJ9B-wGlIOTDahc?TX@3y_napi}%RiQw%Wp~paHTR^95 zhQbYEb0wSg;kpK-tYSkt$?@08bmh9hK@SriQC>N?n7-yRg%NvI`Bi(6KEyUoTp$?Q zHjuiC+0F9;M$K0AlFb2k6~tu+%{7d!dX$S2_9u$N$~nbQ4~XZ|zGgZ>v^pDg`8QwOI~!{x+*9RW0+W^4FF;K5BbM<^#VhDX+f*&>BgB9l)KkGI*N%t?E51&kKmLqd z(f&F|3mgiLj${yH5g8NQ)7mKtvUoj;j7O}sihM5I9CC55A;F*<~7lk&wzHy7L-HZk9F5pn@hbsse zC4B>hlkE_3vkY5gm}toYO?i;gcCM)+MIRJ_O2j?S<@yH9UQ4^RUDEkYmPBUIRkO~u$i)g zE{>YuP#qdYTI6=K;T15+aAB7W6C8gdR07N^ux7_eyK_agO306kQxFg}J zrO$oIt17WAONJgWw2oh*%?43%Yz`$hX^xvO`*Mu>=_zRxZzT57@2q|}i&R{i-6*k% zo0?0{dJd$MW+xGAFW#+65fSiF3|15horWcWwy;%~+_Q_rhn1RsR%g7`Js< z0cA?_9S*wQIEL>F9Pol`2} z`RO1ma;b^n0>$CKJr0R(#oBoveYLvh6wX^9e2{o`x-<@n{RNyNs)&ts-%%NMMkMxJ zjLR%Ya5@bCyr3q*-F|nu{VeY*;c<^#gEIxS0GqfXCW^O#$$e5*0J(>&wHBP z;7BgoS0jkBLjWNS4L=m>LsVq)6nW5YROkNtB5eK9IrCUX0R74H>8&z zQn_&-?dl~L-{*diS`P@$r9zb(n+b%${0nR-gg4dVP!Ny{0oViXmx%id?Ui`TzP~JR zM=?5#d}lwqZ}c94(Dv7VfeN)Alfd3Mh5jtKw({^f&nftc;CmnrXD6iAk^+JP{Fir)D?kt^RZLlAsyR0I( ziKo(*V?@*En7_HjjbE0!wJUK}$gT$)D!v%?ESry}t8D9!dZCSt&jzPhnjb`ytC(^B zR#Q3@PeIw@IYlGnnLy-O$&5P`CQxbkvui`fGOPoxUmwz{Pf~Kp&gCg z_Y=c0N$f36=4_!2^TvfetZDILhZJ|Dpt+Vw*qMiZ+Q?C)GHG#XcPh0OBLdl3mldI2 zOHr>1u@MvA#Xgdbsfch4l#1g3URh6y3AB{qw3~HNq^QEK(8zyPV1!tl&0%+#WFy)g z5`e|wMUchqv|Gsrmlcac%p;~NU})K15ZTz5-^gPxDj!g?d4mGyqSK;WD@yN}E5N7< z*dJ7U$vTnj|7USe$I8E}1Q6e_CL<@9i8V+zG%(59UrM)yi_@pXmX&4-9WEnU%G?!+ z&K;!hKn_8vSy64*w)#d6wUNmow1>R`)8e{c=Obr(MP45T@ZIPXcEXK%?4`!R0^zsq zgEEbuRt!)GkAz{b=fa*W7BXz0S~8)o8DU@Xc9E!f%=g&QY}4CRGndezJx7%=j3)>f za{$J0AOwG|nQ|l15}LjSjQQINFN@QS><+FLxRMq)+z<3bsv)Z8Gx};Yzzvo%va?3d zXU`_^b$yLU0U5q7wkO!SJ{3+gG6oh+?yF6HmhbIwM34g7JTGIyWD(SNO7#o*hoO3donLP3E$m=BXLc(~0H>Q<> zpzSFjc##X+wTa(%tWM|}DR3DsTGwB-E?e3&bP5JZP8wKDFkeh7R+L7C0I}52Z)>(ZJ8}4FV9gG4oky&ds8!k09i=(2hD7XFlS?5&MDiobiLS`?kyM+ z*doss0rSzTy(z=v z?(!2CtgNc1)eA(e3aDSxY0)doXEr#Nz8VKTlkBVSxzktniGL-l zM$Np+#5N{}b5_A<%XcK7-M?BH{B)34_aPj_i#cFDd=yBR2|W2N~Dvj109?E%F7RHfeU+`O$)qLNmQl{xj7%!!@hxHwvH5N$FGy63-T4i0?*R| z=a;A1s(``Vi}ki2w7};SnT9h8v5ktWpDWO!kMjI5DpccEz{R{TAq3$RJU*;Q(QMdC>@2iP$I4d}QOiN@-&3lNl|HK70c2PVnrEzJ zL-buL504?kTlDggXDw%~j#p}vZRPh%){MB0m~>d!wh*oj7>xE_pC77(JsbkKi&nA+ zoax!gT9*x^Al@u;@}anB;h6NT)MeEkIon>Cgu2*0BRyRisfzTeJYA0WTHsI0dbZy- z02U%9eL8T9%Hhg-y~%JFDA8P7*R;zv4qGL2Sd4_WUAI%0eWlYSQF~n4hyv{`ZNlxx zx9cI~f&`js$~cdMuKZEkwI&i^SF(;9bcnTm-5_-p!rT_9g#9n2!)lfCPw9|QmKIUP zE1dDzLF3~S{!Sn;a`;J;VS9b2CAMcTjH~N>$(AiiUe)wOXgOiNGr;{xF7`BPyE9Ws zw5W^CwJ6h%Dv64NN|R}a_NB>_=*J-aD^y1%(e;!>_1l7%y`xL9;Hmgl0$L#Pjm`LaAIOB+R$Uk*M(s>$A< zs~JKGwbxbK)mifAtD+KUSN>JIavdP}1Z)rv9F6F|F&%QY9tIOxRC09qAl1A>yR^VF zwZOHZs6{AM3*<}}D;#bB!mG$im{U0^uduko|mBFaCOUT^GsGI1o4iw0lTqtG| zAF?cn6pV8e3|U!|*t1+z_F`MM>cL0`&D*))%Lb1*mXZfYqud_Dg;Q6Ko@vh_p7}mf zR`wE2*5oa>drMh4CldR4xi5|)6Bw}8Y zIA*Nu1BMkuMS%(-3~OnAd(iT<0yUH+GRl&TeYF>* z%0OS?;K-3m*q<|I*yv1J;AhH}b2DZmD1cGeJvRQf{#2^9Lq zPD&eh2t{VIfqq5QX^M^OP+lLEX0qMbMl(J`acHYp1fVc`pa%?4I)sd~Kg*(wU3vyt zbLnU<%A4D-=X3o>(R(y1)HVQ*NMUtJ;v$ttSVa_=w@f5QO;vG-feWsboxhHW_K>>r zvl!a6U85EFzahu_a#7dBt@V{{m%T7KK--gmtq$`NmbS?ZqSFW$&n~351$Fk@7m&Rt z(`g*acE^F0iZ?p#1PRVVr(x0|&Yhg&?9xVU<-a{(=U#D;gWxbxT3+5uZp_Bgf{cAq z?AW(A=jos)I^la;T@m>o& zR!yd($#6QI!gP}@$DaslEcG5ph9hW!_Lpp^3)1_bSKLT!FbbKBIn3J+UPnf;{-uRp1F{AD=>`~)imIprz z=FLF4@d@VjKg_xGjQ*qqo4Qaxe{Hpm;fLxpfU4~6YAxLbt$KUJ&@IOmkTArCv>^5yW$WO75i$z*4x%P5j?d3P5Q_O$3X!=aCA)~o$mKRuj z6*taaEs&rD**k560?*L`|0UV;d*#pP+DCJV2|G7mOFbTpdo|ZOqC#YAYt4Y-v!C8P z*@)v!ll{=Q+2`82ri_{&P5gSiZl~-DdL;@=k$i!dHQy;alj~F1MUxi*3(zTRbJ4Vh z49B)pglr6lZ{}Ws`N{4Sl&7cBA2TxQ$wHxx_ti$sM+;yjzDGhZ&uzVZ-C(1t3a^wp_Sx}}Y+P-`9unTUAQ7KgG?XQWwMknJar@@e4qGY%?H9A2aR zB>+|v2a1BjZpvSKz+am&%mT&}9nUDtv(|nn{-Um3HLpCs$GH~Ns^VAHJHoz>>Y`Kf z4-~yMb=d;NJn8t0S0245NaM4Qw3m6+Nw8sYc$LSzPDU_&SpCpKU@u>OWbE?dIl>!O zKP+A;SI(hxJ^ShzI!%`(HdNJ7f;&}%4&hQqWVg3HrwABkL#UgWGlVKSKsBPGuD!QHjcPFE@c2(dY<$c2dA z+o_SbeK;9nbFk{%Cv@uEGPfE{Rkl$K7E9*RGU4aWmguh^KSg3hm&e!AkLIB4BM@ z?}4b75GiUvo?AKpEu_g}V2cTzcw#jES@d2@8;da#y+u|+(y}@K6M?Wq8w$KYTb_Vp zOrrspDi{T#l%j^!YG!MTvlzolKQnk~?M>0%wWRH6K2alx*Qo`rI2-z)I`s1ADu&DW5q z4pOq)!lg%$$QO!iHxlcsBJMa9HlN!xJJ%UtDYil|4fG43yMuW--_os0pK|utMpj|$ z0;oifDS;ur)-H8tOcCA3btRm+f`YLrkgvsu1c<_MZ9N5}JH$4Ox?UMKuic31!Kjui z|5%6Ja+wz!&@n@$lTG5b**-6y5ycyKRx*b9yGC>Yf$<)4BvQrlI$Qp24+J%Y!Cg>+qsx81Ej{oJ^U zBI@^ERB{~drWtUs9qpSqLqv^fU)a0&o_RkU|dVCE4e5bGbR>RNf>C^cs{lrmW8+tBYrg2URVyC&BG=-B=Cz&}bsz*$&&@Hm@q-vb6_tl7 zqE1I$I}IFaB;I{>)cZeV>%L$E7YMLnHy;%saEB>^)ABHSml6(8tRafpQ%;17ANkIg zh099u!KIsjY=J*Q+2K`ydzy;^zonHjlBF}^R03c+?+A=sJw)xXJ}`3j$4Fy$`C-I3 zX44ZIFA^GZ{e`r#69^q{#>p!?It)ND+f05M78dwpoENi}>=a@4@-mvabfrB&=LtdY zN9}p%lS25kHhGBWO7_8A+GG93&id*^xbi=Oeu-fC!i>%aX|BLQFD zb*yd75>lyo5NzNqO#CSC1M?61^dPXIX-+X!Svh|&Pq$(4)dKr#fdk0(C!}mtU?*_6 z1{Y03WaeuN)CaPHUIv5RdFECi)O3P^@fYfP5?BaJf5n~OHh!kxd?f&62g2r16w6h< zU4$ddM@gv)vKgjeY)DxrNmjhzYIBJWV9ZBcH2GZP7$!5?73KO5a6b_O?{nGOzm&pk zP|6wzF?MI27=g8}K?>oFQjL2W)n&i^Q0nsS+EZxWqW4ptZZE^EU$EXqX=AL&mVCCh zQXy(SZd#klXSOUmv)y@|{DfpsRcF>*?NBIpMUm!MMmAm@tgr5-wT&oC8$J4N;|9HF zsB~2E)fpT;1-9@oWd~>-X`>9gjP!Cz9cZ6+~AEF=aA0Da@F+3rE>>4;dC`0mAV`$ z=w9iKw8>RKtK_j43@t+IY=jv5-a0Y_vcX&SR8BJrst6h>5EG&$wNLMMR~mL!z{c z0r8Sw)h@i8E?K0Vb&&hqp;ZB4SYyHULG2WMAp@c;`19wtcyQXX*%oYQ3}nB&Xq&#V zkWTjD{dYAsUion22%V=a1KEhHsVH``X-Jj|Z0tTe64|=eWb`1+`F%U=SDC+EG!kiD zen2cBlq206+w?lIaT_J<;*|pLnaIA9W4)W~$r@~<+N1{a3(;Rt)KXhK*Bs`ds52Dv z?@*SA<$}$n3P(|kP}cmkTbKN976_XeVQV3@V6yW=RJz736~YgwW;_=l9Dd@{1%wMy zO&c!C=BFxz1vXlsc^pvfsaML&3nRI@BSlpSJX)nFCun9jB1L5gu*a;wrmxnLzBlu0 zu9X*lrtw{UwFblgN8}>*>_O|vvBFYLDMenU_aah`p~+Y&?il(?<6ZTxaQJYpLijJarjF7iai{gtoKD@7lrU-1kn~i zh>HI`h<4sCEG9C6`O|a1mUKQq-0rx?eM%4B9Kot&y&}t{iZ-Eh&)`8JLS<8YF7LhlPd|JTtqrIPU^=Yc3hyT`$>hcx_GGItZ6@@unAr&30`pWhYbrnewCDZ?MB3uRr zn(Gvd;saiR$2Ap5F4()uMarC(KeDC4+}BnJ3>+VN=&b=$wh%mhtjQ%0E$<{ z2*gQ)HG>iz>PH)>MOq&e?q@PzcDcmwT^2HcP*Z2k^&SKu8`ujwHoHxWx}HP4Db4>= z^{BIjm@?UR&_sLyYi3~^nzbKV+UQ8Bgy!0z%g|yc@^GmpUkSqIR9@m#z#n$Q<`-lb zDZert8p$Qyo?GO1qMF0WN)$t4aYFJo*El}7QN9!t+`%@ipZsge!H-kt#k2Bkj+wJ28K5L#~k92(G+{~H3h=U zRz__gd2@Ctc{cabrh!~y$}(QsaPWl1dD0~9zkzG8(UGK3ZmJRHj27b$9_p@qh#rn+a%t5vV%q>K#n;bP48VDzp7uzzs(zt6_Ijm zMMBNEA)t7rdX&Uaa_JhVb0dtp_+p`*AA#s0&4BEcfWW!+tj6}m&U%}A2=(R{A&4-s zVCD4QEY&aMAAZEx^cK>(z7aY!?{h&t=yK+&mF1O*Zk0;2g%}-hSX+C)A(g)g7g)@1 z3({?=9F#^d@l&?({LQrTn{hHUUnS8Vtn|N{v;R zF*UStQb^e6C><7H5OoqekrPnzd<($%Gqgj8FhV@1U3mjwyed?a5%q-gZlFWkmHUwE zFCtg`WaMsTqBF@gufS`!4YD%w2Px1p(KoG zyZjiNY?==?{sPT{==d4c+XAN?^!ljV&p z`Eg;VKWD=%AQfA7coQX1Jo1bOV-p3#{$G^A_iw8{Ypwdd6^!B&4#K)`hc9jDwi4!v z5W-&yL2V;Zf_`#L!<28C87Dkh_SAqKSMCUM1t#J%6UJC(rKVH%L%hMbX6Y_We$ zhnu2UjYzhHcJm>icXuw>^X578v&MsT2si@tUc?2u_~5Spt1O^?uyKL^CzvmZW#(Ux zecZ3IkMwd$EUSLlR=ct&P$N#j+;z(__OLmD!hQQ3Dwgdbf{4Sk^2WVdksA!i zhQJrsUUyXGZYjq$`6fE}MS4aePghu%+Dn1I47hFMusN`$^jQUdXD^naUSd{icNNGm zjL96O*+JV?ybB8~jpoEY#5tLk*f2XqE_#`5o(ncF4}E{V2YSW2ApQW=2q70LvHbz` z-i%P>h#w*}a$ItWHVD}wE@3v}L`kU_de3MZ?8Q$53kcb|O3s*3%#W8HEo(O?TUEdG zdY3&;5r^S3Dr1CgN_40Yws##-)MO0dif>#0kCjWbzlxVXN_?BcWM0XUoh9_L5tTm~ z+RCDmXk`2mklYT4o5FP$L2P&{5V?LEt!p94ZzR&S>@<;xNo+KDTWMpYe3RYAaD!CC z*Bm)yo4mLuY<4bevfkjz<+55qU2W!}t`E70QFkT&v^nEHgToi#qG`mq2>3QTy@w#` z*by;Un_l^@Q{}UvOIF^4K}dT-8%I(X%!<3VYzA}b0wCN#KC~_n7?4+MTYdEqh`?l; zGn1;>_D<(y-=I{mMEh{3ml|cE(AvJ*tO2JyO@&dr{!M`&gi>TaZI`&U7}H7qa)h1? ziXFwB(gEL|YG&fH*Q@K>Q>grAc`~^~huCup6?lDN%!%JDVh!VUjMW(K*t5T>0^bOZ zHs%rm{}lakTi7YHEAai0{{4iABsPl!Ui^q$Is@r{26GFRbQnDBu@1a^18lq}qZ!An zSD@evwNn~s?IKVS@{sWKPYJ^oggu^4BiJ^7N};YodxhSKbvu(d@mgYgn!38yZC6L? z`e)d#OCfcV%+Z?6iZl*`lLXpm6fbu7YG$P9Gc<0-C%aUb33yBUkBsp)KjS~d_K*N? zhk%(F)MrZ`F3(`cEE6JbNHiRgSy%rgD8+_kS6YBF%d|Vb1s_Z99IRyJ|HSgrmT08 z3RqfUx(U4bNj0m_o*@&sV(vKNHj>i_vu z#ZSNwqEnuyLJo`?a`X|_0%IYOn1d3T9JPl~Pxl))Alfly6n(mNTY1l6j%b#@6KtTt zwvk8?64e(7nWOM0w>FLc1BmDlV&h_^jg^F$TrVp&_iC%M}ef%(!-wjaONk_-L-RBv+xP+5$QHfw6fxX=!O?glTloOV+ALM4NIF{7LwV zIVyewMe%`dps=!z$Lm3&D{@iS`eVeWJ!b>OebB};Tr{!Fopq33JCk9nFxMKIYX|0E z*M_;IyBrP%MEc77LI4!l=4Fxo`CIg7B=mj<<`?t*DYegE!wy@MOBvQ%q%FvQCl@_T zPp>5CwR62Mh4{^$DD~_n#9Hy9^q`$d38RfP7hnz20tYH>*aGc^Gt^|(QMq0vz;lqz z>LR1E=jK_VB0IV(cS>DWxA_CZpIx9J_6BEjLN#_ldTy#2C9Ib(+4U%qY)4ma6ZG0% z-cKa5`HE6Cwbh$3GAl9dKnd|TSOi@}U)le&fDP@+e`}}MSKo&?jJd%Vqs{UUiW{BW z6i@t}htNOqH=Y3e6Mv)9%ReY?97`3ygS+>XqJn4D+ZJ+7C9k{&yFSy`CN7%PY-8?4 zBFhPZ1?#c@)PB~F;iNsok>>I^w0-Iy^BQBb{p>Wxr&k!MG*|4sWl$tR(=f>H!eR^T z0t<_~yE`oIu(-?M&f@Ow?l8E!`>?pX4esvl@XhnQUtGlfySunQ7jaS1G1XaF+0)h4 znOWJDnTT)mpRMN-t3+ErzVS86zcPFr|MvY5Og|kxDkC;#{XX%Hhw@HWLAVpdXcLRU zh7wK?H0g6y;l3zTg<-ZX&ghEZ)|``sGyl0i1?)F%7NYP=GXc{nBi=9d@L7ypeO{Y= zBy$k@qB1NyV|?qsWPo**WfAG{tt}hJ+8t>%?mJ!!K}>hzwTfv{9J+0evfIG`j2Yq?T-R- zehm0WI*6^BuJfw(gCBa;O zl@f~AVT152Xzp7VFr5EF_CIt$s|Qs*YpJJD_zoVHS@*W_syPSU(IYxMwY|fi=+Hs$ z1Fl-F_7;QlfwURyDW3O_0j0b1qvHv(E5FRV>}7ADYk;V}-de8=2u8<^l$f&KGPPp& zNOw#hd|~TD6{nx+)4i)Xu09?zY*Q$3;7MrXWQEut94Ql?;`XCs$Fprg!x8KoMkt=& za-r0vC;BP4;VFTSJSZJL+qS&pFBI=4>^_)lkL2REktv;5G#gc90EgOm_9t6jK-brd zZ0uv4_oNT-hRlQ-9pT7@`@Qc|#xOhp(aCMh4fI_F@9aQuqV5d6-((oC_8L<@P~eOJv%7tcZI@*v)Od6FPB5AG7$vgE=m)V=n`SPrEr@|NzdQu%)~5fyhWCKcj;TNT0;mg zU+b-st)$AEFJg`jD>rPVeKc;Lg^)^g919z1dYx=^JBY3J)9W*p%_*`JH4*eUL{Fp1?3M~n;l8*~eDh+vk$>kA^ZiZv(rlwVWiE$JhK(+B@7vS3pIQPDJp z2WU!}OuGY-di?VAGF~O{ik10yUf_SW} z5cu9iWo|gEy99%7woKGSelDqJcBh9Q6^nFovTkb~O@8A>4-(J@QhkLyea(DPB%+to8%|G+|`;%5+VCI0g9_dM^YguF@AEt zPid<_r}H(vV3wYIh`2YFU9A?Lwf}0Cb!7=(N=_iAlTP~2hVav=QU{}YkehB77aW@- z`SQ3%{x|%!L}ZTqgTFawC<(@=*LfVPVX}gU-;mD1!VC4ldA$+|fN6tURFw$>1yIi0 zaf(!^+KqSkO-AdtN@Fy2YNe(u*K?!O_=#FRP~3t4zC< zd)v2x$kFTq407Ls$p4qq>hy>{lCm0fju_P6R~WEJ&dtkPO|D~WSIt)HNAGvc{oP~? zP`orNSU9>@WY?qIqn}=3nQ_J^;~ZpR&jmb;#rp>$;%Qb;;{vXJy}YJT*QUg2x8jBx zF;||Pr|)fwy2jj#(zbxYdr_c-w=EaCxBPu;F)(F0`ZIezCGp^23lDnSW^4-p5D3q^ znesTHl5-uE@H{sPF>QmpLb*j>OGcBFlJj;<{KC}VypJXtX}+j@j*PRd+lxJ1P>{g= z*jJS^XF$237%Kb@DvWi?mCUds8smzC>A>moHrR<+hEvlT{I@|<+us*e-9xMvCNjzE z_-*Vo=NyYWSX%gmjS4Z`OP+bSUUdqC1k5Y#WRl_92WR81*J@@hs{*>pjAP;5Us z4(u#J-lQ~GbE$1aVz(JPDo=pj@b>X?%k30&YTGyrbLskb<#2dr7xl75_NqDH*26q! zv8`%>X-`{puiqG1X<~4fM9H=GDP8Y) zG@ou|29<3zj2-us1QW?{cmQr;4{?mPU-DFU^UN_s6ZsiUvOTh`(PxfYQiaqzdXP{r zj@wn1d65Hg{tDueUvA=u_a+Hm=xBo#LiK`BO4QLqH$G`ed8s&faPyik#<7bWurp6+ zkRR{Y*VaU!8a^Q2+#1N|on9NBjC9-iFeMN_ZXQc1uv5Q0EB_)orugev&7e}|>IcSZ zlzb|8zMAk3kPzdHyhpmxxPlYwauYZ2?PA(Q;pNYP{?lO)0!_V!P`m$cz*9B+D!PW) z7&r5p4?P5hg7+)>0;Z+dG8-cL;4TjA#YTjQ&C?0h;c0Cf*bH6dSA$24-_}kU_}38J zGpB~rlQ&Oclu{~Gh0H=42Y5y-AW^+~FVOqVlsl@K<14)g^8nv|+pil=tnFElMWh=vSHw`W zbk)pb(9xH>3^{tYZou=Q!%7K4+!f&|yEK$%kn~*DqToh?Hmh~X?EOzq%SIg!vvy7o zCIMLLe>(vrsP7eL-0@o%=mBL0lb_-=85SnHNu zh>}#(PBe#sYA}jLvvlB*?hEi}{Zgs(mF<4Y8l}7j;XN;$0b1>z*up9>>Hzw)ynjP? zMWsGi?>?`sM8t}wn1b8Wh64vksh>#B|M+hc?yboez++5B$lGR9-0_WAED#)f!(+J> zuUmxF>d#BgdbEsa8O9BLv;%PevRtSKyQKjcTc2a}4 zXFhz1MkI>+Yj#@reIj(qBdBf4`maUDZ@nntL#G`2qNY z!rnq^_JJ5{l2F(g`;JiC?vcO&uP zNLt6g{uqQ4=F zw{rd<*0N|EQ3Zy%E=Op)+Io4+aQ_U$*`FZ22qww1GYfW(pR!AEGR1Rd1Ht0F7k!y~RZ zI*cbk<47jL78|kkwc9Vw@1yeB{CU^*vc=%fp@3^J=85WV$Bwpbp|XaYglt>83O3Qh5CLB*5)c>W%4 z7~4vfl17L1-3{@p7=RWp?>+aSgU!oEhyPBkbr6)X(=01;4*X& zPjlSJ$#JafqQ0pUhVdZmf@gzUeh2o-Y9Uorly0B={_85XCWj^KbGwsgZunF0IcF*# zf7)F13ZWgz`Shy_XN1}}&^L~dep0DoM#8#;@<1dL9ILT*x`6=_`#_V7wZ*crqI_Wm zOd24bGgxdVx=}f*W?MatXMDM~u}d2wv|RknjtX zmtW~K2VoehOZ0JN{IvcOaZY-y#8mx*BHOISs!3pbbifxc9fsq>aGp%Cq25!}O-B1Z z3Xj_VWb}!uhBb+Nf=+DM!4W2RC}R4E0L6u7YyvjlTROIvy!~Q-ejtkGz)~mwyWZkd zm5K|;g8JKqE1bI13SOm{V>t21e7{l9Z>dxFc*Zp%_rl+DZuN-mJbgWxzm2VK@qapy z0cla&3hkThji>3CxNOt_ufO#=3`}~z9Z29EMyTD4FRel1QnOY?)DP5nqYY0@e7CMz zfqX0%efu}t3iAn+77|^~{`3j3^J?v?aR&A7dO|PJRQa1B;HwGeXA$t$zL*SD3NgCC zvv+KkaW;j%I>}b%4A0Wj!LK)UOyOy)k&q`Xnvl5Oi*3 zMOPm^s&wey0~c`yqdm)~Z5OF^e-w(bi>g&AGY)+2#x7Q#{m8J*Lkf}FyRVPc1 zal!K}C}g&egk72h{`F!R_-f|}R*R%5JsWBE`-kxzU^xB#^UJWMo!T*ak?>d&s%fcE zV3Ai6%^)E{j9-Pi1;A%dbUEpT2m$4vVklV>Ay~S9p*8sCzWlG|EaK-5;}Eq^-oNg9 z;U4dye0cALW$iUxutH+qcT~ep-Ce}PIF-F&iid;%I~V9ebOY*eKalI>>ocbor>IlH z{7gkv3{=!3(qP_sd_N@UnJ();^Gd}s=&?U=!MXSm`4Fmg`REW)Sgp?~IMcK`Qg!gM zv~u=kmcFEfyXi%*-G;kpiW1UR8Zp@!z4j3Nn;CdezA@H;GtI>&&jIZ&q6>ZWb==K3 zKo_1;inpbp0e*+p)n$Ly^PIYmyrYqrfib|fpF{8POh)}T3AI>CAIJi=%10Dxlfn9O{34jFtf1myT@f zcH~evEW@S(yKcG{F?kqCT^D%o}`Rzb7Jp}d(OyDqb7UNi3j(3CNdOH z<>cq#w!Oux@`xSI3=;1bH#YtOB9(6y zyfzDN8L$tiqZP_EqTP|k7saBuoqk}lHxMxu*sGVcjmC6F{s1LxY>aGhTt7G+tw~*b zEhy2ioX-{mE(G6RP`Gn8{(+F*g4u7aez6<;?b79Y;kZ4Y?K*&S;2JeyS(i%Qy*x#eWM286)b_rPn76; zN%Qog`0jg&__VY1?OKjeB||YduuudWMxNAL;+3)XF?T)o2QtAbN#>6kxRbP?ZzP|x z5Yj|ApG4*Kez)bXh#}#)oG)0<(zUUFJK^yCi~5R_A{96jNba~zJ-4b!11?>t^daNFejTZ>kC?wb@69(LP( zTze7?9u1z_u{y-l&z$Zl=}m!t?FH#G(`MTu&`FR=GVY!!gRPjA6@%ON4E zF4OF{7&RVuN8lRSYp9((wg_F3)>Y1q>` zWdYsWPSUvz6NxpU+JQ5{w?`|sCHfVB-(9-GUn|uKlTdmwDrLy=gOAWk_YI$3B;NTG z1=g3m*QIOY;ArhjJ|mqoV4F|s_I{PTK*5<~bgU`K zL=t{R!e8x@7p?{h2S>(E;5Wted&A9OD@r!SmJgRU_PU>wX&iIp;fJHr!+5ZhX@?(5 zI;Od5V-Y`Q?~=8B6_$!mk;0JFC3iEAmqRxXycCa5k<=A}l~il4e=y*Vza1^m>-klf zxN97r5-akbwuSG4GYkpdNASc;3<-3c($04cVt!?R?L7lHLmzd9ickhKLm!+1q zfe`ao08!U%_xm0Hzldxi!OpKI6RaZy^Ye{`gvIXk$i<(av$5@g~?-OSaLOLgvKL(W`LR=d- zQu3F$!``3UktVC=I-a_&pEa~k$$}MSe8)(*L`e^JAmP=@ zX$~XVu@%PfILOTTp6y3UyCR;%2zFNflJP=dc_2+S2^oH5DAAR8U_7ZbapLQ<^?g_s zF)4Xl_@DSgY9UGNeBwk>4b)uH;dnSQ$z%~q$vRRY$#}9KQt`B5f8vcK|EJ&ofudAQ zYrZhX->-WZF1{uz?WN%oC93!SoawkwZF^a;?IF!QKe}78v)JsZcwpuw-^6d4_vx>x zQp<0S()M(OlZEMLpq1BziJ$pZ|L*4Wu!8uSjaltp0=M{yN8KHl*5c;yv_L|BwB%ZT z7k+!?#qh$x>)oGtL;Ksw-4c>%s7}e}yVO+Nw;Qc05@kAa6`m2N7Eq$)qk;cgXu8u? zsWfh73RhQUX6}t%Kn}*CrQ%~Z>UB{+3O0l@wUPbV-CaMTRFNCu3ve)`NBqULUq^KTYq?v;7@qns{;&tNS-z-V`nW{~c`<@f|=#j%j&8Erk2i zhEs|>NzRC#d@z`lw90L7P&10*GUFhTlSdSTMK>J-K>~w892wv)cTi= zuHj2#d>6`T(-6qNZE`nS;9<8`TympA?`2Kn-ty8a^5y)rUgD$jiJm1(bPL5Hda~;W z23)q0NkTRrG=iuJeyn1ztzuOml6XuQyO5LwSOWY9^k^-iFo5_R$wb29Fv@n?ef1Q3 z+>*D^z(gTt*xmQMZ|WPTJjW&sJsIeY)|403TBuo;%nR6A>|cZAx1}=5*!Ywa^2Y`f z=YV71ub0;+e|D0Mb8JktZiPQ^Fx6!*b>(vS(KBp@a&^E{`I&r@fq{>y$kLp3@&={c zkmC1y6i2z;?4+W-wGlPser$}F_2O1> z@mH8$gmvS;Eo?IBqsP~j$^|#?(a6SMqhmkLEV3gfi-X#(UmptZ_DVMvtiLs#*QBu2 zyO;h%1F0&GQt>2KT&1;M=Q*>TzzFH__-GjRy41||+z5wMkf$(>(jOS5Di(R_xx5hv zii5C}+P$Z>=`AR@G7i3E`Opi3CD-SZd9@le?Enp$3P09!l@;IS5e%ObA895rE2>n@ z=!bDC-6QF@mQqLa?NNFAOHwWk!=`2dc?5%L%M#a1lF_W*g;%Um#pWFn z*Nnr$ErgQ^yfTnlZx{&*i-Y*ExB@Lc8DaoaM8sifqYz<455>++P`o$g&DlN z`}f)ff3-_!$4QO>$F$PS6XY$12N}9>&9eRUXET0CAI*fajVNjKC|yXJMA zlB$+{G1qp^zOb_4cKlv}o~mU(gMEK&rYd>CJjso}<0tqpqk}9bx@fKn=h%&d6wOg( z5{?$bA8DL{*G>_-fw61vwBvP*7E7v|m(V;-3LkQ0-n6AcR&UAnK-gZLeK+snO(#>Y zErVGq&*g!Z;>AjWCRiG}k^Td1vzlhgBEV?@WX4)iMCzworCOnrXQXsYoI{T_^KWH= z3>tJAT7WSJvQ(CUYDYK#kU-hpKqdy2_fE6>9OGEQ%BYC9n7t@6DzG+?NoQP|b^mc{ zIJ++i2C_XIanB+CwUL=6DhZ}|4ksnS`Nwu~g&%2TP#xKkVPhScc@5D;A4^KXO~|7p zwv}HLYjs6Zxj8xhj98scpmTgdH%3F;ZLMZ&9BnvJF{xLDv7F?ydT4e*%uleyvwEer z?6bNAjwAu1cp3!szfo^%W0m6h7nmXVw0-h3g9uhKa$dBPFC%s0l!FK^m_TlUw7LtW zEz1DeRWCFTVHFSqXeVP^EzWYX>_Fve*PkH#LVNhFACo3#S2U`U-Li^N(NM4u^%P#OjLERlz}^(k)IGe4R56cImtA<@E+J zF6UFHlR5kIkL_L!nosT>N1mLBsah9!np)S#Di>3EyIf^0(-Z?^^F_{9BR5C^ag$q5 zN%6&nOtA3QflkI^LMIEGOR1gt@rKghvr|?;TGq&bMWc7-=P|%UZ|e13Yw+o9cCv)ES<5ytmt`pUvz7Gc`4L z8276Sn?{Gt3!4tyjuAsdLxdOj*jg#D3*(E=!$1tcxyhgQg2xe>R-_=x0(YRiHS_V( zF}1GX7EMZC3=Z08iEW-XY`BP`jU;oK(~jtIguhZ`TAiWGD(2(QIYn1q-9Aq{u{G^R zRC_-O@pNpbuH~kUwTgA2l~^c0L_ecFNk4%Rd&NAbcc@2$`R%_V1s{|hnrgrluikKm zB7@Y)bXQ%ZW!;dcqECO)dh<`J!}b{LjuZCO@A9SFN|+9kJK#vm;-|!|v)|RzVSe@2 zD7}o2C~#%v+dGHxuA=hh7RWxr*D|rq#272La!$D1MkJC^kc;G4qiE5t+<}XDxLI zmvRCQ!!70q#d*R_7nRnf4-U7MnHtCbrcGnAbC^+WWUmH5)aP2gIN~UQxB45y<#A2h z0lldDkB8j?VQ=cnzn*r6ScZK=B|!(}@mT^aTEoY`A7z0XTJ8|OOO_%ea^{B5NvihZ zCFB7aWhE&x9F{I`!Vev3%((XNLWcM>Zn;=2dX2dK02332M%KxVn1!*4@Jk2b`50J2 zZD|h&8cAszLwdS-;DJx#p(asannrtIFK<`ZEh)=`NI6AR6}5+xwE&4fqwaXC1ZX-E zdB0yuKC-k60j(k3w8$UPpy4Z@k^ zwkwjvVtKQHgNY&#Ks+QfivkBbx0J+9;{Tal3cT zV+pHfayF#P5)IS1)}&sLCWi(lBKw^=MWaC84MXw}1sw+_^2%Ne8q3*PZmYbgKM~TF zw~#mD9df%E}a#_uYMktuLU%yD%lMF|Z za?H3(QNEEcV0&RJ3024m+(#AI7BG=TQJKrY7j6VbQb}UU+VA+p;S8JL+ppf{+scHl zN;F(qn(v&oX0cK)ls1RUt+X{YB-6bROPkKHx+Dvqto>dNKAW&{&d1t#$0q z5~`|jcEQcd%NJ#0CYw|c4YR2b4CnT)gD$%*@>JK9$&+t}+OEPXB}IAH2OJuE3~G$ww+x{F_%QuD86Vjf<#fOZyp=gO&N7 zUM{8)g=nCm_1~Fk`5t+qrv&L0r_|VcmX+E$sIMO^It5r0}rG=n)}s(*@4-l zKIB>6sRIvvdI{-Wx?#>lND#FxDlPNoL7Lcg9hi6X5Saa^Ug|#TGmaZ@*J1S9P3SV= zu{?*;(BM5Cc*R0=6EPf?4PQ%8bVXBe0Ol9qx=J`KHh#*yOt`%18e>3xYmgC;{4mE7 zKob&}y?NdYoSNM2n@rU6siq%Mlh64@f6}^zX1FkLt0ya0m!*5hO~VV_Q~_KVH}y<7 zsH4=OHf7T|S==3It#G$-SD5&$z%{C();xSyq+^FV&@6;T!$sG_AK*V2}C zpeI!eA*dU@=~l3tWIERBAGNGal1IUjvTQTLlBgWs&xt7>&~_YxoHc%TZ~PDNM&0nQ5 z>ZA5DHk~>{cf1E|TC(^HhD?ku*UD zK3wQ*@E*qB^%lFmgYye)kNM7;+=?%?4B6do2&oM7XJ#*w*PO<@R;)E{8l*NC@w9K| z=7Mrv5mKhUZjRrS_ra3xX84+yZM576Z@jiqc%B{GKdsIKPGWPofxk$I^GQ7hh7y*S zzu5gr`p#E4wQMUUwnvLQKTkwCH1BP5JH8edRcWhi@85c;WcdG zE^A6vzwKvH^J=y;wX0e(5aMdx1x!&4cq%TBrXG}wJMT31>(wU)+lLv-%Ie8%C>NLKK;klEy!z64#g`yk#iGv3TG!biVIk(s`m4s!+BGvt zF8q8<0~xRNVFS?Se_sE&4Wu6Y36boM#-WcuXKGF zYX}`{IctcM=k+Md73s<4hD>rovYY91?PB4Q2sR+=&fZ=#3~ipQOj4sG1>j{Ll)Y111 z6eKvA2O?c%mi(ul-=?C&w(h{mA}s2C^T)V_$(&u`Rr8go+v2|CDssbxA61BZ!1Fq2+J-xl!H zN5yKr*t0acrfh++0k5}dIPwJH21yJ*_{>4LCU}Wx&@*w{S*LHmzKZ~AO zq8!M?$ztx5n457{Sw(-;s98pM9=lV!pe$NlQIIyJ>`pj96k%k*?N4l{wm7N|t5^QF zN?~Ds^2~Z)uh~Ie8PQf0#qZTQ62DwEc8b>MxO_P4S~SJYAEkERF4DnbeR;&Kz}sM$ zmn^5jFYBdg24heB3_Y|ySDcF+`#2Zo!vV64RK-J#OjF-E>f4?LOC>lK)WBwJ7-v2w zwu;So2p@3}vA-@HNrcG@^HShZB`PR=ys{oi5Z#gEQ5CG0>WNF;%*MJGBQ0eN%+3|t zL?@qiLKIW$n^{ti-u1M)x(7&*MOe$w3-R)w;&Nyw%vTou4+_%avcA@TkM&X{y|9-( zilg{to4RY{Df)s1?rzL!<1k`Wf6hLeb5>_QzpxIk$I3&38pL$R%-# z(sVbQn=#Lqr@+XTdvi#0O*GG1=eb+)K+6tBrMfCbGnpVxd~{r{=PH2QH}}(7=j^0w zx?_PJ4^Zxnd#3Mrw$!K6+TiMF&ekCnl8d|4hc`>zgQm!vKTF+<`|jolf)O@ctimGU zBtA(yO}`xX?Dy(|JybZIuqk+#Q63;pznC%2zcFvL7(@Oro@d|SaF(!A#*PJSvo(ns zS)z5fKWwq*$`&VcSqS$W))JR@jEZS-CK!z06h+& z*&>rf?D4CRW$vy+C`3twNI4?+oy2DiYZoKGGAegNOvVEA5}lTd0~k5wET7qDs5e4ypH9fCLKpV!k)rQ+ zK5__#d>+PsD(HM*?r{^3IJqaD(QlTp#cGw}T13nmk)Pl#(4LU1 zPR^Fm(3&mzyi4sJEG91(4&+t6`*jf1dn`B2u_!Ko9tpI(frWWb7);x_naHlT5~^KVB5g1-<{k*^4ZBOmfDDJy;w1JS<96_TA9vw z_TGrbL;ZewFB4+6n1GSuo;t^Dtivqv&7;xCCP`jMSPFia|gM8*aEswW} zCQl5-vxDg-#)PMrb3q4Py(4oUMx#(7us3DXErrGPm}lG?W|Ayz+NHQ5C?QdS|9MQ_ zkjM0n0GT@w)AN7k4r%KTgPmqdj;f1u&42Szkq&f9-q-!$I{K@DyFCg~d^*zs3283& z$D#Q<3nN2Ko{`4zu{sO2bd)dDfj)a68Vk0hyB1I9lYI#Y{F0kY3lgCbh7=+#!`^0Ac3%wk^WuY&2lLB9u15uwX zil6Kya3IXx!0-}DC?#0z7)=|ZAw2*>^7n~~Z_zPm)htSwP_-+;@F~25EH}|cCy5|9DlQw>2_hjx-sBi~y!S!RkFk^j^rnOi>*r3)lZ91?t`Bo!P&@m7!cA}4D4Y-m*UEMAFM`I;*AQ;0l} zS@<*bUI-x(7%tw?>tXrjtp1|)Vh`kT;dZh9z;A2P<#503QC&Z|e6pzPk?n=^^2>z- zq|Q}5T{f)nG3OewVZOOE?fL+4o8!TrYpD>d<%?=QG$wQO_%f-6GuhUBb#BVK-pO@7 z$g0^{&E(%mGP~2FH&rr=`_PW_M&BH6a8{H%HzJSQu-1S>5+vEe&A+HUuVA7Ek^Oy$->UxU(7u?$qxWl zD}CY9EB@*Zl8f5sMTS&S{!?Ab>Y6-g8RuMn_!;Fo=O2)jal2t^+s6JfPip(Pw^=&s*W83i&KL*urv- zzqj8$#7)sqeBgqAJURXG{4Df#B;a-M#NqjpBt9X**17I>;JL*z=<|Z_Wd_n4&Q-&^ zo4nX~DrIn*TXR16SxnGoE$v&>hFEq3x@uyZ#B)0y;SPR#sn)s4rTci_ec)z1P1U(z-|{%ekV+GR^bNAT`I{v^2h zSd-(SdxZj7qoe)C%Fh9NL%GVfZ>4L!#KAZp*)?;9UV5rn4(Snc?3T+R-HK&lA4YMr zP2o6MMCPwc;74?cUkkMfFY-S4*>+g34XQfNB^58NWox;)iLdE-y%m?cyuJnCy=z~$ zb6<)PxSHg0vx}OmwOH8c3FyBjy2bdff6q~A9KJ>r=1bzYZS_iiNPf@|o#*SD2vGk6 zUv_&Hx;5y0XFtDW`4KowWE-ZIw43~FEFx&8q4Eb#?zgH8MK0P@dUw_BAp8t5_q6Jw z8e)VaXs=H6*`h{7*Ho~^!h)&I$w=~|>79Zu?PWEh@Xf4XC{BpwstI<B*Zv)Z z41ItC_@q#(AMM`>SWA5?`&92uXwW{aVx}(zSiDEBjtt53#T4cuUdWCrtgQ;chm#ib&}M{=e)q@EVrZqWpf{nDfaGvE>1j3_e^>@I+Ksa+rP zbQV1PB-)Dh)t?%*weK>ChS(pp6#wiUM%JYy=Tml4JPaRyd>njuX~14G)%r&h?%5w- z)q9%|SWU(CJBvUp1$n!^`&FxRh54oUq~-72tb$9Xxz_~AZKYpM-mXK?y(G7}i77&4 z&}8dZoCv$!W4r0DyviBHgys&4!v|7&KvRX%r+3bCyH&G?vJ(m<$pPhO5i1uR+BGw` z>SDz#s}_dGuOn~%WGQxhn~7lNi&9k9tm2z%XnejKx0jR`mlDCcD$bsB6>+{13RLyt zUWeZDz(e12v9DAcxWOT4W8VPsb=s`N12oYy5(VpZJbpFyn63#S@4CfQ4>^8u>;rY-*71INeD462qN?{i{1>y{aF z^)6u9^R&FZCmrM%Th5;ipjz3X-?|LC-qkq340pQU<5WoR3o(TR z$`=Ku9rKF8?}8nREj-Fjw-n#@miibbH*vy0OL6C7l_5vi=9IN~fM?)Ta;e?tp=s0! zCBH04DgT3w)ulA_^$%t5bmcl!xrMX^!gP+%{%i5o@ZIkiQ#Zym#(EX7^94*Eh-j4{ zs3?hi^GTA>*I7Z;OA>ipuR9t$m@3bMa{t*$ZQX-_c%rJg6fgJZSU@gVSG4-xFea?J zshi`^&ob1;WFHd#Sk@YBN@~dAeYi0ySlyJXmJrF0>Qa(rVwgzwu-@`;JO?F9lEd)h z23VmY8X@Q+S0)hN3F-#s;F&#-ui+jncnb(N&N4h)hkRAoIP_4tYd8Ga77?)daC900 zM4lvFV@mLlQ2N+^r(fzULa7&9@Gw1VAxyq4$C?>(T6qJGyen`B!k&-|=%v2~+rhcO@@%}Mz@e1#43v*8q8m|KXQV`Of!V6ebTXFB* zGQ=!Q#&(`IN+a{Z5e(^E&T)aqSg7J1rzSlF=#nl6YcBdWoN{w<2T7UtpO+t%FASK7&NynC%#aNwPh=^QXMqoMx@U>~ zD*4|sT)_t$acco%973k!GaA36at?X}f$W_>y_p0~n5?N85a8EZo?o`v^QPy6O1nIluX}!T$ZL!XAQjq@4pwVN`9|Caknl+JkMY7ap-nosvnSp8+Vq-`Cj7 z;bYwiHg{W+*`mk;31(cUCTlj)2?T{8>MVU#(n-t|oW3pU7tgpCTfZJm*5{zm{;T#S zt+W5t#&rfY!L(bD5|G|S1ym5E<`scZ15!i?h>*}b2tlfVq4$nbq)P8KG?6APAVQRY z5NZUZN=Z?%K700=o!zyeA#I>zIVw{h6@bu2p{kh+ zV15YBPcl?8+lCh++wvEV?}S@Qk%iaaGNq3&OZmjd7f8ICe3fuC_d@^a*Ny2@)xfH zQ=h)}XW+iS3E)Dyk=e?+o;gGewMDv;XJV0^z!}Nk6*z&H$g5{SaKwVlFK?&1uv)ly zILE3$O&=ZtlilXD&UdpPyH`FPv2`h`9cAnGIY0MIQVS~27;@#vqby?A?uV|W5FoP3cXuBe zB(j8Li|`vYhC?U~K0-I7*aLK>CklYC4fd-w^9kc+tD*s}L}#6EV0VFb1EZ3_zVXLc zC|>k;KkPIK(6KoXp(>ltrhZw;vJk&><0y-f$Qg81CALW2;N(oN$QRhX@_QoG=~;oP zRdeWnNd9eCC-%p{ct+mR*P*D4;=aLLi~Y`0Wc5m|eQ?4)r!tK}vq0!HoDVPh-&|{! z^|k)**tA7b!0!K%xzFkLpnNy9r21be#Oa!!qC?U$x(jRO*TsK z(!SdILFilF2FhQ&Gpb?*4tiKR)ui4qJg1(mvtlpN04cI|yg;^cjSG54 z@?D23#e}J;COsfav#5~=`bMdc$nSmCIR|$jBaW~OO~JI9*8A(w+CMZ`yXMjx^VMNW zS>WpsuY^hvPi5-RB*GdZkXngDNQU0Yw&8<#HTQhR&1_jW6Z6cF;H}A*4qHxIwLwko zaP!5`)xyl~iS)i)A8j6mUMDFiwd&Rx>~qXv($gv~ib|DP%B&vNpxhD&;~o4i7DsJ; zv(y6d+h=CtVx-69KsHj{a&g^8)^{M!En6YHgZTXwn7dW1*21W9^r7~#;rm=6`cA)O zsIE?~hVvWVXSBgP7ill4!VDIn8meUi6RCJ@VtIfXJvr@~+6v_wrw^xa;zg#B(I$Gl z`uOq9pR!ute<6?m;5fZo3?AXTu8@AysoZ&^PR_J>)n{@zSGK#1?!xh4XK3Ook}tmg zqsN^(WwWddc6xGuXc&V@n6fW*-u@kh>a@8QbMkrC_Gse`eNPk;_Ov%;i<(Q5uywiQ zR7Gn3cx-j?XyZ4pkS6HPpHR;1QPM}FA21;ufBt36vI**s9M#+okv$Bfx=9BZh}5~7fKFi7 z9gXEMz>C?IOmjrtV`G^fZ6Ri1zK<@*Yz~aZCX0&9Mz5$<55c;T8SRczqgG}l-%Tf4 zW(gI>vik@=8xp6cqh`L){d$Ji?7CCS_bm^Mb2R|3_H?Ad48qt>xTIF=U zm>fJG(OZ*szB8(slgOIJZ&l99BE;{qOaEJ~JhGzpZBujeKxHaKrb_DJ!Jigowq!Qh z^g-5S9qxP=y)}9i$MdlyIJhfLAVI*a!}7(gp92Th$0m)+=4Hg)OT8m{?@I@%5&`U& zoH@=s=S}~t0M;&X&|qck?R*6;EJQwVfYKiz6xzytRl}8jTi5?%65!YHPRQ2k*sQ0h zem6!QRigTC>cz{@jtCAcIT8Dp`(MY5r6G>dogZBx*~K*8D_Ks(PF=;TumwG&>=jfe zG^Mf<5tsh*X(RqwsZCo3`ew_mLCk*IAi&A0l}|u)NKvY7i;x=#Y}a)@obH=$;qk~H z#2@LEdv_k`ISvxSc6*NrVb?*1@VC!pYM{m&DE^uUGmRoORERU`$&kx1PD~G=+E(NH zJ@C$;LTm4q`D5<%4UQQmuB;AWO^1DYc7JtF#4gLz2lO@zfEo zZM&9t=HDdTx#bx0W4|77mB04jS3sS5G~>-)N+@#ky-v~kT;@3Ovu{a>#~}FQ^8bb5 zFC>wk+A!~QYvl)1w&1v_P$S!hKq9Dw872L{VQW>bK!rLWhBy^A?nm6U1~#wT#QJm$ zL3K#+RV@kpvUU!tB0VQT^lj z1VDV&eHPKv23c-j&+)#|=MA;mwL65!i2QJu_-n3kV}$Uc5JdzSZsS+yS4*opq$HfzZjwyCd1GwkGY~W`gBZomfPQp?gSR(Ct=MG0a+~lO|5D0bBU4jYx*gv zMQAq*f=cvux)CUICW|86Rn(ym0_iSgn_^+95PodBJR)()X11G5|KS`^zV*z+;LwY_@ z7(0|e(RYpM4Pd<#i7ifKV3uHcFQr>7+g)a23OLOxAteVt#L>ECl?+P@NU6Cr zF*kw(_9Oc@MjY1NVTQpLYr5#fFS2!gsL6ME9xEHJu~nNi>w+xS1@MHih0qo&EW=f9 z2aYHVn~w3Bx%I>GElbBV;1O;>H$gdiQIB-kpC@;sbPV6EUMtqG@&`<{?(-f-49nL| z8||C7<3~Z|T5uF^*}Xwo;i=ov&y&}IY`7OdI&k#3X5@MsTQ#EdO%bbp!jy2b!r~X3 zwR@ZSqaY#)JgNl+;>v$7=8@*aAi79LR1eclkF`;ju|f*I9u%b`;|-d zUyp@kAgXh*mt9{f9xk(emU!NJus_19kt^7^8r+-<7>${=L(vz1gpYz2g%g>7`4;H$ zYZh9eNmcjy|2{d|dw;x?xL2syd-}1J_Ja4qyj@{KuX*$%d@g=9SY(SA<*!R>$;^^A zMT?mGJ1o{t#l1a~&Z**(vX2;Fd2pO`6tOf*RUr%WbyF7D9C%}ZRdQeG)TKcb8F_wt zx(b^w(=eBZRIOR&3_A-)TR!&wb2kkakKj&!A=b-k@EFr9{_s@QQK#Vu9S&6*{!%P- z&-RNvqC!Dal&mg1@k?|BLyIShxR@A23ood@Vsb5j;#^q9ypzU^`kl(G_)zH^m&5)D zPp^M1kvv<^Jf|s_rvL20I1nAYnF7`*E*-~SPTNT^ySyC5jR>ZGT05$Dl=Y=VR0ef- z;^LsE1E@LwoBl6hzm~7IKL>FA{|9!qPh~f4nO3IO{vQZ8$rmcMOrs*daQnc|(B4vo z&oY;;{ZB7Cf(}$xfW#=HE(pkMsTMi;cWBaoc7AhGvY9AXCpzl1 z(9rzS@zMzT3v|5*^M01@-M6znrRJ+9^_yW{nZcEZ3e)*V&BW}+N)W>1)XcrR-E9Fz z>TL87NcA8$UY7HMj3>7fzx~Pk)?Q&Ork?{c-#J4W_$hZ(#5vEEJ#w`K|0S3OA#k7| z$?ULtH^R6hfy)}QqgJ>79cBT>UDY5|S}$N`>&CoLjm@IQ!37lD!#YKfaQ zLy(c8o{}4m&k0*X#j7MUi}~esE~^Eu!$y}v=<_+b6UJdvQ3zKz8<&ZHsOJH1y0&3^ z){)7=NOybNz|$aeLlXH41qB6}96%Y8+2=K-#`$?Ui<>0waZi$oFs{k<`0^)znh8n} zDziM%gExPYGKD^|RE&``@|B&MgWwHDi3HV%h-+_hx+u;WSj!->)Ow6rUHyZnMQ*qh z3J7p3c<(Umc&R&62W!DH;ktMJBPxOUJvFlQMz-Tuh;3b*DV)m?Fv50ya~Wg4p_buD z=_+n(LWPSTQ`XvEKl+6By|HkI;T=t91(j*=?wtWe*|)v{6r&HELu_nzPE&U1AehhD z2shDa{|R})RJRc)&g8$GMf#=U?svBx6x3R!zO=b*l`5bQ1b{mq+NWoHOIs-BZ>m-6 z9M!%!;7PeWJ>t4mYh*eYRtAU)l3R>_AxY+NH;5AZte^h1Lj$yGi6OOz=hIV+DRaP9 z+S(k+==L2mqa$A6eVuc?(C%}#BSQfO6#A|F^ZQzXCIJ`S)&H!8j$iBapOSC`%BpUi zxP5&VK_?ZHGV|1;Q;`uSqoh5z$e9O56sd)(wHX+Uh~W?UvYB*x&!- z3(T`F&?(L(VVT21flXXj81pB8O)!9|f_IReX4`oYQ^ys9K}YbFvqTSrbU$!$_%}3- z^rST3D)z@r`Ia_S@Bwqca_ohxQz`}C>e+H4AjYz)q-T%yT=c|5_$(MjRwU!rpz3^# z!mG5Rc5VUHZ7mpa&ybtUM~O>9U#{n4rE+c{x4R7$Lg^#I9KKT=&wR-nG4m$aC+BgR z`6_b?L%<)yq}ky*l=18oIO*NnEIzLY2040nyG3c)e>$bG;>_!`XfpM~AwcImcbV|C k8mOYZ29T7!HQY-^F>~NaL3N0{Lp!O+yzyhIf8+JP0L`XsHUIzs literal 106469 zcmV)8K*qlj000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G533jhEB001+c0RR91 z003(R00000008Bm0RR91004La%zbru6v-BEH-z9U?(WlpoWT-waTb?F7I$~|B>@5n z8VD9x+zA%k-5r9v!{QEs0R7JI6g89N-uu4y=d15aWu{NpspEC3y1K@;ZQK0G)xU8K zF8*clmyurxD9yZ%(}RQTh_ zbwzVr`K)O89_=25$5WtR<$41794Vg@%4dZwI`1BG{j=PodQte*_5b8LRWv-&p{nf@ z!INI@QCFhh^HQLx1*)zqdLGJkB_+kR;!a`kFd&&9a*z6~s8wx~2sQ;(v?&Rz>mQ_* z>MNPt86{Vgl%h-Kqg;bB~`_>>QTu=$>*)eP_Pqxl;ZgUiwhE7uiK0i*ta?#b(uqB&E75CZ8w#7M75?ayC@x+^<3iz7 zy(^E1jx&1O=sc?HiZ3Ner5&YZ)w|+CeOC9Y&#D)7zp`P)qoP(}h_0!4hNmkg{3l=0 zwWcsDJPL!lqPSD~Quq};>bk1cl?)==e?>kDv(mo$9Np5CloXGu7j@4M`v;Hb-+%o@ z@qZ>>IKcndB@JIF;b9ow{2ycNH@^R7Jj1xo|MmAvzi{CDSN?i{H1byZp0V- zj3hhO(vwC(R3^V^J>%w5dhpFg2EN(IHhw&ncwB`(z5MKGBiRSvPzPw=qdN7aC>8V^ zM~^aZbWGOZTa4T&2t@UddC^J77{cc=A8fzGxA;SYNN)KGtv4U^^`2X+f`C8$wEaHi zVsJ|SGoN#1G#+)D4z`(1`!iOs_^ZDsTJL6}?RR{$5x-Fn3VP-*ttXj=|2MQw3!<42 ze`83z4Ky?D1iI6|zM~|D29!Sd@D88C7nP+Ij%@U-Hy5Z%0O-6+dO#n?M$>?;_{4VHh4jHWT43^N>IpcSPj zSRU%Z)sM+_Y2_n}Mm))BwbEc1h0&f(%cyt1A_Y-rI=SnMbpjVqa)R$N{AVtB7)jIb zW^mSho6DN5r8WzFKjz9Vl+~9~m!3%t!cSYcU&_e1-0fr#&~(p58dxl`^ZSQztDx?? z50JkDE~ocSy7w-M?lrAqt!Jsl1K;VH2y-$hN%GO326a9qQrpISM}hCp==K9FRV(y< z_OgynnzX!ppHV3eSM#YPeJGfThUWWeRnUE(0^euYt;`{})4m=rvmm`6&oSsm>ovA?IAN{FJ2ccx7vB$Vox@*Dp-kS?U5rJ6Wwt24|K1uw%--o4i!>Kwx6!Z)xcje+okznf zv0E493nEk#hLVfVxr9rjLW!P zw>gcsCWxiFLhgOO#g>5mc9pMp?^^{0%+8@g?sQOI4x-bMX=^IWVl^udox&P5uJb)+ zrvz=O#j8frx{3Bscz|&ql^#nv9?s*~s3{Kz-WLE5|L9FiyyH;jH|?!N2y`gvP;F+g zo$N#x8ZvGbfw6qr1^1)j8QfEGLlyeqwJauMi`WIs&bVjk6lQFADFMG`G0IpnmbGD5 zXCaxIv?rNcIksxjasqDy)~em^bQyZTEGw;?Ue8LVNxKTXWw|oj9coImYfcr?5a6Xu zg-SNEA=~?>RiZPUm&PRR*IoQ?{4`(h!H?81S5uQF;4Dy^CJj+vxI-PYb>Bx|sbA4mh*wqW znKd8XS+dlUMtsd^;=uxo#68LKucsLuo>BJh{?-cJx*C*q5~RIKHI5?s?1)MEUWAp7 zAT8yg+>|tBa7@Z8ARx|Rz1Y50^LvljOaiX2DM$aRXqm%WF6zFM!W?{nTw6>10jsT2 z8vKE^VVnf=d$qRG!R8lfUDoc_0u9!v4P(dkF;w0oLMT6?W!{?c8hPS#)wo3-3i}os zlXAK37|YbmwZzx^-kI_O9?8)`T(GMLqZdEal>b|7o?{0FKa^roOxk4FW5~Mtl<9s! zYIHEr`u#si8{BXTT`Y82NUN(!Q#eqs$diZCx1Ai5G&gwHYG3bUmwW}xR~2cAkvo)R zCvv&qu@*_Km%8uy@PM4C@-+yi=L@=0RtmMAX)q#_8O2f2-f(v@jU01|0>iUgIW_nS zI{yJ{slC1t%_y%7`KYG+01SBz`2G=5k`ksK7nAb3(4~-{W|yybg~iGRgSAi#12h(T z(T1G;?P5m*-S-HndMJ>8pWKqJCn`#XizK(2X>em{i0Vh`l5~9FNNPQ(hvlomNLY

GROq>9Jg}HwM64EEW6sQeW?mo{I7!n(`JvGY_KE z=1_dBz+YX%0>1`g$AnlsWKFqs&3XEtM8(^&E>Fu3T{hSS|&ry&Tt)zPv3= zAKP|w4;Dg$WP4{kN6=s8x5!|TUXzvth~>+?R!%D0F4kZHh>aPXnP---_vW@La0P18 zIBl@aQOvikrDnaE=*XT(s5K0>R6jc*;_l|qn$*5Ye(5`_?z=aL<}BRbTsM~YvuBDn&KTzv+^Q>E1p?%;6dlCBr3Wm_7^S9}*_yeuc8mzGx z#>b~2v?2NSm_l`fUoKDNdPebat$t6;CGzJo?+lHGo&X3ZkYr)(UA3E%Ok76R}yF6Pq|`QL9)( ztlV~xql3L;rmuJPn<^pep-HO)((6Y>^OAPWW;t?cefNW%_k_~Vtgc5DLcFAJW-NB; z9=`_FXb?-^?wi){@bzBpRQevL`@X@GNQFu3d;024j=5Ujn^->fdmatUh;MBu&Vpi; zZ^vC#o_%t@T;AK8p$L1yEk7kCPf!noiFj$MHI#Wj>va z&KwPM9hS_~S?+^FvRu-Py=8gX4s_LTnlH5si#3MIZBk9>k<)uZZWVfZYsQ8_YV}cR z#+u$b>}an;PfK(=8w9L>(S3<*kwGrVc(wDf&c-IrwVQnuFzzs9xnPLkm~OlA0iwD$QtL@9jkuXRFN}o+O&T(f^}6rYzl;(cm9c~>w@LMWxvzK4h04F5^ZhFG0P?mBcM0|A zjy8@p67Dq9k;qdQ@Bf`bpJf$|)qVeKl}g{is{miT=#NU?SZ6bkG6-@vSO<^NMFJfI zv{>BWrbTK|r3n_LE7#cKAtZLW8NV;BJTr$5*UxN4X)h^)WNRApVb;8xmjW70r1#Ih zxb=MoPSk_BvlpEEN}cCs5~-EcQge54B+7@ZER$lIq8mJSeKzlH=TzvK^1m4y-e{cT zA##3{Av_s2Qb8p*tU5Ghp+)Tm#q;XQX^?YENa_+8X{ib88zRfWDuWvzhcsT}hL0;;JU7QmuQh0~|8SY=r@b#XU zSsC(0?lP9f^dxs#r8yR3R_ls|kV5ms!{5lcZ3Y?n)I<~hF04gBvp+q!s&QooO)jOt zaru5(rOL+wFb_Zq+Dn?ZjANzL=CGeX*eT)PKDozJ0GBkawR@%U=hsX1H$&lV;5FSxm=%zeG7N zG_w}#rN4CC(7p`v*KQm|BfQnppJv-w2pW?>`zh~@q;YH2dg?V^;}V(ALFTa^7S(r7 zI1LTCLACq!w&HxU{bW701Qc2lq33Ru36$=`V-X9Z;|&T`~%aWiCEaw zacys5)8dr|RnkLhRWOA=*=r(Y|5lBh4L-PM7U3)wJ2CFLu<{+{I-1eBa99(|VA5o% z7iMD~2Bv}W##6ARWZs!46e9D#A(j_1SN(;2_{ODHdo7nB=rSAnuDxLmtq=Q1{R6gI z*)-offj0+aJoWr$igPxls6-R42H&YsOj@NKn9m(Vd zi^gss;?0KA*Ui{O$5SdW5_a@zeB=-2Ax3Tw*|V7*9aDYB*UKbyTFSyS4PV4@BD@EYt<*)>5wc3Y>t&@(qzmN+Aed2TlJf zdmULd_PEe@Yv}vYjLy_P(ud|&|7cwoy13~{Xrn8;oRK2mUFy>!lXGsuQC22qOdiG* z!T9I{%KL^)r^Lxk(KpngQg(w#c0hc;Lg9^Qu6HnPRzk&=Sh)G3HfPx z4D(H)_-@3(L#(9{~c%BUj&`+nO+$47+wv_!lvp6E>AG_05MF=Z`l59p z2Juh#`q9`%Y8?B*6p1mtgpvM^dvxEM<4?3UYnAb{8b5;{#`qbRG6c~CpKxk6KEO($ zJ(S1hU!%eBWs|8z*4M%}uaPK)2D|)Q^Zo7fTSr-qyb&al6V<02<7oZHN)&M@hgVGy zgAxWSyo2gN&y3FM9_5|aQiNKre5@wxMukXo*KE48_z`)=KVbc#r54C&?8*?lBXbyC zNcxcqyr^ld(H`0le81v*O#4R*I&eRf8rOMl)z;?X2M12bMA$EKyd$sEnVbRlyIUEV zZxO1AUeJSi)MpYUUaZEkznO0lYDq}#cesJ(yLOd8M+Mz?YZv9KhS#J@DZOZMm08v~ z4NinWkO^bmp30NRbX z)PF#A+W78-HBnQ}w&rJyL6w6&v{z69=T+mZHA1&`llF4X6^b`0i8FFR1FM4u_eZR5 z%9I$-SMww4V<*Iz((t6`=S^-}3(a?cfPaeI@lJJeiGVTq#mUW(vXD6|)IEd#7Jt2? zutt6YcpJhTCKqi+V-^>o+UcuV+q5bAqT%ZATy6EOOzQ$e<>`4mmRee*u>oj}JjC2p zw?A!4pppX*zVJL3gWK^Z;|3d}t<(3WbLv`5sMkCIgl6v`tRr&vo4 z?%R&bV3*84*Z$Md7uJW85)N{L!FJeu-WISuD~-t3%Sx!TyoIpG75k{b+8T_-$hb;FbbE@-qB4F8IXkV24!JNhKO9|SPmX?b z?9>_yV;YTFh{&^d1yZ)Je^3r1m-PqtD5VkfjRi6DOJq&zv}7#x?^Drw=;|CdeP{jI z3o5pxGWljwSomPKA^!us9neFXr-79I{SInBX}(okC;us#a@7aXf~YBbCQYw6i$4&|Dpz>|206?4Fo zb8|9e{IozzYMx~#Ep2hY>cFJQP_u}YW#@+A7Z%%3eLAabPYcbsgl_9#jjR3D-PARw z0=qQ!2$UDW-MtU&rVW`D_#^v;3{;p@sI3@U<`1S^wDxE(kyM)(J`6KO%gr=(cS5K4 zy$#kXomx~xO!Yut7m{qEyIv}#LT92CeoSne%lVs~{=h~$@|yy~)+CMPMFXA&@>k>b zw~ge?qrlLCltX|d0`lzk23l}jrLlE+!X>#waqhzA%)@q(mT0xVwMmbHCqbG>VPl+m zl(wu&OwhL=zYHrJ1wZWmVJ@w7DsU&Briw}w1*k8j-b~+DCUmY28)JRbwl)DC`T;?6 zS(n-cRgIBLD(OT@b%kxhOwcco1{_uMP;e?j9R0n%YbBea^xY0l*MnQ{s=kK?=U3oB zc0r+hK8U`>_t={9u)IC26BlgfSp|pFx0vF>^FbzhcrQEF%ioVlj zN=TjKPnFg%rZ+HUnOd>NY#iOR_Q0g_da$J~OIn{krk+7q6- zYx7ZgZd^iDTPyGiZEIN}pVc7r&t;D(Y*{*I?~l{0#5y7)XEHJ}`R4UR;j}tH4e!Ua ze;>}}SO$>tHu zc#i-R=UgED^j3C$oUKcx7FgYmWZN;dhbDPF+w%J*3u7M!iy?T7ZLeKGS9@RP`9U(v zmDZHU#A6<;c|<#+A{8c6r}b5>rrH$qqwjs_dsUIPG?y2uGdpKmeVH^FEUuyN@?bvX zYbco))xv^HB?mEl3L(MC*HOGblvoNepL+?i&%z z{2fv7ui@*dbH3}8cwBQUEvrOwrYeB{&40$~IAbYuZSa_HyB_|BID_Z}o8A!!aJr$KC*Bbj5CcY7jg}uD(UANA10c$5QIy2N>gF!Mn z*uh&VMWN4tHw&A+Q7+X&@?CJ5=DZEDu!&HLJj5;T!JnTcpH5*nmDFnLzIh#)11o~2 z&&MQ`^z&-z+ciZNfv%4Y#?|-mvaew5Pf*^z?sV$#+PxGcqXDkqP?>*sV35rNu5DIpKbeNyRyh;y$DdIX zCO(zT)!y-ZG8OOgM#QpBCngUN*J{902c(Ih;A`oek1IB}x@v352l?@%2R~!bwm>?u zP7O8lw6$b|_kH4^Y1TLvL3h%mcV0OcY$fKYhS>Q%gwqHn&B)SuHO1ZkR{9n_LFPRA z^AlqJYIm-@ng-2O;9fem$g}FAXq|OT1eLbaInQSew)W}RvKjF_1b%p}(`pKd6Dy7N z22blT#DK94o_?zDT{`PI1A~7I^0mgglp1gC)3GHj zTZ!p+gaB1<&fjcN!8 zjSfaM1HicL!FZiq#Q?kd&di!OyfDN@Z>`yujL?jT-FhpVqGTQ?&`lO@ZEBT#YT|FD z&@T8IQai?#9ny9xbzh}Yi`U#*^eyyBrCVRU+QPkR;RcVWA4I1LtB72S!@Wf0(LDGn zA?Vgg^Ix$_Xk)7h7B6Cuj6d-trJtWz95?~HpoIHE&}Bim>2J5jP~OrC`CBGUkpGR4 zwi4Cdcqi$0r^L<@XYRN+fi{J7|6%6MK20Mi`}QI-v&hfpU?5*}fbTuv`$J$nYO`gd zn8OVo-i_RDuJ}{*)_HZn9*d|r0)0ns&8BI;g-WwC)Al@|d0ab!dT&sDYqpK1%#-mL zo>co)1SN}7U|5$ZwI(dJH$+!sYXs$bpumu$#B<(%?q^KF!ePM72wHzpfphWwZjHT# zoxj_Cg5p(A>`XN}$a>{6RZvw6eQl1MO%HNhqWneIS#9+2o*doc!L5BV%_dr3B$Y_b z)%RQs1RFtR-p?Cp>#xbZ%Ti@hQJ=q>RmyFm4pmg^f*hG-ni>Ei+Td<&4%KH3wASgq z0Ws2Yo?>P_FqtOxP;t$J+X&xFFdw@Nb9fXOOt0>$q=;A4`~-P5Ui>hpBLUabwJuNB zTHK?*3^m(W+;(^5lSzB7q>6tZ6n#fAEsXzH;X%*e^z)uaSK})%#N;mWZPV11v?@e_ zT@Ebw6vsgIJ#Zdnbo@84+|zsaTuOK6fb>0rbtD>lgIHY>m7b4QrZE2E}%mjUeUF^^DJILRb{V;8@Bdfz%r^h?KahKoy6jY zt*UHA$}$tyG9hbWx^cZXt@FJlsib80JPqVICiK$&<8eBht+3b{YecE!Dv)=FFWmM# zL8J02u*=rUu>j2JKryM{4GQU#$7QL~`7;=bd^$Sr20aN;U`?5#PwLl0kJE^cg`{;B z9=^nwO2C)|e0=j}x_R{#&3-vjwnIo{ItHQz+^AeIr7x)x6PK5SNKk@8M;8dDa4!XB zMJavvL|o%t4er;C@EED04(RLI1@VyC-}NXbbnZs?5_e+o{gO@3*pOl^%^vWC_Wf*T z#n(Zz3i$p2u_Vb6Ks)YU5PfgucqKt|2juyhzqycaK77fFqm9W20(!}D%v}B9I#utK z#QDBYb!(J1rfkT#cJn=U8493Q8`Qc3o^c5BrdaFl0j2BKIjV=c$L~=H4CNrDL_TwUKF=(J+CKCoT`?gMsx5GEJONfh!F} zSNeNznm$Xl#x`V`cq|1~5@58Cb@o{8ezoloe0MzblCx)f>b{3Gf9u{9RI@TQ8qX64O>X^#4`l|qh1QO}@q?x!u?F_uKI_U)-# zMo|B+YI>el_uULL7Q9_#_|&`Nz3Z9Un0Fk;hrYi+)o-Cy9W-Be@R#=m<#`ESzq2>N zA;8aqQfXcV=ZnUCHZgIc+nC5X!KRjZzamo{2lPa= z5^k=5n4je7pR{ax0SR0uw5`1XBro4$HYw7PN-tk2$UQhHh&dDhRmmA_>(+b-dGIGi87eUp3@IB_ocmcFGv(ngLrd+Ir+wz_#IJw?6 zfJYkBwTB8=D!trVv-VAEhYr|3*yv2Gwi%cI-b!ujByuio-^;yurYuMs0jc%LJeJ1h zRMFw5_RujN%u^m1vPOQi+D_}c?mG<}WH=12=A+G2?`(YM$@tZ+cA7EX_i7uw`@t|4 zEgMO%d#UGw*;#7BSQnuA#66h0^^L$~)>KXTTa1rwF{S&laUoq9dxw0|Pq1vQk|BtS zhY;7&{yB}(Csy;4!Az^DBoG2C1JlyTua9VG_0cL3fk{>Vco*7PaxUe~#gFc^`};F; z<0+6IS~QHFe^RmKtj_j$54dd%<>oHR3;Z_9iqE9U@O~T$UBE)M+XV#E?1w6MfDeh_ z<{+Gwg)bC;x`=|0E6U$!V_F8O<%ch5nGbut)atHX@Bom{269i|l~iotMLJouua!oF z)4;vnLEm>qj-gRIchH_yp|Y4Gw)TRZ)A$Ygu9S8TU0$H3=TMOpPpCSaTbl*q&!PLX z71%?Azai_Xi@q-`okP~I^_DwqEuzp=XnmRaFf?g1M(wrQz&=o}L284dxQcpvnr047 z>^%N_yEQ;legVP>;&0}n17Y-h;a9pBc+C2#ZLJc5lDuB<+&)7E9wv6iSdQ6;VI&{B zsa-f#AeHq}`FRf=u*+kmD421Xgc+xa5O?kG6*tg}+@3LW2P81&0Lc8$h8w70F|}}bpKVj5 zc8rlL0qL+8khi?Nj3^r01#6jtn143=2pZ8!y(W$AtJ1nM%%L~NSsmA`m17(WwG(mI zzsiTDwWx1#2YEhzZ0*xB*FeL#N$;s$yQK19KY{i!0soG^=SQ`p68r)xu7t8*h>r>Q zAo|vksYJ$gj^`RVZ^ZOB62K@!^ITBEj9HI5HeNwM3SrNJh$o_b8ZvG(U$O7iFf zdakkiQjIk0s?;sH)tLJCm9fanD2Ls_GXXYY(15_xGvs zJ1y%`W^*%;O-%sv+EVjQttWfHWuOXY>()2R zj>X!&I>6yGR)M4h?3_$K!E>ec7zRspn9E+G;M!UWzxA|gYX_Ew3E0-m$k&tU=9qp| zy2o2M{?hGj&&bsV8)EjE2;WhIoN(>x^|9xF?ofIE!7c13jDvBDjh*qCfP5#uo9+zO+)f8L{iPu;Y> zYgw;lt1_1{f%ZSY9j9b-J)Iv1#`RjRDeoD@tvll8CUGL^ZE-JWft>whxm73^EZ8z( zly4tG8za>+b8WpWQI*@7{oo5p4~Eg7rS8%0x}&WmIwCItX%E5trRxhRTaeN>EKCH( zZ}j>ZSy+E|>ah!HB`I(Z&Iv>%{GtNChKvD4%e+!h57~Jq=8ztPWG@7_awBHY$m42b zeLOZ@F^6erJr1pPWLh*Ysr6R#ZNVH$g1yo$gX!Syt~9sSc=szH6+v1VFc$J*ES>V$ zPK&avvfjop2jSt*9*Ze{_B%B6eQzt3c7sevYaSsq)_p#f-o97*Mq`m@GQ^e3zv)PrU)&Zf0D{l_n zc6)@9Z%@`y&$JIHS&8fxZzNWQ-|aT|84x4CySWHuY!*VhzCO1`vHOcqkAbQJe2ZQD z$1Um|QcjWs2u*_1V3?UC%8~U?(1Xtk%%rHyV+xGa2R(G?b%Kr?c&DF&N)fc&S=ZR)m6fi+*USYl&V!-v}4 zroB%USmce1B79UvmLs>R&Lst2p>1shhMGIDC@u5Fi$=SjIxK`8y@BA1WL`jlK5B~@ zbO4M>Ht5POn|VG(?z=0o<+>h$TSJ%gakGw0L$cJzHcl0pk})2mGjnGwDm;oR&lxMN zyRjjQ8`NUNb~Uuth8$gP0mU15vxD(Q&eb%d z+8rvsC8s63Hv!J%!BqtRnY+jM(zd?SX+XA{*56vH?_jALfTC8V9n@u4LT3igR@OH? zSX|`$%qtj)ntmEZ1KTS@Ua$G?jpUr|LS?k!p(dKk%|7R$om^%g5aiA9lxdS0P0 zO8slWl(#vkbZ#YgtE^hLKDu$J+n8jV*DXlx^S^;q;gLEHfYqpc>^4(n%chVP$pfiP zM+N4sc#1JExPLQL+SYEQ@N-}$A_eOirpc5V=@V3<#EtThSIB7ViUwcgcxmT_6QylA znl854O0_Dd_DT-(K^cwo_$#w%v?!j~**94wXZIhQ-Q(CEzD1?6J)(Bg)&~#ic38O8 zQ6t}vxu7RY!FbnW8g+lihCFAhdp=eGDsiHUnz@x84Nv66V6jmvv?)Y37bdgq^#tmj zUp=}@rxiLBcEnqQ{Os!Yc2mHqhh*HTYUR`l^@XZ=Zs%_#9_UZW4yp_r2HF&i)kjr{ z|CCTA<6UFb6(j#UEc{6e0KlT0e$tq8B`~5Pi>pLX&gl3S8Lie4GkXck3u&eQqV)T{sZ)~iRu`?Y$7s#l8~81f zkSX*!@D%Ov?9iV8h~yfl9^HS((qyI93FV_23o4@YEHyV{Ie=UP(*lYKo#QDZa>d99v0jL>|SV)5A@5O=@z zI7xkze&=Uo`>mTA`J5<*{EHEFVUewry;KyT>@8pnFmiD@8;Z#Q+in2o918KNSA|WySGxhWmBZ@Mtbo@4RaVQ zMC>VGq!{#!#cAf!>W&J$j8h9Cjh~m;#$q_p=U>RT?;UNm)Dy1S7$2pFTctuM@h5jw zRD++Z^U?c4$V{Pj&buu2cL=Nj2q<|egi7U5U|uj#9(oXrt>MaE=-Zq2@J@~6C-+!I zw1?vHgX(3+=y3Wc|9kqGYN2~ECkPFtf5*$&G}c$SKVqgds_tsN|N9(zFhPM46`5hf z*y?J1oEHthINh;DPzGc0l}~%e%%RsE6}T7U6d&t@kS1VlEmNlEaX%gx$T9?&IenQuY*k#cM)TE90F?Y(!{;#Wpum;6nSQ00LMoOsC^TMA>1z!~24ppt#uTYZ;UubcXAWQbz0ewY8ov-lkPNjmWW3_|iGh@9qR?h_qtuv!K z`|k=$)buJL<65W3k_%}42vw;$!o1ZpH-CXm8uIx5znUTz zsEh-Q%!LmZutQNyF&NUt<8hZMcIfbzBdrd5!y&L~m=n#pQjS`k%tooJd3a6JgT->h zwW3gHor|W^s#4JTG-!vFLbq;;xOSQ=BhTFhbn?tk!jcGvcX59ZqRNfw0c6fsZ^k6& z(Lg-Z2cFNb)%hEhQzvj%u9n}q=Ew#skG2+nZfeV8!*S{NQJ5pUjKCgzRXDu~#;QYY zqdoT1`!Ndqj?F=k|AU*R;2xbL4=7s3y?zd^lcXzc@KDd@(55;687r|8GZ12l zU8HX>DAb25`KE6{ey(OUxRRTZyDYWr>uxfOmC#cT`i{pkG{-&)q=yqGi>0!8M6-`Y zi1~#F1W~zf3XC~@tjMHeOQuu19b0tPQxD48ipZI7S{M3jR4FQ4bFMW>+va|@1oI$L zsNe^Ez6XSUAH9Ye4_4q;%(sM| zADjmmGLO(Q$LyUorWSL)@h2kyp0q9HFiMqF$^0mrw`dISV4I&H(dc&Ws{{6S2&foC zW5}H65=tzCF%a;v(e4R$)X|lWikkFQ7$3PYyULT6H1Ll?bokI$Ydv=<7agb$c?myfP(mFHTkC`jOAFO2drylM zp%zSK!!ceTH7+I|M_1AYyNpR@?;FOf3kz`G~-IsgS^>k)u&??_6fu) zU2<)r3p3u+s3nK~+ktxd{@g_?CMI@%GdIWsPjMoCOM_2WLE<#J>t;IUr}l_q%|pBd z&*pfbjV3h=7Lc0Q`2|1=gYS0@gQ;L8H5%Y{01Q4Hh7Vt6wb_$4Or~mY=7~@t5*aLz zV;HNrKERB4$p$voU(t+A&2<~ycoja_1^GI(dd zztMJHOUl==5S@>lX=UboO)RyY2gj`5Tp8x;CDh_t92v(T70Gh>nXPS$JwBYcgx0Q8 zU|bYGVwP>Q0F0@7`z4fPo&x9L-bChU5%KQAqI7g9+-icwZIrbT#L^n0L4ps#bi;3f z7%~t`-xna3g~+3eJ)1;}C%cm(i4JbQV}BA`=i`q2jl<|6db!R@km$ghy#0*Q=;6N_ zlM}7$!i%GqLYlGjXw3(5yBUiyem6nrnSknKk*+kRp&CoNYK^Tx=ox@YYYcm1`BWEG zPP%+oA9IrC@I3c^2OlO{nbnqcQz%L5Q#7&gcZ>H5#V!fv#CMKO=OtS)!nB9FZ@L`O zj?O<(y9UB}01^*<2qX1_hw8pBHH+)zS~$!CBOM73eNf2Ld1+xj=Of-q=}L+^V;t+j zm65gS3~IhgZ7R@w$*V8MG%OF=b!X7&c?$f2jY-m)^{#a$H<9X-VCV{!EJGE&@T+i2 zp^{BKU|cStc1}^zfirHUEW?LJu+*0QN>S&COcXvOt`~A@DQ#e8d_0Apk@%D0T$jt? z9R9hcl}^uU_|;H>l^&W;>D}7aRs_$1CEPo(%##u0_qn~}Xykq6-~M`t{Y4@Fi|#}o z%(sNxn@p9G@VO+x6!}h#1{|)`G<6_zX!AL8{>J_ylj-ZoSc3(>q-C4_FvaM_r7^~0 z+q`%8e~cy9(MZlZiQalN+qsM-xge3=T)DFQ@!JC&J+;gqz&Rhn$EvKVNsB8wC_KR5 z`bUGa!a1|R$F5K9M;WuOqjMAQxsOP5_gKO2HJP2&5ovK)ma&57(6fQ`xVI8&HI|wL z%|6h^b7)lyb<&FZl7Nd)gQ1NB9^A*=kNv1~fO?|I&v%uVNZ$=%uG)d$XCCd?r$g=y z2&^|4ThC|a?5mxhp5N+b9o6x?ESk3g^0=u+QLh00$yD!GRD}17;HsnVUFbV1PB2}MqhczDTwBf%l(+dpxNnz=1s_*(p(13y ziOoR;m|w64j81~)eQ1nb%KbnD(>3gnmLi)Kyn#Yb*M1h+XC1-NiP9f=L0L9M)9>d+ zdYkeKO}-rD-t+$lQ*QXNhuS|51=8?Jm*iL*oOvfIsR5$-Sa^O1J5g_18CNNkf006| zq$jj77~v^;Ja;`#8GyL<43Q~%Nyv=JKs5mk(;+hHyT8G*e zbjYUu9js6pL*D0%w&p zlVuJpp@&}`Fbr7B z3|2c1VMsDS--a&Bp@-N-Y^^n-!yHu6%XwCm*c$)t#jmInU7@ZCS8arq=ndsFjujWN z@ZJS~V<{@_-=PO9x+&-3ozFsm!_1A>i+U_nk7}`zL*jV^Og1A13+>;@ZZCEOXrW$b zgEyOk^6HLOw0vR_DtUj3)mwuRdyV7hJM*2%ROaCU8oefgS3d0qylKcbilg<*pPy+{ z|Dw)?_EoE`9xS#4d>H0>^3WctP%Wu5|J2r2J~ju@7$-1>jn|=!N4ElLuD{yI5vWI^ zS+L=|MZp;Rc#=?3};OTAtx0s_XeWB}{*A@ChAL^GQ0%p^!&1MeK8142yAE5lhxP|! z9Z;p(Jeh*_sN~=lvm&O*kDK@?Y%r#ghrd~2ebf3*hTNeUcV&kDTuDnpFVV%I=2jRB zRl=T7@EMUaL+a6#J+VTLmzyMCo3vS)88uIbcn4rU&4OU%cnvl{wapg0`w@3)q#MsRf9ZRVN)4 zpR^d~7_K#T08t0bn?Ezup6y#c9i&4M3k@W*`4BOCi#r?gO8VzET- zNz{D`4Iiq4M;7i|9C!yN?z^E?-FJjzt>d`v`x#iS1g$!|x2LPGiqR9}qWkPtH{ZwX zvovx>LT8fnljOO9_*fW>v@NQSN!QZjATGw)1a78huDcKeePc;?E2fO2P*!dgJ0GX_F_Dh9;*f_>~IiE-N$xe_dv*9Ju{NV zmdNBRxod{y`Wb-ToZy(URr+8kpIKY!26>(wX`R;N*fbBOeLbxCe8&kic(95NFg010 zXbcuUL$ZC7Po(j$6c}%Xe?n}!j`TedBpbab)8;EtpqzJB`A3J!s3{k4pZzU%l;d-X z)Qrjlcvpe6|C$uV!0Gx@kfrR7YjRm*T z+tK%>#PjG@nFtEnJJC9-rN-|D7?Jz7#hw(Rd7}?jb5g};riLT zi&$g%ws{n%`hL1Jx4+dZ#waNFJWd@%-nZ1lH{LxFyWAcbM5`^eNX;&*95@wIWp0MX zw54L&!pkhGJY@mcSCCrhY0KnJJ*-EFb|{`mSq8$#X1x!jq!H`nF;YT3FHtw4&ev@; zl^v+&CE0b~=g{pmRNBW{+D>`eBEh2&6v}TC^TYpm18McO0g^Kn)jsA%t|>3jKfcB3 ze(dsk;Uqd)vn~~%cg*^zH6}Ol)+v88VbcxtdE*<}AJSSze|Kt;2E$zF@c`OWR5_8B zis6Cr`yv#&vVQ>GPO8AA_<}^mp^%U4h1Pwyk9Wd)rAhmnp>`3N^UiIXX>`D6YTjY1 zdp$KCEFlEdx3E*Rr&?m?$0ZYGTYwDEyk(eQw};f)PFqRIf1Qu)(l;I(sx_l0g+r-O zJoV(h0eh9$`Ax))gFvoHtD0-H^;#=51$f%e3NjM&kD?YWb4XqQ{jSrwb&T6U6g1-R zTuwQ|)t;g(e7_(s4`rq0!QQ3NsG9}cyL#s$uA#A!bz(cZno%hfM|Df<_K0f&)-E_@ z$YBRhies1Hvt8)g@e))Z?-y&6jtAv=NHWfFWiIXaj-I~G=WPCJrX|PCq5W~TVY3K^ z*w9=8V~EP0%X&BC6&XX-4rLuGUu*Id`S0Vr^j`bB{!wc{H$l^cYEB)2e-% zR*d8yhi{sFYOJ8vE3VS?=6_gcb?X68)-oo-j{C~e7ht#@asEHFWh88qBEMCIgs%P)uT@~$PF{Y{Y5pAb} z@{E6tqZ@N$O;fSpZQ@uurI%lr@K5ykH+O62fYPIv;_MufQk6z*5r|f3f`=6x858}w z`Jk&&Cgvq+%VjA0fFz9o1h z0b~`%?{-Ujk@s#ji*2D3(FswEn>RGLLyt$*=I-GguOpb_p_k>WhET<8?wz$lb17It zOYr@BW&ZWD=HrDl?Aw;K#>JN_rj}c?*1_+S#xAvAw4;i1eJH2tZ)IiM6kD4QZ9G9@ zlK67~J-(opz_8gytmP*MAv*|GuUpfYSr$Tyl;7|qm&21`ly5wr{;7JEPRemTwSI2)6g!&!{qXApnv2@7e| z5jDi3F+^n)#yD04p7j3E0!rkgz&O`J;yG?nrPTAE^x(F`8GmyFYlDtVk+ZPy2 zX9HEH3G0?gPZ9oL^7~`|L*{+pp>bn-XnO8aWZo8L));+9&zb(<0bPcg%5Z~~pgel{ zlPQ;gU5vG1SBGRZ<(M+@t!g*(U=WR?zs%C#yV9{_$_2BrzQvGnuB6eERp44E9_$Rmjs*VG|Qz>!aa~wvDv{77zbx-VE`}ur4`rJSTc-NB0_a@uT>K2Z0LTmJtY%i z4#HE#S}#V^p%v<7rB198A?-DsXdDlPE*HeM0N2Y5ZsdAf!193;t!Y|gHDFAy8JDrp zb37G(f1X~>ZDmF2S?ms$r@0X~FCD}0I%HO2smAw<%#l;7(_ggvqi@$c*y$nj-yn0{ z_hLS4e;!N>fsv)B={MNxCi@1 zWIysPp};wrRGAYA(SN#T`0^Ez?pIj>OYNwwUSEad$!Fdz#wRE9#~A+aYP}VocEOsX z7Z(^upWE_KJ34qOtHd)mU)ciBZ-z>%1PPg+wp4c;oscc8o4|_?2vohD1Lc3P%*|>$ z5<3r+@o}F1W3^R5TT3C}-GPw%&z65^t^Y%sQ_bIs)M?EnNaQR+<48W6xk4+o=5a!2 zTzvYWZ9f79*Ee}OOD6Vl;$4Rm+P`l?=C#qo%W8{g+pp6hU<5++Fi<6*D!yAtQ-7*O zjWaqTj{#Kz*7}YSk;6gN22?e>3?}EfSP{7{jHwQ)*hRYJH6eac0VWf@Eatu?A_rh> z4hH$U@7ZGux_6+t+jypJPl+=&mdxV}qmzi-7*z4=-ZA4jUI~+U&acJuuSuha=w&3^ zxwQ5KRqdfR-FJ*P*PDy@U~GH%NS#?<$4K8Cs#HAZ!?yj5xg3#QS*7+$VZrFS8u^?}9`@rq>Wec7E$`yIA;bw`!b2%0U>dfwe_ba|>x z{*jUHp8*&W#j#}}?7VRnPv`GhvN=oq)!qH<{SHQ%7fikxk}8;XHd52IKH8Z02Mlau zrw89NzVS~AZtZX)A;Vz;5^}3UYAq2R2Bw`!rQWLXn>Q?}tfvrDWp)H)NPAFtp9df84iOLc@}-7R8R+*r1Fe@@ zV;ewp5sU`4uaBkL$=6ViyW^}bS}cM>s>+T<)aZhm3l99>BT)=va<{JXPhYAKq>QP$ zHl`-9VLsU1y)2Qf(nH5?okG{~;P$z|*tR%BXyM!na-e+#2M-ZTV+@kzVdvSx`cSbG z`$a6SbZ#w7Q3%kjKmQwUdFd&1eVC$v#|3SoKZ9<|Pi1w}u|*(KS%rdV&#yDc2eUay zLbeMdQO*Bp4wacIdvk1az@}d@hwNxL9g{M>sDb4eUPe{r9vXIskLl%@2R#ihK1f#4 z>n5#PHpBVhS$=2e{n-{~O0i0+sq4!voetD(9_frXt9#iMh@f{c4Lm(Mz*07sNxZ|Q-j-ZVU$Z?$i?wFe(?$P zb)f=dSDPe7iy<)nMZ1_QgRU$Wl>KL^!>mYD~+PRx9ntzn5#HG+e4D=Pd_?-Ngdb4K~_B;cZ0P;W9$Mej2yHt+qwB)cX79a`0BgHYd12L81WB6 z@OuoXq8C0CB6dvGed8@l_5w98=>}a+LY4Im|CZ%~u@W7)GnGOog}ZzMV%>T~{=eM6 zw6mT8<`Be|Y9s=l>4 z5?xzOkxO^DUxX|M8_tO;PMK}=s&piEPTSwDl9#S5@nU-jk@H;d7!->$h zX6)dJ_Hwk0c<5c={RDk?>^YWF-c<+rv*jvwgeR#cO&CVmDk?C-sLcJ{;Mkue(q@CW zm!pba9%+s7TRDa)nV}AQL1P{}R>&1@5RRbP5c+mr9ZI)CD^SGCVU{PWOt6)Qz!EWX zvpTPUH#obUs*ek^OzD_!*ayZwb8EZ%y_IzO_DveLD!%(T{6%nsbFjy!cZyP)(Gw}) zQBDh6Hv~CCmd$Uz@lR4l)S%fNCQ>%v)z$!kan%AtO)!#!#rbB?(|cE`$hYoRRSmx9 z8fq5z>PZta|3mw)rEwozksUs^hRHW0|IJMeXLhH1X|GzI+8kCuS&N~zC6%_*yH4?) zVLiWFy)<|aKJvCsx-u^kj%BG_OzngCx5Ry4{^)$+TMg{DH%&A!F8W3F) zsqW|YJt<{|f2e-@D2t!YD8709(cf4LjYZb|Ml{diJbd(w6|SR0f2=d%m?b0Y*X^_^ z!wMId>3bauQwx%_P9|<|HDQ^gc)&l_(?*&lJ zZfdYt%ol`mIiGYW`qt)v6SYdREM@fP5!kT#CklDJ_h&Ea`(BOyd6*V?F8GQmH}PO6 zwq2{(k`D2_YV6p8XN@vI-{TzF_`VOB>mNSO-pRuYXY)%5Wrdnz^#Kh%o!Ji;b>b{;ZXndG}dErH<;5lJOeGYjS& zkfwu2jCjs3uNd|WcwF>dz4$KCp$V*IkoH%QsEash1?~mwEit8*Qb&i882CF!?>olN zvdIsl{t1M)s`R5Rl~p|FR8iU51jxJ!kZZ~j&pEEC)w%qxp!s+806siI#q*Ckp7Voq ze$^inBdsy4<(AR2dtSaUOx~-_XMz1%%Y`Y9V3mka`FwHPXaq+~cV#FA7G5bs%{694 zgo+bwZ2ab~tM!r_v#cy0zl1X=iuD~IqFY-igbIwlLysK$tZ#f(Zuo+zmh6k#g)i0MAdh0}n;#SZud_r}@{hYfp)yd_ zfH_n{RpRD&Dsg+32-P)E40Y2)_otl`i&$ss&_lCa8DReNIsx=E>f}l$uHA z?W09&qUcn;%+}8shW`UGf8VPjbRf+vO0;B)`>+s^Q*#gyQeid~=%s1Nm`mM!pl&B(V<5dej@nyqD%JWxN`BkUDl{*B|g1dpmf zJ{8sLqj#vvxMa@V>tjV0*kx5 zEE3@Ty4~rSmzV7Bm-Em0=bty{(7a4dS65fvs+Q^L7XA$-E2~62@#f=bij_-#H@8FC zyCv!!6IxRN`)0fK&VvZixOf1|2g0(HjvPZDHbgBqt$D2RykyP|n`FKR-Zu?`Dy>D@vsP@g?~B^`ItJ5-&2oVnwtE-$ z?g$U<=fk6u9%(^kQWc;$L%-{PDz#JvhU>C{v~H(9Qk~3B z1AETnm@XkX=f!4PT)VS%#?oIoBvQjv&x1$D!H&mJs$!0f#(q(`*PtES1P@L6XAphg zc2L;+tTL!z{xgQiO7dyHZ*p3nLI`pK1kLkbno*4T1!(-uW%lk6g8UvqGr_@SboKi= zF{y!FXGL3rRj`hf(D?&CjxOmEDS1-Xxo8D3zvjBdiM3ua(oFDTSo1u*-F+3MRUAil9kQP{e09md=G}B2k~+3~ramuZ@Z=HhILmL`K0B0Y=R)uk@A5VxGrtB*+!d&kW5oQ5v-*|@J zVuc?ZE#wV+H>aHdn%yty7<>oI16=VrvF_lp=B(6M+4x6l5mwxlitkIhs16yPm6!Uy z1Y1^TP(G%Jns&1HKw1{}l)%~wW-c7~HsU4kU>j_mh4o9WWzGdk=b2G9Z^#hja&@Rn z?@Jog&*8F%Yc}(4VQ=Zn;`9b4(5z)3{SlOK+MrguNC@rPEhkJ{vzG|0jYKHV6V7eu z&avMqYfJtto_B9ssk}rm4~MH{)jN_;Q~Bc0`fOH^Ke71HBbATj2*!u3ys2h%Z;?ej zAM%g!d<^1wT%mZoj`;OY^DU9Qq#ers8=|?tFPW*lWG1{M9t^7d8*iEBE1kcBNqi90 z)mx^)w_^KQLu3Jx(>^Rq$bnc!i+2T#tL6;*MG*HAc;6b}aq*vv=|x_-tqmqEg@>j^ zoyJ4)1s}&Vo(B~W9|Sg4IOiGWV8?z=wA}g#`eB9C`BCO6Mv{jS9z#^i0)41UNR zJE>e^29Fl4V1$JHpVIlXw@;lJ5pr1~SY=SbS0rnOHlsZ=*NM1RSQ(V3ZFp-L zB&y!uga341;em>{Dx(U#H@r*RSE4Iz?$JPO1nkd6ihBEkLP(B1982k@%2nL_H*RT~ zA9(EKe~#VX#?qeOB$@jTGOq0k=clgV8O1#Jvnu)-EB>QbA+Y!{q$2&M&!Q|>^7>U( zXt@WaRz>_N^UP_S{?O8BId!t0mPHe2;d9M`q*6~^T13Wv*%HC)1%KYSC)jZv2EEdL zG36U0-5DA_`Az@^Ke4VEi2G%kM4y8lcqzht;Q<2+%Xh&fZ<$_;guXEN_lH1Hf@;9Ini}F$9`c3tR zO13ZH8v|xbbEO^ie?5oB#Z2rsNag0G3oZvwGw>-T*%KOJn+l3I*x1NGfC*e&55Qv5 zl@ZjloDBE8DqV`!5)FSYBr2w2(U1?5h5KJbBl!+V(Pe$8#~!(W1zTo`!1WOj&j;qJ zu}GBFVSgaT-)g%Y{FM#AZcaR&diDyWkxkd>TorloR+>SsZBR@9sT-)kPao*U#(er3 zD{4kOrfCz-Hll6qXVH;;_4L*ZCQ&hqs+CMOf-dKhNab(R{%I1c`1I}e8c{oU{j$GP z{>O6ZY~=Qw*P{hH+CAkLF{KU*7sMb3ti672?CaU*4ZGA6uTVd@No{-W^%p4@o z{yU|-Y%>=|WB1~h5{PU0FaJ)x3rgm%SeF9lZJ^H!{Fk*Rc*Sq;>?7f_f`>o5T7%jO z=W{Hb*BRfKF8?7rqAZkKWa6Eo?9TtA0dCtjiQ4r5iwC^^e10xDHL#U)+nu739APc2 zp|>|Nl3Ms65#Am>qPKT@z#RMRvmep17bWcMHH}V9x3T`-ifCe#v$fc+2+l{?nT z@m(SLbjzR-rHTJmE72Y!PF#cl3TttGpJDejhXE+L5mUqJ)}Uwg{lrG07dqP&b11tVBsivf{)1w?Djs)@8uQ7Kinczg)=aw7$* zzgSrw?ynlE-ADV_FC};;m}3hU<>=x+h6W{^B(&C+O(I%gKEa1$3m0Wg#|+PY!Z|k* z&O4xMQ8rZ<+MBpH)qk=~$SS1W~zH*QlVUQ8D$C$jZ++#=P!B$2ZC7fX~T=s*S ztv*Ldo*!<&E2DXtY1Pkka(qUkY@^=dXf$Ea60876aZa@PJElCzWTei^${Bd+nx<_>PGvDnS~73x zf_=dEEZm??olexQt3)cuc4R_kD|ehJZN#b|(fXIhjN@SV!o(sYDNBqA!rrKfz~5f@ z?ogDl{{2X5GfR^HWPv@vr1~uzhShS4ch;gvE7>=(B>hl46CI7QSKp$-y+ze>dHN3K9 zeap|JD?nlXNX}*KOAC0(GK%Bx>$7ecL=J&koQ|QC?%)WK zyE2mn*7$=0-qz7Q_x$FygvA7yut-+hiQM;M^rYh*WoM9!_f)DX8@W-o$Ze}7yw^~A zoKEH*9n~Z%Xcqb~!@0&Yn(+Cd^Quvm4Y|obV3)oiDp`xUj^^+FKxN*%(swE^vCD4o z;00|xm(j?bq=z^MTeJrJbvAFEihy0=*d%KE=z&m6ewJK7u@c-MFLbUd1|TzU*+;gS z_pH^K(&m>yUdKE|?xE1T~Les{`sou?8_S3A)-~&2?*N`Zs#%j{vZY1p@Zm0i= zUMI{-nKBR2Yp9ke8H7#`=E={Eq-xt93$<`2Y44X1`T>|LwO~31NEJ$5fZRMo#*?Z! zTGIL(zlz*!FsYWN$lV=@${l>Gy6B&K^zQwt^`uNGC0c55Zh=%=a;4Ow2NyYeixNAOkclz3J$}4_!+UTC)KA!Mw(ZZjDjOH`(+G&_^&y{p%zaX zG@||mWL%r@&J~mp6%(Jx+=QFDlQ*yl-=ShvS=~~|>R%&j%S{6xexdZ|A( z%dtdg&0?;Id}^c{xhq#iFh78X00y#fj??NMC=V@x7I+;*Q>WF{=~q{;W;L-aqf}Ag z(8hG*`eY%R*nmWoi+;g9^i#_^E6};5as^s(uD39#cqAkGslQGM@b5V#kB}V0RAEqC za)lPGtlgr@o(wqDK_jkK)|P2=OHZ#%(gh&>2;l;&UZ?6w6B^Ci`Rlwdg4?wTorBr^ z8`1f!>qXfa8EfLKd9FgRgSV&PA$*H>{$^SEMpL0dE9Ao{91l*>lErgnJjvm}CN4q# z;7PWaiyuOqe`N099gcZPp_^zdki3xFbsM^ICvSq8voUEMIwp(Qybh(7>or#Byv3iq zKEvLF(F_-xvy7fxzC=fcx7K$@(wca3*Z1KReoKbjgi31>O!&V}HE#H)tGGj?vLnXK7>+z?k=On zUoO$eaec(Tk|HYpz!=v01MD3pjw5}n9OMFtVpcL7abqO3wyOSkqN|d;mu0nQCHYxR z>w57H^-7-F=-vOM&OGIHg^lG%4U3KMyoIv%{zPf=Ez}DzHbo1Z3+{i8A9dX6JcoRS z%bo|~ktkd6NP}lm4qZciN=vd&1aG(h{8rAPkeQMk&bgI~M?BJG+8XLmL6X0!&c8nt zb_1fh4;^r}ju4GcX5hDf+zECohF`R^1B?DzZn$7|U`;u2!^b_GJJlSv$aEa;KVVJ; zTG}DI_)@|;@Y&`WW5Phnmrs5vffqcYfsO&u-a$0Q9Q%-sP|@K#Y?%-OsGY>#r0A-@ z#6%;mcE~sk*6-pPPOkhrC`N`#I?uPuYXSe~_=P8>wXyRXQH8^y;-Kq7$|U%b#Kp$| zXj+CceW`@6Tq}8l*@=25L9xTp#Hz9nj2NL~V?>Xh=9*2jspxUpK(k~n4>xNyZ!|6I zEy*~L%yVfg?VRl9{JoM4{aznW+*j8XWir z*TZ_*ip4WL;TK^NWaQa4-g$aZH;VCx>n@I$V+|rzvY)&(TcsZsmS_;9(x5V9s?gYbxhTtH-soG2_5v|A z2JA9*%RqXO_>>S0ZGcCCAFd3bhK8JP;dfM0^54KbJ`#7y^Qyqr63jm!algJQFX2vE zuy%|SOY(|)-Z*)NS6;l`d=Nff333ID7(<=rm!r{dXY1D3z-IYlr)4zd)&0GKR#?O4jn|ac_%s1NZ;q>}xo_T>m9-`!@>A65EG8Cq z4e3F@Z(1R=#z2)OnT=xCqISyt(fMaA$OBGE!t%CxKwUz z7Q%r`mVF->E(C|2^0GP2*&)Al3}>={Lk6~`D*y&nxtErkZBGr@T1!}ADur&?AV&hEvY5^M-Hom^%qT&{N6fRmBrVh;o*9sC z4n6wouGrrpzluyCxyGv zA-5mE6m4$zJofL%yNHYX(dJHpvNN$=?5zCj5o=A9&CBwGv^y|~!rJ*iPC2uyR#0T} z=Wy>BRI)Q^CyP?=jeqMWRp)`u0bm@CeBi7eIJ(qIewqTwE%y)koLD%2N z8Stu+a4t$v77O#6;+&vxZVNm+<}dUpZ63lF#@U&z8l*-XMZfypP5Zb>Tk;Lzwx=1^y@FQ5(jGSx`(G8ujqitxSUhgGyS$1^&S z;+{T4k^Rwgj|ruo>Gq0lRLv+}uGdr3t-Jy^Si1|&CSA~ka&K5Gl*-)XYeW8!Omp}` zv#MgZOP3NA6H#$VI6vtJ7As$MpxMo3^N!Ongd1Ff@_D&hWCt#)8jGZ$g!8{h7s?j7 z+hGcU`{FJuDyHcP$rEU;OMWL=gH0mJ=C{qkT31+AfvHf>U!7AGn2y1d+adJqJ5-8R-^fJqGws#~ zDxH@{Dfkw{Rnj~_ZSM2&Me%y+BNdnuK%eEUBa=htKXs`IKo z*_Mofu?RX}P;(eH-yq!pys&lF`!?P0eMfr5^-PSUa`(1>$vq&`zsY$2p-0!fbV45c9@ zkz2fS>Aj&Zg@>#Y zNDWj@BuZ!wgQ|*Q(+qxv<$0-1Gq79!xJcyesMtiuWSZ6QB4z3Ght9i}x-~A>IE=ZL z>^M(UDxooZ%ocsWV$HMq?7-+d4DUaif+l~Va*YS-^ORDHq0SSag;S#j(D52!qOUka zy?l$0S!h1incoJz?@AB$$~PX^6vyryh>{c>;DYF33pY?QXxf`Lo#@#z>4FDYA^~KZ ztbpvlbfu1s`#1>Q z7*X4uoJ5rw6Oq0;;Ob6p%Cl78ukkZ{Bs=>y9(E^NXyK!vy9l1+&3IjrnBTF~!cu8JdY0Wqsii(+(lHP$+{?Bq z)`fjcm?Zj&3Hijs(dPaS@;WqB|6DO%?f7pdiJrNoH9_74_jeaf!CX*f;!X}xS}P2Y z=I})7ETy%hh?jVk9!V`$*_~mr7L4ZTl^PRu7N1SImu>0%1aN+un~q45TV$SL;Bt{f zn_H~A{AV(Mr1ih9R4XX*cgQ>=0q*W)w^v$g$`l9c9ZB*XN^9K##e1Gu%gF&-IB+H| z#&rT(QvrM0@oY~1N?2Ym(U_iKoICQyC5my(K?f?e)2A!hhOzcFe3aq(QeX<@xpG@X zrjk5ini@0ze5jJmG(UQ3# z|2Hz$^UEvR+=&%6lH`_X(U<*}!~K)2oRd$gce!E*s{dwyf&2hf}Zkj$Lo^h*9LH)6M0Va5?K`S^tQ6FlO zJYwOFnw^U%!;SgqEO~U^MMGXS+3?yvh<2=Ndz$-hnJ`IQWiem)>PNsK*@27xsoU8f z)r;&fiG#n&;o~NwBd3;Sa2hRHRq!9kY5qO8)u2UUZh@%+G$J@eTXu8wDx#44vo?gq z=2^Mj-GJxM<+TL4m!fB+++gOTVBM*DT))HK8xTRZFA1fLyPpW9o=q6hrK$}t5lcd^ zeGlc`og~?*biM-}lT^_8*ik;Tw!buqg*6d9yMcMMWC6uW5Hnh`&|2w#B*RM_V-fSC z&7A-l#T6|X_IB}DfOl?pliV^%2ylK0XmKZVtdu(6YUx~csUl5Un&`KPvVDgK(VU1b z6~+dlpLzzZj36tERrfpRJgg#-LxI`SC6N$@N|O*bdI*@uK(G$IWzZ_6M;kZ5GaCcLoPQ{NQtos6tok zorUZSa*s3^yY<<}@U+SnM9=G>_a{P7U(hqR#KS>lGOuGP{)qRtTbwzT4>Q(G_~msP(>Gc^W;;_maLe z{21MYO33;Tw0M z^PGpvm4PL3R9zC_-#BeKA^Bf?L%jD-%|c*9dwYmHzU3U}U?o~06eh&qkhc~UO`eD1 z47q8GW>+j;tlPYDK2c=R&cGV6pw#?6CQbC0YpE>JOfdff$z3x7{9pBxOA_#zl@M(w zobxaeHB22@b+MD*Gsue%jc|!huw#3!ru0J!-Z5a!9(xLGI51oUB}~73k;-I_BSb5x zv}Q{l*fl@pJvUmY#S+aX2aF5w|2v`7+Fd1DZPe)*0=5zjQ$f}n&B17DQX*ihsi5DA zZPUf7bTDSYdG#RNf8~t*lx*ThfK9r|%ra`2o3*eutNJP@&%($H=Llng4Q~L~x(UU&YSOn3d-URf7_LcxVi*QJ z&dIy!r?A*Y#jAUDhYB8DF|MzKk*$4FI2~%2Opl|;vIwLTF8oZ@1A2>!tyend z88SXz6iM}?MXAU0F_Cp%2vSu*l9G{HF}|!=0adXR$XFAKS2};2d!e(BVqOp#*8)uI zzBQtuP4m(7-FNh#qKa0!&mZ*j^*F}rN=Nj}QOS?aou_wg5(u>Ls$S1)aYcjP-jeQb znZ$nR$D&UEpL``+ER)z|<^DZ$O>l0H%G|XikeZx!($%F0^z4evAA9gR3QRs6Exm!_ zoZ%GRn5`tw?-_f&xW40jB6&Qf5q$%)!Fp^$*QG`qwh8%%#_z`yh=LqHLmbqXhHjIJK^z3o>gJ5%Vja%|bCMdenOro6fNV z_RA1U_n)#XK!{dP)!V^fa>WFq6jCSWJ>bl#z8C;+Ek6tD_!iiXPM#|yW(LJ(aDs&7 zBe|gCtJBogZU4ro;%sfeGn!& zfyA9`<$en%`WuNm*~%@ncZ+#P63xn8927s#igi(vp9O{Y){a~;D>rA>dnKJVC^F6t>W5(`iq=tl#lUCdp;cuCTX#{2_?Cjm*TD~uFq09&jzKY0Gf~0X-Nz9 zX#x)I6&ybEoUr2_{tD@unVuFHF6?cI#xpVemIbdobzB}2ZP^$i3+8j6u#~90(i-0E#Z_8sj5<979NtB| z*<0|bp1o5b_O6Dn1Zytm5NiE+tXMVa{bcukX6_L3I!XF~RLoY53@V`H^j38s^^%hFBGBD^lG$vhO9dyd0{AZJ}g^7-sQ;i3QLfc4s`NxVEds=jdJH_b%zH@gA3DC(3N$>q1>sr%fc0?6f*)(%7HCrIi~%S|swjE(G}Hgj`!fdA*i z63DHU)@-uU+VYtFosAWkcFw-qxNPQueTPxC{o91(m6hcDHkIFhBgu2apDH$88d>r~sM9$l!85s+ySu0$<87*k z3o4UzE#KBQ$$ZNV^g@HFeEA6?_aDk6&8(=XO!BzETxY+iCYiFf7Nx(Fho%+nt%s_5 z+Z9WeYBbGgC}WEiG;Q+BIws`{^@uGtdB@}c|0*}6NtXOiRt(1!N)4{bI+!Mmkrl&d z^a5*@t%@mwVgahPGtq6tYJOo8Y%M_bn=(wlrA(3;jU*qs$%Hk0L))e&^Rw)2 zOTNEkBBNuP!r~*2EI#)Ah+j(!HzJC?JhDLrjXyREc1&Fn;QxJw9Ja7$xf!%FnmJ{X z&z&Ya-zc5G!=OU2m{7196&~%RqWc%=){4WKAoW1pt<`cioq2nXPQ(t>Z}Tjbn7r!^ zwM;-v?K`_Kr5Pq?V^Ov}c_)}FFh%+}O;AMgM?hcNG*ucj3+votPE$$V`cQV~RVBHd zd*FM2TI?T#T84z_i=$#~U-%BXS^Onc<&Vp+aAXpaw}-->!%KQr8OKX81OL#fnh$bFGV7FWQ)BeeN{A&Dq zc@F-Blg~Sr7eg^fD+Wh<@#{#MbwKu8bR~INFy95qW2E@Y|J54Vl~kEE;oD-_7V)Z+~0VVV1dOP_5?26F%{Ef?iY(D!BmO)O8qGx zi}XRDohMJHRutG=`j`*r_5hR0jWxk6kLUdP*v`%A;6u447>gl=6CHpxL^80l;$EFgCJk+#qDWx4Y@PLL58BJ7?$r#1-V19jDq4D zRJny^^awGzFzkKvb1q#F=67?G8{2knY}`oh1vl!4&A2RhNcu3{}VaViMbjxG3_Fu#2JmNJ*^=A2U zR0}q=!Ya>U4uB{Kisx0vEIQU9$92+YnYcLc2eo{fT4U>MiQ7CtRq1{mB){O{*qXTZH(m><72l*$kyf7~>->IGkg(ZN zP6%CmZX|6Thi7#yOyP4yPI&$_9k<89b)vnnc8-`oycADXflFaO*(JRE7h87{OHdXd z7A!HE6`BNJBsz4!a-MN8b_GOXEdVVJbCzudj-wW!_J`SetkG+9FtyYlx|H?!Y5K;3 z9gp`+l~^7ei5i|Rg`MiDR#~PlB~i4K#2U^CD`!E|Ckqz5NxOB9=vIzbg2mOrhkJCg zPI{Hkh+Lq(S|DAsB)6ycpC*~akE-PEx5p7qnoBlr7(8;yCbsMxLNWfs6RfQq1MV7; zW@!7(YpjNV%j!VW)LN$iwXNS2FK>^?*JkD4huEn@?e;7gt;L=Yu)Zh3>Zx~8Q)#Ht z{Q7z1aPA=ZBy=eg)XFc6}@nS>H)qs;u&N7t0b=_Q) zgbtI)J7ETtx~dY%ou%4WF%y>snP%jl@RGc%Hiai{rD-uo0yHvTJ(Q&>P)kA8p3oEl zrk1N=RK;@ zhW(TVmOD%fC_NDE45B*(wG=Iz9)W*?e{Fq2e|Ns80{*qqv=6-A?;mAxOAyz}N2zHL znyWMV*+@%Ld9?qkFp&E7BkjN75&Tektg8YMc*n0KS`rxN#o8rIf!c`^9bVLI8Fpfk zTBznoc4~_f7YrKS-_;)n`EdFOmT~XZdKHz#g(`hFT34M!ouQ zQLG0W$S$7F8oxeAk75gB;ZO@YUVQmms7MoVhEP~3U!(!Syyc#I3sWp1*N$!lZ~7mo zBIJG_ggD9>eQ)J;Qz^lTs?$$-_1eI1RNjpVk z!9lR+{V`FV|D9x{m6O+J*`JvkvM-l{sAOd3Jy_J~hRLEvw~{VcZl4o`_5L-~P&=|c zsbf~VL&dee8^oGyl;9sBIBlxqg4aa)lN>|u$lsR93(j+GxbdukS8@fY*ykO>O^&$1 z3NP^AAGnB2flF-$20s5NEr;rnIaN@xpC*}2T>woJ+1Gn8ckQ5AE5n@oF`dkeB1~$^5E{~< zWb9@3NY)1{hD0XXB0 z;QP8VQvxflfhJD1?}55>N5V4%SiC$gpe<3xLt6=&O~UXPX?QqTQZ|60Zu0XmL&J58Sd97`)ylmRsj( zSv+P@k%6e{AF}ElL+hsT>Je^1ya|4OpVpKbp+L-aM5;~SnXf1U^A~>-9ujq5ieYFV-8x) zoMyz#eal+JudERN1?OEWaZ;u@`luNATb;(`9TqNQBHB0$ zo4wUd)e&UwsSTpN%Uu|_k0j03#%u7^ML>Y(XXLeD-BdrPis)fL$%f!1((taJV8P;* zAYbu_3s5X0#rzBB>VT9S$wqQOlcdH*>*@ge1sn*zE<3I~VRmhpFHcLILn;JyZD?ju z_PX)@J=~di-Pn!0mUe6G_9gkJWJ#Xzk`yYIJ{;LU($#m48vY;Rm%xmHL=gTk;=LvM zi|~%Y2`i%um(}&5H6QCkKjFDEoxi<-G5V+La{K6iofiv`Ngfei)?u%ph^Y92O+UC~zD5WmV-EhP=P*i3x z4)i#-&wUVH2`N#smrl1riZQmiPqRDwU(*(Jz7e5MZ^W~MdbWl3_ze{{h5aFwTk-r#7CGu1>jpW-BQ zmpWOGex5eRH0iCwdKHkHJK0tY@WVeK%jRM>HLGFki^@aN=0LlfQD*5OM7xT~>tMD! z39~S1A?!`trlZ($hTClR2fndb3b&+<7vD#(?vvbvzct!bLG_y}eek#E+Bm8buM@A@ z`yW0hQ`(Y?8*z_QIvOO`26*wdk^ zo+t%_+$2|1G~>m7;#=cs&2<#}sa&sovv=S0uY7r{`#b&2Pdoh&_&NiepKQfnVprb; zfA8p1Lj|g54f&33G0Nwn3;Efiwj8{b3QU3Xw2mc(5Ou!(T!t--PSFal;9y zor*6a?q%*5tW27;7ZULm;c{=N->V`GE>hW6-ls2eKs1%&)El-(pTL z@Z;ZsANYjNd=B5>Yl0PJ4oMzjK<%Z*@L@87eXLkJjZ9r^k#ig^Rrc#}yY;cg&+Rg& znl|BOj**=L>=`r93$6L}GOA zzAKHSPUcdrMM_S`U6UjV{(kEwe|$%^AMJc=Cg{GoR=rYIiOg)Ca|z3R#YTMQa@_bj z30K?Yf(ywD?qB^;S?%;AJmny{IxPK$1DA3@#|i31ik#YH4F3&xH^fx(wz{s^`;%;p z;{^9Q@nmepX+wQsy*K*8FU-jL$Zy0bsS%t)8m?@>E?v9s8ZC&-bf%|9=*8Ne7Itr% z8X3;cb;5t(tEK{_mh5>E?5uppqKiKBVyULr;o~! z(=1=#nsKsyko1Ia8k$n23LrVX$C@~OPwvpoE^$5bFG;I*A1p8@PH#8#_`rOqs^iW| z`c5f$71F=HQ9Zw8Dih#uy9hn{mI0;36iV2-y&n-v4xRYytU-V>EE7*FxffNDemZyQuu}!m} zBUPw8Lr#@$nVjHnT7jEfjBnW;_ z`qFIAs{L>VTI@lEyjV`=xa2%~*(VJAqAeLg#W|_V6Tba_9Qr*ujP&{@wUq_~c3ng^WwUN;zbD)g5VZ$}1-5)@fY2&hDqbH>SqztiCs~ z8xnV;Fg<&De!Zh$C2B8w5>;v}dj5y?RUF1wVKD5K@Ty*0=hnj^Zt3@uNNlQAcviD7 zdavtoik&=cHOJYSE=jQ=niuTuG4&5{%u({>9)VGQ1y~Ne)H+{*_lGq%KXYe(7=g{^ znYq8ibqaAawIbf_m+kgkjL7zC4@5^Db4~ckVwP_c@>~2Ou;4GvZepwPLNsDw;SQeC zh51iGZ0284Mms4-Mv2MnUiM+4RDv9XhVU?y2&5-JwC^i5ZW`Z>OZzdw19>qTz0K(Y zz%U`8eBaH^+Gfr4p_Qt-p2^tVJ0~Czp@7`PCH1!TM4(MDL$VW;@dDOUS&q-!YRv8vjuu(fgG#*Vg3KMT>?M2rRV+H^-!( z{y6NXe%tzHlsRQaul&PZTa<{d)QpKv&iq8%jZPBb#e&xwaOLwY?y93w?>gCv|N4Vz zOL}l7ott5PPzRC;@E`sQ323f@MaJYCEhC8`i=FnD?Xq@{HhYKlliK4-re#f$V&JUE zGiOpoeZN>&?#>X5lmX(f=bG@giS(Mv#$raESqs3c{2P9w(y_!0p$ zs2mGV^b1Am${tRHGv3q_zV$qz31)(R?}z$3VjpabviU+gZ2cl) zTcGhRGF$3q(zoNgFeN5icvMi;@fa*_C}k-%2qiz+l)u<>;=@x6l31I}rTeOVXm+3c zWz#HqU9JN|J&eGNO1W%0Ot#J~P(x2s-lT$qz!x?E7_N&}fT2~)J0fFDfiOkuwfZMy zoQjX8@$TPD=9B}5<-Wfi+|&M*-qS_pGRHPLR1-Zz37)%J45KHaSW;K@g2f-B>5B(bxbd2X<06*- zftfuMWPsf5Y2P~gFwrnH{(?}|z?<=tE?r-}W4GTE@p0Ghz1|&Di{X4JH!*9wfQla|t_(OOdaW03Ql0+G_ z3!b!gvEi6y4Y}9-@h}+Va5tMsm5W^~N*{R}%f>+5)#Y_)wCMr&*DiDCFEZg}%|ltB zaVMuMm8hz&DFe>mom0}}-y2iEOT3D*ZJi9ErWY5e#-R((fFRQ<)omFz-*&<4sJNAK z$`%|ECGTX+8zjffZ>-`yr;l-1BA2~9$v);J1;y1Z8YkHy+_gbUDN5TT zD`%;Zj*mK$%-09fvz`7kMJGY^Xe=(o^GKZ?UHRo;|F1s!#5A@MSCFZ{o+y>X` z0~%;I3S`vlsw{I9D8DdPSjq{2g z?W#TS(SIz#9q5Y1?n&E8Myc`__hun%clsmii6?5-J#)XbjqxG!`2_q@lj#)s!>@y8 z8+j^1W7haW_Tl5M@PQVH`6vHrN(&14Lr#Kt)PoCUYcRoVKJ8*QQxkkYkoZIc4DEjl zG{R^uIosG06?*y;iR!g3$XY52)gg?xwV9uO-BT|1nAm^XnwTpM)uQn+7b!M_FB}Z= zou9sY#8J7>$VI9|mx6fDoN^Q-m>O*e(#;RCin3;utjJr`t9Q|IB&s}ih*wAVI`dkJ z=*;{#kB)IxvwlsFmT2K;93 zmDCHhWI7nAM?jWPC+p3pG5(BVG2VZpQN6FOeMMB2z?c1;_sQ$EF=gg-sjYQY)UPF+ zO~91M%8OSnt-!I<&#w{F;<~-%DejG%3wtyw`=Y5(^p26vbJm4JmMyfJn&JtGh?ILM zIbS2WN38mbc;$YdrH8{mWQ(`3RS;VE8HK1ivg#eGae6c&a;iROM-g1}8A^__ zY3PH)k=0O|OxBJIa2Wn*WqrTw?z2M)cR`oPhbuK7YXKd}WRZEqp3-VEWT)l77rE=V zr5>In^UN4sn)>xXTl4ZiUGkV-~&W4tuoor-B zPTGYcG(%i6;W-3o3N=neXX=!-XR1|+##2l!k%++_Pb9qNNoDHf9gIEX%@Z>36%|G^ zA++$H2``AHm&*h^6RG=OF@?@Y^rNx{Achu*MAm-AWsBF~PFC}*aLR$k!i3o>^AI|bQtmD-{2_1aP-WYy=d51)N6;99$``rZv)nJR+M1viSZ@H6C1clV^-y_2~%m->tW?5Dau5WVY4(5)s<~wgq74R zb7(`npWMhEQ0E%jdSoCvAxJfeVLL?q&v=8-i~tPy zqYft^OSbB8-XT*TAB86n8# zN$Vmx$Lm={-V7XU)zv2(xaXZm2heFex_?^=YwuuG{FShYC9*&H$=5j0CyEP~5;w5{8h{3WKRt^~r6|Y*&8R`FTg;Gc2bXj(_F#ar8^rC@h!7 z=X_Dyx~UqL=q!42e7Qqrfc7A}U7{+-dUE;lP}SZg41O-1F+5fcfmnbZDO@oNM2X*t>|u=*XZq&!+XsrMehQ+Y19afq53JKs5d3!7EIBkn&=#uv7b8_+V=_ZWmo z-e;63MY|7vKnLZU{!uu<;ha|w65^{x%5Pyg@E6K(PFw)QK@Con6; z&MpiMbpwXAUHvu%=)3g3@s4BV|E(MfM8?w;9|2Z^l((v#;AvP+pTrvDdnra4)H81{ zYe0vU?EZ(|v`cW93r$Z!_iC-{&^tB?A7P5-ByM%+qok#FX|mYxx$#u0Z&RAGu$(d(>E1aXN^a_Tr_-n}Wel>stu>>7x{5 zQRkaSKhDEGXLEWie-<6`ME+e96y-PvMb={<7_dP~KTAPbC5;pkMbo$M z`K0gkSSNh$C$47s`!z`e>Fr0rr(PGrU4#)@Ba`Qy3gCHW8Aa0$h&4Hg;LLsLTy=H@ z#raY;-k`o)#uHv3ldLx0wW$hSP8z0%?p6W2Bv7t`L}G!C1_}@ZY^RRQUgMI0o{2F# z5(aw2zqONj{ybhqdC*C*gxAz0_8aOIc|gCS+<&DXygn+-^`~$z?SYQO*Na3Q@zFJ_ zD%J)LR4~#_pL}w2-hBPi#@Leg;wOsJ_zkrY)cbc;Y!SLL!4w+cO}fJa8i~g-%pZ)i@Hz{#60Su)Te!Bcv~n7OFrHi%Uhtifnohy zSD_Q#yLBPPIb+rNscBwA=z+`)|ZjY%t6EjZr2_r!m9J zG^e!~=?}#eF&6PZf4PN!;D6?vXNdVks;1RH*~%uY`0Fo!_pC^~q7M-@qni4ingXg? z^FdOxJ&jW>s4Li{aOqHif)g`@;*pkV5dMR}D_4T{;w&xVjN1cVrRfWfR`gpA1 z+0Ddi<`(_|9gdpFYdcnq?ra#twv8Sj}R-!2GzByb11NtX<}%-y6PRg z_^eK<*FAuVd-C5xnv&6%xKLPu+N{;+hJ%XS?)GPPnk`$4LbnDO0(K{&$`V0r?3}0R zv9O6gGhNwJ{4ZF$t~n$Lc7j6e%j)cD#L{?Bji??qD_>E1$HXce^?PGz^0{l+ZB-K+ zM@UIviMx>G>jCKy^7iRz2s}1QuCBl_^{DAIGYj zQl6L4Kg&QIoL4;w?6zILR5k1uR{E~!o>A@}>z?fx3KMIXoL32#?&xHLd?Jk*It?mz z?mmqTdT|sqzmNGy7`;@L>0LIr%h3VyO^&_VoqqG|+ChOWUn&V3hd;phe0kAEum&Fa zxb@w_@h8PC-Wn3AGObx6s^bnH+=Uls{>jq1E0goxH|0dm&lxf?F?1oCj4LR0Gsa?8 zwK#O427%)bT;w?^WQ`SJFVF3eo0YsW9R)W>+WDJQpo;-}*zGDg`#{qBFaDGb$f-0O z4CEDzH=0&^pGU2C1cI-gq2g+Rr}P>YW&J_G&q1}KN3y-&IjG4ZECL^{wGf7fbuO?0 z_7SLM8{6LP7AYn_jiqR5-o_kzyV~8ob9&OpEjmb}-*JiN82(9sY6~~L)o#co9($jS zgyLo)mlrKqKR8Qh>C1sz?Je)$VM<$>%Abk-y1rG0LkgKGs%yJF=T1*dXT?jGnNeZy zqCol09*=!`r=nbSYfa+4O5^NzOn{uyH0ogDg<&F{0CFqk6wL5WV)x~H)=GWP17 z!#fr@;)}N%i&*OHC9H~tSJ!LYfKOHDO><3in`3~hZv7me`fKbO!GZ3WU6v!)yBPaE zf80DotO5UrV`^Ai9 zxnuA1;efPOcF>>s8*8$h@hn9(LT>WeRxa&R8zuDP*>I6$3udFh{ zBJ3J^W~v#Tn&%%#T%q8K8{R;}sms+72(xC?OtuLs!i5uT$>vxq#6zV~G=&wY1TPi;GwQ`3KivM44jd$&1xcv<9hC@fIFqbHhSL5%6T2^e#ld&hRZ3&=M`qFOpRYvYM2E*KB`~Q z3Pa7BU@H9)JMM9<;0;a)K$)8-pR;N}`nO*8{Pd^1S^iRmM4Qg1;GEbydgF02l5Rz0 z%~IZqAVCnBbFS9fh|29NcXGSyqQVjP`CO#lt+~AT_dPnmxug4UzJi$)S~tptR-8Lp zZm-Cn`v8{Tr#JWVjPqBmJ05WD8Gn7NZGlPtlse*0IS}o_G~b@Iq$g9;@v| zXx>$Rm+Oz3DNbYf7zBbG4((Obih9Snw63d0IP#S$Tsks-7C7uO%e%@oC5fN|inF>) zBI1jhX|@c>t+=-tv)7q|XlLT}G{y_U+c){*-U~X1C7W?9BUS!ucO=GKBxL;u_mXI` z7`unA`I@F}tAVj{%0ON^_qcZLkvG3?9+X1|!85E`Tf76@EFnT}Jr_aYpc9m%4$9Fb z!z+#bbsc<|s$PyqN18E>Evc!kc()|!&}kLiOgt{j>7EEX(^6C#pALjsh&r!r6kSo1 z-qcPz{tH<=7tSa4+;gb0)Vg>wgEP_;g8EQNodLe=@oXVQ!znq(`N_1blgfE{=(?O! zu(XvFf9_)!Z}<c!X5NMNvo^=Bn>teEcV`LgKkWIX*_h2-O!R1@o_Vi+168b*iiRur6#-feF;I1nAK z>X$9?nKj84PCqm_9Zr|sji|`A`1~~V&)vY!BU{}$E+dC$5L@L3Q4v>ew@|q4{6K8H z|19on1ZcG%0g%4+M4t>B6MuD!qqN4!?%pdMN+N75dBu?@FR z`1T1@p>K%dT2`WsZQkFecd_p@V08BBMD|DfWEZXuTEtPtCrEvSCm!iYA_?=U7v~X! z_n1&J5`N(RGlNXeK&_B6+99{8D%@+W8UmSkn|s5837WJ^$qCNI5N`^T79aK5u0Gcu z{tpmxON{SXkoN~hL2y^b4-Qr8%~Mz%+<26A)CFnz$7*dAq#MZ)BNG_>Ml&|Hc}pX= z@I#G3Efax-l_nDDAei!atq-cu*GQ&98IMR9xwxPp6#C2;PHROdBk^D(aXG%m&k=m< zc}F9+h{F?)@aY481}jBL;I4R}mip)Cl;FR{b&_TMxC;h{Gi--Us@RV3emxi$BjFn7 z3&V6wGOzl|50LgN!j+=L@TuGpcB_!HIKm%Z9NiQ4ks#YfJ08*R11fQ7yyaDDm%5T{ zDxQfufN?PHhtY|LU&9sA$}uN+R9qYlD+LXskyyfLYq0wMMJ?-~*q9ycc!f;)RK(t` zwW5j9SiqrIb&|>0VjF9?08-JGFr%-hfaA56Z{`EBshyEfjY0P$w)?_L!*JU$rq)Wl zrO2|VN#VxQrIvcU|1XqF_V)T>cW*_WMuI>J9KB9|7~MGMnPmpiUN_>XH7~Y-=$F*C zi6$HqWu{OJ7@?jQ6#zKX2eg(Z%#?KvZPsMh1gJly?_rt$DC1u&gX41?BPhO+E!dq` z8;Y;J7f-pmwLPHTLg+WbmFq8qDL)MGZyTc+cEHX@v^s>`D^_A8M^MAa8w;zcag{Br zayzBBafqw@^6>51)F81^rdB}Ie_Z|aV$!r!*TGK5FWKeOi4poVHK86ckgm7YP~fcHk>EC=(*)8u5%2)6@$I>BGwEzvA@Z zjNH?y>($w9kQ#~k$S_h~CYnXa{*@C)zjio4HIlsu_n0(^TYt5XwL`$M9)aVt9zA0v z1O)^aq!3e=>f_NKdN@$0kK>VI)(DHcgIdGN`MV!_Akx5A3q9a2)M-7+~U~rH3T8|&A;$_bt zhf03+F&*RB58NxI5t(Y?h=g2)(BbO4Ab^^BRYi0((PL<=X`7L-6iw=@Xxa1edo{Dt z$1eB@HXTb*8B>dB4IH$R0kYsB0V0L7i^m4U#pC+2+b@V2_@m*4GUbaV?WGjb`6S$4 z&`1x0DtZVtK7vO`UBV!W?b)lXK6EsxOf%TIAZVw?1lTZ zHA{}^UiMT3(Bh7_J=d5~x+rGX+z3;!!59QXoW8cd$1sjU{qo2-K}pF9%P4heuS%MZ zh8Zr$m|vfWEJ9$~IPfgi$83z5U+1=eZfxQ9GL8d{ei!yJxNBO?(aIfT*Tzwy)IkPO zC$BuS@p@m_*xe+_F5=ax17#1%6`V66CJoabVB0%x`K-C1vKE|@{Pl)rBp+$}Vt0b8ehZx2xS(8WY71TCkintFs<_R&%6?^>yi8rLeqfJ8vY zYe?j1kxnYIkw!Y#4l~$AUN7U}m?lQw)aZ64FJV4)XF6e4HCLaeDj<;U7<@v{`xTea zJ7K`OyCbOJ`5O8s8mf+^H*-^Z0#jJss*Qjq3VEgP;A}d)RS8Nja(5OS(UVBpdxWp~ zb#(2}<)RL(3&;Sknk-vBrJ0_X8NekxK0eTX=ZELTlJ0(>AlOJ@bAWVy@Mo@=aNdtO z_8Z|%Ji^QoUR{-y$}9&XYbJ{B-V6)oqz&2{>_cI8)0HB(JwQV61^r_%*sfB>TW2h* ziy}AtD+Qrv!?i}0SD996ep1J_$I zqm++?Mc1E;_6nxG=~ddbx;Fxm+Xa zaRj0ca6#in$_LMKUx=6_iWQ2UnX!pzm(1Y#qZIt^!ab>Avm}V(22U9+K|`%V6!w+RF~KiW zUIMA?Fs8hOyL$rlC1jgMyYDk36f;|r`9Qi!2}H+7m!|Xn@~u5;fa^SpG&F|1a)^Q< z_XG5Zag1?)4Hj&5WY!O?r?0%k$SdRCWcW>xUd=7xtae9F*Zpk-GU%YyG&H0jK?o6~41p@)?hS=BW^j;A znk6@0grEVut2s8iy)Yp_ASB{r25FEolFJ=Y=#0GmO`t71j|wT|A6j3kH7phcHe;??6V^T%IR z#Z&WHQsQm+4z_!_F)lJvnMZg@jL3F){$=oWs*u90V$a|G+peOT3ZPrSKGKsc$C%Pg za*jwpB5_5M$S_a=hn~=^#KR~E2nZxFYr7fbmF4l|xMO-Xg_H0q0;{-ukA!#i-UsPZbAN~vPMpXNi^WP7dAo(d z|4Nl6|5}lJk>}hMgRtkKuY^~JLHTHSp@17^qd`;g<73a>X(!13L3%Tu+?avXgtYvV4fZj3 z)Asa3G@9_giFDD9$3`iT;ib4^o5@Mq|T#2vX=_ngH&95*?fiytW!dZ4VvCp&(+Pvctc4>x-p&K%rPU6IDsrs{RG zW})`B`__S|+KCSnTich%-T9xW-ys4)c?#Q^4nBNl-4Pqc)dlo(H}sWFeikHYsG5H_ zZpE_=`>Cn;WSR(Tp;G0_k^f3o{NPY+`-;&d-1f^GPy7bahe?yo>15($k&R`Kkdo$y zMw`FKIDwog0Os_0san+eGk%0j{C<@xDgSR5nR1R-ePQxwq}*&i*5YO96v^Xcr@Dd> z9tWP1N7~6+Qonfp$l`w@3@*+pF@}u9sA#egfRGyn5l*>9HAHWr zST1-%%%IB0;;FM9KG720&^QjVN@^QaO-gZlU%N0S2th zZHS&ij&o7DHFHC)O0^;ii;K0-p^Dlx^BlOgos=iIxC^l_O%QjY*j-J>YFiM$>*zlr z_(zli7;-UI5AarEG#Pk|Fb&-S*i_)xT2Mz58CU0J7}O~nPKzO80(Tef_*opN;uEL$vi+MQ$4gpOdTTvBa4H*Bje3M=Ht<2Y5+&k%^DwwnLI=oRt2_v;UFiddt3s~|~=E08p6|GkTQn=YPC z8|;o3RIAxGD=n2aDW2SVn?;r zAIw91wG(vpE2u`E_8-^D-wnFP6gLQsVEXA2?6TJ-YU|?IioC11gxwo4|DPf5yt}y2w zYw0w_u*RlIFQtr7tC*aX$@=6-tI;{*jp@^C5d(xJu$06WxI7LHT=a&;GHNI=w}Ovt zTB5jUx@AC_*R$iu^?j`Qx)#75=Nu_pncev3-2ZOH&v0?m9D^KO#LIBQLn}2Yy&r$*UOs-#P98>FW&`;Px-U5|IBpWDD1Dng7DdxFE6|JG=tWFMwSdmZ6E*bJ&w(l z{2@<^n7dZno&8>L`IcL1hsWEKwx&Jt@Ivv}oPV2g9yzmtZRpAT^lmk0q%RFjK*7Drl?_BJO=Mw>k$ zw)ZIlpJS}?Um3L6og1V@<-f9qRZ&+BWg*HLRCAyWzx^6Hv#-9U}j;NMi z3;Gshcu(NE+yM_rMBs05160*oVHV7Kute|*Ul5PSLmEEuQ=oZGjPtc&ED~(|OMlcS z_K~U_19&GMB+3308S-SF^IELCcu>^nR-AwSkHc(rrThXzFU)&QO z>4T1S^Ol&ASP{-(d`1>?!GQC@y)db!O`^fG7-e<5nyLDP_3E|-xrU*hI9%qB-chge z4&QD88t~M(ZBwOYVyvhXd>2e|9+4>PKMYyZAZ%#(q-*VDiq8OArCWnGLEMx*jv@?1I@8x1?8V+>dJW#tLABIi*-EvgB<6l>**Ca@tYA{ z`?i`cAA(!oZrvJz4Ml@0h1Ap|qME*o;`!}<&qV_vsoxd4@07AH90ZcCVI*%W1$$;H z=3YkKVfjwrYe9DSyOdnJ9FUG}eh`g&)>vzizez}E9VHr$} z&2);X9Zj)RoI_bto;};sj2RYFu2YO+X^m&9xB&h3i53z7q6Kr;b%xP>l3rv}x;RDb zI&ed{^{_A*bIY<&QQSonk>MZkC}wcS)6p`UQ^^mG;>_$jdEaM#<(!2CUd;*Rr=m*+E&7 z3r51x28*7g)vcG0NN>~7!z}Bc;g9OFX78mWetJi-7bxiG-}Hm5)o(ll}Udf zfYugE(Zf}wBZr53?Gu*N#~^qQP0sB^wJZN_I<~jq5XAf2^_ICz;FiCF@h#Fo} z)@8m+a%nGzG!izIl)AcrtTM@QD#$nP9L`TSRahzyfCR@O#NG@ouoArZsyvCQmeOEs zXBpBV^84%RfXd>#!|}`L8vvpZ5Jz3EDp5VDD4-xzA3X`W&z7=eSX`}Z^PF3&Psx4o z5t8`mZmZd7swm`7fCi>wk_<`5l~78U>lzDX9&z!74&kxA2`E)L>*0gF7O%T zai+k+VxlK9%&^9$keb%r>~lYpV(^~>afXNOzPViZyvI=(lFx(_JxkTFlG`HZQolN> zww$D<%4t=ODLU;@7%B!U8yPk^6KSR; zJ5;TS&)6R7Zvz9xRFt+xX(Fja4QbvSi(O*EaLXwA+1{nCp!4JtB0eaVTuBq~-gP3V zAGVF_g4ym5`3}F=$ZxUOmNWmck<=5^PqR9B1C)s>PznVSo+cn^Gyk3xf(1K+mxl4F7-XYbc2GYxICQp$a*Jk| zQL)Lzhnlse4qJsQ`_N7#)ZejbsX>;-L*i=gX)Nl6Iw!>Qo_N?gpV^?Zz>)Y@pwp%~ z@{jy;qL`N9=DE#UydcT6K$Rm+=_#UG_Lg|EgIcliv%x30+f?uFjV$IciVRt0-(z2S zi9A~aKhi<{jlj~zZVW4^0C(q5;SGfT3aVidz=u`I#1?FuETYaq_J391I6Pc$tnFPL zv66%+S*DBMk^S8lrb#b$K z%o}bH=FFQB#UC#Q6SoTi8apwr->YUVE5DC8-sBzuWZ23fAs>N(TdnVr)lpiVdV(iP zqbvSBx@TxW_7jXKsbx+=C)Z%Xzx*az&~ zTYw&tD=Br}>`aSi+jZ(mfTA&Bb{_5KdU}I`pgqO@0Ox#K%z=ODIsjBw$U1w47~A;2 z9AwqA)Z|VXChc+~M_g9^R}vfPj`Zd<>hj1wLuxAA=y>e$7ur!uJIFpYLc1zw?yWWy zl`Ln1nbW5u;C}=CEnvP{+tG{$(tXd_mnAdR=QXMA`(C8fm9wwMoc%+s!`|Uo8)gbS zw?-y~mlX3!qoAk$tJV&>^}DW3>0PQYZ_w9 z3bGR!kTC0?anC9cjd&9bVR7eC0S?c*e5dYpAZd5`Cd@VJ6N# zw3$sHoRO5t#d$UN8bAhR^j$tVQFY&yj7;9*@(L6+o^6Hd`VZFo%4O|gqc8pt)tZfy zzb<~Dg)o{(k2#W#78$P=fsZnJjIg>y{W-^IYJTCcnX>N5M~fNd8hOS!UAr{QS$M6S zV_oM4z$wW@?A*9CYg(+^_rpHB@>6fXJVjPxNz{{}Ota9oE#ygi_(LV*LNvw+mdspd zG+-9VxAibtvpDa7$vP$vpj}>=1YEuz_mdMVB`+sCE0z}A(nxa1N5M2sihp=QRTkTS zN^9z~HGZlSh!GLAe82_2OnC=6&VH`cTFAfd{>P|{lPXAF#!41~0RUpL-DBWJkOU<3}s=dOFhJ*J0H$XRl~@aAvX0~cbYF>DcY zUC1be2@quT#?E=a>PU0#Ckh0pn$@moS&ieixuj+!a*A<6mNEbaTKdm_ozYn@=IdLN zifm0M41a##A6+)tt%Wotv%lFIE`2S~9DyoUNaf%!M} zMU$tH#lADP_{yr88e%|y?DXMGMn|1@4HbRnD5@hoI%Dm*Ay+x&DZUJ5Y-KE|rL8Iq znYe@|JWjRB2x62-yq>C{vZUoKu0wX5ifJqYs?C*BN@GHy?>CD5>llV!REOQ6v2XJh z`Wv19Wu?pURlkGQxkRhfY_xB*ZyG?C0%U-!oqxdmX)1#zy%LHK9?G6Mo$FRPn?^{G zZR%nOcT)BYb1t;GMqeS!7V~g?&$hJJ%l~N$5J3e)3XAjo)Lw|O*HhIar{Tt{UM96E zv(j)mQ~oNj;*dclCMWne&ze=>*v?1+W$Wi79x>Ps``KXmY&QuM;)ZH+PVQ9zRc^ZCRfad3@BYHF;mgqfM3usQ8ALB)hkww`rOTxU&k)ZN|*pGp1O1ww2h zz9=mVJcVPmcE*4?|7-0N84AXg&=zpB&efPY3};@BJSr~3itL8KtV5oY3VWm^kI8&? zgDO(S%hQsk}BtGe4*vw}xhO`_WoPc6so^gr>l0h{v4? zM9KmaD(4qL1gfRFu6CE5Zv5@nVUPFCus!WuqOc$9HqzM4^dx~KdM~~ud9bC6m%kRk4d-ZAcbl$lA}MPA6zm8zwn|w2#$L;d}Q?VLr2QS zvL(Mp75DopiL7f_FJ+WKhVlI(YTmgQ|9Ej~%nxGGX+#8a!Tpuhb`nD>#2wQJOtDGEK@bd90%%$|q#hj1wQC_OJSyJvL zmQ7=jW!)~rsf|36cbQ#WlgiZ*Vdgsa8aA%>{9CSn*oyhb@;*BENg{TWk1^hHZ*rwy zr>eO718^M60Fc?SXEqOjGQ+i$3-4(Q@Gt_jk>fct^w)7~uPCkzN@i+mXAPsy!4*KPu?>|zMSeQsZ%RyD8A2g(#& za#HTz-Un*B$sq2_$$2@N;!uSG+=;?dyUHd}hg&F1P9Fs)dN%QFK7+b*QUBZpEwX0F zR`&G;{5P?#cs~S!hI-J^RZ69U)&$M7NZTt+>0tjH&=>aD!klILN_qj;Z3|rWo4dU1 zjh;WXH!mwEk@4gOR-w%~sDk9L2bpeeb|9x{rtNMJts1mR_ha55RGGWVDe;p_QR0}d z3=HmMB$1Y4>8sf}s%uF5CsXP=#3N}LeqwL?K9uo1S70Q4`p31B@rksv^=CGrwm#Kf z@$*c7g|o)cS2riQ!Vr6fep+G;U?G%};@)2!yV}O))lPPiBH;M}o#q>TiI$suuyo0a zaJR#U9;fC`Af=%$AmQrI$(b6P8v?&^CSi#gPSVP0%#5J534+uNMKUA0HzT?=w;J%! zwC656a!@pgHnt-}6UO5zBGnFt?-h-Vtxl|#YEPe6hwl2r<0y2s7kc=})#GKdiL10O zo7|L(y&bl+uc|9)m~{h72%Zg=j@L>mel%a&-j!j3hVp33@RcOgq>enl63JC#_K7QW ztOUq~4Uegu@jdH{#W_iz$Zn)R-VB;;U&b5^{UuPz`bkM3-QYa}L z|5G76nA9xmp|nmn$;ncaj*VKJ+ez>*xrhX4d1~#Hn>PW*h>k>?NudrLI5uVLoru~3 z*A@j_zvyNrnI(kSUGuTbBQCa;YiVLz zPIa3wDZ|p7V6Jm%8mhmRR+XKA=CW`Cm*~lb@(qT9AnqvBY9UVaa)S$=V8hB@ zal`Z^#-j@BTn?a^WLRD?y>wuw`E2~`z?*mOrmN90kD#JpaHPEYT_&X7t#>oFD!Y@> zOOc5q#gL6`WKZ4ozfPZjRt?i^aYzX^P?B75;){#?U9WLAZjJF^t>PT zN2>RU1x_xZXxsNuevQ8Y28fGojKqo4W!0R!Mio4CJ!`YFC3|FE$g~V)1s0awe)xcg zI*10?D5{uWV63F|4XBba3eRxkEN*%!AwQQ@Sf&F8okr~X`Pzut#9W$4>qjRe$qK%z z!fUH2tfc=z&{Af~k8!o7>q?kykobatNTa`TyQ9zsf$Kvz#|I%XibXV7V>x8e!PA;w z?z;4qVPw}B_|FTe%xA}tD0(k_FwQI~i&;t}q-bfWiGuP;q~bBGQ0buBEk z(K*xEMW~ERWBSz_Ru;9>6^cPF7}nYJ@WK8Dev>Sw=31u;F4_*pU?Zjm-HzHusqoIJ z_zC8O)<)OLtvpVOPtUT$oWlA`+;l3sgJC?#C*6YaGlq78gmofS;q!Y98!-D#n$^JI@c#*ZHN}~ji25SFOE7Ujfk;#9~V8PA7W<;V&{6UK!Kp+ z=M14M9z{gqT3U6kj@bWW*a5hPf-@4rRKk^L?sTTWfJ!eX_X zl}W1nY^|s!YQeU4Sx9(_^EA`2wTfU~xa9FF9VEB`Y^LdISk7@VBs`M~8>hZS2Leuh z2_*W#2A9p{rUKeMiHl5q>Yd~(NugR(0L>lX#Ffl0gUFE~$uH_!rD|w)Hd#Y^SuL#BgQYh?(im!?a9vs0wJz9lN70N znPBe-h;G&s*4s$BE|n+|QZ>zL<7R1b4n2^q)QL`xOW=?=cf+LDI9An{Mu>7Ct|-Z-0f|5iGY#%ocWL z6wD=B2n4*hz^2X&y+mX=gUWcM+?saMWnIbdC5>mXI+;NCpcC)=gqc$rc_QZ<3K=EY zJ}iHr#pbBW%H}P)N~~FWdkk`l{nJor9%>Yv@hwxCG=Oq7odc zmngM(J$8kz$C*)GYc$Tw1$b&z&Ts9oiHQy)XY_$`4~hX z%8Lngvogi_mcYxsn^v*A6iNyKxijNG<#!=7dOxw|#zn$GRcc#|pWJ9uS z@P<8nD?ght_IhIiU4ud<+V`In7fv&A5}r@gVH(>~WbB*tN6v)c=C)Xf>Io`2xbh>~hbNQ-)U?0sN)7)7;6s7}knc5}Y zrnG6WYOI>G$iSb%+x!51Q0fHW?0Xc#^GZk^j=B%J@8 z@FIdl`6H$@Iy0INU5YXrkcD26tWQ*u_wPQ*H6)zjj452i4=r35gX$Pc=KGVzJ=ilp zxcHAhH=6B`1L2pEG@Tz!M`=w(&xm6W2$y>$)R&ENmv2uh=)RiczGYDlferX3}w= zxHsD4qt#g5Ou#$2 zr7BegL99XlD4wcJpPBMitiMPH&+#`g4D4C9S_YZ-xW>D?9O{UTK_5=>c5IkxAVcinek^ibqrW5oAE$i1x4llKZ|ukuQ>hO}d+s9&b1&Jb zG6IlE2>2bHqg7=gqYqM(4n8D^=!RF)c!J9aB=vlHviMB8MmpkF;uK#&%}f`~p-xL0 zhT(qQE+Xv^-a?R0dpAJv5dr#nP0-KLT(}BE@63;l5ev$B1xP#zZkVu}b^Q9g_ma;9 z=)YeAH~wYs2zZf;T}L1huk^zF9=3aj;;0qUQ#1uK7hfYt z2Y*-uWC8Z}V!^Q)mal5Mi{yMNg+HQ08BT3HffkG_Y6KPDvvrzoXtkPdVUQn{A5M$OB5RPedWmCX~w?& zFa=-YD23V)%#1vrE-XiaP#ldcOdlO?-${Mx+I5K8phSA;$!={Ze7^%I)=*1>1fri# z=AuJfvfSFtCgV`cwjtYy`!d)43Fd{)-&CkvdJ_X7;X4C{?9B=0FESrh4mi!8K9DCG zWV!9?q|I%A53?TY1sApAFIvK!&&lEDe*<$I_5(6%R*h3qzp)CH)khX-(+UphJQ2U> z`T=h)%4cfa+VXKHmObtcS~Yql*hFH!HBSzFU~ZjnO?$!Gg@U@oc)f`Np7*X4$9=k{ zR&#P3%$i=!J_-?!1xhzNgo_jqKUyqS93aOM5s{;hoh+1Awd;2oJ&ElOs^M^pBA{5@T_>T8*A8Te1$hDMtevEz`8*CE8MEIe;Nhc_E z$^vsF8c^WXQ@`vLpaT6N$gA0;U0T*Is58ku`q}Dgn^~{BTgH<*BF_42Ghd&dkhw$D zpo7OiYj9Ro(@m2WQVE*rwM1yyS@goe)5kIC?yZUN?pY!7cC7iSe+`lMWp(#%T+rwV zuCe=tJG^BOqo|P=;j16djw6&rn2o{$ZQq*X&ri0mX#2l&n|v?Tp1@NTO9P z#p<1!0g-!S0k1(Ar-NTYtDkYC=Q*Ey-+qR6uxchFvYQ*YdIn`bQ^nzpK%7L!Y+_q> zsqWuP=39M;XwXLk0+1!zWAy*y6YF%MH4`u{Ce0ry5}I~B|2Ko90Cdt)^!G8uDf78( zG)2i**~ozz4%?Eryp_6>sybWrzRbo9XbQ}$;0Eq>#p(4^6Hi(*Jq=in7C++L9v4{T z7xj~1F}cb3SH4fpnp|ry4D1ix_P@g3t{lX>nelD$2^c)p84%3gNfA#?rjRh4v|xR7 z^?AMFWkwl6$Qxdsc#Qan?9*AFW1d>z=Y&-MU~&W1A3v(M2eHZe|HA(*2}NQ*aBx&c zN&E?cijIaqVp4;sRdF1TiFWy5){Db+6K&KIg*XDkM-Mz}`ESS7o_m~W*xi_JA7iL} zI~A4Y*IOJBl)R7tvL4Uhck}3(I{W+X1NRKbAMQU=esl#YRcTt_Ep+V< zTLh^+HhDJKyweHzO^H5T!y6C%WTnChn`IcYBe@vi-z6Hj%Kl_SK(B-Er$ZjQQhXi1l?5 zzgLcdg_!Qba!8@O{Wg7RH=Dx)2H%SX9$(kBQh&y>P95@`=)5hTR_zUx;p#HhF)eax zPTa&_{?WKR#zES8Y#(|2z2>mVWdj18SV6pDPtID=%VWp!>3jUWJgbLUv^W&XkwiR* zF&mOML@8v4MOStD&95coa(tZe3_B zd4?xj$Gv=SXl&>cGKg}=is7emK+FCdx0sVKzt6Ws(0D?mX`nbh#{sGpG$a5LAOhZ6 z7M>#fzll7s|DE{X<@xWX__Ue-2c`fM8Ipt*m4%;>ybCna$>dpm4?+E48uaX)dg|QO z#=Fesd>tyx5!AdBDI}E`}<) z0GeiFx8Y^vj&+d z;6#(~I7XI}1akR3Q12V0)`-j}%B$p0yfQ@0@1)uS|5#`6mRmW>k{gq1Hubls_TMfT zyy?iraem?wHaWt|!xfg&4W;A8P{O4+kstQARxrOCKVjNMiiq>BS+gual3;;e0KS2` z8jN%&q}+vlTF8~7j{>aXW7sz^G{It8AnosZhyZwAMQ8|MD?aR+!A}4Zsnq8a?x0WZ zc1@(sfU8xM8huDsLP$=Gsl%QHJ9o;Jd`bx=S2btc{L8tAYpnmg1EAP-9$? zg-bmbj#HN4Kje_=Y8n$W#~Ol?P$lAcTk$HdA5;oHXc9qh>cAEiX8d%cK2u8h$NX}h zsctJJ!ieh%M1bn};D_>s#h0BzvA%m0rKgZ`^m3ACAXD}MkHJ8sa-Z6}7F+u`fM0Ea zl#L(~O!u1@Dq#YK>6`D_L)SzV@|t2!E1-Z&`6BZ{ndHRv*~r?pd#+3Hf!XH8QqB5vYE&g8$(TmdMdAcW|Ng z7Dr5{H4C}NQtyE?7@$c-F$kTVvX;sAt-?41VqLL)Len7PiTgI`h_+tw#62_OLeGLj zR}7de^P3z#hc5_yCiD`OxfPPa;%LlL6yU0Z{8zaeKE#q_WTa$ddcr&^<8C`An|HBJ)Ob$5WTZ)g#y9G=<%+zV zXmer|GZt%ks`e8@o2YlbkkZ=&as#AM=V&H5CJ^YugYC9dMa<5E^i#wHEr~?>CKCV? ziCEaNFqOt&;yrEpUE@y?JfO1aNI^drNIB-sq`vjyQQA3u$+g-^T)tQ+A;2j;U$~rZ zwl_{PKWw>_6h(va6@V0-R=bf+Sm}dU;=6k|b=fB&W`=lV@O^16;rX*6Z;#)Nnqxht zP^~Xto$h%pa%#?Cx0q0}Z{s%CVky+E@n5#$=Ht*13%wcV;7B1zyenzCT8Pph@Hz?) z)gq<#ek%7|?RAvxNvt|LhCgC+K}W^%#XJ9_lcNd1LXz@4=P*xpxTzM&RHjzkEH(22 zuruMdlj3ArKFZ>1EOp_i3w7K!P8L0aB}U+60o3w8u;9MijLP;FGDrjzCXV6FbO|p! zXF_RJg_g}wv=jd@l$K04WBiw{uJTFb>eGxd+Y|X^(lC)AG7r4z9#SS+Mo2$JSY`SK z3Y?}d;B)!&Tlxq?vX7aU=91V$Q+SbH7_B zH+ZiNGpoOlLb-XjH1>~)84$N|k?@_>Y@*0@AAA%Eya;N{vd3w)l)i_D|T!aj&Z32+Ga%w6}JC+EM_dEBYHKNZ*ty9lgrXe&Unxs%o zOl*)8fPqWuZ#0*YPX%J!b=U|Uw$k=-Im}9k_(u`4_~_7dKPi-!Tk|!2F$Ps4BS6Vk zHu1#>wlR)r2T5&ChCGn~zCzk?e5AB^Um{Xg1>SiMGp$F~ZwKko*qF#hL2wnU)`x9d zJQ1CClP!MS>gRoFIg1g1EY+5TQ;Y_EnX0HlZzHu{#x@1o%aIX?y#cO3?E)w9-TrD- zsDuN<0{KwY+9X*jg_Mor*uTAC*tObLM_N&&4OgP-(NnKb?sT|F4r{}|E~li$$tF|7 znacIG4BG1T@xKJevt#EI<`YLr(Gge007CE$ANx4Oo+{+L`9ECtDTh7)-+zY`V4M{h z;^oT&`3|`~Z3?-81jJZ0x~;~=gGy$i_3@!awlH@x|VS!HdSMkl@h2rQSpS*7>2mR=WonNFbT7& z`d3(9t8T}yxKU5`xno(6c^4ex{`f?`9f*BJ1QEw3pcYyP6{qX%r4fv2i4iS_>L1~9 ze#ht3FS9ehW9T>GObq8xOQ8X z<&1N&esQt5%{h6t-xWv~bZ_rPds~3U5xoJXM9kCt?CrEpr>bZ*X-0N1zQN!m&^Yq> zqyK^*xUIhOs+_8E2HBuqK;NP20TcR-I}2gRDt`OvIQvr8S+a;nwP8c zW&ZhM(Ul1xQ&yCWY>Oe+vnTzEy$hZ$u31T#535CXKxHQ{=l1gzt*oer`iF|Q=A}C^ zqJgBVSR;=#SC3hc$OTX&UqsJb(jR*;zv4`g0{7oLy?@8*z1^6vhW^?JIRR~5(2TBD z;vJEP>+r6|C0D#LLA?wu)=<7XZ7Vu5*Nhq>T~e#WM%Y;NdC9e)PZBVIW8&Vw8l(=T zkoUDLZ{i6A(M~Oz8#daU*miaJ2%hMNomp#-H0KG~;%HbA1o*4HfLXyUwTM%8Wl15mcXg2Q_dkq|I>bA?xz+E_MA4cY62YPU=;zSBbl-PqBPMdGH)H ztIkC@>$=7wJ8|*uFfSaaj<@$b8_VHc7HqQamP<*j0g6;jT5tF6=sdo>?SwZ@|In_h zE7xK_X8ROFj;nc-^jT_KCfynp@=Y~{zN8@Q! zzh(s-hu)~hOARmVJM}YtrO~lIESgRz8#YD^Lwfg5Eg-aJS-)aQACczKUDO3)>_NN{ zy3IVX{p-N0>F?_}VWxkqd6=B-?GAqvBrtq)hvToyzyEwT+F{p~5V2sz|4eM{4pMV%?*ci+Dw|cZ!u&)kE7F3dKGvy!hB)pJIfK9Lv=0RaOl#iG>M(J3VE?cQ7JG- z)LO?7uu9-AL1tjGDN@J~TlSPKZEz4Lt40uCX0C%lk-ZZk;#+CzqliEhpTKf|Hey59 zjGQ(qNW{m;`#LqnHA>BDDNSXJ5rflrUh7r6N%M1=hJGotDK%2##7Xf!Bk;3;ABHqY zO3Diy#B6bl9B3acq&jkZj-I0}CRIrJt!EEikSZyIz}avA2sLDIoMZhVAYTC@a71=2 zc_qG0riwm`94q;=&C%$YQhd!83>@R*r=U20LG{hGoOCIDZhssd>wcY(Oo6>|-61Hg z#65GB@DANPl(a{m5ILPS(u*a7D{G_CL);<;s7cHy0P!QDMeTT3M4@U}F< z5h#d0kT@FW^)Ni@wM|gb|6sc-HT}Y6ZtHwqztu4)EyeMzWAwLYdGmA3X$tEs~;ZbdKwrsh_`iE^x1tTLWsUo^fjeF`Gq<7 zRG=`Ab-mOTC=m~|@Kl%~M+?ER;2ns&tFRnvl4DBYL`ndbRc@iah5`^;00~dZ7mwTi zFq>4b=X7AC-|?64N!8%hyk8!Ft+O^q4s1621@ATz=c*-5%<$2F3v z23VS}|>9GlQt z#q%E7ON=GA1V^p=3pr-H6$Wi&uXf})g1Z1=oRg)C)Kf=>~J#XARxF6X` z@vWRQ9q!lkd!SF|AnJ?cpwt>a{no!^`N%Yf+VsjQ?9LIu-{PF>Rqc{5^yRhJxHOj< zI3Ot>;}C@o=8-}QSHp=b9%WoA<8g+mIDQaL>>YSDtGn){LGAkl|D!+W@^Y0_Yoq0Y>>B$!0RygNA<#pgTI<(G2QJEU zaHv`AYnzH6LVX=KnkRWR_7~ZuKu3WU-mrU2lx{rOpJc*FIe_6d9b^lxD)rOS8N(%X z4s`TCul0np`Wv}2C<|^_NJ8#|YjNi~FmUh&t^2^dQ8*7t~2<>)Wmh(XxC`qXiq_0V2<367%UFVnQVR}G~@Cve zLpW}i!c`(Th>~r4cG-h)?m2&OvqvqZq8^W7gB?Tr4&j;LSaQZv z?s5Ah9?O}0V%o5@_sl=TyxH$VXuEA~d?u{LS)%u=oLrTeOZIgP_X!&>2qh9ee}`PZ zPpM5U?r()xP|$Ti${u=JM#xL};JKGTP`baxlM+g)JMiM18)UC4gntVfAblBp>OJnH zr5CV$MjwJS9`-aNNJxx|j7val@jO;r7$Q=?15%p}DSGH%%-zY#lZtHYzM{*ncM9~rJRjS`1FxCS9KC5x@Jvbbd(p;{JitL#Hh z`4x?2f-=~^?8?|qppSP_jP&XAThaM%9j4GeglRH5P*l(43~;);}? zF^shk>73a(k{tctg>mAMev}6@kpr;c&y{`HEQ<9OQNM}P5(L~2n!ac>}lBG za8?B1qmSdVu=<;QNm?WE51?v}8fEYFn{$^L#;uyq1lUmwg47{a{qj|qR5j`T7D$8) zVHN7`Ty>L%3t;6raC(o8u|;%RkBVka)SgeHRWMAW@-=G)w;#p*s{bC>&&O?b_fYbR zKNoWYqgdeBcbDt2;pkQ)zChsJn9YXwH}_`d$on%Y|MqNl?Sw%5;m4KFVJRB| zv82LIH$oS2dfST>j*!fi==3*pZ`>9dAhB<7vC|mXGgR{*$vkVw@WG?SwBP9n3w=n> zOg1QRo{IhPU9?Lj1l!e7OJZQVBG772wf`&ayk*&1$hvx~b>JNT?C8ichFu@pa?ypl z=8^tb8F~+XIN`hGgA1;;HWph{0#Dd{TsBl*rkU%I(!uD99aBO0(O9jW#&6wCaA`Tw z-GCtbY%=#0v0uMnk1pk`RK1{dZF%mTH)ja`U`_ z-ncC47R;+XNZs6uDmYATTsGH_?>71{C0THDm@CNSA?aO{D0o!ie2fgV%Q1ff;MTg? zdz=tQERAG1bpN0L;6K4RA^CyO-0bdm8=*Q28mNp()n&8)hap!RAMDJR>Y zx;n@0=9-H_=lobR%4LYPZlEoH;6Bq0}m(w_|E&-OsIiBhxH16zD29L<`q0iVEy z4Rh2nHeD9dgYT;;a@+s-Yng31B<|?A2AZCp3A+Bxw${0(l!n=7k(q>XjF;6HR|W+& zjcI1=PZCytu&ku^gXPnN3X92o3yXC<{z<)mKZ}7RnI+cZkI#jvLW8LEeY@2+pID7&77plfFMFY4#9{07c^#lddX_jSNVt0RJor~RTT3AtX%j+Yl<%c&b8XFdumd~0A{th%chkH5#8_h$)g@vZ!S*KC^cgqfHj8MBP0nYbf5Sx!bs%RdV%G{~>>l!+i@k&?n+cV*TZ0 z^Sf)C{5;Ga|2m5%8lPe?KEOCw_k%**9NPW@s?)s3zR=5exYc|_kPhC4^jH68ZS=j}^~6(m$Q&YFT@7^%hEh_++0WzI z8#iCOc9|OYn~VofBDkF!_AD#7uq}M_h6{T%V{Xil^j?DIWUQCGQz*| z45&EZ*Vj^hmHeTmi7(k_h6}8>S^Ob+b74utaPmFv7*l7EhfJ6-$%om1-eDn+U&hZ# z;1`T*VxcEi#wL@PM+;W6|BVs4@sboTq%h9q+aR}jt}0==rbh3Wp5h-~(U%BY-QD$e zlGX8vp{nQBFj0$yLI!!HxIi#&B^%6>21od}dVM?dEv||TR2r4NA)C_FTS=F(2O@Vh z-|U=vHjiq9Um4@&Fp8W464Fj=C8f-fv)+i>Hs*ZgItsFKz5P1XmExQ*Xh@3Pcml5$ zUZa;ZhZ!M*=3fNa%nEY0k+TCFxF(bQhG?QYG?$iTN))(}6SB$prcn1bsb6fR8;}-F z^R`{1@N9Xow(NpGF%UFE-K)tP<~Y_QKmSP025S%>Qd~w+pki4fOKye0=tGJst{whp z_K|HEaS;HQ^et>+F6Dc<2iuk%{|Z&DrbhLq>)yA*MX?^9x+lapgZveT8a|@5(g4Ah zc79~GLW@HYJD|E40m(oL;I%A*=~FD^^AQWEqluYa^=&XI;Q*=s+V=}Q8|Y55Pj!G| zYIH=*=$9S5-x*J~f)Ztv(&d^5I4qA7$6=aDqwu6IngDe>55~_Be*giLSS?Y)1}SE0 zkqb6jL_?vH7a{zlIGindg7dSMj~MiV@Le``jjfGrSSD*>H?Dmpd zx7Kx^Lnh=p*mP4@F2yQ%i(3rRzW?-wM9*EQdvc_KX~qOU zaUQ^G^OtZc@}<%Ar|;qHN-;<1Ey4{9$Wv}g#*faF$+vtq(o;(a0q0_&O-RUBDD&>I zAE_1m@$YdpFj|LHDf+-bh2>0>D4?>AC87>|0*3pl#LQax|3n94T(MIX{>9YkE)V|>mkO3yD$o30q*2b$z07udy zdb{^7ASVsD5F1oKjnnvt<7$`#eW-tJlS^qkR^yD!2kFK4P^tCU$bLTjTh6^#BaT6w z_tKstO^ze7+7gU$b$YV1al}_N98U_?+=_=0>vZ1O5$7Zh7oj1(defldO z*@d6D9QC;0XC}$%cL4VqsS`>r`-2*OM)Dl&;;ZhK`?_M_Up##=GY%Y8N^(|iUD;pK zp^@6VoPAm$l1<(KUO=QnJw;CL&(99v+Lba~nqz}SJcFeXjTv6!ImNfPKbK8UoOZ#U zgqJX<%60=O;V>be$^lQ4W1dHo8F}Nn4gZIc*Pe)VOp-5edR><p4rZ^&0hVE3}>N zBzI-8mud5Pd_Y_UD>OZwUEuJi(>G)6v|~EyA<&Ya@w0aK<}m=<8HPGUMl-_5?+d*P z@3fF3o%WKp4uXrb7LsID0ScwMw}OHGAo6lVV_*72vFt@@{AI_?-J|}mj=$Jior`1E zXDu^yjaMB=kO|%nT3A|j?f7=Q6rUWF(zF)I;(AMjl&^^9rYuQ`?AXCYYo4QkOTL4V zf%9%(FaMsHpm3e#QyClPT}V`@W@eR*?Fc<&r~yMO9_bnSgI+Ja)GG*A1!{B{?W(k> ztu%D82#Ie;M59ikV+OGlKFCXdgPwg1Y+;3>YRaMc(>M8%`Fa~XiV?#j}SV|(nd7<%-f%+jNigYs#UKT{MT1;FrWmtwuy7GOY>q`6-#9-x647mff2j9bSfK{^){cS{%M`n>^HqM-2<+d8 z>~jBVI)RM)y=ff{7*2?C7f(fO={f!_{Dijt z1#z%o>9l&ra_##>qLp+sTv8+3FIEkhV!K2=EDv+2%+-`?`6EC#8|Lnh4R#n39TG(h zq*i~Z;6ex6cdhKB;opoRJIE9^+>VLNpR$z^E{rginZ!V6bv~~8YyA*@YiXfASl$+^ zB}AU5Mnxws-sl>{#q&Hm&5I5N^;%KprwllJc}DL39fV)H-)${EIekhsJGn{N?nVyi z=L0vv-($Wi6Vjhuo{$lXs zb)`NibL1DQcS0)7UmX~a8}NXomS%DX$L`Fk1>)F?Z@I zfa7zhjB{_f6cv`*7wOef68=Lpv1G(~3)Vl)Oe;EW;6Inc0{h0+l!mfQx7>Bt07 zT$77ZpBwom#Ql%4f6NL0i7oQJVL?Y>jY`}um^b7CReEGP7Re`rynLCYX&iSI9n&P* z0$BFFtHAH2IWskscn5MJry~QcklZ~+rfC7FrE2cOUU z7~c^T1?N4VD-rpy99dQKftUQ-VqF`v${~oc9SXmZr->+!y!#KKdz^avsF4uZm^DkM$tvgQe zn5m1nZan%PLQT1jxXI`a%PGrg5iqLye*jKEvA?XuM1vck;`SJ>uwmHk<|42>e%VIg zOGf!9n)_}Jh_rVm;+ad4rhG)@gq0cM0o~qHNq~m0d=3gU%6pSyVK_^HOz75sx0u>x ztklRymGz2Md-adyZI4Ev&gSFQ|K2Vf`$;M4hL755U|G|n8a8-%Upv>i^c7z1#h zp45vQLS)_Cq78CC+aOafrnsF;^kJOnb1%^;BXIUZ+`YgNW`6NJimP-Vn)X^r+c^hSTwn5;H;8vnd{wJ$tLaW_1ju`nz}1KW5R3U8XcHBk-ucC0#X zG%P|pJ4ZD*!{$p$-Ybels74*0`c*6?Ghc5rUIWTXNZVnif(vRbO)IaWkHXX~+Tz}g zvM+U3{ehaa@TZo>X3KHS^_l&{IA}A)7)OZ+Lq}KBufVC^hrr1eo~5 z^|$@_?NznyP}v4a2P-h9pfPbkkXb;bKL@BK7)C`6$V zCLfBoaR&vju#w3}NiM~+sEP!lAq(7Ce3a}l!^F_-DLbkBN}L?N)p9deTvgok6sA>O z$=u_>*MGbT_$V?+dE77RTpubMc;w;Q9vAo9aPI*lB2>t-HhNrqm4w~F7?d@Gy;1~n zd+e5h!&Dc@5u3+8p(kaTR`!<0gkcFf2Yl4I5MM{{Y=GKHi~5#DGd;kUL%g!^(n7Q| zjqs-FH%js45SHwgE)sd#erkR{^u8_h^R=lVxdsfFsD`3o31HH| zW7|Ja4RdfcRJu9#)8NA~RKtvV`|zCaz6^u>>v7?oS!7f3pl!JLZlrMi0^RHmRM-qR z^2sCeKO}}lUwEPv9`m1R z$+E^7Y@Qp#y+8V75^R))$I8o!PYT1;lzwABPLkAR?8~7| zx*j>*gYN{0MZt?oPbtM-*Mb(srA5vJ@|ac*gT;|032vR@M(8Nq5r|Jw`Pzh^JNyq8 zouO`^DMjEMJ}`-N)5`em#%x5xE=v;U<@`JcpKXReYZ+(Jy;$YQL&_?|Mk$4p{34n0 zp&KppS8fROCaS>G?dFx)UWtK?O_Ld!JiedAAd@wl{*qHp?pWYFE`|p%bMr5ZL#$8G zv9RgBHpq`(j5jv41Et!V7#=Xebz*cvwE!4OU*;puS{C^0?7`|@=OKRF+k~{OM1ze& z?{)>sOE;I4sl=>i)&}I=3Am(lhS9p&RvbpZh4~5cov6lb4<$%1ZJgfbhbX5c?s#^H znANRvA39KclFpxGRWlZ~oMQ%<+;jxP{naQ!ZWQEs0nO%qteWy_SRTVwgb9<@bVOYJ z&U~+=Dq_&M$ew~F+OWk%c)p&H7~V#zoQ^jCC3P7#wUO+0USqhV!1A2cEveH{Fhu^; z1*#8i17C0QT?#;TXKk`+jjyg?xN%#V7|5(G1>5u}NnC2->npsLSsUh8j`cGhbnA`V zJmd$yV-{`Um4av&0o=yR5ALij7bm^Hv0i4P+Q#_#Uuo0XSuZ4yr7v^+FUG+o0ygJ! zK8nK4|6F(AqKS-O*gcCkxflp=y9(dS<{Vb6mumsm{g`No9sWd8G1iObNe4?bc+*;g@gXMT?VLjaMdLAre$vV@R1AlN1EB^2%1!gAzrW5To^RM9)Se*dyn~wa1 z;K!(!_^AFgfI94zr*Cvpc#lreuZm&+ANI}z-maqf<9kEsy%#B=_qaza|+! z!J!Z33QpJ)1NYjXO(%9Oy2X(`l)_FwttkK~f6ai*foYz%Dj`@WU zDUZ^*H=3igmwj+^31^4LkmCze)GNGD6`DobfVT(hFMJPX67ZFUkEVlxbhu-^ntg9aNF+f4P$ZZ?vs0Wew&p zJCT7a&ity~a5$4m6R5za0DYT}vYfU%!_G)i)iicxfCz|tgXXGFEBZ~W#KNN5|DG<SJTdiZ_u1}$Ca8F zIj0mKKmg1smr76@r@R0$jfPXat!SK?17bP|PMLA)^~^0HPV~DV=ZET{*kb#)ySm|K z98SUv<5?f*jZN8?AmeczBY#e?BOt89Yy|jwoVvS^5J%HiM#ETSm9D zv^iv(tfMKNjV);Fm7fi=gN@Ir|2C({#b|V<5ErAv28%6j0WhC) zUJhck#>>oKtk_VN9?+U7SHD@7&&K=(&BL^vKHapS(SJ@GJ=HH0Urz{ve8f4Bx5M+( zH(9e7Veh40WQrHkY1W?yGy98rQaN7Qj(_NJct8zd3(QF}l+^;&N>g{+)5ZgBDS4-hdN;0g8y z@VB`Sj^U@t!rqD zMGv-YbbE{QVkZ+$R9kT@sK)+S6&(IiLm7Eu6IEe?=s7SiGB1p}uQ<*BQA5z^O;K0% z|H@QC8p2$-vdE?ZqS!TyKAiGR6`PAwGG=q^HpDP7I$Q_f6!I~%01>Rg6xlUL@9toB zAH;yCXbK*M+;|HK;PP-%0dKBN`M)>!rtKMIWUhK4jv}gdxf*AvyHhR(c=ef+$3t!Cqj)uco@TT z1}O1T1D$%0p)r#-?9t;S$C}6pKrWymn8l2J0JbEgz~@@!aMc$$K3*pq?Tv;;U*%^Q zfl$90e4C`6Vm$g#YdINhY!6qS!Nb%2Lb%a}V#c=QhG#{keSO&2-uj>rYS6m82)#X@ zN14B@Uq;7vSPBP?9_blXCxP!K^ zRG=R&=p=e8buC9JoNBO(?%)FUFJ#>cSrHK$*|DHwUK4c4a2pSsPQJStoepz}eJXUe zG7{qUi8pP^&8WGXbBd!!VTN^d<%-Q#Y2K;|yAVX1AFxmJG~a8pQX?QyhwyR9c_UGU zlIt|qHOC3|rP!6Xy|#_$(lNkNPBxTp(WQkEZ=QZ5vaz9D2XXo%SkG5&sxK$DJK*s1 zRY8L&vP|~qY&IyGOFP3*m5iQgmwK0NFZ)hP;lDxLG|j1bnvp?aZ#3KEg;i`e|C4jd zNPw4T^=R{|!q4nUfoo(VGk9m?5`gy#KlAaLin($JRo`H(OyGu#gs8%L@D9=lQy-Q< zMJ~%likx8tyb#$yXER~$5LzdGLcj2TVF?qDuWA)0#mvA|Q~_*=>PJrL6M)_xJg{)S zJ>7A|diiroeZhl1xx0m-V?z4%2;)f%7_yFcP8mbL7NISt`M*1QTd3539B3du+j9_Y zd;06W8#JL{g)q(2#q@Ry`i(abj%2uL8AWZPX0j*rVFN!s%(KsE)oPfxvUh{SJNj&C z*tpW!;V|lYi3g`7*yDKJ1(Bk%=OK&hf=D(XEkL0--1t!{9PvRjY}Pmkwq~p|*^PE@#1ZEhFQj ze22I78eLkAkzsb=knK(pQ?X-cl&spYiyF;e1Tl#n#Orq+W+##Vq@gq&dowCLOhp@B zA@ROU_D;oYJu_{+`|Re;4Hn#@xu6hb5WXKZgR;b~h%XGf2!}E1jrCNAowTJ6J8&i& z*$Ww(D)L9bSO9h?CoAi+wisEMthyUug1fm|NW%k4$Oan0Gr@p43wfUIN82(G$V~ z(lE_@b3pwVY~k`l)Co?6eS{2n9My3$u;nQyJ^eKX2<3^;={Yuw*dKh8eO8ttI!B*t z<~^zFw}9a(PW1jFMD1&FVXcrGmhalvvrfI|3cX=1uz@*3mi>+kT}Iujel`9=TkPHv zaQimLhWtE3HkeWfbCh;xl(0VqPUV3yk}y`6`d(WBAu10+8-}&b4wlab7vAaG@vJ6J z-Erz!Y?Cw5^n##q1(%kC4Lb}Vu>kvFKC|AAB3iz)b(EfB9EDd zCt)vd>pq$}iHD0X4N%UoOE4%yc@XCwuf?UE=8Fm)LaCV5#579Crw<+T_Q4ng)#dX{ zYkptMdc`z4p--b=!+RWhGJieDpkNBdk8?%+VbW$l`fk2+-WK?=bby}OQjpv#+jZCh z_9H!OLPqgK9=K_7%$_Yjo22A^*4cO-Y%s!1H*vh!lE~NgW~i2cRdAJ@4xfNmZ>B;A z<82?5X@UDjAv+LNn=tPG5-;Z}mg);;D&|NI?aiU@jIjHH^qDjcaZm(CZn^yha>IIv zX_ok6g!QvhJ0dqM%dK--AXSq)CjHU*aBGR~@Sz?JT+nfxuy7)j(?Qg%LG-VT!1;)k zqa26tGE}EY_B43S?*5;I!$M;3A}N}&G2U_h$mSw#3MYY!%%=he?|Z$J+{P^2Zpg5+ ztvTUtO+p)+WFyXI_>npt=dY=JO(gV&#!Uh>#>INXMWrH=$?*uWyvt3S*dj~4$@kg{ zoYds}#z?LcfDm40$^qG?9^eAlAmm7n<5nd$76+)AY;Fe4({dtO(|dmeFWXy}QL|0f z`sil=INE!+c5J+iQj&p^1rOV@$tqCUp7fi&)eTJe=$#TDZn<$ zvKjW!F{*DCh_TjXTuB>8f@2(oILCp$V6d&oC~ix?&b?>?+Y1mn@+mvQ9%sGd-5gP5 zxehR=Xvk>eY%u#Wldlx^=8MyB)nsGaM!A=0H9H)t^ir^}rMK8Uk{FPIS^kIYOFiXu zxGBILOPBGV=ZHc)dwU~tqaR)7z!2wQh{0uGEH6Z5d(ca?N+L9Er$5YacaeTHba=FF zkn=fg8?}|`08=r_mkJv;%DXXC>(Q38o=jSK8lbisfw`1DBJ9iiJMc?OWN@M|H^Jd1 zdxs#jp}d#*>mGQWB?UeK6aocdN#y)eP&WZzkH7m^J2z_bFmI73mOuidT;^c(1-M6e za5uqc3RQ$Ri?wwFl4}tjTzGW;bUQWAb+VCxRQoBEs*#Ot)-Ml4tlH3spg!Z2rSzjA z|M@O(xCfjvL77u#Q4@y49UzO%Y0J}^n#@d8t`3R*1_*tzzmXs`p|^}Mo5_2<9YP!B zeE^Pd5f+<;`D-qXmk}Frd&2HQX*8zY>+{W@Ri6a}HY+hI@$=r3eSmvGdO%1CTm^JS zwVH5g2KzTUdOxR21AvgjN%&S`B{#0TPplhe8lwj`0D_0aiDPNnI)d38Uo7S@;u05g zr8UchhzHW$YQhNMW`w!Cs`BGn0MClc(xde2Vu%q4vFkl82n|s?i#@}>$a(e<_gj94 z=KeyjA*xka|GBggv^f~lsnN!P>{eKpwUXLd=ru6jhOiIT6cNp0lRM2975bLnNsjq- zAb_`3huu%aF2Wdf*+}#%wc5Cx53#1sHE2k)pT093qW|Qlo}KmLnXFmB;nd*J+d2!9 zAg#&NWxqIS%Gx}7ru`h*`%7@hB8%7&pEwvxQQ-ji4?jn|31^d7HZZI%dpM^kHc^gV z_FTetNIt9w2w0!KIJhX;s#-Iq8=^tZqdH8-wz{^6t2+Ie!>b<`LQ&f}Rq`QB!2iT3 z2@N_Kc`ufd(Kdu@pg2!4ORF{=Quf#Ue}d3E(R}*xC%A4a9**A8PEp18u2d+HOJ4hZ zK)9xG*ud<($QR2sSMgC^g1W9kd?D~y{Cq0(8XZ1IZ|_CqaLyM~)!$rm&z~TDT$}t%n{}@06Zhs)KUwCgJMeos|*m(U62rSFTOMi44v0VxTm+|nm z9(9;x>kk2Jz@wb339a8dxtgyt=A5UtOtKxy{B#^(IumK^a>*7ymPNXUsdM+J3X^P` z1A&fw6&2zZZ}Lz_-gFBUwYoe!NWfdEiGW~7&|mJCp?7OT3&eqWmP3@sYm|-6&t_KB zGO<|0F6*N_0M+m~D)iH)F(~ zp93A@9l;^MxYwq&xngJtO!JRI{oca~;0G!duI{OP&Zpo?f@R72wKmw;7Oup3hlmr} z^v`GWB?LiZC%`Bt8?XBWcXkHAd%9KFu<`O2A&Rf4vwg~-JjcV=l_(V^mSr*}e818q zzuvaF@~i!uFKJLr9!{y3nXFhvg}1pp)IO}jZ-F*95xnV`zYO3OK#DSyr%dre$6)WW zK5r$0U^4~mC5oy@>>2@h8O@6FXoUdQb^_P}I#ck*B20mN`tzjisu1P{c(Go`181(+ z@qifCy8J^Xj=s>Lf;Kc2zO_(A?uTrw0xz|wFQuB0jb(|V>{^iBoR{sbJ=k7k<9{Cw zrLo>MNbGgH9Lm)NL3TxXC?y8-q3lJ0lTqs3I3qJuo}Q(^5NO_)(h8E5!&QJm1U^nl zpmkT$@{>+uL5HGs?q9U^D6~N|XwiqsC?nCHw6!pFiirh1;9)21l_<51;0-K_F$EL1 z$4WsqVOwZ}t*X-w9NPSakMh$n$?8<-qby|Sdx%#`(q@}W@>#eH3_j#WU72&mQEnWLSQoN8Z9j$Z+4K6m+z0f^t}{B| zpn=>#U{&U2MdNR>(RHQ4pvMi6D8b0%Hn*adarEUK$-@wAF{8Rc_| zC^TMJ#Zi8! z&JHdQhkDjsaNW~92%E{3O!2VBGSJyPJg@<w{z>f zUAMUuL9{93h0x>QL_)pQ&=*8ZrV1MbqcT4SYe<;W-&Zk`JT)Djr6M^IYCScJy{^+7ov?@0(aKajv z^S9@y9+PaxgV`0K4afZb4&kN@3MaxXYzu~`^Yn*7_$D@UnLZRbxiyq42&*>hX(kGp zu7=1O91m9z7M#2CeTTOMVFM#{8|@jwXGR{bRp#aOgjJ)cXQi_>w3pkdYVxG$+_|QA zOHaKx1+IMUj_I2G+uvpHHlWWwcRF0g5p_QOdJY`=7Sg+*hIE<+rD0Ub9Z&KVY4#R2 zOso8a-mb?-#O@;=+;B}&Cg<-F`m(#hA%{beqSp)amDMQ66H&kM)HStd27%gm8Zc_| zP!7YQUJz50hg&epx+Am=h56!V71hjoc{D&;n$EfMASS$BXv-y=I+{I_D9in%&+7tIxS0eYcuTNR;&Ys zUPF{(4(cjx2w#DYn;0cIpk#>Z3pS<`YsOM@^tA5Dj?~CC=}RXKhqn_`~+z; zy$y0rzLjT1ntu>M0+=IqTa&M8F}i!2Tt{!(53GS%@~w6Bq%mxU_M6O5hBUjiq~ zw9ntgc=dyDUo4N8wvei4Z=E)xFkgqE?8oDXep5EN%`*yf1%{Ouf!b=|rHkxPkm7tO zk3>=4U!pYnrmpVTI6+L^7{hpB5y1@ifNOedgUogKi;KnaguBBLG{4}^?iW-Iwg@zw zO^z{mZV0bS9%KaB!W*;QzOgS2PP*p2cG>q?-j)?Tj8a5SURXEtMSWvJFuMZ}ggMr^ z`&{3U`6z_Gl*J_+>9&_pV{~{k@SrgT+Tv)FJbLp4p2BHlEk1nJCZTB;a-nw#@Z7CO zgD`P8)?O%tnvQ)mD|uBp>*=C%-AB(n;OQi1y`|Jl<8$cHnF!N|iImA49@~iL zp7?`Zd|e3>=@PJFS9*khye5KZkZR5Rr2j8HYZPO8u-K)+&OZB6SMf2)SnwTH%3ikF zd;93OWjzPiO)tz_{6hlgVQsD?f&pVhUc?#pFOc9c9_$99w0|afXbig+6`sIj#O!M| z339Zv%flha@y8iT!b)*m>o3BFl{y*pE(kj~%?pHF`_f)*XBf!?`GjKZ=7Nw%B3~v%+R6;+m@On7mWPoyPj1e|WEZfp!PI`bdBNjTsIofy) zf>ZYGsZzdov!sKuGH?5-k{B23Vej&y(AnmyJ`jP2tuaEl8-ywo!d&zKCk^h(`m@il z8zW$!15tFD$zu~Q^?T&Jd?7mT_kpp@Cr!H+}5vyj*1I3Nkyd_glw&9|Tcv^~?O0xo(Q9!E7jX)JO|#(9aSOg>!LX z)rQh4+zV_-{98QO`Mg5Q+64aMCK<--9_QulMedE=^g%}WyPI#%gO+~?C!P*%e9XM; zNj2nua4sO+3B`FbX5|mI{<6vSh;Mcfq9&S8Z)P?&22svm!(6FQZY#Ne@9a+Vo*`MG zFh#o7s`(pLXiW4hGDs1*I%DkgbG*yFXiJA9M`yk#6;=JBLhh7g zZgrL2C6k8-btw;zKpswNh%EY1T`$*U?_k>c7%-fAPj%`&73!UgL2>)WFCB;PfL`vh zi?Kc;4sCE%VQ#Eu_c0o)H8Uz2B(#Gg0|%5a1R1By3fxD7NW9>%a>*DC=8i<0d@} z^Z1M0u6oSV>92^LV_gz^uML7(fcr8k^z?Ckc5u1zfQv8xHsF|K`<3jIRT4Ple)ART zS%2SQExWgZn`=zy{S)A=JU&DO^?J(1vI58Gjze(h!r?@(a9iaxa3;4U3;~X`B+nBD z0Sk*{(ZV?@OuBk0O6>ALCJrZn7 zfCH;$GZkozgj3jABFkwlT*O=ZhyD z4~o@g4}z8AZ?n7)ik90tfW*UT{xK=Rmev7O#eI~`VV89}bU$22Z%JG0*POiV;DJ^d zdJQP7j*C+E8vScw$$WaOsn6&^vBwFJ{B;qTtsef{QzIh|WTURV}Rq8gaqSqi!P?EKz1XvG%5iWl zeIi`!U)3)|)YA;*;tU!tb+}GB;=??=wZ?F0Ba3KaEy&>>^g5ew?hqCgx{CF(!|@q)^#J`CB-v^?z)NWhGHYllshc%q z{U$;PhYhV?l+IqFC^+QmotZ>K2F8v|cnk4x4YsGUjP)L3;`o3EJF2XU2)|&$;S)%3 zDJpcOB09B-0FPonqRWrW%jc2OcvUGF$NlrGsff4--eMJ1ic>F}qKdhb_wGXlRJTBlwl z8wWNfaI!fEI(vhmhPSu{7;G3uwg))I!LHKg`X>T^SeM(ODTl?r`-IUWJN z7qWP&r_k%{z>=Uy+tCBpDs%a*OohOe0htPkmc-`5evXYd)Qhk!5OT{aJJVZ;qD+|Y z6cNRhG3T7KRr5SomNfD$JbS54oes~8IK)`8%9dN<*ie!HicEl}mxp3jM{*{z9_&d` z&YEK=)P_iDqW`%hR-}#N+Pb_S6osJ`E#=3aH8%>S)yheKmecVfr)N;iEDH_gh}D*F zo+IFk6{2Mk?~4n21Yw@WFv^$l;It`MlK)A6*icT$D8Htb{~! zt9KlI<*FU;xcIWHy~Si1fv>p3GDce4Qi#amo=`z^(Qn?6GHDRbDmj+D1J`<~SzJba zN|ApEQH=@j8g3UxaD>bt9DwP5Q>ehfIMX3q8WPoJ(CC2g94tW?d>fP>tAmY|^srg) z%anUr^zGpue1~J1l|2-ep_m+(Pf!^XfynZs>ww zO1K07SYwC1sq217bl4@++L2PSj2-wsPhLvxHdOFEEQUj=mrXCLx|72tc_h+(s_6(^uvl)$+1glenXWqiYg#@Wv<)aA3hrpp}v(ZLeN2F0@M;iB?S-qr~W7{2u8)d3sX8aT7~r=S2C^q*7*fCc7gflr{!7}5H_Bm z!CIDVTUj=!%Tib{8)tS~D$Is}^$M>*FPTs6%#AYiGKf<6K)Vx}rL;+#2}Nh&Ac&D5 zk=O#D8ngC{fmcU^4GvJ^Jb8m)vZ+mR&SI@RqFizh=)YXy>Y zfHp7`0aZH;lAKXZJw!}o`o?0gLw{()ndlm~=~*>@gY}T}%qj8GC~raGHsrCuO;i}7 zZIbE%7#{$JSNJpM$}bp?DQS>hEaFtWjS+`5m;%3I^dK}AS+XLTCSAK=Ub8Vf~thW)5Jw@wOILsftE)=f2xDaYGjrS%vJUUSLPF zRT7N8P|V&Fn8u!f7SYQeVQPlbR{?}lDN0$s8<6!!zOid>?coQ?`U{6fZ0o=YtMTyp zYZo8=JsGOs@|O!(g-OZNDnKwj{k~wGH#!JMGn2Yp%#{-%U~z)xa0ptw6`O{)IAmqV zo^*r-+M+(|H2DLjOjyHtrv&#ym1A3(;*bj(8*?=L--3SoScWJ zC0#h|NQEEqa0vh9)GNiJGu)v^gizM7JSlu6XI+FS?i$1X6?IW>Ay{w&7&h(&Sk_r| znLSvXCkUum;BX)m=d|&JV?z->H`f07?~LoPg%wr6e5kwqAtJmVB`_?{X=9LMzNpX@&Pctp(q+rXeGe<=(n3^W zKt4ju(ZIP2mz5`kP}7!Q28V~1A&Ruo0P}aCJ~(YaQP$;*Qj0r%HiS7G^ zoQZa!!Ud?1t1UT!zkVAXTCI-xW1J2PxG_~>*PCAzR^}99ED?L|QMF#t9K6(P4q;It z$}QWboSQIda}S6(6fK3Q0_Ktt zFcT3))=2abkSUjqBCY5Gc6Q!Qz{3ffwye~)EEPYINQu&>r?+KW3G>k)r$2fOoT`|z z?*to~%|nBj)vTFSs+2Z>HgH%&+H5HFT3gzI>I}mf87F{~oB$qxn+C(?zFhjLf?!faRyONQTKk4I32=A8iKv67SM2_Ri}1?D7*^&9QWpg#?4*euPR z$ZR2@5t&$ilrHbVpg3*xXp;a|-Z)a`4~sY&@v(ta!UnTBO8cn}{JB7|J}pDJ?CXJQ zE3_SL8PDSnfM))VjGxvob|=H-DAHcxkDa2Hru#9T=fK4N~I?TG4&qI?X! zByJzRjt9BHe3h82o9xjY*M` zKY^@_Q?|XjV|!T-zIa0UD=}L$CNafPo&sb7Q_MbhiqP3(KE1gTc-~Nc&U&hFA+Hb* zk}zL+h+^?k2sQqSZ{iOr?Va{I4qwc=R|UeHf-1g=KW;#Ly$CFxVwSyV`-^p@y1EH*q$G;e^%H}OXu!g0yE z1YypH5yV(ZUOL^Ox87F7hGkt0>)9_ooDBW%(*MUgHu^wJi#0n7F{utan9r9g!sYuBUfVX28 z_K_aDIySZkAa3c5>~OVXV+4fzT!}Qof|A(AM02U?Ot&m%QDQ&qUW@NBx!fr_Y)A-o z-0;p+9j7=P3hLUz23OTT`QDoyE6eCKGZxN0G;)n|5mZ=vuA1oA9TD@th!A*I#Gy}d zxv7d$F>88Nnx|SR9Ukc}YYNYaV8jZeM5<@i+j?K3^0j$Y*)s^5i9x^5%Zf6Sr)8DX zSOeT(tR_OpsV>ZQ&GYDN9%VHMDS^krt8Rq1vL`S*-1ElZCO!e2U8;y>uTZB_^RyA_ z!Hs~y5w!Cjf3{;%$NZ1r8MG9G@XC`*#!t}OkhgQLRkmg6g5Xx7Uu{`TM0u)Z&FVSz zwxnIOl9!4EFdx0OOwWpgGMX8*Eo(pZ)G&WgEamgE?1y=}jZzmd+dGLa?3%;zaKdG9 z?QBmprU;_&iT9rJJe+ky_Q%{{2F zmStqq50GkEhK;^mW zqhm`pTwQKS0#k_yG{Q)7r8w9DXguE9WmFb|7uu^-@J! z=%W1N-ipFv_AZ=+OY@`sb<9(WbfHyF1MP5FlSmLtIDZuta!DFd!hY^u7BzK-naZM4 z!8r0HpPUdThKUF{BG1o`IG^?d^Dkf{;?OI{-q+Hww#xZ`946zu!-DmGp?>3M*2SWj zqbp#9OE(INvO00m8l*U_*9qo|>~+k)>}?$lVe8mIX9HuJq9t_P;aMXg>?buS76*nU zr(8ht^pvf#^)Nw1ycE<3OY_<)o4Jxz+iefdTWl1zz9{`SMiAP*Uh&Q$Jjkb;tw8!0 zV&8aXKkirRd{-7Ue}xRURqkJ2!67`Lrv%X$z`%tM^u~QXtzollcC~C5&OSWlV;zs{ z58Kz9S&b5uvT&_G(7{+6L>QRt(PK}EU=+Zgu+xHeU2+8DJ}^5Gh{7eDHFE@G2`bc` z$;@A`cK`-1=my3$Fv(we_!36;(>y5BXGUyZj^>C%p|cgJ6}sT2*B?4I3JBTb z*nO`l3NVVSSFg{(c;3O-6u93&=s6g1XXHS{@{9;QU$)Qd1RwyjY(H3!{Vxnb(a(V? zpz(8952D5aC1PX#h+e>$<)BA9h^T4U(Af%wumBrQ8(Kl)1CHq2Z!@7bsXLS1XuBJ{V)Q*91yI@ zgY6H7av2ByP6})UA{~c&dxbxwz#kw0G$N>K^*R_FUS~VFS3T?6eVP`;M&4r8M9ewP z(MJf24{GQwvK+lv)hi@i2Jx2X_bfTz>XbT*%LR|_-NeT?`X6pgzfOV}KfintyY0OT z;4u;sM64SK!C@cAVI9KLodAj~KSdh}z_QMV4rR2nxO4l8FpC0PLx+TU;D*R$y~S*Fs*RytH{oT%;jrT+KK*%@bnV7`7n- z$R>aaoT3(V&rhp$X#v$>p5&he{;=0P?pD7u+Q9-79YUsqe@+mX4p$hd6{1ZXioBhL zbHGNeR9`Bb(7M1crC90~(0eD3TB-LT?2mbPilwaeXZ5;KE431D7Xf%LHOwKrpSOz1 z*I6%R-!9@M_@AYa^=oDZ#YWlXM!e5gn{#p_0lhv2obGfuABdU(ikpA^hdZ*DpXk`t zQT9(M$Bh^G3-N`cd_?aHOn8%0p{EfJe1oB~x3yC9`^WMBBcx&(@c*F87r;aghBX{N z+zKYDRXA~M%RgkfWEGyt0MAJ092=9m1lSh1-(VRN<{uQ-JGd`n&7)Uq(|7(Eugb7G4R zfC6t^IT-t)Lfgu4{_rez`kqsB#+BaDE+oJ}p)b4)**L@QF9~42b7#TfvkA=X^-qR1 zkpg!KCaZ8!kZ!elDT^b(n}DpNbPz~kbtfD9{{hg; zT*7Dv;-)dP4vZTZQ$U*!Fky=9Tfp^GK>=M3p0CT1On@JGPi!GplVcoIYiZw2nZ^I$6&2nn}aVTT%erLMH3jjMBaIeJ%) z(#FxdZymkdT7#TAtqwflTX9Z`f~e>h=*6`o0$*e~FP}dSz&}<4o}+O_8*J9+WaEPp zPtHG!ypw@hGOFbX|BR!z7ZZmrB*_bRoJ!gJR{)x&re>T+AXQdXJ!DKVKygM?A z(~DRTXsa-oP_k`Hz2bY|4*By4hZP%#SD7%I8S9RK8`GRzNOcKB#)V<{L7K3{K z^jRL7{was>c;?!{hyk}^si}Nko(Nmyg%CDj>3?(GM>gFUgikS)Lt!#3xBSzIusqGn zP@bgfqad2QZf4o_vn?#V7(LUrX9}1K zFrBdH^YPk)DdaE|X%@uXC2^@|bwDw@@py5T4s&)r!dFr)RO&xmnj!AxWsx?Q<`)6} zKpr}xXnxDIU};*`?^cCpp=MatW56phpNJcXI&{>G|Z=Rx;iC~+Y=$w zol1EU*@)aBd>rJ7OumRixHc7D3xv4v-S*(GI=oOYcK;P%dX^(2&ocSlWmaQVfcLCx z!8H)tHDp6k+CBYPfSFD27&X>733G+}ZwQQ>0Q#w}94}7u`Zo7-@M`3+ufx~K`JT$E z5P+A0%`5gp6f;y}9C(bdZw9kgtCP)M-sc}jFx-d{jWY!pghs}JC*I_RzS>v-isK@9 zPJn8Za_8jB7;dco;s0hjN?spF*x7c&et*@Ny{$*%#~UBre0GYwlr^(7m>nclB_p8n zyq!mx_EmU0+eh3OhUx=0OyN9$0X_{d92?QW;1DX@1>FZ@KmQ;$JO5>M*#mM?@P&pkwGaF=Jc3pGz6l@L2&vkpKdJ6JTV+Xy~#;* zZxFFR58QFt)=>p{zgA~_` zWp%YZe&m=h-a5n1?GP4O-d2RL$UaXmEWbCagbfER*<^iwj)uH4(c?MGnMY=9VAb>tRTnCVMex3$0iZ}A`NW5nuQrpvg3$JQ+?Dnuo_6@gCZT-(h z>=vqG$H%fxQlOH~IreT6F1{l492@eT%hN3phjQYzIMUHGjWtt-H@$@`oVqzh^BrNs zFuy1ZIvHn12d?Q*rOibpz6(yd1=jNlW0-;lir0NiXT(=HZt;06{$b7z%%BRVG1|g_ za3T(_X~F3KZM*ED7OCb2oj0%aYt6}4wjdjO_;P7>=C4%{19+yh6vT*Uy?znkucs}p zwkFU9j$1{Amjb*aDvp-{(O=_bhss%yY~vK=@JYsP)!%M5+`q0Yv7z?f>z&Q_g*6j; z=b(K}!K_zi2V=eM(Revu3SsW<)F1Oj2>j-3&XlvX4FetJ-pvvOY4o6eZ29ms{BGw%}^jV)TudO6Ko_(bzkK-I@zpUJh-h9LBt_#qp0N>kJHP8 z5_N1VY7ds2KYO5eN8A9lw;bMT5EF|7wu`h4%DPOm=RLi2lkJucAC#9UU`6lv-6_p4 zHaxX}ut3X+6Q0~$;QdpI$jOBR&kC!Y(mZGKQ;p57XO}{3SAmlAXFpb8oY>q#Y;GcD zOXjaN>6e4?ps$G*k6=I>v=s;c!KfZQyw6EGPI5{iQud~qqoj&7W_j~O?QxvKS}#Yh z&d4eFju4PteZh&k?fv8;>ko*GBLRa_lh1WZ^L$x}p{Sg`-vkz&cU*#hj_@xYr!5>t zqBMJKOslO0sf&0a0Rx6CBfVq1WvvH0!~Tdbu8n0;d;tq2(rpy~rGD6zF?SxgR5Lnh zoGMWeUY6X*P_cBs9+$G^>1n`NjR&{bvnGnxgQH3x`p6}C*Ky_W~8?TM@KXwU95ZqH&dLO5$Vs^;lo;KuF6DrDPq zxt^`8MFH-9?7dY~TtU+)8VCeQa1Db5*We5e0fM``2X}WSctUUu!QC0$U4y&JKyY`N z!R~zjch-44_dMLkyL#1LUA1evYwziNA0v5AnQ8AE1 z`^MW|Z69wnqz-=-M}kXUg>F7#_*SPCjFq^B>@YGby>(WtYJG#!f4T?uO5VX?`JQHf zxXMiNk{`thb$U-kjL%+m#GMq#$=~U+gltW$u1Jqr%Jx{{+e8P75fOVBUoMj#jRn3# zalVt6U)w9)*v;lJ!t;{Nd(CSCC%3T}|7jmxu~e&<5hj`Ny)^k-^aBx5&?5V(5?r%k z%37mMeH`(a0Fi-A@X&(*gAYP;euwxFi-^ntB~~#+J{BK z`|xu>J4xAIj^ z7sSZ{Z3oGXg_SC!S=KqfVD}oPpx}g0X8VNgA+b8Zec7w|W56;uq1j=qlV#HRduZS| zlH5!5_LHm&z=%3;AKptIJZ=fwkop%!3@+93;se@;v}V~y{otwDUnC=g{vzv3t0d{l zSg&wL>RAo*Ii$nSJBU&h;dL8AcN-LSQ=i02r{9`2i%)8PD*H@mx54d{y>}kEF@hf7 zN1~kRqLPRVE~NtZdB{^@byS{a*jol`pX2OtIVyLLdjsj;*x8{YKsE=H^TBB?K2h(5 zNB^Kvi4Rbfppe?G3Z&d}3m{52@+-NfU|ZxHygBdkg~c|QCUo>Xaj#+kvG9Dp?jFkh z-ue0*g9-J5sjQ%6e=k{R3RihGgc=LSWQSGbKVPxE*#Jd2E4PRfMM!!+&F_81hOA)C zr)oF9XO2AGlvA$ho&7yN8I~xdJ16r>eWDZ2o={@(n)iWHoQ=@-bsCs(s#|k@3Wk=G z0UV&_Hsxf!jcOF0SX(+PdL0ACg=HD3Y_`n|ZSEY(Rq~;4>N=3~Na7_}4yjB6jx%DN;uhejK7K0x2 znJn5nfi~YHgZ}wmrhgW=3q5un%1vEBQ&BoO`am}NWwx`?a@16rJS%TXkmB}{m^^Ec zbG@H`H{fBvh!bxhP!ajH!oV``_wHx>SJ195PJ|@jg<0+mNc9Qg8Cu~z4hEC zuhOVosM?M%nH-{d+Q2vb7fBWxlMkw=(gh`4rSb?5ztE}iF!HJpcF{*CN|Kp~=44s* z*Vm#ArU*n0iLFpe;~BlZr+0~v0t%@bs)=X610Id5DYw6UUm=K1L{qHO3SZ$CJ{>-vIql5W1Ia~+e^ zs2=JXPo|VpKh@xFV$ieEK&f@$j-6Zi zD7p7I_Aoncw{C?*RlazzZ;flZYJvTz=X%)3-V>o_vIGW7fveQw;UGUTY*|4(O4oc@@cj_CE7PAvCE5%vC(L_+JT=h;9@jykf?>z?Uz#@oZGkaV^Q7a3FZ zlwt0=^0e_%%VaVv8xgt|Q>k@PYn58lf3Q|nFUwE< z7?4>EF8k;X_REURGWY|#2R+3d+%FqmdnFRuYWqLUyRTb}{x%-@A#hKyEV$DW>^ z-6w{<%8C8=Egl&q@3#4`1Hyb&lC$71sP&RxD_KMNs|cNxy$r6&ao%*_FJWVfVz#Jq z)fpgqoT<{i$;2B)*+qT#AP^~lsqA7Sg8a4#8;iBLR|M1;TicVr35}c+gr0f!?I}^w z07eXRF(mDn6^gFT%5l3xy*gHdn7;`nX`8qvN?(sJ^f>UfOPc@}4n4kIdvys-*fWNK z|ElHnojg=Z>+9&zeZ~8EKLb|md8chn2D-1=OEoLpK+4*^{fl}!St+#0he?D>iorEI z=gdbxZjUwYNAl@(ArO85SF1#4T@Z*{5Vds{s9dLR;>i-Ev)BBwOo<1fFLT8%2C@3C zzX92vpx-%15{T*gRXlc^2O~lL@~ykAy3wz-RNai>X7jCk^H5@6#eYAsTMQC~)tY)z3`N`a zR>ZWL>uD)E^YaKk8^*Y6RCu1E`g~8EU96+X^X6o__Ak@uYSA~rleZ<4#_BU;NWT4! zBdG!}?}TXm$5acnJ;TS1ufNg%^5X|>cC$^kx3Tci&Ee?|@dF*LHl6`|Dfzz)ZPgJn z(3gcb3t+!yNSV;1LUOJ3=KEPWM1JIxM*VyHPrCMfU}2p^d>H-!vo$Z}2+lQ%0lzgfxK2-HES*!#ZQ*l{o)ant%QdCJ`7sWr!=b3>sGIlL zZ)neo$1vC?nY{r-0qw?kX(ce%NZ*tg;V-umS^^3ibtt?}UXdg};p;$!&EujJdEy`?$DnebYT@$MH}epB44fVf${9%1jf-TMLJgj$>CBj)x z4}+L7ywuUZn(p2^aokN1c;x;GvqGZxBrLHK<8&SgkBQFH8bGOC`i;(%SPcWhhdyRhmqwJa>eye|SSJASi)kd2J}i-uRs@S&~V@slPv6y+!Bk z)9=Y-teFM6-y#=r{rW5dJNl}A)rCsmvjWvjPX`EC#wP|+#7pOu^jm#$(-B`wv1)Jq zj)vz`TJI|O=LQxBrv~eVKD{~H=@qM{%Gc5<1+Twc`;bL6mt$x6>TBxgg5ZbSwPLa= z!JU$V5C!_^|6=6PF`>$HOglyO@-w=I{0+92)RuA7FhJ%z8+5<6Cld!d>r#yTEMy30 z^ucbA-=tJ76;F3;4r2E2Hh-9HOLu-q?<{9I;OlogX0-F#{e*(>Nzj0lP@0)v^!~vvH6l#=zY;FhvnB_9UlLn$L zMJnS|r(uynj(IWElO?TDcQ+AFsu-ws_1S^7q6#pWRDi!Z7;#_sC% zUl$au2PW5yTvemR*#1%@Ta-7WBk+IQs`W#C92s%Io~{s_fpDUy=UuW zwGkbXf!1SXtDc+DqTeqTnRl7nZ|Kvh-XG|2cF>WOEAYC~IWc|x;KiCWWAOofU)`Aj zv=JmqfE$24I{(`gW$9l{t_$zxdGUjp__zKo8>NWizPM-jE;hUG7azB(gE258{(1g9 zW+O<+DV^T({BR-m*XPXAWMjkDrI zBqGzwb27)*u@)S}hxduFTqQun7u8inIy~VZd{m!rzd^X3d|2%KPBDpFA7V?G z`dffighm@2AUfpwo5|!aNrlg=fA_4q{}~2K7M%P>GX+%#?S;YC2Xt*>mPUO#pw&&9 z_yg<{d5)fp8OA^czc>E$Sjr&hHFkrF{suA`^G4GX$Fc6uyBB&U5uLKk+=!coC# zi>m28*Y4~_kL5p?==Yu&3jnO3on}F&OZJPC`aZiBjZeVL25@Gn4;Rx0Cd{x4w{P{ZpvtaHzqIxxRvA?i0=r zEwfT>u@5r=4#G2N)3Nx8QPdX$S+G&1dJxd7hB&{v*u2j@6nr~ax$J07NF^y4uBUbJ zy?U8DZ3C@)YsP|FFM7jwFvAl+kBanwb@qFT!@QV}ck93(zxHZ5H%#z_GET(r;N_R@ z+eIb=`hRh%QZE}U$<3T2zjc-t0flMRc94bB~z{NdZlQWS9tbqG4ZE$FPmryu;{2mL<|MmY=?2rF zs{)dudrk~Y`qWABL8=U=@8{@^CD9uI_S)`d%yKnT^e5XxnEvr$&jfwF^8d-)4YUgt za;e7e5*&%A*qX&hP9N?F5Puz@zL=`Jz5G}9)p6|EEprcS4CI2I*B$vcO zKiwGBm+hMh%&DuA-+M@P1M$YOC#QPn8`jC>HhVgoV%Xxv#Z7y>0^AvkrwXvDRzd0D##%tRW+%N0%yOF2wi|v5f zma3|s1(P1i?0i#~_cmItqw!N4#3ui5+4Nws$+m9w)?qit zXTPjp49_Ik{!GKY@4e4gmjI=~LQj@~QT`yA7^;#h;7rG|P~AFy)thIHXO?`J zklooaFJObXvHzY2#8O9Rf%ckO>uyIjJb`H=MflEXzo{2feiFjs>Y!#Cdyn|od1hap+#^q{g=Qn`=wW^S89fo3WLV;N6A!d zXmn^a&Hut=dUK;9|4SI*j{8rw+z~Qwf09u#-B6pt6Wag65}XPy=qj$q$GS#HLezV$lhaqZ6$W4>Dnn93nT zIW57ZX0a>op_axZnMPcDk$}C~dW79)y&!D0!G_wxAw90zu#4_Iu3B|^=JAUr6-t77 zAFwO-B0ugUc3Vvx9eDERaVsE*Sgw3lZpm+@LfA7A(F;+S3st-$ZFu~i8{${lxIxl! zN5XA3*)xN@w`x6Q6asA!2m7QR@EC)6P!1Op#y7?{W~9al(FY`&Mbawce2%C5(J$1$UW;rA+=ni+B>yDMc>%g1dUb>z+t`L*86=uQQ}ozn zVcq`0vFO##?xL1rHpci4A<)FU(lnOs(WE~$pjum-VpArD*iE^KwPE{6+VCi%j6pkf zZ!R_vaI;bYg3Rj~U7BC>=KY3>UxsK9(yyzjz1X}cgs2QkJ$|aVb;9g5D3dd{8fd+g z%dg>SuriNI|QjRJAQDSv>$MD@2y*hOwa4 zS%9H*SU6Uq=<`2n3-?{=tzltTqPm7dYWdg1z|EVnn|l5miB<_@qLv~4m_vsWc7F}> zqIWN!z=eR_zKqol;wzkvhEbPHxip5xz=R=X{HRk96Lpt_1%T^d%huitMd2k;>L8NW z%wX1#dSl$N!Ld&y$G-K&^7!_s>)WeQxeKu-n^~C}`3ZvONQ6P_S85(&Kj-h=@K(Ma z_)G-9V!-Z?C}QD$&${&W@fp+d6+>x1Yy2Ig79MWtJo$2uTiX!Z^y}SQ%ACJ>F6&O7 zG>(WI;Zo=_c{RswxTj6iV&k8$8vb-#6q?7Q2?v%@B?sy1fxOVh)YdInW(^0i+D0FM zuv>@vG-pQi>ZAiT>w|)o4c4!N}$hpPF zO%*mj0Ihdct+^`wqHsEKjbxe?n&+}U${Z|A#CboJ=f{VRhK>@xn`xE)aiA72drU4v zQ|T8P3U86fYI^smXoiu$oI<2Jqk*s59=EIn%2KVXDvepoh3bh`p2wK;4t#x=Y`S;X zXPQOo&y5-{CXOAsrH$M#4(j84?;{)!8%M<+{*<4k-14?M{){c=C4a3!PinVtXe^t0J4Sf_E}kpG8N|32377Sj1y zK@8|Xk2R>fdYG_O63xg`bd~$=#DF82i?0n^o&RmRslslQQ@-(fqYXp4v@=XM-$hU~ ztcTfCNwkbUw#{HlwVVC*oNSuS4q{9aZqmH+rK+*e6~m9ws)EAVWn4#;_|k&n1ZqJM z1=(6=ifJ=YEkCTnaB(kP)xk+(QV%9WeSF7gN*e2sGQ^=Oj>BVql62wpPV+%c{7@^! zAFtOfL(<IQ3vSoC5;{aGeVwXhHOf)j*m#Rj(e|zEa*>;R8_#d&WM=}8g8mR5 zGnpq<3E2c%o}{No={`Ke`MBQ)W#I{5wRw^X_~98Ed0PhA+JgVr3^Y5HcKuIrSHlMg z%P?toTW#a-&yoosFMGar|3;v^mPb_02+H) z9Lkw?r)FVJJIh*nAqqBq%B6Ts*gUnv4bl+S5{ThroxKF52{*1{7JV4(awt<|_~@17 zZzvEiHs$-o7B%!B-}zdV@L`#k!w7=39)RcIkJk)qU41yuo4Sm+1O065a{Tj9vYzVezP@l3dwXd4%x@PI-lUnyqRn~C&>llzqk&w)nW}G z?(#-gFYNOpVM-=b2$8ZfcLXx{F4dAAp~{yNSFKLKispWEAfQVC{DYbpc^`3>dxVLO-VhY4Re%vrk*)MdF8S-s8ESZZMHXl8BLFmy7x0+j0Ye&^_v^_R2`@DifSp6n89qIduOkfM0YU_tT}xN5D_u z$dXLG>Y!9!G$#<(A*m+bIzKA&iqZR~15s&orZO685&+CA9{|1Lejs3-N)U3=+@{dpevGyKHki2dsNzUxE( z=teb4mU?GatPIZEfK)#O3-6n8ms3B4_2fJcHAm6*hVy*_)JFzz$KJ4A%Bve3io7HA zWDWISB#1S(z%1#77SMY&CjC`0zV_gKY}ShT#7#!axKIk}UYUrWfvyuLj{6K-^jq}B z(KOj^!|{7y?(8Bc_c)O(Ih_wG(Kp?M2wC!%rjb6W=Ei@<^zS7sBq@3g%x>>AMca)7 zuO;t7^h)=cnJ=-co~*__RZEL;9JLt1!ixsDlOV-HO}M`emKxFWnxzDPc%x^~%?IwZ+J;D=){MYrT#+uh>0x$f(-! zG08o)08`40lfKjPzVWG>m{^Na`F4{NLGM+vVcD2x|H$>g;$n)P=vH~nwX*9}l7m}@ z33=>uFk9_)_H*8NpJ*sVh9Rj2M?CfqX0_F6I+3lDn(*%OR(X#ybp=0NvC@9<0wF=L zL8;v{{b*oiOHP2o@ya5FRcYWg*Zh*vQMc7<%M3}Sf1Pe+5|iU&ku+bWE0y_E{Rj(y zhkWU;>0X*MgQO`uw7o@F$%#QMcx}mg&vONJ3yZ)O&2&b)OyfRy)3JC=*%qHC@M^z2 zs2HcQY|Qv5)w|k%fq!&@qI2e3>e>4Nn8gU9&&8! zU3Pub(cpA9m+tw(2j-;Hz580JVO!2}j)yt=f77%doC-1O9o0HxAV92tDtg6AD_oOo zow^qxy?V*30q5B?uSGiRfn9O|es+1s7KF@A8>r_Z3nBu#jVrtSnXrS<>ZNDSjz%?+ z;Af}HE;l(;(_m9``;O7a4B$%&+nZB~4es8P?0p_0h{iqGH&j>;;(e@px40tU=&Gr5 zCW-3d*xJx2o>8PGSFPbWNwP)X(3n#0pJ;JiZ+mAHdf|+`C)I7-{J}KkRNDtn{1siu z@HTiBw|;xcI`l|@H1%h0l@rFJu^YHzo| zFzHf#{tNElNGXAXrQjP!W|~5KFKm1Ji-?!gSK$99mfx@XM6c%+Sg%{4$b}a8py` zCI`93WTJOdA>3 zHkXD**VsOU$&?>VOP+p=ICc85Q)q_xJf91VK>~z~jXt>lI%=F_EQcR`d2hW9db=0E z?CltO&s_Xt#*v6~%);^vJOEH*eKsmnJ@3Dw80~Uq6v*47*JQ_gel6tZ2=b59L`9Vgoe9wtzWg*;E+ApvBuCe`ydzbef z_F$jo6tKDKb<*dxhji?s!)Xy-i{-MyG`6AZM1rm!>^nS%q*YraWO;`^H&nrS+bVFI zQ|bJZ405lO3_FppMz5RTJnf21ef($%4KKQ!%vB2utvDRDrcT9`h5VggD_Ep8tdB#J z-DjI5GR)+Yait!{_-2_G?WrW&7+j05=qC4HMNCYzvZO{h!3jEK&HpnGt6SmiVCF!s zvrmlNt;8WOTUxHQuW@&4zgWat`sneD2 zP~|x9;)XNps(RI|k$xSTpUCSzq}pwV5#;7-(e){mi#b5qd^)BErc)hpz&KPkgumnP zGI;%q*D#gIO^oE1r#($4!|43^pD5pw25K#phlFIEY05c^xrhd}M;R*qCYp~ta79S+ zD_C9p!E^r%UXCuEq&u6{>YCnbX1>Lt@iIwEK; zS^nqcs{RnTlq}+L;f5k&ado7&EL-z(z>9cqwo3pD;oK<(I+3fQ8#CnJ-pK?BNjwKj z5sJ1KMPzF($7{EfO-n!i4%E^`4(+a=eHl+^@P#cLEtl825mI+a$@tK}Jw@Swk4X&UdVJ-u10B$Ft#D@!}F zQ05Qscq8U$y2%=xV2hnT#Z_|Jo1@@78%~C2#)2$w7PU(!jE`6Y@3kU>0WHW`?MUAkLheoo`12! zHQoPWcb-4kq|&U}PrVGj{(Ci8S=07S?$T8=SMA*Y6dFsk0&7ke^|jx**y zn;Uj+xqHoEZEFie%auInZPizb)MKl)s6@9XD!RIdjX$)ytl1-^wXOP-x>}fCP9Z`% z%*np0DOWZut_iaE$q!VQ$OdsVmC95t3Nrrja8z<8ADvZ3z(H5M2$~lajXmS9>MVz9 z6A-^ZBpWLSj0=80;~&5GYmGDQri7C=ip`is@%xk32yNx-z^n0Tx^bXRL%^$-wV=f> zchpDls$;&EP#CgX2EpC_W9(Q1F(}buTou{Kt-`TO6K9PIk$3e9HZnXOs{2&X-fAB@8!aohFv4r z;m%eAyuzWnNO;I(5z*|Dg~hseat=+0?#Z@b=v4~J2ajFh_4sT#Bez&*u>n7pQ9{kA zVprklYBS^rT~GO4Md~%3Rj-4;>9tlH_v&`o3-@9ZcDI>k{9|rf8=+ITFOnbkuqR4Q zPP!6-XQfd}s9cTKW76!?;fcCR<`9Y%8Q1(c^T-2@cwF7PfE2i4hU>6%#eN8A0VbML z9|EBE%VZ8T0o22A`l>1;2lUP-oo8qU@Nx8elhCBp5u zyy${obcXn>e{1U(wN%7Yq9GzD%?AK_Z8P+5%bs|<2}}3e;xdob7Qc?~ttf!gQavFy zvE&BL3Nn5-{1(kvWA|3Efd+d?JFAI1Qk^NKk9P(9wErn~XH|WnWN6?nc}FS%AnORP zb4O|ddHB4X1#4)Mo_(Crf;14cP%R5#(0BbG`M8VyH3N-pl%RnVjKi!jk1<9z1^xA3 zYt^1a)3nLYa+$v>)|RFX3m)&TlOvR~SD5a+a4|>qdX8{yEo^12oJN;Ww;nxM1+%yO z&z;H_pcWf@zDfH;PK}TP?^KZ6#QDnP2@zD1F|c8~Wf3;dYi!XTeAjqX2?}s9yeiIE z{r+iaQn5jOEB!$6V=v2NGa<*OPBI$^uh*mD2g2$U2dz`NbaS&So$zRLM`;JSqZmW# zku8ec^gEyNe9j203J*eA^2ljW)I&n3g*t+dL|SCNNMf#42LNd)_OkOXTUP z184-dAJN0pYgx9ePM(r4xICX|potfWlcU?bAletXo5X|t<5^IGKe-@?7JlP8`)_u? zv3YT}OV4CtLn2+9HcJzwzvl71i*9xnd>#_!{PDaij-k+4}+Xy5CRW`8Rx5{-% z4eGVu+S%T&Y5CQCb4bE_rhyF~vQH~dsPO{_HDi)n1cxbzko1(AgPh9VQimbqWF{^ZSE z*5XrOWfny9?m*%}+9H~w7pZ~g<+fp=g}9C^>k-~AH0y%aG@0is)^Lf%dzEV@FetaA zY4Ve1-SXhl;ewL+X@~za^e{o6wpYgMAXS-nyU_CMiRIN@Ayt{5e#)gsz-QwLm%gZE z`Go7e@&&i4vw2@f(F(mu3^t8p=x~!GuVZ%Dy&C-rWX;gMoK|wNTsIvHe29j!c$C&s zMDMRPi#Jg*|D-iel6|s-oE><768E#q)pNKI$#dG%QSK>ul;~m>74jS)g?2K6T68N( z2@-Gi3_9|6`Qjk*T-x8NOvP{94s$0czn?#9=>%q1xhphe5oDDuxG6gX_=0&WU#}PF zOUD_H7o>bF#}!XA1dD(mjM8}_xc>{l>Tg|mom$0KR|$iRTS2R4LXv(L-70QCu6SQJ0G$)7Bcr>Mr1~gHf3_7-aX%6)yJc+DHyPog zd`9E=lH3U5YSo&=vUM2OE@N&1?TJroR811ro4A z+=El8HB#wcT)7E)#0(kQ-3@qAbkn#^ceAWmp@bH#A3dCzLwSvqQ=*&OJ2a?ID#q^A z+B8`*qAyfOIfuRYg;qAK>w*cj)SQ?@Q|nWEjg8>*LM_IPxDx5W9G>_4%~6}8?pk27 zy%yU?AfWW_&dJEWXS*_@+N1myv3E#M1o?$7l$UuQvCe+^4~8fIR&htAgk5E9MS}>a za1T4iPPdD!mqoC$Hg*p6ZPN0_LQrxeNv`rJQSHHiZn9IP%SEF&UlVd}s%_}*uzjI_ z`@*g0El3G%J(ehYuW+Ye21xkxs9P~;|1!UofM?`1$yp^#Ph3VLRh``Zcgb0KPAkoE zcb`Y+I`puxg}zkKB^YUw zd!^#G%a{Lzkt{sp8Abwom1bnSQ@TDj@!01HIH%mSVkhhtj0q0As$C4cMs~0cx0)$f zcqrH{B`g?bo6eFnDt!LWC>VaNlxr8T2p?+}Ja~-$Qy|T8kJ}TG&T{ zNOLn#49GjVGGF1kbYn?(LytAuYucmcKiEPpT`|LY-$klt%zIOu0XrDzt_n#e_MgBc zIt|Omew<~x4CYh})NS;CGEwaDe7M1H3*r<%Bq_WBL~g#}%+WyLpd#px{_?5clpQIa zc)J!)fnSA@9UoI0Iao|ZoS2BYpYd!qW2N26=-%_beR;m?_2oJnqIElQbNqPQ2J=vU zwaJ1hb+B^oV|i6=J>{;qEf3xD-ax_Y(Q4g){y7u5?az~i8TU{7%{rC5h7aeDV{4nm)gDJTjMFq%e_1yDt01|v@Z`-p^}OC%O@5#llOdX`X6xgRrd6|BEbibcz61V+ z-6^kTD+3xpD=+@GrKz1gIgru2{#B4tsj~T`r`N&k;hwyP|HHZ|^{JhdZ}>+W zuQ8kahx+HmVy)3RtNX^T$sm6cd77(IbS_~xagk_khss-O)w`G!=Or&s9OHX#@#*tQoQ45|KC;0K7%Zc?*RP8j>9LN+-cUG%^Bxhf7KnPL0oaD zn^#XPh&H9kd+Ae#>*tl!<$KF&f$x@xH2#7Fr4WQ_Jji@Bq}F? zaS{s0&vcWbB!7RtOGxu2B=EGYjq=v2KWx7Tkm62q1j{UdDmU7m9)J*%f z#0L^V{~Yw-&#avPTmczQ#XOG6nQlZr-iC{uQMM;jU2JY{styWdwj1G?XMfJn9jWz$ zu&mU_N@^FC%->|yFrh>VgMO`vZRyXdxs5liQapUPniJwpYmDJ4d>Kg6Gn1n_%>TT<8~RY5~%5pwP*rYJq&FYUXlYC*#&q=BPcy2;P) zT#JMJN9VZabr{#T9;wKVc9v4E5L-5fK#K;6K5sW z$iVRp^F7d-IJ({VTaa#r)f(e~uOr75v`^*l{I>S8%+wzWj^oS{msG28-E{-=PhO+r zhMoMCJ{iLjFx3x?fij|Kz|cS=uSePL$<58HLbvRejp^5?`R{!u4V{;21+|1V{&X8_e-Jcp4RFv8ZHIoF zen;F~h>B+6FT5S?;S!i6y9(>L#5i@7J7p=)caM08pi{Oy`uT@sLENve;y~;7pVV|A zHsbUB$D&*H{5_9?#dIO{C<}tmhKAY3oX`BL6$IJ=Y8k(N7R@2f!pJP~p9e<_7w6o9 zJ}%N1?UfeI`B=Vd;`SL#_suH`&+KVaD4n&l!@ZIw!SiJIIEmZX})as!CXL+(tYFqmbnDFz>MjbduVI!Mj?u42OG z@={NIeTr(Zz&y;xhUxv!X^vM*w^x&jSs-A!c~z=;g&O(bQjSnmjhGLyxdcQye2yOL zP&BGW?iF4htj64aDwfPVB=Ce7u%`U;{M%NboC2UDKNZfhpZPe-0X*?-X??bsF^%$p z1IB+K%MIQOSFVBZ^`ouWL(#Ef`n#dQYe{%{(Na(>*$57;+kkJVhwOvO`3G@usWt!e zqqF0IrA_{&rN_C-{hHEL^FPIKk){=V_|js zPp_HeHs5b&rqUF=nP>-DTL)(4ra1222VfMB@tT93iows&4#B;E)_C&(yx=byMvbnW zf_u-!mM3>b)FV)xGW9OFDl{ZA&)45=Z#V{W2{=-ir%8*F{X2o4{}x)v>KfkRU(z|R zY}g0v;?%+d3P1V<@a|7##kM3IHxmO4h6a|ZJ;`qUq9~5;iQ#`Xg#SFl%MjYw1u5#h z_4E1*H8SdQ+c5t)FBk}z@DrSD6W;akPp^cOucksN>H@*%dyz^8|KX@Q$@Bp`zGk|L z6qUek1fT0UFu^=mx{G`+|pdIzMz%F%5y;9=7nTP(L&89LC(tlU-;=LMZ<@l(+2WQl;a2P~hgHtAiA47QC& z+7XiqdG(l%p6T4G*uj1kN44#TVUcoO=83?Ls4?-k8EN< z0UUO~|J8E;Gd?=@FIg9@U8ICJo>xY=9t3M+wg!~{3S=*jBO)|wAp;)wi*NjI6}@D- zVZ=0dY`?fC?sAIUdkw`)uiK^-qSvCxA=;l}X*2k@edR9`plN1DQ$NvazSXq_O)+q6m4A;F``2pt_&JetPEO*0$Ln$^CZq> zEN%y3 zP2-NFF*vmy0lg#Q56!gLbq^Yn&pbUcYA@XxBNp`fb43afndsHr47KqUhK-v$?KI+0 zug_0@Vs3;;xza3dI)>qvlqc~(%C5Phv=ZZzd%Jx6BcdZBlkae%s$h9aGS=;TXc!#H zrFfTs9V9{vWBr0c_1jBH`e$pcJb0Qg&H@^0@f{UHb>0?^uZ| z-9N!Q4ZdrRC$9FaD&xQKfA08Dq~5<-7t4{E7j1_Ulfc=>QVpnXqpJ!imK(3~ED)we z=g^h!oAM1=*Kyv~zZkL_pChQrQ&$2Pm{F5O{{?xYCWPMP%zY8U#yTAN?V12jxCUQ3 zRRlhf|A_FOm-78297kR)MR7RCbjq-zTAx31%HTaqp7MP^i$CoXg_#$>vEj3dM#LX2 z8O2CYeL*=M*F zRFEkn!?~kR+pt|K_+&J@v46LB!~Go$UI8}68GU&)fpE#h)tvg7S!!#mu(4mMqj>{o zl*9v4+)y=rt&N4gPYhZ@%7DY7!fRoVEKYl?69$EFO;gAo(KG&4h^1$$Nad_=8# zB&!DQRGMCqPsolqurNsP$8@LfhF9~PoeHn|UY5=^?bP56-M8ctM|D+eP*#tcejW-n zm!|bUYrroju`~>^`9X$;!_HD@Dj=>=L5bU(aqV5>0|-qJl5S0WJ2jRserIH=(*Eh5 z#Bj%3Z~et++9eeG#O86%8En2E?YISayV$#*Z_zD+@2RJhax%XN=4w0G>PS;N981f+u$DN2`)v>;89 zDjlSRfOMn>X+l7X8UzAHz#v76^lE4U^Fp!HKToE8$joRk=U1zk^%j2%&ofBRW zf0LAq!DmOj5iiuiXAYT3{-x!ZWm#r(br2)V&=!TKvP9AX+Ea;(0N@k%Mn55o+n1hO z30bsLF$m8K13c{NEQ?u-*$HarfMmN-U~9i{0V9Z#^{^bFtXF-7C(3iPv(Z-A(=2;U@u$%cJrk7}u? zjIpBmTes?&6KFH7FRX$c({oJ~Io1*>jVY^QP1R=%lHb^~2y^pZ-6MYhLyNvCDE&85 zR-ddcul!ok9GGcQbji`{m|KeOLy}QjZV*R4+(`JlGgfj8@WB8{3(?h4s3QG7FK)&)0kPkh69x3Aj(pPe%*xKoV_K$~hvl+L;tS zTA5!_@kt?JP0>=A|txrdvl1r6GpB%bB{6uI#peI zJ%agq@RPEq4HIT`LhAz@qCjt1)8*GU4XP&u4c3MIJY{rmYj?4448xkW7Hiz~2vcL!(aBHkm;2&`6{7fRTU+zHBF<4PZZ_^Jw{f|`>VuFWRf%;C zyBVtwoF9hvCFUgv05?k|ckzC?VW&#k##c7aWVVZsDdaN=SVJxrYg6r*%)lQs5AOjn zjKF6FHy;9{Oj!e1PAFI)R;%{r0#`WCt^%*sa~9gS*dI`g2b+FP|+%a(K2?PN3Zr+?Yx?!KqN< ze6v3%B9C+B1L^vafE-ZRFMNKPwpZH6?>=JE>DW(~YHE~vNuVJ^$`;?xjNKI(ngZ@( z!D{|jIM&-~zpY3|=ET3G83-T;1>W#v*kX)5{kkzZgSTimG_u3WEkuz1JjA!?Y6M%^ zT?^-%3$5g>CpQ8!5HI7+=mQx}jr&Od0c+b)&**+`XcRm1T6LXe7#BaZ8ZN zLbP9Y5Zqj63Q!NDYGXgo%aGB`b4Qyo1@io*4X_bmn`Q*N4~rtxQp{2c@=D=wHEbEz z(3#Qx+vK(qd#1_1bg0HG+YG(-#jkcqkAGIG!@>W9ffvVxdNL9ntX05_m zK7+J!4llm+avhV>+X!v%BQx@4mVZGHaGa2Gp05(#$XlDF{@rDJ7}a?IxvP2io9o@@ zfr6{2$xc@(waz(CEya9do|Nz#-rR3uzquOf* z6P9-uSOOZZdt}io%pEn5DqrYFPee||Y!halP!b}@XGEg@;32PINHssKUHy?%TwK;Z z&2gb{8wgRPgX~mT)u0TpAh%5e?`;HB7Ef-#VGST7 zFf9}BkjY2Oea7(h0Xe)-`nSv`fcL!!7Z|e|O9QE#!I#?OH-o?WGo4rqz%T-CNy-`szELCl z8aU~9aHrrqoDk3Le?UkmP&{iSpWGrNsMx6bf}a_S>pE2#_@eq2qx%H|J8Dh}E_c;2 zc9~$qAZ+sf<6ZinVn%#(h5B;stMLoeZ6JCuwuCL;dAG z`4hR1z8T!F1j?dsoom|PqWeZIWDFeeks%Y}r6}SzIzwchsnFkx4`CT`B|exQqoX4@K51u4a-FQ)0*p99Sa4*QUfXS#V(qnUvCvF~Qa%0bdSI2dS`PIZ5<+eZ)51K!#JGssaFM)F1F$Ws?WD zlV$BIe8s-BG%zeAw>Ndavt!*bSP&8_n|5o>aG6;(r`$kHpWTf8JtoqKj`5Z>ZEM2tHjuO=Sla| zge`}M>S!>R-ZS36rX1&4wO~Eik;KxKa_K0g&S4(0 z@957LQqFcO8{Y$Y+RozdE05Y2K4Z;0cB2g57J_tDzC1VIzR~M12=Jd1cDz_Yb_!y{ zxCpzX9XQAZf0XJv@+cQ5K%e)dD0bKf5FVp6dB$-}`@QrD8sQ)Z%S1S&1h?i{ON6%OwaON; z#%palTZL9fPqD9kp={LHTs?pF^N?M~niNZRc@%k#1I{C~Om{c2Ky$=cj6_*xCHCer z-9KQPAs`jheo(O9qkmh5ooF(zi~hG6DMjOnw8Aia8b{&(Q2Dckd-C2R=8$fS3$KKF z>birSE$-3?i#wzXx2Q4zP^cfxslz-Z3qv9_OPClB8C_$hifi>Bync7b>k%G<%5oDq z>ZnbUSmL>SC6r<^mF5j<>9i_|s6-q7x?Ob}*IA28CSFEMC56={(vF&#l5(TI94^#l zu(WOX5Hi#RdqX~wuLl^(Ux@N+vJT}%nDGmhaqi*KD789akIrL1f90v z;MHw0)$~ef8X-?6cQ^<>?v9%K_#>})auQ~?COR=4qDURy8?yL*>?0`*S#NMRDwvo1 zGKGPNa0QTaubLnc6^PbQL^!0I*WR*wz9Th!EIu5lb{0&@sq}0PsE$W&5AC-Z&PMBZ%t_jNQ-`P)D$-xa?tI{4*_ZfQgP=GRzx{)(|DpZ%I{Kma`TQfa@=Bw`=lZEwnQRi+OI8SIP16S)!K>zMC4n(?rHpO9rxr;zGICHFw=jtS zc1cbua<9v7z5pzh?d@k*S}x7-$AyMkQw-$y0j*M=_30G6w={5Ta8MV6JT2KzbN?#Ly z9_ASkm>tv|=Tb*>T=b40u*f-enzO5p9yuJP(wJua>hpkWGIR&!<1`25IYk~hsM*wKZQiHj7yu5W9_p69EBUhKN8i^gFbhhJ&pUOp#+c0tM?Rd z76M6T-QN_MpMd;83dKbe&@W@5hsv71U7rsgkGXsa1ZRgN7M;SXqXNHemeFoW<6X@3 zXvf))3STszaaI-TxRgqdvxm#sko#~Mm1(VnW}L!?Q&E34uF-IuQ&1`!Qd6thN|j0x zWeK8klfdCr4jb?E%Qe|}SHnQu!6Uv35r80djwAUfV8jl~vz&pQ!s9NJu@0Vm91^Z| zD!N(_P?kHW|&}gQ`xaE4$QaAHaVS=J^Sx%#_HSRfwj>`<#CD-6n zuHcU@uDBIn`YuQc$<3=qWw-k|Xb5Kb=F$Xr0lI(MtDfE#*)raOu!L)I;0sDulsGc6a8@xfeu-v^uil6rf_O z*2YlD z)_4@k&F$}ojatWNJUZxb5=NONy`y9&`5DPYZGA5AKjsB)1V-xJn+-z-&v%h0#K{j( zfnH&sXqxYD$1D@hGC9W7fZZrz9kKm*+KEgOz9bU}|echkM zncDFRIwLO3X`IuJs}T@%hYhLzG-mC@iKOSj*R#qV<#aY(o)OTsDhh3f4!pdq)8h>a zZN`?_S>=VgxqYv&{Z0)XBI2NWL#m4ygoX7)1<|goEp#GFEI!hAI;77Qo zNZxSBpW4f)@!~y|HqB(jbGEOM%nQ$DicSBD14dfc4q{p}-aQQ(KUC*OGP@S~Y6PXX z#+GYVLCC{A1|RTObuF0G`;04m!_MYeEg74H4Q%|OwsEi4?g~B>D5h;8wzte@>sr;ykqxC(Cq1Z9;Kb(EM4sR^|3-k+{Y`7TP~EQ8uFqa^D?^4 zY3oOXOX#vSWctxr&6ue29uUsF`z=`=ePM`JRmP;DhlH1>wpHZtP&u8=Ry#Gt2F_;W zCs1-UcQ2$X_ac5v5{mhl9a!_ax6`#Ct)gY)5liwB3&NmS#8Y71@w+xk_*09=O7Y#n zeV)tw=N;~wf3Y+@{yMlq_n<6zpFYJYR^Tdcm9a&?U5R$I-^R96TlNLzJ3&a+;;qlb z)871Du}AP}^e`#F1wkpikH-Pj;*7{=r5#@_M zf404DkE9=+ZZBGL%)9A!C-Xi77;PxHYKl>QfozqoK`BitE|`;JuxE+rm-~5jP>niV;}p=7l54U}<7j;ZA#rwh~dARp%@lDeTB z{rd53y#4I?JKq3rXm+!q5dM%W{qgB*XBQeaIEo3aekv%KQ zXDUaw$p&ZB?`Hf^o7?6BM-OhWQSXOTD64Q1_3(SqYnML_v8&F+unRi7`TO#5h8P#;Wy(tF@ad-9fxC9TMqHsx>nX0!P40)505x%YW1a%W>dn>J;XNHp60 zqVO$ju{H&NC$tjQKT&ZG+w-qy!ltm)-OC| diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 6352083..5e214cc 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,39 +1,39 @@ -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618905610825 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618905610856 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618905611950 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618905611950 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618905612184 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618905612231 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905612559 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905612559 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905612559 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905612559 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905612559 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618905612559 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618905612731 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618905612731 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618905612747 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618905612747 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905612747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905612747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905612747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905612747 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618905612747 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618905612762 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618905612762 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618905612762 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905612778 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618905612778 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618905612778 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905612778 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 376 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618905612794 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905612794 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618905612794 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618905612794 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618905612841 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618905612934 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618905612950 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618905612950 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618905612950 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905612997 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618905613309 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905613637 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618905613653 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618905615075 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905615075 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618905615122 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618905615637 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618905615637 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905616215 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.45 " "Total time spent on timing analysis during the Fitter is 0.45 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618905616231 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905616231 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618905616262 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618905616575 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905616794 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:00:16 2021 " "Processing ended: Tue Apr 20 04:00:16 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905616794 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905616794 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905616794 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618905616794 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618906787984 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618906788015 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618906788219 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618906788219 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618906788531 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618906788562 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906788906 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618906788906 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618906789062 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618906789062 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618906789078 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618906789078 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906789078 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618906789078 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618906789094 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618906789094 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618906789094 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789125 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618906789140 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618906789140 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 379 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618906789140 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618906789140 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618906789140 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618906789203 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618906789265 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618906789265 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618906789281 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618906789281 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906789328 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618906789531 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:01 " "Fitter placement preparation operations ending: elapsed time is 00:00:01" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906790203 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618906790234 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618906791859 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906791859 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618906791922 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "36 " "Router estimated average interconnect usage is 36% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "36 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618906792469 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618906792469 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906793250 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.56 " "Total time spent on timing analysis during the Fitter is 0.56 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618906793281 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906793281 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618906793344 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618906793750 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:19:53 2021 " "Processing ended: Tue Apr 20 04:19:53 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906794016 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618906794016 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 8bc9544..053429c 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -22,10 +22,6 @@ C25M => nCAS~reg0.CLK C25M => nRAS~reg0.CLK C25M => nRCS~reg0.CLK C25M => RCKE~reg0.CLK -C25M => PS[0].CLK -C25M => PS[1].CLK -C25M => PS[2].CLK -C25M => PS[3].CLK C25M => RDD[0].CLK C25M => RDD[1].CLK C25M => RDD[2].CLK @@ -92,6 +88,13 @@ C25M => LS[10].CLK C25M => LS[11].CLK C25M => LS[12].CLK C25M => LS[13].CLK +C25M => PS[0].CLK +C25M => PS[1].CLK +C25M => PS[2].CLK +C25M => PS[3].CLK +C25M => SetFWr[0].CLK +C25M => SetFWr[1].CLK +C25M => SetFWLoaded.CLK C25M => nRESr.CLK C25M => nRESf[0].CLK C25M => nRESf[1].CLK @@ -108,19 +111,8 @@ PHI0 => PHI0r1.DATAIN nRES => nRESf[0].DATAIN nRES => IOROMRES.IN1 nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => Mux1.IN10 -SetFW[0] => Equal17.IN1 -SetFW[1] => comb.IN1 -SetFW[1] => RDD.OUTPUTSELECT -SetFW[1] => RDD.OUTPUTSELECT -SetFW[1] => RDD.OUTPUTSELECT -SetFW[1] => RDD.OUTPUTSELECT -SetFW[1] => SA.OUTPUTSELECT -SetFW[1] => SA.OUTPUTSELECT -SetFW[1] => SA.OUTPUTSELECT -SetFW[1] => SBA.OUTPUTSELECT -SetFW[1] => MOSIout.DATAB -SetFW[1] => Equal17.IN0 +SetFW[0] => SetFWr[0].DATAIN +SetFW[1] => SetFWr[1].DATAIN INTin => INTout.DATAIN INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE DMAin => DMAout.DATAIN @@ -132,60 +124,60 @@ nINHout <= RWout <= nDMAout <= RA[0] => DQML.DATAA -RA[0] => Equal8.IN3 -RA[0] => Equal9.IN3 -RA[0] => Equal10.IN2 +RA[0] => Equal10.IN3 RA[0] => Equal11.IN3 -RA[0] => Equal12.IN3 -RA[0] => Equal13.IN10 +RA[0] => Equal12.IN2 +RA[0] => Equal13.IN3 +RA[0] => Equal14.IN3 +RA[0] => Equal15.IN10 RA[0] => DQMH.DATAA RA[1] => SA.DATAA -RA[1] => Equal8.IN2 -RA[1] => Equal9.IN2 -RA[1] => Equal10.IN3 +RA[1] => Equal10.IN2 RA[1] => Equal11.IN2 -RA[1] => Equal12.IN2 -RA[1] => Equal13.IN9 +RA[1] => Equal12.IN3 +RA[1] => Equal13.IN2 +RA[1] => Equal14.IN2 +RA[1] => Equal15.IN9 RA[2] => SA.DATAA -RA[2] => Equal8.IN1 -RA[2] => Equal9.IN1 RA[2] => Equal10.IN1 RA[2] => Equal11.IN1 RA[2] => Equal12.IN1 -RA[2] => Equal13.IN8 +RA[2] => Equal13.IN1 +RA[2] => Equal14.IN1 +RA[2] => Equal15.IN8 RA[3] => SA.DATAA -RA[3] => Equal8.IN0 -RA[3] => Equal9.IN0 RA[3] => Equal10.IN0 RA[3] => Equal11.IN0 RA[3] => Equal12.IN0 -RA[3] => Equal13.IN7 +RA[3] => Equal13.IN0 +RA[3] => Equal14.IN0 +RA[3] => Equal15.IN7 RA[4] => SA.DATAA -RA[4] => Equal13.IN6 +RA[4] => Equal15.IN6 RA[5] => SA.DATAA -RA[5] => Equal13.IN5 +RA[5] => Equal15.IN5 RA[6] => SA.DATAA -RA[6] => Equal13.IN4 +RA[6] => Equal15.IN4 RA[7] => comb.IN1 RA[7] => SA.DATAA -RA[7] => Equal13.IN3 +RA[7] => Equal15.IN3 RA[8] => SA.DATAA -RA[8] => Equal7.IN3 -RA[8] => Equal13.IN2 +RA[8] => Equal9.IN3 +RA[8] => Equal15.IN2 RA[9] => SA.DATAA -RA[9] => Equal7.IN2 -RA[9] => Equal13.IN1 +RA[9] => Equal9.IN2 +RA[9] => Equal15.IN1 RA[10] => SA.DATAA -RA[10] => Equal7.IN1 -RA[10] => Equal13.IN0 +RA[10] => Equal9.IN1 +RA[10] => Equal15.IN0 RA[11] => comb.IN1 RA[11] => SA.DATAA RA[11] => comb.IN1 -RA[11] => Equal7.IN0 -RA[12] => Equal6.IN1 -RA[13] => Equal6.IN0 -RA[14] => Equal6.IN3 -RA[15] => Equal6.IN2 +RA[11] => Equal9.IN0 +RA[12] => Equal8.IN1 +RA[13] => Equal8.IN0 +RA[14] => Equal8.IN3 +RA[15] => Equal8.IN2 nWE => comb.IN1 nWE => comb.IN1 nWE => nWEr.DATAIN @@ -200,7 +192,7 @@ RD[7] <> RD[7] RAdir <= RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE nIOSEL => comb.IN0 -nIOSEL => always5.IN1 +nIOSEL => always7.IN1 nDEVSEL => comb.IN1 nDEVSEL => RAMSEL.IN1 nDEVSEL => comb.IN1 diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 811c60328bbaddb15c62a8f177edce854ee0db43..40796d9ac57b9c151a93585f003a08a145a1cb32 100755 GIT binary patch delta 421 zcmV;W0b2gH1GEE>8Giw4pdk9lGD4UL?tsuo%b4558iCG0q^jM&&p_5zN(9Jo&b^#- z&;2!WHHSfnr%Q1;*;qRktYHT|;Cf==O-`d1-a1QDu1>grReq#&g zKk*|}IIQKMJCS>be9yBHU9Uf$biVc5x@6OlzzG@~k@Hn%`G1z_igL4@1Q`a-2W<`~ zvQk{VXtCkYW5bx3u&uA+OGml+k(_A>pIa`88w~9rhZhuOeim%?cRzHB?*Y}-+k%;2 z$v0E9Pgjr|+;n>>Sob^)j$cu*D=5g&pAYtnu zrMrS9@is2z$QM^(PIuWVWcen@;xziiT>4#bdV$ALO0$raVHPJedRJW0G%vEtWR z{-<2D;|2Au_G6%(>eYbp%sAU4c1e< P-SN4B0nnF!&Rx=a_sq|i delta 423 zcmV;Y0a*UD1GWQ@8Gj+vm?mV8EF%dM!5t9xXc==!tP$u8M5@~T`wV1lr9^-X=iJLV z_uQYo&|Tl+Aixft<*Gv&=vFl~wjGODHvZPDs=(Btm@MvXdQXY%-~{wpNx+Xn{>C;= ze&An_W4}26+HG=Y!d}(Fb)3KluFS8Z=r5YN@p09nqEub zrK$iO^iqqHb$>>A;gmu;rF6f#?wq*rAc!C?6||JZu_;=bPE~iHYf-%mo56T6=y@Su zdlB2GJPdY0Iz~RZ@)Nd?S3Xa+UK~W556rdS31?S$u!&gg^URNfkZs-+S1d}>c)v=M z@vr~s5%wZug|WwrpE)7qtsr92%_2G{V`N7KW>xYyEFkLzy^h#PNZptm|MXBxK;s6B RA>QWnR6z&m(?2C)(z9h!%YFa= diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 8f5f177c054f983f2a8df14ad55b9e7685a87f54..e81467b5b4d4734bf4947c48ae91f49d1b5b216d 100755 GIT binary patch literal 21748 zcmeFVbyr*6^F9oPBE7H!ro;R}UY1tFP1=7FLeb+|*i1)Ouensb#J0yTOV~t54}e zN7sVM^NH5M)A4-S@p0EJJKO7%ot@n-zPpsDY$`+bsxlM`K%YG^#vPvy9KHGFBINI-+lL| zf}Gsd11+H$zd4u~bW`-dSPq^(%0|i7A9M_~;hiW|p4_zKMYrYrr;&{!sD~0U z5KiX7{?oA5Rj)yn*p59k|I;w&PIQ^2=zj{BQvOdf(B{(3T30%*_@5?4pZhWRi{-yl zAd2+U}*nU}UdM5pIOGHGtPcUAt*p%yi z!Qc@6mjL@G&eP`gcdhDtQ9>0w`LxSb`+;6Ae&%F`pAi@zDtVg&>jEht&pLZfA3XLg zA@%MmhWafP;y4wzS+mhzy7qbXsZID^JzWesa$(h!B6GV!jr@3&+Z;u@6uP<%4-OO; zyaIX-d|launQHBX;bgjc`8dG7>(Q0_^F3wFlSw%a;eI%a7EvMSl$fKj`Yf;h6DH7Z zO#E~}R{q+?E4VlWKn2fKIQK*_=Pz$p9`Yes2%;ToKET@me6LBZ&{MBE^uQR1_>^sE zar%(=vCiMgxp~gXsrb56tiFuENC4AWi9g#=Ku47gra$=KKREhHhGi?Wr%s)9^GZ%_ zb<00<1qh9l+oyC0`JP534c2flZ2=(TH{m#q$|=)~{La-`rUEd*C(VUpgUr|}h)iw(Rh_}A#^peltm(C z>a@Bp+qrVR)U}YXuvDEb^mEb2=y6}QB7VEreo6D>I4&}5yYKwUhD#Z&D$lNX1#Q*$9+#V)YYMhOcBz;!pm*Xt(iyR(!R3(X9|!oA+O%(RZg;Wa3s$ z^_%I77)-;h7kW;WAQkYeT(&AsM9$LxhmMLvE7WcOK*;{qaq8=K>N|7XEK==N&U505ktfAs3$^X zErD0}R|xSGzJ-nSz+WM|+N4DKNNPa50?7vTumlY)1k3!Nha<1*Ba+M^#c-J8E{adt zEt+DPQHH1Z7g@4lz!=flFlgOawQqWso){6U?S6v6a+$35MeFZG5l(_zuA2b*_fme^ z4imd{twpth%F8_vS)53<5E5=XG>!31N}@$;`bx9JDVA5X*kz{$#gUMzYlhLkQXF(o z)>-oBo$&!@P{ckkR+U)=;@4Dee9QSfM;Ej_+d?dQ4C4^QgID3un zTQxeR1!(35S%hD`Hn1^Z;FIORWR3GYgPieHLXkC!z6-CC`Zq`j_Zzn66E-u}s7Ml* zA~q7-0W_=JKyS4_9d*GHaxqI$&yBNmqUigGkdF7bQJjud^7@Y1w#)8jdB>!Mr4#ys zy`oa-97AYPN@{fr8dfb_$V+!`1quw)gbH}@DwVGids;u>7M?KDOG$%vq?D;}gS1Xh zBsNR?&s(3EA2djrmrolb)Rkgm`1yT0R-?F($~xlFL?d9~A;98Qy1Q9wbXx3c`;Ri# z_!c0pjq2_EaLjctM64=1W#y(i zAdr?6Su3So!MlYkSpvcJRHwq_zFjG%w~T5ZT4#=nd}L?9h2Mj}$s^=8$s5Cn=|mC{pHK1YUo`TKA+@3{d}d~*xQyj zv>gJGzl!7=+WXBr|~KF+H)FPCmxe4)@9KVuhs^|5uX zAV8k{9hD*RA)%xguJ)Nc!iYT+tMVk78mO-I4QOxA>rI>W7nL`SjtQ*wGW#cq6?md3n{LTXv#t2mZ1K^viUoO zXF!zVP&j{_RmaiJ%tV5l5|xD#Z8|Ze?BHr#5B>yj?DNY;f1j6LCezKYq+PQRbyV1goNBx-il8f_pUJ zM9oi47jnOq%7UJq!j+cD!rPGEk&@FrsS?QjQLqCiAnDdMsd+_o**Dz2IeC{x*E99_ zSMJi!?P|`xzOc?NI_8U|fz{(913=6^4k5-){$-t~I(-AS6)z-5MFW8a23q)lxI9EY z3EK`RuaObTM}@UMWDzNko|JqbuY3Nh{t0snYdr7WTX($5MlvthipxDQN)Z*y9O(Qh z&{a@cYRMh+twJl2u!zOOP@rTYAY_uHC0J}O11WvAt~YmVRrT$NyX&1@m%w`J;=2xu z2JDl}LVIZgkwLfc)&sF@v5xI6y%XutDFYBA&BAfG>j5*KoZzW1c|$vLPikO`wVNY= zLa(Vp3TdlwqiIJDvpeo>48JqIc03^lJm~Au-gyNC6;C zW;-UacQHu`I-e&y`RE?oUSQ~2SbU1tJO;&sYW15m%9zkDVy(JUhxaaN))ibxi}Nw$ z1*{)(@w;CtyGkBOI<)|&QiQ_6{*6H!xjrkhs5E;ZZ4z;T>1eEc*{TFhI@w4pBgIE7 zW2YWs6O)y_vgMf}5_p1imY8LKtPiP)O@hY{o!rk^sEO*NL~`(HQ1w0HCD9MFa>o|7 z#ZAxgmOPF(!8!++gve|33feq-gJG_}bQO@?w?VTY6hdA@y(QRNkFizeaNY@JarW;@ z$`T4;w-|QvX z<9>g_0cK1yUSp-(Sc^0}K64(4mXA#9Aa3m8bFwFEP({TdT466D1Hm{_~7@{2N0!a9#}@TC&OJK2&TVqJbb zIGCnQjf}4hz%QlR9}Wv(=5X5C=_^upV?wS<4}R0g_F7=j7QgIvSdikElJVq*i-^*? z31;j8`aK9Kq)3Sw@=C^_TOFU-8$D>X2 zXSnj-vX5+Z=uOVHSYK{8il6j^J}*t$0ieI?vUB_EWwlOY41bti)8h_?}$7)1Ct4W_x$+)XrKRADhGN#9o=j4MK*E|e>ij+lpDo7P!T$3P?7nO9dK~)s|dTcoGPJf z)AF+=b7`*8Qjx_VK+&B89|dLm&}>y58E{?o?GL1;80b$3g{z$kD|0Ocxl*WzLO=JN z(WcLL1y?a`ALjEjO80p}%{<>T^ok-h0KV_(?sLb^@AGm>=A}#6`Ze$7RV@}G+K(!N z-|)B`K8JEls+DnYTarhgy-szb72>?N^TUToFK^~u|7z4<{wXe0iuu!2p%A}Pu+$u# z9M*>nT8@GB4PW$M+?aa+lO59n`_|tCaxA*ibPV?dNk2;>Yw_RXTp^7iRVCdc{_#RMtPA4UIe^vaJHGEu)92LbhDTsT5D zvfF>orf|XfS#4VefIqv?Q_NTh-G7-fVO)Z`Wr(qPwd)(hZ z*T&&+MTK8R&VJrj2!#X1Ckx~M;!=n5dA?&j zQp_)9yY5+++>go3AzzJgu%EDk^xCSqV03#}bVL6R;Up$f{#pm{S$J@@G8d*)XySJZ zh>QW5Z3b(NohX9q$LKm*i`h*F1@Gt%jzn0{>A6~e%|d8N>54nGp&x1gUZrd01jeu@ zo3N>>MRD0SPYj-9>p)~ARyyOD2bv?e#k6dv-=)%{WOngbT#~kgb8j&G(RwhvEsrQN z61DC1W)H74 z?RFH1y)pL^`LZ}fV)GihojjV6s0#Y4iI#;k4WE=)C7WC;gXu=%P0}-l6za+abP1`y zETJlzO$p^|O7EjdGrgo`^(h(3Xc%wmzeUjCu&5WWc~*+>{;iU5YCum^)g2sU|6JFw z+49&Pv;5vBkuxO~$Gr|Q{u|obYubqAor9h)+r+!nHQ+eLrT_e+GfuX;vE#NKOdoyS ze28Vt(p)hzDZLD31^aiP1fC(k%iMqH644M(xs>}UZ|fJ*$;bww+W+qiMIEoR4UYGd za@Xy5Y`NFJ?tWS_{jQ2y!p-CdeClg*2UG4EL#2pDzBl@K)r8f@`V;}pKse4qp={k)C6R!f-#Jf4;HEqCrD;fRLmge z!S0hb*{Nq~r>maqfdiIJElG0bJ^19xnJq}+qA1YSuef1z1rVYW^@XQe>A!?JY$j-^ z$HMK}Bbbz1A6R9UV1mn5 zgHOi{*FCIBxVt8fUyBFuE5JjNCVX{Ej<72Hvz_H0*KcAmzooE01N-a;rWzZt%|h;IDrIlb;~Xky}4f14F&aE&IVHfwdlJi77f+ z0+Lyi=q~fG+tU5?X)%wBlO;7qf1GBly&Ld@lh=t&-8%}GkV#OKf*!GYm#!!z6(}Sa z=L-}XW&udO{}P~fHqBi41NZ&>=+tG~0PCDIBVU!*0>z(2{~srK!jY2odkoDukaahN8b}tJ()c2Cu(s!X+O%OZBoQeZv73h>XKc!nz71J^aWt-f^>zg9(TO) z$iuzw(Y5W}Vlq-fUDrFfe{fu6#B6@&eZ%HjefBbOg6BE~#e0nY&iR(`I4wsiT_4x! zTO`31YH^c6{SjV-{$u&Wca94V_nU#9wND)uI&fG|x!*S?k49f~zI7Ejbl3Uvq`Fl_ zuDuw{j>6o)%K$K zi{JJab=NlxIc4$L)-ee7{7ZTT!&n3bb4Am~RG$->OfNDvu)o`u>mf!#UTE88Uc9!k zzI9#Gp~2B(~M*l z(|_*k4gAQ*P^eDVs}$H=`?pJU553T_cBJyR7l9(z=h@CPl=pLLT@!BR9|e%=sK5%4 z6D+GCBhXNB98AHjlO_T=M7>RtCE3c8Wa6BM2I1FaMAqZx!=AswJ4g{^b1+x%KO z3Qm2=d*nd!gMHJX`F3)tknv08quk;K!kbbqSt&Bx<;_cvP`o@h0eF7Me`zJgJL2EU zb|+t6C~V3x=3A)9de=U6bg0fn_7G|rY-Py$_n+-s|8y~5Ce5dhUW z`X`z?v7q`u9||39nn~6xam7TPj$Az+jYGD~CaH({-aS(a&idz5Shb?j_pDffi7I_1 zyh^}7n{UX}D8rFHtOx!`9`DfclMtd>nQKJ!=V-kN#0tb{lmJp>@;c^vmF6`^*g)GWh|03 zAPSv!yH@YBJg=VM9pt{S-;e-5t=n0q?-~`PpeT6uhYrsNM?&-8YZYS!3vC?3ulC7v zOGZ@xMu-vP_yPUneuyaxSCcz=h{Q z5yo5hZNXc~KhSX+IT?{#53N^n<{bPk4J8u6K@1IP;U~UCM2mO>Gi6<%BGT?n(*(^p zN~FHtSl8E|h#q0(uR;6Hx#*RFQ*^TPMVuNMlhcd63GYOF41kv~{MNn1o$d7qTSDZefUcnS6HRAD_48$lH;Nlh|`FN;_W^{l)&3 ze*=;I;nv*6`~F$(2PFBRsKcdB4X$v3FEnkmp-`~lKO#edt3CLJ_Nz0w-x!XSd=vtp zmjAyv&zWSK%}V!2?Lds|<+hw%*3iw_dh(oE_3Il_r??44$(1le$X}u`b{)Au4gsTU z27o@CoM1bXFU>Et+j~vLe|b;TvN((y_o!*=Ry3TI^8M`my}?8I3{{}-)}=V!DmP7J zsnrNp6viJHm%Ib46T?TPQv_V5G1@NC@HhKd?|ZnT{`&lU1#|I9*Tt-ohLdSnxB%issKEIg2h7QW>` zN&O$C>@wLHjkbyWN_(=)j9kCNEugE$rd7KOq{|`xA{IuP#v*r@mHx}IQb^|MA73xR zE9Xf;w@6OAh!NlZx-p z)@v@K5ZZk3zU$bKTw(f!M$A1p#>@MSzr*EvKTCRwYp0+x5{qGjC)De4*Vt=|_fd+J z`bWN@-!q=*oTLa{uZI@k<20QG!447uY0lkDux=tL_p0FT{4&8Q-?Z?fDH-x>!csF( zGh|g9;a<18d`(*xc14mcz0O4mw%WS(WB9~hM6pGe*Taa<_Yu>y(vmL~xL)LTBvlYB z-D2$80k3T}wh*7s+kj$`N*el5y%0xd9?}01yQ#oZ$0{?_RZA^z(*G!Nq3osamC0JJ zDCj8pDCn&FMUKWkVcA;vGO~Ka{&y$vAGUCrQ?%dy* z^ga&BM6&a#+ksn9oc#LC;<4481rHf|(WvF+)C|E$-~)BLi5~pCHm!cCwc5tuNH+S? zQ4I77g&*Gd(HO6sD9$kQA0R)DoZ(Q+zb$dJa)Bk^sIG|=*w=6{`JTY;%Zy4svI{z- z>HQ>+0+*m%0~EWnH zu7w~YG09|Ve||-7s3OuU?Pgr^0HUcEsq}uGDOe`pQRfa9qH~1j*ulisZM}=Ww7Da< zO0j=epvcfB{akrEM2!Cil@DE%lb)~Pzc#qIk%O^FVObh+Zr@$tQ+F;J!x=~_Tdw5o zuH;qt*vp@%ZyITIFB&E=;qBJ12tLe*83Yz^>5R zmo^l!1leF%E%1A_gd&;u_|$!DjZsGT^ku73gr@+waP*h%#{);5AdbLLvuVl1$CLit zr1j&Fq%xqFf!XJ0CF>H61O4)+#N_+G)e}JsFe{Tx=ww=}ncjW?J*=mC;?{aR5TLhD z#Gn-qaU9QqK&R4LfqMH#Nr0E?3A*~PtFGy&ZQdr{W!9i3R+z~O56?4+=;7T(mTRx-MpgYC-e_K`|wmfHj9lOFc&EBfs(|i|&b% z5eeXI>dJySf%P$&3=GGl*(#tUiZt|SwdP=SiEX0$H`z&saK<-Av$tZK#djo$quSP@ zI`CSvX-|63L1Buu%vC>{@9wO}>42ms7@bL`N~(%vvfk?l`!ELBVf94Rs-5fT(-QOe zx-H+R@yhjml+&iBc=yy_wRUxS*ux3YK9uKc=7GY#XWO$Kt(XKy{$|h^kHj-jX8)l0 zfY2oWVDWzocDr^d@GL6udTg#7blD^6cs#8H zjt;fcV?HCcH3!fIx$>6ZKDHvwc^_gm`Bo`rVZo}|OL~Htc!2(|T{IxMb<+5fF{&Hb zQKCz}k+Uzc;cP0~*=n|N!7o%2yIR(O1mV58*mteM`m3Vtd`6ywl=}wy?q#T%ob?1G ze`tBTZ+;j%O_y;^^JFQD@fgwR;qY|(?v7gnri;twr!+0{R0u!75;P~6uGSTKkDdEQ z2rwDfronQztMTZbWWF~Q8>`){nNr%nemJ~gcM>xdYpfTeUMCB$x6ap;-`Po!3^Mi& zJor>k$mtpHmMRS@E^GZgG8!nS$LR6a62?3mjX$D*tV|92Do49KzyovS9FbN3b{&;T z6>UC#KAbY4(4aU^8D)-c-8J$0-N;0Z7+$Y_O@P}WG+JhQ5(2(?rQFlhG=ZB1(J7kZ z1>o!t&f>*69z@PvPFkmU`Sw^fkJX;lZzzHF2LzWxXT4p8O;8KfgDi4;EJ< z3~DB`-~1Qb&`qS=eMD>In5thd#nZhZi7ppoz0l83N<%)sUm*lHRTQ;#x36_}xaW^AhS>^a>8#&%wuw{H zaOLY(8Hl_!EOYbhUO{wsWkccdoFo6CcwbiChw%BA<3Ch#k7enurR#*R8>XBwZ4M+5Z;VKr_evg3vBrksZC zO#u>@sg{S|TpaV38Y2&Ql5}XM>l*BrXge$GBTsJ`1XBh1^M|5pjI>_Ji&mS~vTl!? z_BV|oYSVMWVVd>9?|d#sbED0H$E)zp7DzL66)vh5&<$}-sMLopqzFN>VEsbRc(RC!(2p0a~G{1#-(xPo9L~|&AvHeE{P6hQyzpu znMOYB!qW?&=>ty+*wmdnpW~VY$G+L>b+J~vfAHw2m;Z5HlKL{-F5+|vzoFU&#{B!B zZuVm08)nI$)p76Lal+F`|%WP+h{6FTKuG%tEqq)W5?&bZp5*YdTN1tPja+^^#9EVR=wI4&WO&tDElV`O z?myI`;UD3`M&t->K9pMM&}qH_|8?A~Hxj|jR5_p-_W9byCQb=iNG$_;8s*|%dGYv? zPzlrpk=eGBHFgVu6sTPbA#tDoIF`7ZZ^*eO<~5&8J>gI_qh)H^B?9!?O&xC0>DOu+ zzfhLbKiVw)4v3zPKrTwY&u}Anlag?7psZS!>mkplDS(vPHL3mO%mL`x?4x@lPNeVA zNHtp(WOj`mJzCx6Zf?>}cw3e^S%Q|-TzFkte#r%qPawS^%=A4(OY$N5F1nm%*wvCyYiy= zksnhBY`agZ!2WT-TF#+cix%|saHDntBJ^oNXkZkZrN6S-Atq}hfB zzmtwui-x-X#$0vJ%UXa|OZL}tpc1tNamUnWx)J%28@hHL0^Pvh`%gO9HMXTm6E9$Y ziXXK8VznYZcQ2=u2@lQ5Fg>qp%pFxd>r3qWingXTiDzt$1o_g~yhVz}XNg(t#uEYL z{TK49hPD){vm2x&*HVi4#*r7+{cobCq&c&GQGEQ14Z8LJJn5XwIjSFU!Xe?Pu@B+_fCWH2zoUXah_AyHL5MpC1kM z6bL?ERT8ed-+igc8B5HRbVx98)2psiU>x*#iEwWz18?b zfP)VNz80tEB4ZHfGTJ2TStuodptd zPpTo`yNq@`{*q-XU3{@0oiiNbafWDLTpjvN8uSIoczQMH+E#$qT@4#AgEDo$$@?@m z?v@3D&L%Z4ujoVxi1d|4+l~Ho@bc^(p#uM2)gK5;KRBl{Ln~FD?{vRn16g_ZoD-R$ zrz(JKRKSpOc@U6j_gQd)PZPq*RFiOB`$uoOc$efX1rb16d;s9#-vySJRNtl}UD`#r z+m2YV4aD)H?ACeoRC*{#hybU)iRxZu1dxgk=2zk5Nl?f00c=X8FP#W3ej@@zH_a(U z=s9ETJkzm8=G2=fdDp@mHOD~b801(A`O1-VT@fg)q)S%agN8voTYbro^qdYQ?^Mt5E>kGN z=cXeT?ufBXYr#l{&mfkO=!=r$a=kE^e5j7cD*s{^3#GDNw9use&|RS^c(7;IDy}M( z@cEmgAW^;a;DYTN;4PYO0&~vAWgMbukC@V5KY!^?W>ZtLt2fe07nZOMl+>n>+qB#C ziQ91h;$dg zwxjxG=#yz~1*zCM_TGN#m(JVSi*chgDAI0sHya0>(x`8L$lizka*jtN=LtLpLj^|z zOcMmK;BhG(lRr8>03iqE%y2vW)0W^KQ&{)4wt(M-zUyhbcI&ghz+iL`bamB=w8NNX z<1|X5JIekx#4wAlHn8az#PgjBL&vzgh1f2Q$vZj;*Js41Cpj-zzx!c^< zo^ujW9={qpc4kLP_p(t|E8Mb0JMcbmYvn=fU9Aszu2#|jR9V1wH>;f-K*ovDJM^bkzv+V%tU1s=H zQl~_E)lKgAloxI_knwH$5;8zI&&sj5+?yQYdO3Q`k<_1~3N2q+DtfxgaRcq%9N7|I zrgSt{sDXg~%aWapi%vH?)n?0ui%s#7n!UMY8k1`QXgFzJm17 zOHn6zQb6GF=hWP^51`DPliMu%75XrHGQ1jo+G%+0QrS3l(w9BN9B@HUkt|wFb>ore z!${Sax&8s0M7;Rar265iZEMG0O9>=39+%SDz-iUCkef6th;}njYns#d4=#cdmzddS z5R`Vi@))o%?zh2&0~A+TtL{xp>4f+`X+3nRTwgd{bAL8{ciZI#I#t+y^;hPAnz?lx zBPt0EXtY(vv-mgWiM?!absiZbE$$PILrJs&4Aj=i%x-blmC0R5{0B}2)RcFHTu zKQ5aH@AAj8?PgAzogTN8Sa2a4Go6AGoT@fP3?e>bs&aCMgN;x}RF7<1oCDmJ9a^f8 z&f3EEyP0U#ie=X~-nf*4L~M7O4toL5DxiemaI#tGZ^S&k@=i=79B#m&?ezGmp10=1 zciFh&4AI;Q5BG`ZgzMG3mT0y`U*GwT3rhg*{E+~zBu zHjv#u-UKoZ!YV>?Z-PIUZ&ZqpYfYD2e4lm*@Jz_wk$uq4$D0D8exNWaJL|qG1fx75 z0G|9m+`bu!T)_yBg>hm%ixQY&>*SjGLSO1``u6evx|BmAR`tPK#w?WQ@LjRSXTdGT zJp&z`3H(j*o^itMr!b^zo;;8VY*hLU#}JkG&U9ru=3t1`kDQ2zTQ;rBt%;Hpi8arH zdg<(1BI>HT7`;D#HX~uKpIif7a)N3>KBDysnf3R#= z=0G8z4@A>)cRi~6$vJKb?RKJFUpPLv>7e~uGg+Zx^Un5wJ${fcx1xHXNhgxTJbm11 zYlca1MQqvqaR$1$@MzPf=g9PL{VAeH#tB=S63Nu^PHK0<{O`Bpm9mziYQ|10NklEUncW zfLolXXaXP9Gncj>o8UPP%>v;M#PTyj3of9KH(KssjZv$Z759DW;e#j+syG@zg(t6l1@(zdTyo(49gzl29a*)nIk@}vR zcT%4PnzcJQu^+^&B_-~^RuQ!&T3*gTz01>s?ZNV6&`rEu6DGDx&ES_G-vXr6bLRI5 zcmmrTEL_xXTOY`kQ+KpvN4wt!q&wzMGM#4N_!|gWIXD;>cZ*p`c6&0ox-Q(GY5-#- zbiQ!kz*UxQueN65-MYlS2Ww zg#WF0+KVk;dJ^B`oS=*IZc%*R6G~!8CDa--n}K|C=FclRZS&mO@OFA2U0T;2bkuHGWSc6>u0 zREGaUE4BHd8fhrWIWswUi>dK?dq(|6XOrlR6$!j068c%7t6Md%+}CV$PNM6qN-uq> zJ2R48`4#krJsB5|!x!yOh2~}i?XC?dVMw_Z*fTfm3+=fcwPh{go1P#|6-uA2!f+b( zdjfsS#Yfbk&pUTo12Fs_>%_qFKa{ARPv6|nt(}|Ba(_BfC8&U?s7O(LQ`myRW5M0{ za7*{t$HHth$Kb4=jxiyiI7RSkv^EpOToFQO(k!SU_#gyBuPyVtE^x~eQlUD-EdT|) zHU61yGB6$yw!yxo+3_9cO?jo|)Kx{y1*B9X|A->F#B*V(al=Ry zXPbDlv>Rs_uutbCj~(N>)9~&^q?j;sHooF7Q!5 zL7?iwnQFBEwi(Og>&t7Ax&SjVOS@#vJ|N}a(_2=Vs680qHl;7LWShm{gQ@=$=g|_} zxgSllOp^v5snZ3YErZ9NTm!a!-nFFU;|WB9!?Wkrqmn^QDfw^(!NrI(iYw)NW^&Vy z!w+5%r zyeH#c{>&e6Y3JcBnzMRpToYyXERJc{AOHM~IbazP`SrcoyP-7Q^3rUc^d(_`@`F6K z4Y=OgK4SiH_Y27s&b=5^Lt~g9ku#|9;y%$Kf)mZC)qgs=QUX8!=-_pyP#la0#VX7XUF=#8?Q4W$Etg(mk}qWqtY*>B7T zAI=6pyOeK4a+0Cn?tIJs)OGHH|6rsvNVE!p^>sP@+UR1OAe(m)L3!4EjuiYRLB_G@ z(lCq>df!F1sR{lE>Z_*P2;b#?u>#p&Ff0NGWxYH21v7O6S9C6e!KbPhm$DY6OPVSY zek(dHybT-thnbh%&`_A(+g9fFT(3OudQOzUF?ABuTf!+nwR>im#Aa9W1M_ryEdFIm z{#e(smqiVR&(&ah_Pgd4$-q{HjC_`^jLsc#VbfVg5QrEX)6wS8m3eR49P2e`OLmUU z8XR_2RZmVcUPxU!sJr#PME;P&(O)pN_4PP=|(^^*e=4oT=C0t4_wKCE}}U z3(4A0ojx;4C$)R3rYF;k$sc*icT|6U(p@BGZKkF7Hpd$pwZyvb3;K&s_d1CdMa@XB zqPI(LFZ!MepP)j$>RPwmFCS0Q|1Ldw&E794CPlc<{RFP-J!v*&1dlUhzc$62_>Gs^ zPjk`z1~AqDiPb00y^`ic(S1}-9LRT}uONyK)ZQ%5GZncV{*! z7v{PeP@x2(Vsu^S@MRAR=imh*Ge7<(})-6^aE(ywn={oM)C-g0-O)thBxb90oCryaL&a zRvVyNGuR)0At2LBgkw4PQfoy|j0`&@m|5bvmFpIxNfY=^KD#zEj+^`-iu3qo;SXZ= zWp{nwy+~M_7PyZ{Km^W2SU{nd2>*QUjx5BqQ#ttI=L}Fw(9E_}KzOq!A1AqE7^Qp3AO0V= z1jK^$KD;~744!VMo)F@|xYK^J>P$WW;9$07h`tt$oKSDYZBtHziP0($vD(*bF`Vg+ z2oQKXlm10{v1_x?JtAP%r@UKlAP@pW@@e2y>1-a&IJaAPY~4c5x|IR?zPv4mZieo` z30If{1PD>68ZI>9OBYBcrUrpBgv@(-Zu&@l@|C8!LE9kP zAQiVSZc*+Bpv`az>am#qL8g2yNA!A1@Q8>b0%|ybZ5sR{KB9abFWq-vC6!m6el2rl zDE_U#hB!HIIYe55Ya%vLQ7P7F9<>YQh;EN_o%I{ZF_q6IN?OX_+nrz83%PxKnsWKf z!0p^LBD?y>s+8{cHTvn@j zX;NmnA=p?tj44^Ulnc{XS#A`rDPrbUnp@_skhxW+mYL|7l9l_un;VJcu8g~a3K^~_ z2&gECzV!YB_jAwvoag+W=lk6Amgs$qu9P6%URZ)LX0V44-1Z|V69U6pb@MSCsG%Y{ zwg@p?2g(NA@ujiGJ`0 z+;8dn%k8~^4&QBo=c-sR;}Q? z@P>-;-@g=wD*AI|$U%~P^9$wCxOYVX*#69UqW-zsnQf#q6*j$jCy4_Mw_Vi#x!w-& z^F1|>Ao~o8KIV$>lb@5sX5P-rZK}9y!n}41e-N8=a`VIfDQb+fK_B0t554a4vvqLx z;WBUE*A|BcEVFQ&F0|K85Qm0m_?{nEk}SHMvdTs~KPQ!gzd9ChUu7gOwdGKAh*Q?P zRl-K|7N`)THig*O^MV}nd#OCgdsm;@hbx#~F`%b58^wMysCakAakW zYs|!&p}XCLgS^%+HRWwObr+!v5`*KxA3>8)Jz89CRO@b(en)A!2TcS_WJx2TUfoyF znWav-TNH%dd|(hfy(&7SSGercQwTpxn*ZVdvWl?Li=UNX zTFJ4QdIikzSpU;*fCjh;p;MCfJRg3{rxOgSx-?@WILsT3Vn634WOp>kBTWeXF%)CU zu_XTo3Hw3(Nb8^}lgw_@@0PQxL1LUUpU8JJOGA|}x^?!)R8OQ3b0EA|6puxol=B-G zs5R_@$Re~y{kSZ;GwhCqtQAsiCzWc{cs(LfDGzL?+9%&bb0oce#Wys@uRkiS*}`&5 z^i|61j6^;_UE3GuG~(3} z;zYoc&;~yL`Ik5lK-nF5tWnZ*enE>T$`fT^#&`VOKd(?2#!G#FB8F0#j~2~TG!#YE zO~g#Sbu8+i&xfKHjd0@QI z1%VrQay!|H8Ejbg@@# z1s8-nrDT9YwanDT*wY8e5z28!gk;ZlkGdlqx8j*v)mBEyc4m$I-|A zG(#Jk?}PQqS1a~|0x?%9Z^Ql8I{AH?#K{QdIqZ7Q0 z4(=1>Lx1%@Bfim>thCHQ{K~xgjOtGaLe6&FlFqu`GR@B8gZUVcp`;l;m@NCGq0ZRT zY5eT?yU=o1+gb&lmD?aM<3sP7ykO@QEO~p$^DWJ6;Do#B1HRYJNn5mckz4R@`Nx`qnv6f;*hr%-r53$m{UykG*cu0 zD{22FU!Ja;{WkCQ(v)-6-tC-q@}cI4%fFCk^Mt-yN5UBx2bXZs8~OigK+wVJMl;nO zf!{Rx(u3n*(q+D_af*5X!#-vzrde;`B9W4`R!d56rFY$naNqT4NF{7BCXzRl>4VAX zPX5&2HQZnf8!)cQ#iQF9?8QMgAbQaBjef)+Fng&Tdx)RQhi%NQGn>oIfH zP_@hS2hB~qTdxU_@1@4<)gE;x^&(f<=FXwOIl0rVpZW3q;uTS;LoA8WFa8y^K%Tm@ zo$stcx*;l;d+#W28M2ckwHnk3Mq42^T)g+7D~^Db+J;v?pHo{(CPk!M-b%i6f_-(= z+r`!dyvc-R1Ro0eypo$70Q20);{$e|!DTh9VJ!P&7nxwP(RhF5b_|Fv{HJc^@R+8;{-C299e#_Q5j=VCc^*_~r?4Q`b-N{8oU85~$#v{5xa^MJ z`2fb=Aixi`2Z$Bxc2Xfz_M8#bQwxB~4V864 zR2}cK5Xlx1G;bxQPZ3CXf8&p2k7MuaQ;BQ`b=G+GsKGx9`F<*$99fY7Gq6&6UpvS~ zfmVBG9oT}wX6P;!x@$b~^Fl}{&d;_W@eAR@Osb;(r=QKa(q&Ri4Gv-NDCS>c2B_Dv z83b#|URcRW#SNcfZAqXTU{}zV_mD6vc*>I^5&XF+ ziS|lQYtoM(ycc8Z$#~7l5U_BoL0S@d|2V2u{6{tw8N>RP^jXtJozvmhzlXd~hqA zZcl`;3R~gP1neAK!#+>cjP#J*4*16Rw#vzZY`^pHC_3JdBRY*8gA%}knQA-9E_IlIyWqV7fu zJ~~yoi-|RWH@0|=$v@V!{_FPe5>GSQz#jO1xQ-Szl$(vu{sY8%;V*gZ5vE6g*AK5_ zSnf=Q_PQ{#MtXT#KI$1IiOHiA;8N%x$za(YW9i+)~LxZdfe^8UH9bHxJnAO*oq@ zsDi|X&!yrYL)y97IUBdeJkV-Wl!If8MHz?`^SgoWx0Vxw zs>ecsQ=_`?;Kx|Pl z2EJK6yPYAXn;pLMcSBZ~-(qmeI#(!a@-P*xb%x=CtZGRy?GAC><@x4Z5v?EzzoI}5 zqTzXE=#7QDS@t(8UtoGujDBqRTZY#NL^NNIlN%POYF(yFfX&5wMkZi>lrnhbGL1Jb z8fS*m zMopY$#pAODivJc;y*@)&U6M=nS?BfDG_8?*p;@|m%~5u+T^^=6pdn+q)o2Z8CD4#z zMszn1KXqcG$=yV6y{GN<8h%$gR(|L1^Y(j;>9XW|t0{p03eArX%VqsPR=ozzL{@~_ z70oEd=7|1!24l@SoMG*JeOI`{@H*`X^jF{QfG&nle=7_)BPYQ-VuO zQ*qsCf-yWhYW>H5zD~}v#qnD%sPhhjEx~g?irF0k&QxbcPIf5S&MlzZP&lIuYIWn> zU(E~H*sF`j8-f;CD$_QeO~zmIIwEJc%4-ZR(L~2Cf`=UqAAx5p@Qr)$ciX@1RVXO_ ziWSr56vSDUp_p)omlBwy?fU;#<8N=?N#^}Ik)N9D8-LBS=x!`cfI)YYPBMer4lXAy ziI|d*+&arlMm9vBWgRXRgj5*jP_xNtUe%n`7T6QnipsDzokCV2d4(ryxrtPboEZE4 zKF7*DS5UEBEVhY+vC>xL=ASR(!dUol*918la^pg>fw4j;B#DQBjgQG71`!u787Tfh z>PP?B1_Y)c`FE(Ne^HQ`&KhcvS+@~98wR4&bJtxQVH3l})cKUoaC@zr5U6nXdV_%| zvVk_HuWfJ!3c5{~c(%?vk$;s&}a;C8uxxY8AMy)%#I+46W_8XdNg9F51N>aP}!?53+CH z-d@Q57^{A-6L*f`&EML2y9)UhKr?i+v zYm9XQ!(^-MA>-N7&8DJ-+=2&7(%vRfhse(Em1#CI-NPBz8~0pZv?c9-9STxZN;_T? zZBIs1#Bs+)YU?*naPKu|-!9p*zvZy__n&7SO-<`*&X2H)_X{)C8Z)yC-2hHQh4%*X z?0=fkS`2nGQCWwtjLJ*N>X+Xv(l#!WmWLJuJG@jn5VZecM8z6I?u)!I{T)$9IQ6LZ z4f5!TKmJQtpb3d`kMGC;M{eu~Pac>3KFs8IYArh&#lmXTQq{$->!O7vA5|wbPO?KK z5^tK%U7oTleO8sg`=?h3#{ScIK>ylA@)q6AM9$NE>8S-#=UN1zC9*zFa8Iq#bDKPH zn4%qL_kD<*Xz;3i@=BLf*?@^S>*3_!@06MgIdvsg`vt?p!~KjIF&)j?wD-k#Muma$ z8hN!$*@Fi|2Fk}wQ#D>)OfG>r(}3q zPfOuD)vlrR-x%z*0RKP53v!{liTU}(y}sE$0hEKOr`JqC_hdPT%$kc^K8zeZ@ARkV zj$-QSJj$2``VGym2OmElb)%(SkaB)XIQC!Tn&Pd3^mefIm1L+XsYhe3z# tdd?mLKSz+x55Kh@boa8mUm~hmC4FuOH-0It;)V0xlQD@HHt^Se{2v36ne_kw literal 21675 zcmeFYFZZCHt ztWaL2K|&%^{htA88})z33ibaTyF)~ztWd-{Qlvb_UV)OyZKi32xIIYsQO>k2VUtT5q`(F@Oxfw>6q$( zdT6XC`C@ca;J@`*&jrl?n{?c-WK&q zZ06tgUf%y2{L^=L$aPE|to=6D@|4+hPSG{~H&vNLZ`k#beQ?c79S)1E$O5s1rK)XXXb6x4U z+<%f}ZH`C(AEtjV1=#tQ{FDae^IVAo{`kX-`1srm1&+rIpi`}etgU>*dXFk zfQ~!ncZ!u}$9$X8FY@D!m2-8~+lMP%8LD{~O?{qpX`b~c$Nkq*I958dU}lvmT(T(L zN4pX_U*13VThpkD*5A@nzG}#GjwZ<(+~?GPcnIH&YZVm`q0}sbC*;ky3wkS?c)8zX z51QQmOK4CupK#v#Q{c@dNV#R))tyUAfcS`%9&>n-SZ5&Z4vaX5wMlv!ZrJV~E~qiL zuTY#*E0t)Hbd)jDR8#0J!??-ytoJ@ z+8;G49HS1ttX#()EO>9vFS|S2b*4ZT77>j?MS!{3@`D0(;#oS#f}XfARg6s5sr4Iw zz#juJv3ID@@WLX^PMu@`Np(tv$&%{w;(}I1-Pg&viiHLZnxrQ)1>g<3ZtQ_wgBh>%vr1_&TbtyU8kCnNyU^;l1Ajnpm$=6I72Eyy3vh#@WaIdD@$S7b;#rcPPrIdWHhN0UxQPk>BLb-eI&>B(FC3_@vR+^qEhuT ztRa+CTf;UGvRyQfvKtJ!GQD(H(H~M|!+UL0U{B5vwNDCIsWemcUtkJdN(=W%!V?||JQ&Jp>WMQs-VsQ<_^FdkND!W^& zt-j1I|TZjv82u%nevGcuAwyk0a@ z*l-46({M2;{;yZkVX%j6Kj1~g**GW4`KwY!QvwR-;wU_!%K>z*72VZXj(=$YWIM6A zh}T{N5UCIZZ;LIG!8_qTP(BRP!!FnO&gLdUZXjZLZKhNqx^<5T!13oTel}Ql4JsWA z_%*H7A@i2jH?+c)(;}?d_3$Rd%g-*L>D`a^u+riyEc__hkhTtYe4&$ZH3KvM#!4$0 zEE3TS!7OAyE``BXj%=XLR2$|(;sj<&f^l81o9r$VjkFiHZcm83#2Vt80wunm+Hsb$ z!@S9@e!I-t*(jm3w5$6w)P}JSTQWwJ=IrAX8SYccVODiP)}uG;)=XGtGv{v1 z&BLqIiA7zSXw-|ss}o5I{3q*?9;LKrcS7kHIky;hzMtI1w)!fM@>5~nEin9waE#{} z(?E>8-m0TbR{}{E9Cp`l14_ObU#*=Z&W>Oxl1Mq+_(vOt;KsL2@%@naoW&? z*oYc727HsyQcbHK+CXIptz|AJjp?2kaZ-fT$p@}=Pf5Fubje$2916uNw^@q1oibXQ zJ>^42Oa4F zlx&nsXTi55y~lq0YJMXrQ)b0&Fe0LetS}O3f#FY^%WV>-#&bcJ-W%u4cidkGxE3nG zqpw?$X+V4td4)2K&K{2wF4vh>AN=qYh-AfL+9MygwzWTVoiZFbX*jr` z$=;?r#l7KDk^PRv=JmK)n8us3jpNhV0mO)x4&0qwcmx6@D<#vAY@8yf?s}{&CNuWt z##H-vGw|%4-q!UWJW8gYi{7{wUBe@^dm5(XyarMntQ~@*iv%25LT#Q>y_isAl=AaB7Z1YuWk7ETic8xw*(d1 zBzN2+mn%Gygf}qJ$7l6I_iU2_BNmqEzX&#G9Qpw$@PU(z$fY-7a;T$8YqRNJTmBtU z`F(1NxmfK>`a4t<3vVB+w#*#B_8Pc5>`98oVa)kgYM6A^=ua>qOWPM4ej!Zo5nY1r zdw#DUas2(2`B++pOV@-`b^)S%W+J{;^oQbTbpwc;jOv$b>azduZ1uD+LLZ_|s`r{c z=;b=4j4iQ{7m=HyAb;tjc&PXzA3pkTX6W_n&*sMscv`$Sgp^|WdnSfCdlR>^CPUr? z*lA;GYhTJE0zD5R(wh0^jkY{y@se`+6rEc2Da^NV1o*Uy$LM6g=qNP^;zH<<^f|tP zeb7qiiykU-Gy$va9(DliVSzE%aD}tI*T*%A@_;^BG@=ZR(V|YTOcLHhnhrAZul3kzOIF#gc?Hohu)#ouigy#^JTl@L+hu+aPezVG&XtOJk zO$3%GZ@LVh!6qqbFNa$uobJxsAO>ljyz<+ax)!5y<9KT>=NY?izJUl=!`)tiUw2FU zR$qh^_dO~lb(3WrT%za_&6(0Vz?S&q@*{aaWb|Ee<{^n`xSNiq=<$!8WnfBK+ zbf=%^)@rT8_(CG*(2M>x)uTebI1s!mNg@BdX{q|kWq_aPF)=P)b?q&Kvf`P;5lFnF zL7xfJow)>*AJcGn?WNxk&UXxOC8w|U1CJQOD>U3`zNGlO_$XAkp*2Mm9=wDxKp!1pp+t^OH9@cv)1711xRG%cvi`DE(} zq$)u6fymt1X%=~Uy~#13XPeCU8@J(wx1oRBYJfKNE}^Tf{ole04YFgY2+fNAt3eE2 zuJ0Y4wy_)^!3yer6`h}dB?@XW?7kkG4!IV0iHc~JvHJ`=-9n}x+CLmimIp|ZS>4v- zGBJ#y%F|T}yepO2!^?mwoR9B5yX9#IOtWr#w`1I{P^-<{4HA*Dej1m1@d;Te;p|ua z)|STgk-^YwjQHPJ_SqVqHve=Gn8_mU;`v0Fupe8GL1*{DfPOlL?-hdb17U+b){Nuu zFj6A&Z#MXUDd-J9Io1-J{sagNAwE&NB*MdZr^gqq3-AsR~w6lniO)##{RA$54QyVAyWW*6rzr?|hrU2Cu!og=EfbdtpBBL-+ z-l@_)A}WsC1sH5m=UcaxOMUB5KuE?bn6pY6qy9BoQZN3yCJRR$|JytDz&R$zM3dRz zYx=ir3QtcG=@+)b*S3KO?S0u_D;hfSJOxTM`N9jV(vNsi4q23BhIBN%u66k$l(Ozo zyi@O=;YRe|BmdP(QYGdztPG>kQY{{aIYOBTr1@=inIpaW-v|-Auub5>;AIb5b91rQrdQG9&;QpaYM-Sv>uU#1vE>3{>7H76~>3!V8~?A;=Go(DkwTq3!zngNxsy$ z$19^T#;f`fVxLe@V|gm$@OO#X>0%RisUcFE0^(B3ez6F zv>Auf@vSvk+8&qcCU{r0i|X%)Jr>~4OCA~9C=4q9LZmVJm;7awFk<9-!j@(+5O&r+ ztsptj%*NX&gQVM2eEY3I#_pf1mEWf&f$`;+2w$7R+b!F4am(SUN|6Ma zgyvugq{W*KRbgWqgFC8;G^S~4_8i|5(4}vtx~6yr7_G^E^eb);S^9f>-KNuT;WxQV z_wWGOMxxy(fYdbVF^-uJ8AQM$`d<-vrO{)Hfu9gm-G&(Unuon>&1S$`ieJqa3h z-$+lb$Dhg=t_h=OnqTs6k@Y0Z1Vs1mUY9u}faz01i;Dod&iNwxhEyXuHXa`%h;j#< z0DYJQ?^UPt*uJtdXggR4NQRW_k`nnzDVYqi(tN58AO9kcv`aus!>gb9X`H$;X4|{y zH(p2GAH5yLqDgHPWS4tYL%V+r%)IkgAoa169n=1AVG0 zV8|dj6EC)sLO~<4xliG38or?M&V$QGJOf?m*JtC zVI{gTndF1Z{BDtlKL3FGIQC$-8Av%$^!Xc+g131#RQO-HCEYW8r<%C(^TbHIwsed7 zcR1(EUdjsL=_l)x?N#|AvI3CsLH&Q+uL#hlWmwE67S`NiMkSU%pVLoEACOI0rmqy* zkXoc?Y2l2^pCB*@l5B}^k10+H5Vs=Jw;HKrZ+0E~HCw|-NcPtL=KV4INq=Sz+^pZ9 z##D=#j7U&b@xdhKrB-Z^JNDxUDD;ZjSfAlZxp;HG;J`R4!`$ zePgeiR9&-~b26;xl&qaX*};j-A|rBbzx}U7*zs1qi|>q4-zc+|Zzq=Ee;^v&4DXs4 zk;ONGl06e8!B75Ppaovpd$b~d!W|%Ty;t575I)n{MeM$J>3o z$*61~{K0Qkan3&qgH5|vMpo>Ho!6fzJWX8cMAg>?FCOsA*yI;)o5XZjgoh=iO2}wO zWfMxtD~;~I`vZE=yE(IbNCng5D&l3#H}+}VXf~xj&Z9`~*D~}_<$g3;9CF4z{<|qgbwJ?Pjqww; z(Y2z0eHxDTP=QZ}GZ4DH5WPlL=&Ka;o4NTt=0yuf_D$G$%}>R^hz^H5yrSkGL}H(A zBpaQ}wuK$NB)?-Zp-7%JIIoel4zx>B;@f{5jwgEBFZ5TYu0I?Q07j##AU#mbwE$&; z{;QlmbMe3MZ2>S@Jjyj}e90Dh=T`%t8bO!$GCpR91%hO)+)Khx-%BkWDR26#=RYHd zvxAK{jXQK(eJ+O@G;A!6+4z&Sk!2o63t7+%ZMbY$j)NZjlB32vP#vU5S^qS7d9qMQ`|`r`2-5^cg4UNFB&0 z8V42ntm04==e*#q=eq-%sn}2g1WYy*-2#eHAcrPwcuU}7q5(q~5j2PsGCI4|yY+WW zW@@_?Ij9F~m?8ESR24BO5`Ys3vKJg_oau$h(Xr$Sv0OU10BVs{v{xR&zXfHQ;>+=` zi&TJaCa;JG**#Y`s}BhZzjFhXtlYFERa(bb+pB@+{hFv!|8z1oWR2)*viZ;208zgr#;`hd^Ud@RaB0fozp*3#la56blGl4_?SMSK;{h3U$qU3K7H;} z?;Hd+Fr7?YLwL%C^3s7{iuN#HpluBGu&l{oVHI$%Ye(V2_qng)aac$T$E(k;@TylFu3n+H zn>y?yE;%Sb_MX}_GFH~3YIhDZ7T(~cA@p?s@CMm&AuNdGug6vTUyf`8v4-qUkVP|^ zz?*mv;pA-`Yn2U~OnPpda0xmjj#fTx9BuZld(K)qBTIhf7R{k|PS&b^2@hYep^tl1 zogDx={o-qG4wT#%w3G0$s+Cs?M8|o?KU#xmiq(p!L&|Jb^5kkZ+H^ zR{u5dP6?7Q;@c#R6Y-57Vlw)Hfc{-pILc1kj_|3|E7guG*7y4Ww{9lqO zCu0ffHow~!{%>rL)OY0#b!~NL5)HpQX|#f2bmkgAiGyn&^Mz}KTGzh3rz)FyiE_6L z9{T%s39@0HtE&^%)NIQ9FWY{;wfAZOOQ#9oH?i-jUBZ$w(X~w6Z!QyNVll-f$t5)D z*$T0hQ=owUs!Bh4OXK9*Toyr1GQv#0uSmmm|J%0#oaogo-osYF7P(vF|EA$#;2od- z0EK;p9KL@yG*m&XQbB;2&7g=6&l}ymHt)!$T2ua)j~7U0Aqi3BZ((s}Pt$J6YrzBg zkZ`2utJ*y9H?xdi`l+E9ZQ9f{ZUYPA17(bSeUbPJ6!W#(9DQIAhJo26wJE)3UZAp| zbfth~B|QZ`nX*KjGA?kjbTV-kIihuH)!Ig$mp|?+hm-iP4*|0o1tfJ~lpmJ-(&@j- zFq3mygn8mfl(c6e#EKfY-_izth*Hc&G?L<%VW!w+b@QM@Bh%+6TsA4{ifn^k(FA(a z5RKDL{5-|iMEeU%zKFobtuS8!MZI)X8{gvdGfTdwkrpX)i|HAJD52KmXcyq2D&*Ok zM%VuBci|eo0R7lDK+_WaiaJAr|Jf{&n?IjZKmNyd9PYYWY1-*&#B>Z~kQu$!Y3F{!*YhKhv3cNVs)g78AdGpE9qRSk; zBP7)KH=072u))OlFIm_IT-WCwPM@)AQboHtft9IQY1T)?r>2s=Nqm(|mG7_U=6a0# zD>xICA|>I2t~AirPf<3Z$LIv|-ckeJ5&8Yw6s(Eq%QQ9mAF$dJ<8I9x3xSLELR(x6 zFsIqxhi@bT9ESyC>{|ZJyYm@|*3kXC{l>`Joe`QidzkC+{s*_OM0fW6qE`WWWV`jQ z9>HW#%N8R+wF9R;USDp~`8T`1Rpry6ac4f5pXxhBSD2R6I~6$ba5&3kB9HBk$rVz> zTsVzZQ||Of5t3Sa%k>r%^C@>Vi2!Y_y>9dIMoKYUOXH5GWUFgZgAB*XRDi73G^PAr z?8}$YRKQQIy_CeZA6k1^4HjL+%&yF%pjPH2U@*B#ikKVB|7DKYE%p8TH&BJ=Y*yF) zYDJNTh*1!W-kw1RCy!_3D8jyZLda?y;rBAQTO6wBSkn3$X0WbK{X6V67Vl|f4?Gw%|MZ_;$7yZh2zX`nL^T>SwVF|GhTn`J`LdAGJe4BY zUu3$=-{CsYq0;Qo7&+6I{!&=_oQo!@Y~*DblkVK^2TWbl@r64=-O`PSzhj%G$ z>YRLroH`lZZPpIguUGvWsg}h)0R#3zEGVA&XyB3uZ8~6R8xQG!>YiquCQb)5F(>Jf zvzr&0ju;d|y;pg4zgIpKBh=n3r2hStJaW7#W$-;fy};n4^043pV~)>(ZA8qS<3sJj zo3Lm-{@>DNA7E@@x$A0VB1Ow5tD)eO8FMviS3vV;3-Sx-B2#`V4z(7#0!tYGH=kR(s~rkDEM~SVD!4_n_qn9`9{8yx2%%>ZX~CW5 zt=qsec1Z>Ik?B%Qz0uE_B^Qhl) z?I>UTmasH0^Q)_#+;4>u^9J`pr_^J$Ls{0HN1hLcv(bizdusg}uVRElQ{=<#cq7pp zRkRp>=9s{lW~1JX$f7E|s3Lv87i97Os$$I&#CkAcowF?UN9oUMT6;w!*5_XnX{3?H zm>G9XGBhL{JCuF8@eNy24o1)KRVJ-|h7N1Stmx!iVD8}m=4Jd;vLcl=CtPiEKq!}3=u*m%ryHhQ>wh1{FWy7Em0+ETar@+LHq#?4fhWf`N19Lhy5!De# zw}dxk_9E-FJL4#`=YV^!E5UN*wA=u2iU4k<9$Y06VA{HlT{7yhUiIj&o8YCXwP)2a zl0*-$GZ674uQ8Na@CjW}Z?k>tG5o73*Zb7$y0tpj^R#(Sk6w-QGpax;8#%E&>=prS zCyGHif&f2dOk*<(kKix`Ap*5$m&T~9cN|07%}PZuXqJ6fXj0Ude}>S5d0i(z0>}3D zG^4d@3Jz)N8a=nD83iD~dS5E4z)O%>ik*dBn8xB1zy{|iRer#eVgj`m_ ztVM^U7QOvvM~`I=S@Y%pa|h?u-oSB~!5;8$lV3kSoRX6GH%!c#gHE=#X{f_ z`y2c;>;Qv~{+SDss*YJa(7haMoycnE44}*K)+=(LWrPPhXK2H%sExo^(^11Rq%1Vv zNw)AG=X0x&_4G+A{|+eac|5TPEsS>9emJp4KqO}xINP)zj4N%4smdn79EyVNl1xu48T8l8lkFK;Bj$57y8JNtmM{)3Uq!F8$Ad>?!Z$ zB|TV!N=ppItc9Eyo(QGAKH1}G>-S60_vY^W<+y4X$yN;{S zS!UBpZz-j`d&A)-+rq%mpE zI&3FTADa)3;q6s-57n@HNFPW_dC#D|xqD<`&GCWEe6pcqqK2e~T%>!?#I&DE&4O^-;+b4WsK^ zwNzR=TxB!H0tKPR#O2jAg?!4Nl$cm(ee^e>IPz= z>bI`9SmlL`*6mz^y!1SN{4^Rm~g&KaM}x^}f) zyzjd|5Jy8iokrx&?_M7OV$Cbw9M)D|EoT3&U>v*y3F|ND>mA8mE=D;LN;wd@X@alG zbEKxO$=iJ$cHbpdbbt{L zva04?VzOC<`ueAXufSbdEL)CPppXO_&EJatQCh<(DWSfgi}o0{WS(U=)_XVbAEQB5 z?~B&&HqS!g$1So70t@S&wqWKV*X?qxNCh?H8z`xdT08yJY3Zs`lv)>>38bh z1%G4kpY`8GSnjW78M*3<+f(55jBxzT�e%bforG7z9rg&nveTe7MsX1_|-8)l07# z77)3J3y7@GTjX|(R3XnEl<9p3&dk*~w7G8gw0o=px&q>t;wD=5Pd@-sl7=0QY|Zxp zLQ7MR*d@U(<_T;0H5yYlp2SBqon~U-%tya>IF}af?H=41OrN%JnNOnlFdML#wJ5}& z#tshR6;}OSO$gUkYKEpKB;zw%rRqiuupMaUmNlDl`nNiHErZSTDfS*d>xRX!H`p9<_CD2777(V;=wn={eH zwgb`5<$PADy~rtJ8!yn&rd({d*?xyBhfydB(QSby(&F*5)u#BGn7w;ysDKiFm$L3k zlSsg7*D7y&9a$RNTZNXR=7i@cp-7ow;1N@;2eS>CEojvx(w{nh}3SXDjpEbAkLQyllw{NtZ@C0Cdtcki4 zjTH?i@RP{tY}2RN%x*pPs0;f5H#4PO9I$^O4-j8Q+D?pm`5J0DF3hI4cXHnP{x6)p zT;wz+t%1AiS%zetTn#@Xj_`A(pAc1k4ZT6-%e9)DG}pg*Etb9u0LMR<<+iWE(RVh-^(;orLi%H|(080qr}Uj=#e|;6 zUjZuTI10FZe~al|b@+azV1`Wyh3|y&*;!V9h#ywNvMiCf=;P;coeUIS%X0v9HC zZh|>K#EXU_rUN+uH|om{dEUKt?;rvUc$7G_xsg$O8|o^AA_s3Kp$0$PZuTO4EH_Ta z^9>FKJfg_G4qOU;RitdS63K?Y2JeO>_9+wZ z=lZjeUh#2HX^6FWGxkQcnCXIi?dSnZ_JPD}qEC%p0QVlHptOJ#;m2s$03x)@;X?K4 zf|7YO>Q1AaGUwxtPJsMoF2((Dys(fXpu9VW2Jp{zT_`ieh+{Dw^gaNX^(A0ffx<)f z`AwD@LPU#XyATh`aa?ly0NOb>??qS;Aa-xp4>AxN%)XwXSu9M{M+F6wc z+`9$l(9wkd7C{_(jp{}F12m)3@||an<<4)2M&TZXPBl4S0w}eW5F#9BNf+IeD(T&{ zba5mFK-=mK!NGMh#))+ydKIx*VOy29{p~vcSY^?x$kGS zQ)<_T_xGT2+YJ39)xq|i*O2Cqn+5zz;)*rDkJRo3maZItoeCOOv7P^F6=rIt*VKy4 zyejC+D6cR4Cpu)V>0qlW{16M(>n`Psu;W1>vwbD8s^gd;1Vv|)j6%+5Go7P%F+~lw zXoxPiIWt^LT1bmk<2l5s4}|UCi0J~&)fOUu^>B7E_Bu4SiYw9Q^HbyjZnOX7*9C7_UF;3GA|Xo^0f`ff#%@rF)9wqnXl}-p za|ODB=R3-thWy?i=}X-Fm~idMDZ}|y<{wEeWTWT!Rm^Fn26r-Cb10UX>sXrrp)9uY zomyzY;X#4uS@^2-l@KmA6c6J<6?Tm~>JxlFOgdJ^7rLFTQsyB+aWmVTo1W+?JELMt zN$8?>eJ{AAA_5#j7p;tOmvZv+{|fY(L}I_~H5(kC3j!sRZ?L6;y1ZV8;dB~O+yg#$ zeWceh@;H6A7M;@x5GOkI^3_`o6Lk7QN3RCaJtp%|PMv;OYfv0T*;X3^0^c8YP&LYp)o8ywvdyY8 zD?VJf0ow*F8Ta5W8Y+{!5V1wOcwIX2ch&({eKjaIrV7F^$uU@)}3{_Xvsf zLm~0lO+us8fwcgDUXKXVrIG)S;Hngx+&cpu=D71pSDq8xv8v}{r%HpmX4_MfK_{mm zV7;Zuk$%~2-y^c?pUDuJ;jY^tBl{0dOn`YD2U#)1`)41=4ffrVi@3v_t<&lJwe-$2 z&usG_rw!;^Gp?Zv;3F&WL9a=F7Tr-m6KMcbcYxo__)tsox|mY^#!db!;Dt|@RKvIQ zqW?a5iBq&Evd^D(6CFwrG40+|I_4Bl4jm+~zpK&a616O3Y;RTzeLgqHYr)%yfY-c_ zb!4DL)v*US_4;mqwwHi43y;+C+^Bc_NK>i13!BoCv84@L_RD}+g;k}LQ_Aug5haC_ zBiLTs_uV3i4syZoZA0PRxgWQ8@%qk=^gRMH4jmiOkD7-l>me8F>X9{qykZRd;P5#- zw~3Sa;JKy+y$}J_WoGm}iEwlQr*HBLK88K^G+7T$%cWCJUGkeVai{LS8p{hP_nj)~ z&uXCh0A7WIVQ<{4)qt;JUT9WK40w-*CEf>Vyr+tT6$L@Ui#PEZ7Z1d*SGIk&3fXGn zH|LsMxwtN5{9=p7hJx|XebNrOvsC__-{+;J*!pqYcd=^UFMkI?MRfuWIQSi*ZNmb6 zPf0F^%I6cUJ#f;f0H)k^76Gk_weWC&)o@%X{n{(# zTk*AT!=XUb$9O6E7%X%wndjDkQa-{OZ8gi-pVJw&X;mqE&^q3(Vo3iGif!CHC`8~` z%yyY(pE!w;{O}4Xm;Ilg*_+``blYA(en{XQoUC!lvqbIbqB$?E$`Shx>t@~w&66Ww zvxPA3d*=h1{+N-Q-<5N?UTL?mLjBCR>X=c)71X-nT4Bi+j(jU^`Nrx>hk{JYz1lm5 zchNU&=m6{ni$9GU=7FRM977vnm41iHFVErxRebB#v*PXuFL3eqWHNy#Vqe((ZA;?> zLbixzJi+@H|U&cSF4gZz~IV(c&FTGvbIT;hs>+)B3<~n)?Kc@ z2=Ruc?s6S{=m1!5_&C3Pyd5fK87)Q#u6IEMI>+;9>S-yT`&OD?_+QWP-+meOPD8lC zjnP*Iw?5p+w7g$L&=Z15&^yV=2pQBW@#F5ddEP4$@V12`-5y6SSu6;Pyf zfPO#qLuMNsKiS$v=@aGIPzCMZ-{<`3XH6!)C%e~^Kkw@#yLrWXQsVVX$h^}!kXWzV zToE030c~)9I)616-XVY0$uQ6;`mrt!-u(t(lXGTz2YOOB@n2Cnxal>977n#M(#8F& zvyPAIezDTMaXZAdjDG;~_VMQp6+2=0Nd=r3%j!85zd_4l!M_hdW#;RnQaXJZJ37~P z$P7u1gi?RpetUJZ$v^EI0uw>mCVTvZ*p_AGETVKZfD;BXt#FQRgNjISAH8aFo5??{ zKZ%TF&EF0^Qr)CAatV$-dH+W^7p1CLcC~n?dGyC>YBSO|pBqpebjxU(LAw66;kDT) z@A|2axcY*q2c14wfSLoF~3sP)CeGIq4%#PTV_aRD9iqpEZE zIgA;%WrlwWlyuKhv~_#ems12=6Yo~@Jyz!ri*Oi+R_qyjL^gNy!IS8E>hKfn} zN%)VoUg^A)koSX#&z*h)aiHOdC(*=EL>02(z4SJ`+NMDTORvqF-M(sWfA)>fqmKgC)x!uv;P7Tb zL0e^o{zXrpEqEDjnhoCGwJ>n0s>IJa&M#y%a(bHh+Qoj^_MOTow0pp63-aCf>07*O zMSRH?rTg9cs1iXV5uIf`4M)PAP4t&)hMYZ`J!&*KEr8&J#=hAhVfIuZzl&OIRloj8 zW?4tMTL>VP`S=?!KpR?tT+J@3GOI!hKQ9Gd_!4$Q#J&HxjHwLzUHJ{BgTOa-yU?Y#k6?hDygeQ)F@ zV7REk(AbZ#Ze|1Ze)`_=jeZMIxPY2>z*<*G*bcr?x~Hyh*2ye(5P zCFo4}6bkW5BeENNR>pzW;JB!@`s@pvjaVU5teX0d@!#riPQ)eyIiKOMj+fwYoT1c{ zV-id8y9(G|6Qu1r!`;|JIp39Ecpx7nZs6N1QI%QsTv;Q*#oqq>l2-1xwEN?ScgkAt z^O~XUPYHcZ;1o0dL*LfpT_7Dy!29#l(tX=O`b9-tm`B4ot0ucgCF9B~NLQ)f%fB2b z?Mn_LXJb6ekA3i&p8JWOpe_X)=ve1s<}muzsTs>@32?%12&635cHN^jxf68blJE3n zMAUmP*;&aXrtowVv{6#_unxr-=kkHibv>+S-z|_PhO;I84j|BcPD?&$QLkUpExZ47 zd}UE~+Y;p@0IxVj6`FWf_4l?KHS^yH7-k@PCMf~53@j$7KFJ_ZZmPaNe?zGF&YzMu zdqu|1H4?i{kALedbJkta8bHW|J|$eJooE591|Y1V{aSvYxXqrOshTP5d%PyH>3!bC zX2V((;O{1~(cE1#4HEmru@D;N(z87Gn%=W=cV*K8_BqRLgA6BX6vYsMRVjx)u12Z9 z+3^x9vv2FA&N>>t<^E>pty$Lms48av*8z`EgYPB6)__=uK)IM;6@t_&e(}Vko2@+4 z6Z6woYy-e^Vnp%-pKbR%zig)vrl;Wyy9K+bH!)P}1*v# zR4}(|j(-mkuMvC2HWaXTc?l8CQm7XB69tl|s=~M4S-b=XW$>!sdFYKUcA8C`J$?Ao zkSpC`?B-9rHD)gtX*gZj0W?wzt3yCT3g+kr}V z>!F*7;{22O)-$b~?h3owfR8FoWRKC%scZcg^Jc`bTV_0>CWZ@OLnLrFdp@)dcc4)J zqC6pdi*(Z;->L38&?<)cO1DCUPid8ri_&y;@i6k`jQj27@#nU~uE%JNO(zS(>PVjh zuph1%nsc}AqjZ4o(dKkbp>CxAkm)4h?=Giyv(b8GwRHA#v}3rZbr7-n)5NbcO?r#T z<7{Q#3G0eES6n+Qp=78E595a4{#vA5UD((k&asJjcAfL6Qs=1gNg66pLhCANtJyhM zInqPxN?1rmXLL*v-isVSJ(M2|HVTK6$uqR73vU?s?-6jJz=i~$mqV|viXkyPEd8vF ztF6Nl0SaXv+iMro5Ib@ai_@ZCY0Fk;7y3&Gq1GR|N5(M zd=#egAt(5UN=;-QwP8)0f&Ma~a9+x|gK!ovOAx|kgJbuGogmf9wmJ5F5~I+O0JMt! zG?9uuRPVzjmxC$)rCD_^SL^U=U-@ouC_F1n_=U0WP!hUdpCiY5FXhhA;yseEKl-xr z7@D$s9WoRl+_rm`zjrs@w0^L*etsypL@{$O@!}9jEqQ@ts9z)LbeX?$HloYv<_&Mj zp@^?r*PoO3Csd>DD+^@(WtINWlvb0+Pgi~hheebbolF2Qmf6Q3r8~+xy!FE<+jh_s z1>uboG45sDGNyuGs_K2Gay>=KQ!&8&HSY_}@;UBweDVCj#bL}Q;`?(Cuq^JTeZ=fS zT{GY&yKSH!nXQQOpIYd0o6P?S_zws0o~o&cYm8fc{Sw@f!knqi=7}l&xIbc@;h#di zss4NK&|cexJk@{kdHDEnc*iqVFZ%shZFZz&z1I1Ge5al2=YtOx_L)I$YW~N4C~JVW ze!7tPA8m1w>U@RfS2qUzQtP7o3HvVT#`u8pDSJgqeP8>)0UYl|{#KjKBVzKM|kAnzt&F4Jc5 zD#hRHCFdmJWNfd!_I(66A;oxBarFwxf|tJdhYfGnc9Hr=EleLNdk>MU1GO10RWJSM zLAp2ky^9B6TdDs4-(To|c&$7p5B-*D`>^EM_?yy?c>m1|{pgRxp}u-`u6ns-T`C*Q zPgDB6OIVXdp3-kW$-@_PZ&%}E@^pE{czy+S58&P;%w4thjnnXlOvX%`XQ%XAF5HR2 z316p_e#{Nb=K-d0+yiVa?9jONPT_d2`v75)mm0UO)Onu2?HF*WaqF-k&u}UI;DmY& zw^VhrwNlkOPW~R~dnM~SZGHYCS=EcR@y^#j4A?>2eOtKQ0xo3-^9b%MhHz~=Vmwpl z29os+ZML46s&{{3R|seEDAgXs?{kEEwsLex$vZim|M39sQIf^;?t2d4+V-aI1MmZ$ zm)Y;>r|tvbhV_lp2kNDd|D(1Y2jU;|oUch<-~TvKCw-0Q3!fdBAKYEIC%8DC;u+pv zpw0M6;qc>S132`n$4c32@>21I@pQWZd6H4>qV0kV9P=ETYfyL04)i-+y6upm-^*Q{ zDS7CJOwLId!CX{)qHL z$Dl`Y{H0#sb}@O9Q9U)(*B8f;L;s~twO5^|-p@Hoy0OlW|ASA}ySL7NLAc!qaGUD< zUxgbQS9$iq9N+F2QvGrh$-73lzWWrZT`koX^tZgWg)*0F9Am$g#q5PY<}a^PZgD)N zAG~mXWOl^lsjg}>Z9LalrkuKCIO_d{FwDno{W;Zc@It*$>XD~vDtO^Oz7I#ej5B;c z6x~Rvdd;62RNM}ot9_Y%Zu8HWz2No|h8Sq`jTjF7j?;FDaGNXFb;*8Y^H;_>a4~r* zuAZ**%!h{V-#!`YLl!9}5B**wS>MuT<8R7e-XkpcK8>`vJe{j(H(UEo)eDZk0oLqJ z=?9iJ-bFd`Qu^_%mV3;@0xl*GS%(QjA31UWN1eot$MoRfkNeM03b#{;yD9&pzkN^f z`Efn+&~GzspOZXnCB^KZ-t`>@T#6Ss=DektJe{j1i9(zG3>=Z_r~) z$_~vltNUqNFFZ$#;n44w(v3BaUA2$A*t}iE)h24!hpFBEIp9+33{RCT-lISkNqp8@ zeGopV_d~*Q|7+!1r;7d#->rqQ7z8$Ehl(p?s;&wQsSnp6n$H*xS^VF`m$ltGJU30* zOP%-9y!ht>JQJ&4AbCdy9sB&?63N@RN1imV?iRSaEXa%50S;TRbVJoo9>an8rF7%D z>81gn@`HzkdM&P|=95;h&0}KqN}YNAa&g!|O37=^jy_ZOW#5v$+(+5^RqDQML)ptZ z+|W4l@W7kdozjnYk$A2a=%S`f#VHPS#%@Bb)nh>LAsZgf(2spZPr~=BFF=@S=LE z?`Y%u`}ZEOm$@@~SxVW<+Qy-hH-!7TFdvf4V}jh2JnTI{GOgWG_OkZ;32jzys{i6I z_=Dk6^{$XC?s@EY7j)eWn@`9=-p^!9rJQpqzrVN6KQCjw#O{lbMM~+%IQ~WYiopPu#Z0pV9AE!kp#SE9JJHnCj=R6lS^FyA!d$`4p)m5j>9nb`V^s;R!E?H#WFrsChR!mTgv`tDPZ z#~cFvEyeWHx%!^=-Fo)B_rUv@Cdcv^f5@}@V`2x`SbN|v#8Uj;cuCFc&J^~93|`(Y z+zo1D&0j0(P1(zR0Ou{GaFuWy$^U*F{4|9#IhLn*`G)j*p0jtMyqqg}U&-L*DB*sY z!3%3wW&?T3-X{pRt9be3gn0Rk^n1w!dAU&Xu6DmymFh>Y7w!`-uBGf{j+ny>@5Ajc zUJjcOFULzi-XXI7u~2_uK6hS*zpR^Sul?TJ{fMhQ{vuxM^I%9Ro}06y&s0BRuFL#t zQoQ_H`tiTRR&&Z<*2(0B?o>2WR>V?>)aQ#0+ds*=yt4qa`rVjXxVZOl8kM)UJk{yk}UdxvB(=dg7PX|_b_b@XFi%)I?iq23gKtS9sR zL3AUf_-oFNK4Z@%(eKWx^C!wpzm&Wm2=_KuZ%RL&=Z$Jj>&NrZ52$@F9%$d)RWHwH z?i}Qz-$L7$_Aq&qXkXT)>^?8mzCRL%=ljM@ioa)xmse>sT&g|jyZkTcr33u!rg|@T z`b~mAZ|}7DJ5M(7-oNqE#~)*`;Zpo96@S}_zbgj#d*oWe-}$nG^)=%q#UH;{@r+FV zwh@0<5AgShwS>Q)$`1egqAC91ZtqO~wiJKY4e+isv#`lIUpmE(Lt}GWikEmmzPT3w%WcI?v+!1%6-hU zbzd>GKFRvbLBhR#V7-oh@owQ>(St+3?@Bk;9j^(v)bo^Q3Cr&qd_V9KvsYYHFVtq7 zkf(6eJ%j`EMd|lX+6^y4?4d_PLriyzpt_`Z7oQ#j6BO6f=4h9QsPz}S0S4 z$2gfWd8(#*OTcUr@)YikuCMj!$MY1%88D=je%Qjj=rafE_&u_CF5ZWu z&daqC53KD{`Yq4o?+D3bjcaHf8Ty?q%rb35{JkZUKifcS_dzCg1OV#@@ zVOWc^>r?fzzQuEF!^Pz3Ty?Ux&9s@Hrf|e5){ISN45zYcUt!M5&`hB2; zJy*k5_}+pmW=Pw2O|wx!yvJZ0~T9R_TyUgX_f`my%?j{%>u7wnb7 z*}6bXo~o&IA6EVMfW64#-I8a8IU@R{-h<~J+4?THRK3h&FVRNcheICEkow{&`bmbS zrz1Z|@yxu*zsr--kNRHg{3?axea!a`_!auSS-87p=tsYJsKce|JzALC4AcuJ|Dla{ z9(T~D<7j)J-*06rYY6sxb1D9o3d^`}xRic>C*5-1%l(aH5!cX-6qAR3Jg+qy!1d_| z9tg? zut{DvuWoje-IvCk9zuK5Ll0suQ4j>FD1vwrZv~+q1kd)=qZGk|i1-Jnh!R04r50zV z$tJM@9}Ms9%x`}Co0+#e1;a260Jqe;6S%=Kw1Q>N&1GCq_$tBYqD-GZ7jGUf6OvaC zgmGUuzU0tj94(bj>Qq+dHSWSuURjH)N_dWG>x`L`c3Ce-QPMJ(w)=4Zd7#-JyXl}zob*aoK3ZMGlBd1=r3}0Cg@aaKw$8^Lb2LBxngLfpx$jM`~cVm zr19S3k0<16^dl>UXL_k@xsg&15<<|2XaDWuDRNO4_ti!L9@!xn$J3v+_p8*qh6+z; zM<`qRig3IJSw*kERmkJ>1R9Z=%FS_OwepJ&-U}`Fdi(+DqHrH!-@2zSQp!kcN(Xd5 zd_lz5n_IVk;y&DpE?b_qQ^K~55mvFhdTkfuaJmk%grk$v!h2fG>5Oe!i9lOYDxdxF z+1ciG?}h7_TI-*$QPqQW8ZM@_?g$2kc+M}@3JH?c-wLG*WrTT*>pLG)MAarc7?E+9 z-*7>CQ~`A+j{4z`>)?ozl>K80DB zF#$)=??&RA|HfI5F$n`0`24%FO>w%HL~j>W&h)R4i`Nvhoc;8Y#u79!=$}rSzA*j* H00960Vq$kb diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index 8604a63cf6dd30870e50699f1bec458191e3b6c7..cbc94723780489fb956a7679e9dda77c01c5a395 100755 GIT binary patch literal 18526 zcmZ^}1yCGa&^C%|@L<6qxJz(YGz18N-~>x>cXvr}ch>;H-4_e)7FgVUfyI{v7JlCU ztMAsWx^-)6&U2<_y8G#_V^iG(2nYz(*od#K>+2=+irVHbuGWqYw7mRWJY2lAGVa!P zX0&|#e6&1*+`N3;d_27Tv>N6v9@eJjv}!+0ZE1OFHI!&|%uQ(J&8*#CCE>_OuWAqw znx+3QAYkMF4;p>?AM`>zHN?!JkxxCB)BcLvPW+ZqO3DD!)y$=hS|T&^J#waEM&^5& zfdp(sStL4IYWxAy1uc|5?ArYEni_O)J(zRkIEwjCWgWO{jE*H@O{QT}XTA2(-BU~F zmXatvsoq`PIeMU zg0%)ebIww?{<(A+$E$wson1UZ$9e4Q?*;rcWy^umvf#-TvkG5jf)SmfDb0peqdlTn zm=Oz9RHf-N&qhi-VtaFRWoU(%l0@&Kc%~=UGEeYhm&wo(OVrxyb0?m zWfCgXI9KE>J_md7_!RX7d3siGY&T62ogqGWRiWDxEO*X%(BnuLxMQXURyhTJ0Shmu z+|HZN81KcV#(|90&%JJ!_DX2#-%zDDsf}V6ek-N4%nJ5N(!FjRd9Wjx41tzJns#C{ z8tr5$8Qir0+4>nJS=#(&{%`WV?w>{Ohu@T?B@U*uXUT~!Od)e${Er%#WdfBI#*y{M zk>}z|#1-Si)pc@#l^mR9yWi3ez{0#qBqzy4IchXU>2$h@#B5J$9Q$N)Uv^~1pIowp z!Fox1I;l5jH*uNC*#a{(oTf}i8i_V)K=aF`@w1GOsmMgA3aTO8?CO> zR5&8V%n3Zk&JiH-#}yG(+8JJWGd-pfRZfPH)O7Tkc<`~*$zpwyrl||4JliOzadUBZJ3KhMJWXB_LCru3&m(9CbHB;HIWFnzd zMY3k^4b$dfO$vw66JF(uqisj**WfvNhNc)s<7+$NzE4IXhH2boksy1fOFrWES7m8e zs5COH^H`jCpR>?C+U&5Ph~EnTi_^FN4cPy;L;XVCc9)nqIg6_*E3Z>4eznK1o!fNq zkNAKpIHTw74sPF>4YQ;EHM%_oxUVC{{DbNV+by@L|8WCXU$oIE=$PqJty8Y-HB$Cv z1HYoGqHS{Gu#K+YOB%6tC=ORvelbeljkcALv}5o2|6{VGE$$@SMDmF6^cmd)W3gJ; zeKKXvQvRN#uM*_wMI5m#Fai)Ra-AqeqHWzy%hkvJoXv@*hBk?8rtz0EKeB^(S#_P0 zm=*c6DBMb80tFC#Rj&~BU6*{!`h*WUQm47A+Mz<=hwSw5S&WRcF# zMkNaQVDFgjo7hi6j;%;Du2EOG!@u6bu|M=ExQi_oBR?B?kdtd-BRZFodBkB=bM!@$ zSaUWX#sYIw69KStvgTjfO91LYIkApJFYoVMsnrVi9$7k6V{Nx4guh&j>O>1G|4~cS z@s4rug!v4)E*m?%P-wjbKQ?%O-D`eOGJy-W#MzNb$x26X`mWTIm_S>F+*29T@!Se6HJ!8CvNdikOrNF)SZZ_58V z?e)MU^Crg4nHSC%V)5^104#!RNq?=4m*qh4kdAd4&%^$8B&NUm*}a-MzfH4hx@xXa z)@7!ZW!_YJeP;aJ&Vz&ggpMT7GYD+#Z~XCP?|I7fo8;j^y>`GsFecP^beyOL(C#>X z#tk(lw`Dc?J6>ia(XG1){&$-S-gF5tQ9jS?@C(Rj=GxQ_AcAwkY##0HUl{i@8;|o} zww5$TeBYRQV`1`h4-r5wg^{kl_KJtf0UFLSGcJE1#1Masp2cJeF+5DwZ!xf&S9Gth z|5blN{cn|eWPi=SlPx{wR*w0tGSBTeL`20L%Ukg;mrgoqrD%kVnud|pdNXC07d2Yb zc>W>W&@FE=-`bXbdIML}Hdz@edB+FwA`ZkP0Z_?cczz@>wH~WW>i)^HN4amm9FqIJ z6Hpsdq-@|F>C?HUd%eTElmxx9$)AQslZ|V98t`Tto%c`AQ#!A#kldA+1t(*b-rWAO z4NIInFOPzQJOP96-a}1ezG{%XtN8%mx5(PYPJ3%S7|^ThUUkA-v#(2Y87LDCHtsIX z_!nMZ#x`qqrpzTPlP|~xV9J^hDdkl3uLzis&^YVdmn?KAJj-H^c{w?-E&lV(D3`NZ zT-1KYZ%9ySh5LB7R52;J9c{qk`6j*W5c7^SCRW1Um2Y?`*qRPAHRVSZFR3{+k3$H1 zDDS;|fV=pC?VOEK?yE;h&Ocfimx)>q7e-?j(yBRy$Zsp>MF?({-7;LoACIigt_k)} zAL$w$%-FDbO%=hI@#P!?Uz>`VU!<@7gww}NX9xjAW!L8iWIs5(2_rCMOY)iR0yk2< z60W^_?}6d@yejIMZWFrxxk+FWxmMu9$hg+T5z9!=KwXqOz4DE#prSg{UyX_RyM*aO z`4^KDNX;fZ?`f~%JT2VIr&gvm1OJ-i*_-29??pLQQR{KJMm*_(x!SIvgUiRwk{+tjeJ8ewZ(A>KPyDg!`hTvMMJ= zj25Cmv7x4ae}Dd2mcg8+3Mb|Lk!UHIf5;)Yf0&2BKJ?@Ll4SmmzjXP^`@BJ>N;8r! zssaz0V=@yNpY9)w6=KER#nZ?5?3oC#6~^lJqvZh}N0ySSfxUm;HYpB>YY4sItaN6O z$-+{g%fojfqd#cOmn9+S3t0)TrU(5RNB02-*G{pH2ldYip&bvTEoiO4`Mtvr(tJ$g zIsjwI@xwJAB^5Z#v3O(rZwm8&gvBA12Dg?lyB=9-!z)$kDVz`c*T&{+dkJ{uG&;z( zR1ekwP&`QFsyzSJ5xIF8!fw0=I83mFb?ypRl|BLJ9K~-B*E*&O^Y$y3P8yaeRK?aLi=8^%izsS(n>JA|fIbA5682F?RS6ZK`Q2Lr;Qx=-3T79Xq`3*2rvl8>uVYzTnLv zkl!*JFn6`VBXaYSDC`ppU%2}bDMSxZQcL%rP~1>-TZLK|_hbQx8%9vpMg68zp_G@-tS<%#3ywezd-r*Q-8`$U>B$4R!zS*@8zBTyZw$=wFFik zaMu-2mR2zDhwtL@szPk&$CYqE5~XjztHu9TU*6>S2j?@D7e-LvD=&T~!XQm>`TD1R6raiyaVNL!=oADId3*Fz~ z7c};ka0Bq_?~SibYe(st-y|b+Q>tFxryhdR@G`jb@0|Td1oOOJzKqrwkHQs}pZ6YY z9%`LAt4C!%gGUb@gfchZd_ove<(MkDB5OdpO1@&fRi~T;=PxN#Cf!2hRu3yT(>QNf zAe&zhCVit{=gB<%Mt)Z&W-=c^o=ILtzJBg-Z=CbzPm)opP|MSY_Gf*>m==^OG6n5` zt1q2~d7wo+f-0L3xhfF@RCdmkv4LZBUtHOlDriIdjcf?UDMn^D(x8n*-r#lP%Wogu8 z=Z(kv0z*4(>&g}JmUL&Hcb{gJJJnbo-o{mAdQgjvXp&Ge(9@+wG_hCm@RjM3C`S|p z4sNGgvN8zb0kOz6Yi#p|omp$o)`2N;Rm;Mjtnx`gHA!S1Vsi&!^j};*HMD8QWCwqg zbv|NZ`yzj!C+xyYMM^9XcwAROxt8cgF~*ms^J!R?t<|9|aBo0nU-w;rr{2uB#T$wV zB}JS!OI5-jAJW_@Y2|SKze_uD^8Ii*(%^w%CGqp18y`{dZxI3V6z7MTx1VX0 zc{mqa?U)2s`vgi*@@7%i)=|W}Fxx6I+vYJ3u9`HZAC}K?I=(zj2tVk>LfR_u1*p0T zYP2x$>;9CMx!WQpwa{gk#MF4YlN&<7d>tFh=1r@sf}J9`r{^t&{S@e~ek!{u?nGzj z%YNl6UNp=tZ&%jsF(6EXjBDguN@jYN8Q89V8APu)g)4A1;4JISCa>6^OqZvsu=@v* zKReU7o~FxZcofv_@E^$UhlT7mSwf-V%?@aIwrg*w7hA~1 ztE~CGbjK|&>cS?sew=0X^=Ewu?bJ_&it{OX&2If9IUth`hsn?Ua3FBY&_Ox#z+%(> z!>HY7L1*3*WF?Sq%6Q}|ROM~%d-Nfk-l1$2%L>rlg0ND!YK=ndK|0eb&(ga~Z;0F|bEbEYm)8`2Uxl-fYq*I-a(jL}Q52wU`ij#<0T%z^ zTv5QsSJWFQ>h7%ffi{_b1$4clxcS%31zrE885J=L%Pyad=%eyrjW*x!&SOMwqDp_m zylp-!bn&Kr-Dw%zQJN+L5qWeJmEPVx>SJdF;Gs3*p@c5eg!WNV^nH(;|ITyxMl3^! zp<~PY|Z4w9hIM~m#(MUA~#(MEYT_gRI0_O5M0}j(w(aW zkk4-|*yDV}hv!m-xS|K0`I^7p7Qv9uC`4sv;br;lCidarcN`Cj-iKW_@N#wv>o6)9 z!=$Eu&YYc|LS-zKp}WT?D(m4KV(`Uhx(S+(*EjeAAFk=_Fw#`zE`&u;l$t}mXemQV z*b6-1nG~`!kp$9r>b$YKOEg8owu$HX0aKBDJMs7cQYXataXypL;-gwYAxV7HqOex}@kd0+H2#z-dY`&X) zQ$R=CpJ&vt;vkT|ekWds-#ql@s}5$OsYbQ#;|X!Ch^fUVoQVkC6&eAklRj#*O69xv zGPW_da9O&=3|o&EppR2?sg>c&XK4kOaIf#{6@6j%Fgn+qx%lo~2wD|86B4ctAY)Qs z%~zlV120e(rTR#}AesiDh{7FU6tU(Q#Nbm6Y)n?c$txV~W*!`h$vDT;&vGj3O zGX=dG8HLi#kko0${Znsa))J)vq%2D;S5-;)r`bJ5TO?RCyUEKknFR92#<|fhQEN6V zAu+95D#VZe=#IARB5JymyixN8Pq|w!?Qf_hagFSRV1LLhyLPP})tsp=y6fs&P4uaQ zuH1F$aTJqskpV2%R330!owEp!fDhk>(@m%f5l$=`*Yxt}Bbx7DEo90+D^1Tc-;ZKL zg7Mza%*#DGZPu%7ATwpA^D1R z;(5iX$iW~VTdO0k-j-JkNKTAeT9n;pHV(aLioF&!Zn$yE_7%bhr*4x`;}k$s#3`t8 z=*LqZG_RuLf9D=~0^_66)27a~7afc?aiC&bDo?mxL_NqG1CSu0%l~=I-GqHWl@M(c zJMieFRcx&Pc@FMoC5(J?cG?xfapd7)6nkK(+@bZ>qJzXKPRkI<_dVpLH2ipa8>hKH z_0IbvMZXwBBV=*oUl#4=;gDGFbZQ66&?I)WUnBitpEoPO& z)kWIwYKO1*0ACXHM$NlMM7xz|j?JLpwx2^OIJagO^rVCuprdk$O8e4t^9Lgd|RC!%zx}kmg z=V+%`G6;8 z#P==7SAI=1A)%F2*~#N&Z`>|2L9?(p^F?g!`Oq-(=f6VT<2Eq6?%afIiW4s4_)X{o z+B(`St#Hb@a}9+(=i2tU)I+`Y20~SkYs}>#NZsB#$b$4_%EJ@+LR(d41wmJ=qcilo zVk26RT-mjHMW9~tDkNvy4UrXSD)^Y4m-B{YQEkYz?OJ_ItN3SAhwfvCZ~W`$Co)Cz zB1U6SDuew=4^Iq3DTf}{uqDIo>u1@}9OR(%AKJx;YrNy@fq%ZroT#l)wfQ!7 zON>-Ib7?^F*9*M;zsjUH_AutVsOJ3dIn~%|0!_&pOi!g z@a_;l`}I`j3F;(vr&L;n@V|Cr_QCGQl$4m6vv_new^=Rzh5C_qYe8Zoc*U2Hm zG}&bbf7}-oLkP19bFW_qIe(MusqXBbikg(Zfp?>A!u(E*S(!UP3%-X!LLvJXucXJvm!P>tX^6b|mx;vSjy)7lkW! zIK3kpJgE9&GlLG(q%eDsYvyldy^V1>?zGxly8{|cbJBfJx6R^F{@j`0L}=ZK3#G25 zqFz&-oUpP1mMso=8CaNo!2$Yf-xqvTyD!MAzL7Z0pVt#v#3?aP zS27KQNiW|RHx_Hz>U8=RPcno@hip2M08pBHL|uofqvv3Eci;ll5Xw^2tVtfjQ|D(`&0JD2 z$THd>p-kk=60$xw9#B?~e6h3PfYu7%`IE~*ssPLt$!EOJRZGCA_$WR%uK*O^I1_K|?PXW0 zL#yyQPj{o$!u4~e9dD#Qt&iYna)6teOywpT&5@gn8BQ+~x^sljX8n~PgwL(On<@ea z+rLry!pD&L;|{(18=Xpsg#l6-X{5DM;#6GD(OK5T`{8B}et%)xFKUo@K!f=_d*1IC zQ;XXbsR=s145yNV8-a~_WMbZrr%dF{Mbu38E3$;WPM-Eab9+wj3EujEsa|udm!iI@ z3y%byD``I40k*sb-&OGe0^XXYQD9m}w5MgWY}UF>5TzGQ>;dXC)lH}5_xTL( z0>~N+o=WKs=BhcwHf~Om)(vJ&aA@Qq3fm{!iVXb#483OqK^!8NS+Q9Y76+Q5qpn?^ z8{~xjR3@CuRebVV1^p``u`K;(I~Y+v-^Rv6pJEB7GE=59&*AGV3d>mC)~|G^VV`{b zPIUH3)(?SRf4tPbBu8V$s4aRcSF45YQ=q|{%|D)NRiuBL6Mij~Zf?81Gn0ymyN?o( zk*^QH8EVTg2)nQQ$%NTe{&rf2l_W8fg!$!#pCE?j*Fq74HvOL@r9r3-nNTEShEWFN zc{-UDFr4dVT2x^$TB!SS-~cG2&r@GP16q-+u_3MWax(2w#Uw|aOaCn6W6F+R{9Xp* zVrtaw9n$ut?%Jbh%+_bb=1&ofG95VYZ}byC92|LWM-#mV)6u12So6|N@rXPOuH7%2 zmGrHF%`qMRDn_U#kXb$P{z-Q8OB%@F*J8dQ3f`%z+BAy4ov*w%seVBIrvHQKkntOz z>A8_2%NOupM$VNxh3YL-EK)GY!Zej&@Z^DnczjuTJ4)mv!PCxcSbb=>9XD_XMbu%(EjB41KYV`e_uZo-=u!nM!R1t)km3q@%H zT<-%CR?J?72)AC)(GqCy|I0kC$~^$iA(DGCmb!$ zStyT+mFo8UbGENll;KBx#56AH*tmPW74#k*rU6%X+?PQ+?LTrcCo(&!NjnxAS622b zdJ#kUKM!d_mQpwvEVCXVtcfF(Q{OP zv?Kch^pIz)lpkn2AO`Bt0PGvjgms#RK-BfjRHXbDh?CAtPtZB~1G-um13?es<#NF$ z+8HXG>4^4-R9ZnAfXsGB6@N3FD2eXA91-eD8x{FX(IFW;!j}?mcMTC~C--Fcdchpx zmco;JU>(^c555eJQLJ`Na%M*Ir~3I#!H1YX__j3)0=e479G ztkE>yODX>VF1VJeMfN8+!jtZlazv7#yb*f!a|8F`^MCH3J>Vb370@6WqCV)&3>Fw8 zN?_gar8wiBNcR+s&DL+dpgsAk=hIrBngl+)B}_8Hlzbdz{H~^bUnZRvRT^}jvm-CW z>lv(SoB*{L0F{zG92kD8)wKS?z^!o{_|ZqLgdmm+xmM@0JL+aaU{YneGV%FQKt+7K zivWnM1T+qAW%s%X-l9gr3)J8EX-bT1v?2H#HgJk}fpx!S8`f~Ead{0B80NR$$I_nV&E_$3mT)ipMiFYqt=9bXY{6n`ewbr zt}|Ln6}=1J4VmTfh`fL_$N|Nl`RMxV6ti<3u&Xe|$1(WJinqiSDFW1%ay;>wZw{#I zQvLG}xvx&pmCu*W?dkjI-ALHy%}9*h&0goKzZf1>O~_&0IDfll*v%6Iu+1egULy$z z>1#igoavH!LFqt0GAy7}rPS#R8Ou>vn@ z0PCM~dfV@}UO>q8Xf=U7KmDHj#m0<~b2a}8<4vii%e+{P?e@!!KGJ+AhxGT^&clR_ z;)Ta8vM)jOZ=Oio2I_I1ITsj2BX*zWk;lN8!w@ijx}W-;-kcCaAWv)$*$vUB&;enx ziD$U4Q1(kPDKbqmC`FwhWERy|kat5fe2X=@A7u(qYt*x?WzF|sZ_@cblw~6!`iL71 z{z%xBvS^Fa{nixw;ZF9&LU}hi5S;f(IrDS9Z^V~4F-%H+LeSgp5v0R6n5Wo;ARhta zEAXEdmDPgrg{!Pua1qRBSn*{ClgU!h7I*+|5HMaXhl$p>+elEV61q|SQmBBJ-de#= zLkZmg1k~1_^sV44f@iAsWi8UIqIB>Hmr`KpD5&8^KGRp<;qhWI3JTl6&4cVg0N6 z08wznhEmzdNy!Th_o}Vll?C^Ugn?*XWOvA&anNVM!`7L#O@#-R-|c?hL|&)V`=PQI zw0q9!FY1&L+DI?t{0&vxaMpAP(;Hf&#oGCwCvGC=<2{(>P7lew_ZVI`=)1pj0^Gmd ztFUtK->|aY`lB~dq&OROv~hH!6Sq;g3H^p3t`pxF&E!hMF!rqr4D!w~9(azDrD+h? z5mO$KC=FC_pC7H#rgy1?y~ce=2>&vq>TkHJg&hdRzEHSzp@<&rNc|(XpbPKTi$yvQ zl32q4(s5>wSGW=cGO(N>UWQc$e{VPXjuT|MKR@G4ZFhp)g)v;#myI-5uF;}Q2z!<; zwv%MyVh>vM(nCFbS#ET4;2w1&&niLucJzpl5=1|x?|+aVa_=hT*xy&(2ny-OA7+Ug zS6?oc5@{jb*>caTg~D;dS<(5>>7Q*c+Ex0hajv}I1bbo~A;d`!cp`UE+4ukboZ!$W z##}8-Kh83^n<_6k#J(c+H6Uw@ zHVcJk8S;$GYNT%*W6J6^aCL9?&G>E?N*jcsT6bF?eW za6m%&NVkFffJq5_uBU33@D@AbmkPvI5*dSp-cS|zhHhYXkRIhrK~Yd6+i+gq4Me8z zmPfe8B=!e6azWxw zgByT>ldk5Wc{(qrp1qfl;6f6324i~U&aT{WIJYnq#lmU#C#kUa=5~SASaMmGz9n1Q zOxQ>aIn^0|$BLLvl>vWOWv8z^v|{BfYP$A{=Bra{%lwWz+G_#TE$VlT2R#DX#{Id)Dp<8w5m7s5A0VWq0e)xv$ z*Iz*Y`W%$idyMP7P4eanRv_Q+PIdXn%1Y(VL1x3^CDNl*nu*z1_>anSme29@ysFj_ z(J*wcy|Y_IH=%L%H2u`vh^H6nF7NlYGd5kzA8S0`Il`*G<{A@r2J~4(cq@h4jLWjy zOXqU=4kznaTFxB?e7^qA(t~O9*@)ta(B!x9j;o{x|NOy$S8K46Z$|ai+S+3r2akJa zus#xrWZv+fe|WpE z8<>`!;;kg#lA)?1@9ko6wv(KD>bIhOI9`9i7!VM>Kq{2&edA6Hm?P2IET#GTcm&WJ zS2=M>YhJ5gZ2U5%l|Fjqoy)2EPki&9Tvh-5**IZQMw!3>o%!NzYzOc+)np}J{acK0 zY>hS=k}VVKa?)wuqiddB?so6J<%q$V`#??%gBW4gTu)LXJNuTAvdIzK?r zTSpI^zC@@G6{n^UJA<3+H!!w)2(&hSap1c;@e^FUI&o{cYJp4gc6&e?rsyKSTzh=| zEcLEs7R*$mIpy8WHNDZ|{#^A+`(dT#Kcc|;gN4*q&R3EFrm3rGC(mAW=2d5P&HE>Q zhC-#_s}>Kcr~OP)o?W*ZL05W9hMpY%DIefCZ1oP0K%D=_^9|%!z%jhzF!t5mp|Op{ zuAd#wDSK3=w|YsIfsRA9^XKVj$_@oX`3Ic#3IMUSlj+}(6_DU_z`ElB+UuZPbiWgM zKRc?^Q@LAMLzN^lP{W_-E6dPRH`K&fe;60#m@&pw5;4X^h2UGEY}1OlJeH}?A{Wp)3S83@1Ezv+1BF;_omlH8!7|woa?IKi^WrJbh1${Vmf;U=R7V&#yed zLV_>%r_;BqaIxj9-33TvPbxQ5=kD$nHVl#^O79sE4RD3$*T-#&ZyEuNyZ@63tQz0k zID^6*fw0}%cvZ>P_)T*Tqs=ALWdGDdt-Fs`z!PBp;li1`R-l-Q$cpVFyp9`UnM|90 z^kiIVeALP!TE%x@ZJ9KzyO6I45BN4(OnTiST+|Rh=x40oay9J9`NLTC;SsOuEcsAp zHSH|9wxoj%UX%ezZaoCdt_neG*8#heP?!)+K26OH-ZwcIOO`%)=Y;aXPcyu^r~KX< zefp;{3S^;4(~qAQvUn%rys9IXZ9x%Oq3~q7#^Mm3p0a-tQ6*1@tZy=L17zaqOf}{9 zWQEC#)Jc1O5D$D+ELWUmGinaayd)!d`bZ;dAqqenN#Gs$6=vNqrxAu97=uo?KocK_ zoZ?;|b?DCPnQt9OMb})d$z^(>?DmFycUe)?G6KLi@SEp1mmaGE`s$Af)!8sJ(SbR8 z)UUh~(-|1^@RBxyxn%yI-uool=5L%Evg;?Blw6{;fEA&cw-HLL0g0pXnx)@iSUQ7q zF+a3#t5^*zZNQ#|v`j@@I8nI~i=^`uZ#%0a+q$OWd$J5NDEZZS;of`nW(z_P118{1 zw^2L&b#}#@Om*_q|DpYd>@JzFXl{v^0G41_nzGq!K0@|nZ1{#;)+U=5_cMaX&IMnw z`Y9RNPJET_?2i~_Wr5#_e*-_HsTp&-K@e>@;LXfvTBwUZXkcrG6hBLeO- zZVdN|bq_pRUTo;?25y2z)=J|4^cyK^owwOJuip+af@{PRUG&4zF2r%2`` zi%L6$p+wyxcJ@ZGzNtjO_RrWe=%;(QqUV*af-HYOn^01+`l&rn6rF9lA~|>JP|ccd zJd?$qhaF)3hggf7ddEMH)A*?4)Hr=>vklF7dACo46A%ycE!>=g*(C@J5YkQ7lm-Om6zrK0I~MhCg5VyXQT{^e zBUwsH`rVSz{OukumkQn?no{9BuTX+m$a@FG;Y<=+Zu+(3rt@ zx2_~}g;``Z$$X<_PJRGG{_vRLy08-mnqg#y@P|#TkoP~S(!9;*?f%3lp!7yRI(^ED zR@QFnm{m5SWN+BIlx~$$#zq-zVrt?u#zD-SOjDK_W)=~tYp2wy=4$t^tgPy7k7`Lh zD|y*Yn7|CL)@ZC&_@?>`?G5-pf5)kNsN$IiQY#t{tK;8UNE|h|om$3Nstw#DB))vf zuWGX5lWlpr7`R1V?RIbSn>j(Qmi*sl)ssd|=wr3jI5H<*llaV))F?6_tHaEu2^rd; zT`TS~NM36o#5k9&-oSo)puo!9gZ04bkn(MK$zKZKf*h82es)T2mV@r;@tUXnVuWr( zW=G1inBV?Y`0ZHvT=Xa4OXiUMFYf6xYe&bLnDb z(8=a=sb(!Ma{Jhxl4(9v#b@dfS^uzyvqRkB+y*@gP|Q|;Oj%X`SkC4@uB3IWuU(3HpH#BXNPZtF0G5Zb3CMVQ3=hG8v^^^5qj*K;O|L~tLW|}xSxGZV)&cdy{ick`J>T|Ic`Z?o}oA?wu2IWTQAxtO3Br~_& z^0KpW@7lLh8pn9_Q#M@h$i7K3_#S7=9QFu=TmN%|NhDvo$3@b|o?ythPrrpVWPSrx zo#ShUKgG2!)*Ls=y1BQ67l>M*6oypgZ+tC_ri$YHH%o1yTSzC*Tkc`2L&i4pR70!d zhWf!%M-7xo6;{ESm@KjW4UB=fAeazj^H>nn@mt<5*GQ@vmsm~hEQE4_K!D5Q-#5nV zkt!a|>dVX;TCgRm9ze|MVxq?0s@~SaUfJ>wZKr66_^j5d{qKQH>3(;Mj2ha&NetUU z+S)0stCOmg%PepDD$+1-`ai!c(7a77GH#{wG6??lSfIhW#r`yxp?epJjX>9>{hP%m z9%uvI(VIOy)vzaVD|Sx{M5iz+c5ezuPO26db>e6nMMK|pvi~9af@f1OMQfNVU6W6s zxf4?$>VbxHb}UU*#{P%AvtP;0!zut8CPZF5JYo1A96NpOpU!^Wx_yTf2F0||V^u5k zMgA-HyQ=3?*vuhax+uw1E_)SUE+)q2Z%Edn`#@3)s`5vLNq;@^ApyzmFo>G`EP);~ zS8;dVYtdk;>w5_lRO;w2$Umo!^~Bi#WyHrZdFMLUOi~x5?e^Y{#-q}83#R9N0VA&P z1lgwqS;X?%8P-2sQmF@d$uLfzROBMjjc@4hbqI4$!gIF6?NEMq%UC|9UKmp@u#0Tg z?%5kLJ~g*ZHh)*Xr=X`EIVY;1+N4RV(n{_F2 zQBw{ih=tP;Wk8*wWDgsc(L1*o3{Rk08vmO1G!v&b_%sry*0O43=9ZrIacgJA`HWY5N`Yt_vBxRix8L9GjJ>lXesz;P|tPUNazD*B{?$>&rOX)q` zV_5$fvgVMAbm)E zLZHzrzu$7)X7|I7moB*0=d@dRXO7BD8u9Jg`W5v)|F$xzHJn{In&dXHShyYz+U zDt%<=ME5{L%>H>u;c$FOfeR~gR5N3b*~FG#(F&xT)b?NsYTduVLXKYBJB8gL+idkq zweRq%B{W9WY2Qr}aoe&v*=YptW?^yIvR$}foThsDeUHGUp`sQxF>A7H+B`nh5g^su z_*X@gg;izc@NcZtyedTM8vIu=;mXa|&wLTRZ`eU%oixApmi8jp+?e-1tw{m1TN|tq zg17fTdv8=zM(H=Y)|un&9(8<1ey-CfO&3+0s_>LR3i*a!PwzGrT8o%)_K$b6{ZF5c zw}kfY;{hF5&3qd?Z({4fF=btKW6(3F5-{Q&bgvVA_(%*VqP5tkv!7}F-d8_{{_ChZ zz`qqn*ZN2ocq4m$A$xu!`@9#vhW!aH;~8y?`N7K~D;Hr~MaFkk)B!~oxx0jV=Ct#7 z)`>@G-nkG4jTv+q*h$k+Msvj>C5-n53gsXpE6+sFI|DWM(6|KATu}mkPvVKp;E9aj zi7erH*U-2`(761eamk>$5(n%#NnPkPP6~r+QS!&2w^NKklu<5qW}MswD`~hfQ;zy8 zo33uF^rx6q^}?$fT=AW^Y9T%EOoe<1EPV(d2!Htj23uNJT--Y{fxM(JI>?>u#%{RZ z03PgBJ0@UH60jHWYG8f@?>6kAzEI~)&eknSL(M#BQ7 zj=;9I91*r^hYl1H&W<(XeNyev{@UeExdZT*k>1$iMqmzR{drr3*{6azpn{4kufU%h zZ=S4)d5Prla}nP?qt5yfm1V1-^kwG%HCbe=AmLR z(;rrY8WF#{uYqO`5iZ4PD|{6sWbLEFKa$)+aVMybAhs0b89MyVEwES#p60=`mHsCs zT&QdwBpfLCfiVydm73)zs4U_M(T9#A(iIrd3d4bg(YUnJxcs8I;sop|0ruFWP85b0 z0vJK}bf5=15R7gU?f1sY%N2V&HU0*IIfdVT0PQj4!5uz=*N%zb9qc=_vM{bH>RQ6W zJ)e!Z`?+&xA{GpG@_bZYBh?Y;E}x$jseVjw5lPzMM!De#I)TIOXmvXaf7!dDliWn# z@vYPFkQoW7((G`8)q0ZppAve|f0D#gcUl#Ix*Wv++DJ=Y~ht^ zaHQk%70cQaa_oXdoG;KLmfxXqcc8f-3rBx@CkcTL4O~nW!*-Z z@PO<6i>fe6gfPCsTY+ckx}hZ)an|lg`ARdUvhGmv-vX>tZM}TzHNk%IQvejAn^R_l zuzE49lbN<>Fi1DDFZL*+0(FGK1G>qbTg+`y&>0| zd%_%_3x4{(pGSI_b>?ORMGR5ebT-+xnq4|#v`XWOK^G_O2dDFkj!SKRAI2v`=iK?) zT6SEVnsA*@M6DNGo;Z%1_R6x@0MU7I844gU!uGXT_Zp95-(L|gnECHOx3}@1bK%ES zL5-M)H!(M%y8UH+>qNt!rVG5SO`I-~>@ulW!QKD-(X5nZSA9}ypVy=BDe=5_5)*GF7SX(u zumUS04`-M+&5(VnMoUs|HKk)^#HKb;68W~QWdae}6U4vsMXm_jH=y-%hq?_-m9od~j%i!v z9;!kcaZsGvZ6-QOY_;#0;CB$=p-o%^gNsyCZ}b*3x_BOyiFYk3A|Eiq8!*B{ zD>)pCV0!E{mi9GJTKOrVWW&YiK%NpTPkAV0riZ0hx72>)FG5SX>~&o4=*(?|enLa7 ziOVV%53Th5Mbof`C(?(fhll|ss6#j*KXLB?Hu2%Nvf;Oi;SIKdKT_$?xY}0R3h=*zlpKu8T9^IwoG8-AuJ)k*ON9~lDri5c2>)q$RNSe zi-4l5Bqx*Jf`ogiK7~Nua+#ols}?N;^i))+G`L(I^@M);u}l3jOLOoBxMp(NTpl&| zv59TZ-Q%bFwU3xgrgI!sp_0gJL`s1D(OzYw&aag~T(o}4&+bMUyswoNgGHX*9sUO46J zp3;X0D?%nb9P%T_SN^4m?KfEX9z@{3fCtN>xx$gU?=?G?+B|yNA50BmJIxMINk`rR z_ibYJ8XR+;|;raC5=lx&6P7?k57uy_e+JwvoHRMtMs2~^uliZpARPZ zCx8&Om*bCv?d;uqAIl@JypI_299+1r3M&t&3RR2-yp0C*gSQw4`w*XEk^rNI-A*I$ zhnHXFlF;3KhEryY?hT!iaLbZ|lPWHhVSKS|5)|6mz# zEUyfJ5C2l|?gd%bDVrARxFL>buqh=W2Y!b|V zuDyp%U*^+S#bx~Hqz1;rB93k%0e_#zs`K+qD*lcRi;2^`Mc!i5#nU@-e#;-OMWz;a zT9uA}$Ba`sN}Aob1$RVHQP4v11_`?!j%q`*3OjH@o1M>) z;wxb!lws8OyH<57l)*8k9_s_~l%H~nRd$**b~?+2jco`d15c;#hiP5~%LD?Rl8f%~ z2R6fYwJ#EBRQLKs$LM?*?TbUKW&C~KZa%mTr;6ffYQlmNdvrfzW+s2~fNKS2)*sHXGi zr=7}3#-XCpxf3dwyx0v<9v}SGp@!xmq4F;O^XR=Xx`*W44Kke00}IY}uMqrVTt0P; zf4Ibg9y1z2ral3oT($UuSC|8d?EFwN%`tktVlp4v-t|=kIJ9)L^&&y>n)^f@I>ISw zTO_{NRK4B$rnMD*!oGWM{hgH5(#wFDCIT6|AmbQM_IdyR1Y8HB_$vJ`NFSG`QC9S& zRR1*am=-^YKXCmu{4b|C$v-OP|3}Kl+h7JaC#7Fc`BESJ`&r{>NzYAjqL22n{D)H9 z?^62gl#lg+$rt}hd2K0Q+L2?7^~U-(tLN@W|1r@=9b-PY#=&jdnB`q%r)wgsUcN5u zl56oNzAYxpr@Y+{(T%w`!|@Hv5E){$9G`Ic4U=T?CkE8od+|sNQrS& z3KTR2f{l=%gb*Yc6G0({_y{IgnxKDFltc+8D3A~XK7MHUL81m9sKmq{1~7WQGjnIV zEzlAsxpQ~!`R=*reeQbVLD63bvFX>XU(zJ1X=IX#Agx=0Zsp7%l~vOXn&||dulhNU z7pvOaHm_UTwz{Q!UE4E_*v8MC0!|BPttTg}B=zNnrq|Vh_3ijo7x1gUB|p#3xtboN zjBFp6&MjcNq&KFTZ92B4TYm52&MV+tcT3Ky=NW0s>=Vz23V7Dsl&7kt0@Y3Dz%lTf z9sPDTo!XBK(WFr6$>!{=eKkbVT4r}F1WdSjQRnuN1bc3`H% z6F^=d9D46>dUZd(#?k;5!9juN=vGpX=XwTQj+*vf71V8^g%hnBUqrGaMWy;&so z5^ck-ji1pJTc%5$!m=1ZqgXsn@7Fu#{nAyFPzEA|Nz*9i)2~GvM%}=QG;~r&9SL%l z;VUD>45Cc==dF|HX_n#UH6t>kgo6K+;bJ<`?KREyPtz=e!LnVm8?clax~Z!tHW6?F z4cNxi&4liDgN|V6-yH)EL516-G)u5ploP7oiG6TxJ``+Ab9Eo-+*RCR9E!uwwH^Uj zW?Vt+g20t!8e_kBbMg-4pY#pqJ!%Bw@G22Y=>6g4x)z9AMgy8fzKP2{+!-Y7WHZsj zXEYmrLhZ5B*e5NbIxKRSNuKVavoRh*jN@?mdYiQwOH!o5L_&%#5u@q-?t#nKfRkz# znnGpuiW^^I_R@0&81B{Jz|UBY?(3gy{~RuvNCSGNT{{^J{Mf5q(pQ+wQ z%1PZ|jJ!i4Xo4e1?A^q2KyI*&<%m#&S=zFS@1E*vteY8`7=+J2@do`U?I?W_rjw1N z`xa%EC?|69?rSwPvE6WfzNeBXk+V+`A59by>Qejqfj~D!IV?&|W*yp)YASsvIg;?67bX zC9Hi9FnY1_++4^YGu}6-;e|+LW{l`Z2+0rO&hZtY?vGKOUHI_DE<#L?5eh`{oGNKy@9MO-@R*rxFF@REz=)MzI zaVRiIte|&|_;C)t(4XPo2&tra<|?Hwu_VU-N)+fw@E7GR|R`GVo;s;gy!rFy=24PlPPs><{5pj3q~ zi^&8S@H13wqJ4sW+QT~t(GZ@^;cjC7Dt zJ-x9!|2HJdg|BkQmNnpxyk_o+Cc4rFno9S&yFI}ykRRFKL;fz#MM1iAEvy19EO|zE zE$;f9dqp|%uARBJ2$3PXw@H1?PUXuwyF)Vw5k}}F-nHl1*5clGd5X-D@tXMm-X(4ZjI^A{owY^4|jdeWX|DNKHYWrENKQC znO-{Z^%Z^)H;J&hLUVDRhR0?-H}_y%01wDP2Afu}{=6cXqLIuqR{VU}j}zBNKD8vNa=P=U^ve z!+p~BGhLw5D>=V{{s-p z82<~IGw(&f8&&vaY;&fD zZTI?(cqPEGsrf~80C?rG4GuM>&ho%BA(4^da5Ups&dxieGs={fh>NDBfy}c*7pKkv z$rQWC^kr#@_}hek3%k|DLODqq)N7Bqop2@{8O2}XgYtd9KX1z*_(=$FNxx= z_TIFsa~jIUKAICU{D%ubXbpUzA#$p@7a%6di@Wb) zi<9*g--{RtzbL3$VV!L&CoRq@aVGcN=+%my3M-0Y=bvtZIZbe#*D~{c2sh{t+mA38 zIMXT}EhNd0P|{@NCAMKa5!B<{QT|q<#6)9`pm<96Tpn4RRUU3tDBKkDBfqz}@F3vi z!T-*XPWmP>qP$XRQ#~bR-l|%D-Yw(nsQh^%cGjS97qfUB1w;Leczu#72A{0FUJ>5y zAR8y0va2QjY`#?>cFthnZ$VSI{HQFJ*y3Ho-?&0L8kSf#IYp*SiC|n`tSG6`ut5q+ zCzViF#mYa3Ql5W(RC>~uJ$G=e5+1K3OfmFih~%4qx+Iofc?SV0@Fio=uTngw#T>u3 z9_$uIi0cppjCcYbUd>=7R2dYy;g1b{>tM2LPHyH8x!-ZQ-qgd>&JRJwa4et#E`5eSsc8&uM-=s2#Na~tK@o~# zW^7Xu2d-1L@BfDtPsqASvmLW z(99Q9%hZlXMGs0co@8i*U`Jm?J{S0~DR#$jBPh$Va)#y-x zU&F7{{o?3&tuw^_R#qnTCc&8-k#Xq%E6^9a8(>p7Uyt6W8EF(|v{%8gG)dca9juI* z<#9-zN6~2&-;FHka$W*O#vPLoatZEsXtv!+aiwrN7Ml8I$%VArRpSgXby1+Ucgih0#;o%meR5?d(q0aBwy4J#y zDy=NIfyAzUiMIhHrLqi&@DObvgu zi^mAffV85XiQH5Ms}*spK6pvT8AuWZh;uJvRNcy&dRG87^24GyqFvu=-=|xTpYK|q z%HiqXbDcf@d=%SS+=y!ShV2vA4J;bg3Q?~L{{n8OpArZF29K&t-o1~cXTt(^x~|F3 zGSLsF02&`rSsczsf>DEU`CX2?o zb9m2qkhuJwsk)_hX8I6E0a!{ssoit&H5e|f`2r9c)@-?qy~u3?tQgvW=jYCrtK$51 z%XHH%3M|DJHzk1zW_+_=e;+^&SnUP14b5WhUAH7T$(l)>`%0}!y4~R~WBiRa!|do# z5eghRPER2^t{de-h)c^um--zuF<}y1ff#jV*Svri7b8PY98DRDDP4-NVSDbCXa31KQ#ZvtLrfbuqxBzDAik$ z*LI;=3o5_qsU)${iRF$+cG7E2d0bPwk?fcneGxAtCCPUa;)mr4KDJdy&V;P=tE<4pk@CtuD&f<+&@e|4!kM{Hfko)7H?=%-^QvOG;YpBcq zhR>b6=N;-S(e_)Atz*&6`vi_HHd6CyeMjPr7v8IH%ZE8Q9NZ_B`#ikg_~Bq`s<99U z8lyCr9jsRP7Pu4l6m~-Yj3Im!Fsvk&V|UwxIMz69QLVE9=F1(XT?qt9hE9^J6w|tnm2Li9tIE!27=OVKOM%adaV>gbE9C5(- z&(}DNqyoF4t5kw#+-6O-LOHP^1S$qD`H&lJ;Wdk(0by&i*X>>0{Hoj=pO=vXz>U}7 zGzx1n+yD+;71-n_(f#mJ-g||f`g0R)BfWfpt)rHK)THVki{~tF?xh7V^VKFoG&3T; zIMRXF6?8FTlJqR@oj z$8YpMO5gH%PtU>6-#|w{VptqkhHw6X0hinJz!XCZz%VfT9T4!g*ms;08*QBP;)IV0 zG9CXky-)`1)#0q&aWjhJMFDCZ=~WMr7)GhL!-$<-p^!tW9tq$Qvaiv5&C@W zT7DC~|CMO>>;JT+aZH1b`G3_JFSHj9s{Eayyl(PAtN6*!OsI|ZJ|CD!qE0-=ZU3wL zweO8~bpwtX0a43fbVhOTv-)P0O_hbQEdBCkMryIJ-2QBh!t}HU(Y?8 zuNIXu|ANEOvP0!6Pf8R;jcCPF6HBFA3e>-Nain{|+!)^TKbL=guu3-n*-7GKU*E7+t2vWv&enexJ0 z@HzJ>uZDeeFC>iJ{Ac^-2Rne(sh8^3mvs3cW4WJITq%rvnQU*kugtAC3FA-A2hfLm z^AuClwtMA|m(h1`Je_kNPj3ofjojQuuzb4J0rE!(s0nau{mIGp)z#h&9qwgs(!tp~ z-kS6TPw8Lb-uH9Nt`FT1-ZLg{(*Oe#$R{0O<*$_zu2fG64ELe~l7%|ol7~Ur+vV7~ zBxPFtfaSCdB{mp$T$w%t{I-*Ar~Q?3xY|Ug%8QGR9>X+N5*!&wAMzyzmFvqYZ>=Ar z%<)T+HD|2@XjL&?g`*I^3nRK9b%)IB7n)DsFG6|Qz~8}NhjbW;#wY$@`~(l+vd60P zW~9S51;oQpBI>X2`Z!&G1G9+8sf4j6M+W{bY0j7x-ra+!{8#B@7c0KN85a~uJc3II zpdHMgjWhjuu=7J6JsM5zr28w;mtm06(91Tr(jk8Kk^#ZEH=TipAB?3Py+FX!nd<~{ z`Ja__AMFJ!Nj;SbDSvX4msNu)RAeUX2n0o zNhN>7Y%|RZ$JiIB>~CHAjOiVI?~608@$&P!TTeB3GV0~d>nz;KE6~-$`jfBlqg5UI zDh>LXPQnk^3Wg0u!w4d8S8b=^E_Xw%&%>;5z^pIAtp9^qpM_b!fN`nR`UN$AjJPzr z*s~|AJ>z=!rykdS5e7j^tx-E|3U+`fvpBrQA(f(|$2ju%C55iwEVJY@A(LVi)Nit9 zgj8*nRRAC1jt>0}2(zVY>RiJxh>rNzRd*Nxl(a}g=I}|w{=5h|y4HZ844f^tVLtkb z>qf#|K4bN`LhJX7p^Bj})VQi1W9V-KJhof0p`f+dI$wc5tEm=fYk+|ao{+F+dn9z5 zbsUnV7CONys~?_P<0V&hp^7`kpo~8Q8C)Tqy2+_H>}QVj`@vrhzlw%EOU@YEW4fkk z!hqb7mMpB8_%K;WbTNO*K%q5KLUvAyjF#hb64-3uO3ZiZu_h#z>}*th?RJ~*IBE`? z@KW{f1Zm6E`#&5ld=U|l82sXo)Rz5&zOTRrd8V-r2jlSj83oRaieXR%6hRvTtfyWP zvQgaEGu@pWs^&1y;mJT7;z4hM4p?5JjRzMv3|EtAZ?qcLpYClO*!LHFonpQYrk~g& z_Fgq~y79v>*Yloz3< z9BmFMo6nYRqv;MXIV$fFIY3;gPR~h-_dnZEh3NEE&2I;qec@b=n}BNj4D{VoHbT^p zro%eEkKnKl50vRwcQAixx`ZKK3JpZFkq`}QF320mP?@=KAKZgGDYMwxE8E(4M$D!u z#i%goGAi@M&S_Y#p`d1ezylBnc|N456J{;{Orp&f(6bPZIplg-S0}7zxoi#6+SEkp zZwOsF%p z=}Uco2>FXwkY|&Fr|ZV8YW~v@?lD&Mx1z5ET%7K<((rIf7vMKU&NpKW&glyAsP8t> z&mD4FD=i zinARb#?sQxy&jf(89Sj*up#i4T3tKnLH1=5fS1@_!n&F?PTr<>Bkp-ia73T8*+Jm% ztgj~0h;_}ntT^ng?$adpPLs-n&aqIQ{GFVmg0GLo{GA>d^zY>YVL&usFvNc?h%V(rv9 z_FHnHBp#7LzYgYEVg@9tBs&8F&42*SPQp8XmA5mJr#-2zw&|ka{>hh+-SR2kc|5={ z(S{cv#v8~mGNJc3V57xE*KU1_4U=n&8DpgHQ6FC z6UZWZC^?N@i-&FunzdV9>}BBz3xi`7Lf8=@=g3_mTS()=^b!#<-@Yl$Dn+?!norlG zC#iVd-x)#`la8$2}M0a=&Gya~dC!5}YF4-3?+6VdWdjaej7uag0^hhwA*w^4>9U zXK@v}x-)j_B}HiZxZWndyt$A;wq-FSkUcHg0aF4BiuAFDhgI`{eYe9AFz`AW7vic9 z_*U0(jrx76a`E)JRxMEZ{Ibfba_-!?+G)z?rA5D_TXz1>*Q0N>HWHu*w63*8pQ=JV zb&GLp(4FX##83;p}|qyOT~4^4LYq)|iGTgS$KK{st2 zB7xpIixeGyc0W2Oo)G*;WN`U2+j|?+Uj;VApnKdzBUO)$&Fq&dJIZST{SScnng^>a?knsw1X&{h8M z!O)kGF7O<)hr{*fyT-X}Xf6IBzCbH-@Ha>8L*gC&3bxU%U_zZam)Q7sVGm(&Dl0<( zVI%F~fx)=f1WDE})PD+D3ofK?+~ONJfx_|}CVJoz;?s_w{a(V+cB?k#mKR*qq4nC~ z9cP4Jx)>r+>e7W%E6_HUxp#rl;XeU9La9&vWAPpa#|ICw_3}+s_0PE!bem~QC-{3| z@L+x$zvJUiU$1!WJ-w0(rVdPtdr3t7sSkH~Nnh{G#nxqg!zC3lRYO5X(8YV8d6qkw zj4NO1-4iNreU@k0@#iUgB(YaNjS3=RszIEjba^3WL zC4cAly042rZMO%6C^O8Bj*}c2wP`0)C~oZ83-s(Lh-pA+3~yNWSQp+ED^myJ>hru9 zHY02m(iA(2weYN{oHZoEP<PY{v^^ zYSToQ!ECo?TfU~!xUfiNwi*;|%p-FtJfHka-{zj+<5Z^@I=34iy0>F5Z>xw{8V{?D zq*xyG?vqx8T*~&lzV}o*?lteCgsCCs{Bn9%OE-Y}N-k(M>0ZIvsoT@m#`~~^5*a~l zjSKysq%C%0V26~3@sUA1_isvGphV(^CF!KeDh?u@aGf-*PqDPk9ej*eG3iD5#X?6k z4ryC|-j(RXWyl}rR>O>!@}KBc4I}}H`lB`Oj~Y45rDA9n#NZX?(M7$2r4&t<@}u9# z1k7Cq(?0a2S`_{8KNYuE?-BrvD~#?EpvkBgwdB|xWT09lw- zz)ubZc<(H~;FMoe65gKM=@~T1clbuX{#|mqLoj=C!));<{Ivsw|63qHxk#9qd{@dCU=Jaf%H(g zH_$tRo8qpDl7vSM!Xf5l{o!g(IA>36*1xPS)PvyG_SY*+20eH%X1(CAdeD+t4C~vX zZqv)#Hlv8gmy@P8xEjBN*_1F+rubhn7xhm5Q>583KT7*ZgCtaQv_o9yz={klw<6={ z*FH~qw*$2*m-3hYdO-X7cRXz4i9&4BBSwG9Q*S5DhSAi%_V_4ERhNayu05onu&&2t z+G;}cO63tC&hwrLzj%-|TXhNWKZHky zSZqp4%K1*JYgloXp;hjtWWTXmk+Vh!VXcHecd=r&C#ApVaUWoA(v8T}tIc2GojYL-vMU9wgoJ9k}C(F3R z%}7d&JHU-mGvEo&o^)6gdT8sNT`i&-67lq6JEe}WVEshdFB5o;7Qf>q zt$@TOO)tfln7Or3Ea_wSyFyq}HEHJ{8|K#CuKzY9I?V-0aKljr^xQbsl|NL}A^eyX zK=o3pSzV7vFe>s(FbcNj;834hFB%_3)p=jAH3;C>lzeu+3kvm$6+XiER!`P47A~IL zX^>=WJ!7+?Jj+gUfgDA^;bNIZ^7LQ~ne9gTGNd8HC)*m!=PEPC2b-=&{e8{Gj!u@3 z?@~mYKp{iy&tNWMlc zFfZXgh_`=SP!GmQzsV1xY{>Y*pIA`zU|qA2zj}_m;a`)4Uy2JtUtes4Vc&+yddPnZ z!JUwjZA3j;5Bi3)i2G3NPE&j?^RNw?Y$5Ff!rx%a#-Dk4$;GHmC^t#jt_4?Q;CI`;+=+{Tg?4?hKA2=Kqweg&Nu!=DkA3Na(O^G*%iL=8 zJtjD}kSY3-O6Rny_=N?bHsTM;`@eJVk_^K5ez{f;?~Q!=8-9(~4ReAVAKwx0j%isd z|1>R~!O6!gozwYI=_r#8fIdVxP9?vPeq$O`mh>Usbsq$X`rzyuQvO_94}O9ev^|oh z1QMF03;=I!2XiHSuy)Z4QKpLSaMv-aA>J+W<_%>^hV`$o&1ET-JjeZgDcLjJ(JZTG z6b3uVsWt96d zwj@5vz9|cizhhd$<68DYfqv)7>W6m{a=zL5;iCZ;-Eb{^KqyRb;ymICElZCGZzsQIaTk5)tiSI3E;4D@Cw#DXmC_e=-i&_kGad z*oht(#60N@){6O%>}pa7lU`GWvxvMQ4SovcaKrGYgYeuycH!`#1E;PZm-jR6NY{(o zEHD?ph)T)8i2Gh&4)kTefzrC5*p%-|#o+vw42g92Il#K#(mn`ckLaU~^y`<%J6zw) zzP|7yJc`$r7{;=LkyatY9bke?!%Qs!gMK1i=q6K#S(^@$6Kqs2$Dk3HsW#~AEsS2= zYy5EYiEiv?4wb_Y*>t>o6ya{(Ev_@47Ku^CywVtRQo;|TtWCu2Si^TYp;$`u?>My{ zlz5BWv?cR_e-Bc|tL)HQzj$Q8TYox-`H>DY{0H{%&I>zcj99lYIKrPOIVljc{{ zv{}4p`n^=eaH`y$*&F^L-w%@JcPJ%ZI3K~VG3F0J$062m+YBMoAx&4qZ>6{wn&@>Z zOFLSjLG#OyyfS+=Q@?pVSNEKEToA0i928%bH}m7zB`9+L2c;L0=C6~5z~qJ#wld|T^4@;dK-VVkr#o* zqlLnoG)5d%gPyz7vo{0%NG4eqgR{Wy2If}46K43O*qh^^pY+@J!AG)9+-u+P=l<9E zuRMax!Rvgip${Y1&@*Y98G~XD1bz_wFZrer@Cn=#Lzjtp$K7Q#EZS{q-Q09x=ssOv zPf{s>zY|1TxP744OL_V<>2#4O)`C*^#lzd_J}&d>2b?04is9dpRdq;X$-4!0qu*$- zKjMCuOmF%J?VX}x2%^HwJso}3Kayr+k6+9V%(cdvN6 z+btybH{0#u^|HJ3n3ROwxWno)0BpABQu24tL7ts9?1wIl>v_lMy(cY-PKfJY`+O`n zZ&R5+A9wTKK=FJy*DNA_Y`ZzZN}FOco}fuk5ccm)?Ca`qbm=#j!8HuhupljxC{Y3E zYlv7$nah|bdm=wkd}%TuAKLCvzX0|%A;zuLf&zxDAH$6)Z%+7~B(IEa=-a<=FXk1} z>r5v`NdlESQKCV|O@8=m8;o1$w`N3Nz8ht+m#%MMz5Q0QclyC_iX6h+AkJm|Ovmrb z^!pLLFxR5thM{{nMRAf79hi+@yzE=wuy@5V48u)P=hm%_KJa+k+@8?}r=h#C*_L5T zDPm|(hpsoiP4-;d6)A_Aoc6#vKT`Ap*u%(6n1}YSSHd|d2;oGwzi@MO?xh6@=2HDb zK2e6|L4RhU1k3HytwjZyvrU>N&V`%@59rB6Dh(OR-Z?7{wP$nISb!n;&xW{Z6g?Gs zTaA!k2NCnGiH2Ox=N(7Ba9o2^7QBV$?)a=Op$^Gq90k5=6Xt|$p$APxGhf=zv@I19 zp~vz_%*ODN1i6y#qVH{MP!2DBggZ!0a6R0I(}_R({svR-`eJMadO8gHiFM(bNX(Kz z~`z&UGoP)S0T9ER5O` zyE5$4i}Ml0;?%8sv24ElyOu!Q-P4)^rHu_f{G0*1vc&Z4T9s1|265DPm{^^=;egTvmD%GpnK(dp!t8JMqTyWG(fRhc zM4u@t@FFHLI6;;GmKW8+v!S;)H9 z%jho0-C%#qfRsIBeqIl^Y^e8b@Yxf&vHFda;v@BKdUu;JbFDP0UumA3fIp>huA&Y7 zKF*30=i6Nm5iybpe-CQuH63xTTV=s*lr7pzAU!|eUb9H|%w=k5F@zZZO`}4pa06A} zzZTiL;VZtM0&F|uirn=8Ob1gxx8T%n(-iA%vaYhRLfmzQ1c{ip80TI-2S_N?RRg{i zb@;SdzqCeY-t9DFldrp52-JPnq1jw(eF@TA^g7LWVD6!!LVL7pKlY{lYtVbu;S4(6 z!#c3h$n`sawy^btdiZ(ce<>313)m7Ftvc3nRuyLTk_oAM>Qud>#Lcjz47 zxo>d=b((Ll&QUPIWiRjHtuqQ-EH#Ev7D9PGOE>avxlhF4`$4r^_iwpEQ7ptxE2C=* z%m%mo3;JOI)-DEyGrTnu`bR;MuL706-IV{Zas@Khv}t&VyZCbzo4WY3nKom$XsDMj z-i8&HiAJSI^t!Bj6&6xPM<=W58)KKd(A@U&?R&dpdD=TPm-B17h$DE2-D>CCDHq>K z`&0N0FJ-#;4VPn1_%~zKdRM-@LX_;7r3Ej!O%+{KzH|RMw;oInr#Vmt=P2Fl87xnq zUhpU-;l(At(?4RJ4yjBCl+RhP)xNaj_fA>&p6fY_bA2=^YYDvkn@#?RJ}N$Kj`5o! zFiUk$(i93CXmcbihrGgQd%by`DQ6 z4mjSSNr3HJhxeMdeD?aLsW-yFpN7pJqc`o!L=-fGz9SCRWXxnKDyo)SN&&Nqo5!=C5s{;Aw5KM(iks}JA$qqj!8QbBNV zE2$wF7XGJQ?=Q2kTCoIcadPvaphj`q&}0N-$QHjONUeeQD^0hL>W zZ+ne^c|*|FNlI=NF!!CQN6%2bwRRfN^N`N}F*kOdc>=aPc$$1#yuZEn{nP;mF#B1w zZXNZG-q4(p=KB03HM~g%0P2T_Ug@QiAEej~yf&vj-Mm!}z}Y24pz0T}G3llBJQ?2E zn|86`aVzaF6RU~&skC9Wf4NTYK(*c3C~aM$AFIO@Tgxd-ANx~O=VwlSU<314vp46p z2H%EeHO+Fv{b%84>yMyrUk>WHT-6xvmkfNO#7+MaxIo2pFlZ!zz=^mjRgPAlq-Lr; zIt?96i+e^hW0a_6SD1iMKGcwqi)F$doGw}X@LLyykXAqcvt0cp(Vs#EYE_12zc7pG zv3q+ z5Vltu@>5hu|Jtb zSDAb4u>;6d>YGcDv1)d6HnAU_OWLloi=<6eGbVe27Y+> z;2ZrkGw`~6urtb#5O-y^tfil6@psn=cyOP&!W_vu7Z5#Tm|=zo;li#y=jp5FWy7zo zAvev7Wa{SW!>x`ZH{tm|6hVP{MbDULdXROL10INHe&Skg!Bw}4biy_LgI!(Bo1~lR zfn7~RZURGr=wMglL3_Rw?p|ZRw3?+3Mf&`B3SZ2d0@1h*co$ z>SP|sFmD59H50iBHLtrzL;$%-2&rjJq_gsSYgnPZ2aaVN1n2?E&SS=%<+zjh#hqd1 z0tRFZySgRfEfe6*W7&yg`54GuC^7){!T0&cyG+7iG~Nxn%AEJ!E#ggU*@|oF?eA_A z&_ZLWiEB9x0Xl_Q*3W)(p7>q$_uNZC6BJ*$782m$Py8t_NH$2Mav4}aT`($mE2ll)O8YuR%-dxuu{J1&)t_2 zv_L)j8{JN}a)cj;X559G2LYaJ+%bxXjWm`WOKj#TElDLH)m8ASSC-)H>`c|YJYK@Q z>UqrH9X6)D;)RuBF1DE?epswsK4K!hy zqx)$tz~n7*1WGv?7+4Q3$=N7b3KbG&{>JdPB}*!8cXek{cD^`N8`JLOU1lc5Ua@B} zl}CXRs49^psBxN7%gBG+N0Hw&Z@5as?;ypECtrKVxR{vn+FUv#Bh;4 z%Sx-+aB9UBeRw+F&kL#LveI{R`D02cM__pSBo#D*blzXCz@COu?rK# z+>=rWDF*sIG2O2@f$!MbrGR-thmT>TJCA8#q61P?VBE8J{?;6dvaI%w54@72 z_{sJK16#}QAa-1Y-r|xUZYZwJr&L^ABb=}ByX==v;_a_Uwe`L<7 zyRCZ%I7)%PC16O4-uJF{g|m5SC6f?dBg9UPJA!F3&Cr|~aQ%u9(gS}4POQ@P1LxuY zmIf>TK#ai};NH&XAQ&+^ceqKU7*zf5BQ%Q^7QZ^jZ>p#ORF(fbT9g@VRfxle8>MK4 zXU(nQdy|;5`&iD&O{GX-UkJzllfFIT^h)=XG#E(y!?@h<-(Kq;DWX%z>ACh0(N@t2{Cn{)?kAgk5+NW<47G?@-m!&O&iuL|3tT?D3Kq~g3+R`r;q6{lImc6(z_=HiVLoVq8tC*(v zTZly@wpa-dxtaARaFHh^P0^>!>3*AF#yZ47uvvD%s-1}`e5XN^+f3olTTj72QpeX) zDI4pH6jO)Oz^P!bEBKxqfE!YQQbns~E`o0j()wIyLNxsg2bVw7S7bKSh#jBMnv;|j zB|=Mna}P~n2*CIR^8XE6YGh`L=8D<66=bxqx>40!+~1{etbf=th5wHvLu#I_{)>kk zQ@tkWkbcUG&(xUOZD;DY^_4=v@|ig(20BQ77;ZkDoI060G3NGV*z8z$WM%})6%HTd zx-^t8DJhf}9XBoQ>U}zDERo)7G2bB0!a*3duv0bG>SNqX&FvTDBEOo5Xfv|2n)FOt znz~K$1ZCsE_vWF}j=-v1Vzc+Gync?-mi)gpe9cVR1%ciDdQp^x{0b7BCH(0dPxBM@zIKyr>k+2e-6NjnSD?=p z_JXGOpDOelP37-4pUE>>dHhp|6?;{A{O<70-z}<{`CMSq7x5QX^hLAl1j+*VgIwL< z+JPG1BN_uwSMWKTI)nI?G{18^1ScP#&-h%Tvak;+XS8Z95$5jSGHaSC4}g$SYOxm@ zRP(Sd;Sp-%=RPPkUV#45;25uIZNp8F%cz3C4G`8Zkt36;MPzC`tKFh6@p3#Kw8ae>n`RupU4QBT!eZ zg)V5)JNKt$Vu36wkdhlMC6a64mtKA%->PJm*1pMIL{Oh-UPLfIa}zN_ikeGIlrbD0 z#ovL4I1lnPn>}{i`qT0uIS>{0gonuhuOkg%5Z51r%h}I~}&4o8#X&Y&~)mxxy>9FlU;+`wb*+e2?ezU+8=+ z2U00Rn>nO4nc3D=J5<@ZI({UC_!ioUPbIF*lRJt~*Lk|mzJ2~owUht**>2^@2vqTh zgUp;qXZTeL?nMf|g0)D91H(hmF(-B&9s!(a?6kGAGBelTQr|zD-}AO!Se1s%2}WMv$*;8GN|WdKIdN&{S%H0^K06`peSH(ue9| zlZoI=Q;0m3=-Os4TUa@u5QyMF;Mo)n?M&8rthH7^wHK8d-HIBG#&pn^3FxpK9TAyw zOxE7jjLBTeDL2E%h&ha~;KJ3~RNLM!fnvx&5+sCr9U>tm$R8M%4vWPnyd)%b<$rCT zl+@tnI!h{ns>~p4?y$nQA)9&Y^4Bzd?GV~DI}6%AXv(>WTBh;F@KkB4{n{n4R0!kH zdr#Lt?&yorRl5EIZPWfje<~~0=;c)ke$h5wei!u+({TT9<&tp~LiR10LAy=$1@BUO zS^wI&kj9=6i2Urk`A$@Vi48NO!MYZm0q(g?fE{oo#Q#Y%3hx!S8wsQHCZ79Px6QHr zMwHnr$Z#tLICA-p(G|3QRFwIN*XyOQEOL*c_V7i({LYQqU;;s!6=|qHQNa%_G3y9r zD4x>4L>d9~vudq`cb2`Po>uMChuv@SzHjkC5Icgb{41@FmQ_DVcvouAFaY~bz>&T8 zcy7S{cfdX?VBZn2j|d#W1djX$j$psX7rw<8LiQU@a_QMS5cZ*nbC(6az;L-{S=!`VAE+w@x&j@yOQA`?xl?kHcS0zz%)a>l?G1++89) zW-eQyDLqpPZOD91p*XYfk<5n-DuOoXsyuwxIICJkfc zj@~Co578(MaX1T^CIrpu4T(J$_JB4B!VX{K!DuCQe5yQ?eGQtnKf929s|Cg-3_p>> zzmUh>i=#mWWB}U5f6ZO-jPJ4=nTj)rMxv^*~RK5H@p__ISkYLJTJoZ zcEa=!W!{gUIt)kEfg?O`@eVKKU`Uzn=B*osb~p?Jh+;yYzd*8QhgUcKv#__X7{USG zQ=!ca%4-ceNqBp?5JTziiz$;9OFQ=Wsh(t0mOiSbg|{m?l#vHAANAyUK@b&>kNqNb z?vzohhp0?MIH12z7=1>b8-l*UtyProayiFgg7_FZf;~Rh-+R3`JfoMhOtB?OZ%Nmf76L`MGyFcb^3PA9|0C)7g*Xd43iHS&v@Go`S*tbb;1m3@NjYFzD z#`m83f7MD3u7y^4sJ1r1M0pgdw6m1qTzg8_p4w(SZ^ypBKi4kHkvMvr z{nsX*VI?|d)?V7j{dTQVQjCvVKF+TAM5a5aOo_r|t-H?CV^oF8qq62W?q=yZ>bUl_ z8<3WfsDbYzHo2U8#nl&{3)|O?>h1ky&t1=Igo>r2r_zCaCSNunJ0!OF%{L7?fiA)T z5h1h4VW*+zz%=r=JP;ve-WNIakY$8T?T}e(0&;QoUvXKxhG+B2d@^a7Ti`dlAl_O8h8B`)!sP0xK&B?Szv-6FP|I--a?`dcXv|j z`>&nW+1(yWHKC`dv(|XH!^_xxU>1BGqwi7I!l*-283$8NZh(*F7lk64oWXM+mj;8w zUEy)wn7I&t_8J*J&E|<509VxW2XM{sTugey0N8)@pGiVHI+#~97@U-4%l_vlACQ3T zL3ZQ>$_9>Xy~X#wl0QPJUcSGs%b5`dbHtR2z4f-8I!lbAr2pE8%|t{v>QTK7kJb0_ z*H09qzrc!&-B`!Ku^T8P!brTryf!5DlKb^Cl1{i>?glAioghF&o1>7r8&LRJqgsj5 zQ3rAY)XZ$L+m7?7K6t} zHkR}kI3f!ip(PU@j)D9!yOYGU_Ys)#LO$MgAUGbSbs?E`&SNGCrQJ5ze&@?gM)c3~ z*vUv1Kmt!dM67`}N|n2(`KSjlD<*Z0ByB*#xS%wGT;Q3z1bSMzk=ZMf+1ruX>yur0 z^*LcfuO(l|Wqm2L6>@aP*e>y?IZ!)%hD@7>X7xl>STthSR6;6!( zk%=Xtc_Qm5nzJWq`;gK=C3<(LydpIgUf@!RS;2e++3y0}{9e5KCL#HNey!21>LglF zAi%(r`|A_yFsqTgjJd?hy;Qiw(yw=|gXzs}xWtlgtXX!s3dw(^rzWW{-oKbIMf(hy;ogDi+K%*T$1Fx2y>U&#$+$hg3Q z9=K%p76+BY2W3dUYmh3qFR{=C^mo4S61bmk3vC7lylt+I#=t5DNia0dnd`YzWSXuD zxVDfs1k6X)v-utc^FwDnLt&OhKMe)zot~|Zy#Y3`vCnauSsuhYc8wIDmEKHct{!8-bL?5?RjmeZ@AN>w91(dyuJm>;%_^24}J z^~RB`B8hgy1hD@Nu>S)%Qc8@Gh=1!PLQh|$vyF^Kl^%N>1sq9zi?4@Uycny=*l zjn^K;d!S{yw@9Gfg?2?)cIyoW`9wSgz3Vkc<=^BCcEY+w(Vy`E`=Tf8vweqq)^1h(RY zg1ZzzP5$<_r9bCobIj(+yQz?204!8KS?nB`$T zaZxrg!vNd!AAejn8$xStp)7l2_Fhu}kzp8Xyua*t07pla11*P1;jYH^9_J8>nLq5{OE=34l`v#^(8T4C*K>3^?v*1_zL_#!i- z5B)gn*0}p6sP*Uxk(bSFn`U)`c60=M)eaEItrR*gp#1Zh6?}#wL?u z7r0~l_HFwiW*U9OJT{JPn)&?|k0U^ETtG672HVCF$TVixZG6drq&eCoO*{h7Y>p6%NnnWUHR5}6~Az68e&po4Fw@?ah15WSMGiUrdo_URG6Pp8I7T4I;1 zkMELm(Wy`Ke0hxxNl(^Zbd%_tIC^Jh?J7E_JN~!(w)4NZ)Bf~eO8@NMtnP0ah0HSGC3dyXzpJtYgQcl$SG>?>+S>PRNx3*t=gPpYr_JM)DJlKS zz%D?ZexN_ZPKmz=`U#+aE75r&(i|JbfH4_;4;aRr%O?`!tZL;R6WO^T)x&d3l|Ibv zoI_IFM9anZo~`~nlNdU!-q0B5x*Yzyi5{G*a`aGrMci!-?Be1Kx2w#dIIsXR%)bZn z&sO;lB7bs{M_JM568*=5#=Q7Z{DJeYrGGxb3IBwI{+Wc1r@<_4ZW4bvp-X-6`C02{ z$<3I-xTYkjtL)J1i$6u!gSQnrHZiv_V#C{hq9U0CML zoA)~9&BDw)q=Yys1qviB1REhi2_Z-@CKiPl;u1`-G(rEUD2WnGkR=iWEEzlAsxijzH^WAgLe(uYP3eispvH7=cU(ttVLewP_L0Y#0-O8Fl zDx;=rHPZ<^U-h#dFP679Z&|;tc}-)<`sQaBVH-bl@;J?>weFm-lGK+QnqFNE)_3DK zH;-S<9r<~7*46YNWn_B6^szjqOL}6e*`{M#y5;vQ?z}wC)pz8qdY+NC%wF+)JdbDP zZF#C%Dp1{Y790b=!O`z#)A_w%2p@U6<{P%vv({6@A(CptMRx~%#3&lr{)CZ9YO0$I zOx04;x(k}D)uM#ne+;_BStX^&VLjgs{6tQ}N5x>m zU%l~uJAK3$8f=%CH}FZ-S3wmkY4l-HkIt$=pow8Lu)%iVwP3lfVO8v>$YZ0s5ik10f++RCu63Re?Flip*kiPZh z5co5;ghL+0T$IvSBIeP1Vav#ySdqR+>L@iq)-rr$q?k^WN&mim@*;g@M0yPf-YDnb zVr96PMs#~^L(N9|%6PGC*X#lu!3^EhwG%A_97hA@Gj%heyIr8g4E?9Q{~>63m#k+A zR8c~xz9;s<|G8+fEzQ+^WPE3FhjFwHJKuB!V40M8;SB;8pJ|Le{no^tNKxrNE)6In zjKiyl%qhf2SE?H!?qnL!EOKAm5#aG4VJ91i9zLVk@Z+kEoxwh75!GRl!({Vx7tN3H z5MnGx)HmC$Em)Ew<>wl_o}kJp#Cr#>TnA37nQsb>)hk@|GP9SS%foOx2M2z}a01-; zbjKG+$?-IxXWF&1p~zf_!$PQx7Ue{r{leLbHd0RN24mzL58%MLpc%G*q?K|i)ujdy4!?MB5#<)R+ecA|vkv5e7+mFMR`2AT1`K|L`-4UolQ{RjrdHDG3Z&+ zY6jg}BEZ8j;0SS%DkW^nvj~x5#MR^FoCY#o9Mz@D~D#}U_I zZG#_j=hKD2H&RA)Nr5B!^4ZGqA3gz4$`M_O;zADv28tE*t`tAb#tbbS{*91I9j~0) z^*1WLD9)#PF(VKsaC#LSdGQ)%vO4D?D)1>@bRR_S8wRsJwpY7|6>0BK(Fm*5v%ZbR z&uj%o`k(J0p02uDU9eQo6|cd}(O6w}0Ung9@O?6w00Vx8h)wieJGo;QE{!ZRU#kzMboIEWoc}7<)?)#h) zQ9`_{X0#O`bYy!ssqxvVT-|4PXa*s|5T3}p_CD8C*z+z=S;l4h-0ufgW54V_w>v{| zIc2x*tC$LCUeVQT%U2C6%5{*vp8e3JbKSci{NDNDzR#J&N&f0*yAPix&0r(bO9#Hb z!Vlsu5_Vr`E-ui>vRTj1IT+UnZ0Ukl9^^_gy74czD6$?XBfoM|yA%Hd00960_0RG% diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index 7e1b66f..3b9d01c 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,19 +1,19 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618905600638 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905600654 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:00:00 2021 " "Processing started: Tue Apr 20 04:00:00 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905600654 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618905600654 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618905600654 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618905602575 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(83) " "Verilog HDL warning at GR8RAM.v(83): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 83 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618905602903 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(263) " "Verilog HDL warning at GR8RAM.v(263): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 263 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618905602903 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618905602903 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618905602903 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618905603013 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(24) " "Verilog HDL assignment warning at GR8RAM.v(24): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 24 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905603013 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(107) " "Verilog HDL assignment warning at GR8RAM.v(107): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 107 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905603013 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(115) " "Verilog HDL assignment warning at GR8RAM.v(115): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 115 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905603013 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(122) " "Verilog HDL assignment warning at GR8RAM.v(122): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 122 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905603013 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(313) " "Verilog HDL assignment warning at GR8RAM.v(313): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 313 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905603013 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618905605060 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 540 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905605482 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 543 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905605482 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 542 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905605482 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 541 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905605482 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 544 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905605482 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 539 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905605482 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 538 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905605482 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618905605482 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618905605997 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "319 " "Implemented 319 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618905606044 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618905606044 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618905606044 ""} { "Info" "ICUT_CUT_TM_LCELLS" "239 " "Implemented 239 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618905606044 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618905606044 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618905606294 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905606450 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:00:06 2021 " "Processing ended: Tue Apr 20 04:00:06 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905606450 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905606450 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905606450 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618905606450 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618906780187 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618906780202 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:19:39 2021 " "Processing started: Tue Apr 20 04:19:39 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618906780202 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618906780202 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618906780202 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618906781718 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(106) " "Verilog HDL warning at GR8RAM.v(106): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 106 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618906781890 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(282) " "Verilog HDL warning at GR8RAM.v(282): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 282 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618906781890 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618906781905 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618906781905 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618906782030 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(42) " "Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 42 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906782062 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(47) " "Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 47 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906782062 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(130) " "Verilog HDL assignment warning at GR8RAM.v(130): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 130 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906782062 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(138) " "Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 138 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906782062 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(145) " "Verilog HDL assignment warning at GR8RAM.v(145): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 145 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906782062 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618906783140 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 553 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906783406 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 556 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906783406 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 555 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906783406 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 554 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906783406 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 557 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906783406 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 552 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906783406 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 551 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906783406 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618906783406 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618906783906 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "321 " "Implemented 321 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618906783968 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618906783968 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618906783968 ""} { "Info" "ICUT_CUT_TM_LCELLS" "241 " "Implemented 241 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618906783968 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618906783968 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618906784124 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906784281 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:19:44 2021 " "Processing ended: Tue Apr 20 04:19:44 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906784281 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906784281 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906784281 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618906784281 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 7cbfe41abdf3760558db5604b8f52ae4476132cf..470a060b8df1ee5f10d20fc759c7c6f88437e01c 100755 GIT binary patch delta 862 zcmV-k1EKuY3DpUZcYn=q95ocrQbg4O^#G*@)Wf?F5^0m{Y@({^i6u&+qHeX#23k&$ zch)m8_1GhSBn%h$lla%#Th*5E^LTc5fQBO%7|HUp{XT#1J$tUMuC9K^SZlvtZ!G~? zvg*w6N*lL0=+36qxYwO~zhdsuE%~U9IT7OI1C#egOQSMb1q%9>(%}Jx<3|XDKOJ+EQt(HBI!dV)PCljieaSDu4 zN3vAXZzPziNqbj$N4b#PQf_pK6YS;$1C(pS3mv*8*$^s|ejeyKzW@?c8C@7wmSIc7 z7g2iI1Q?ZVu52XCTAc~z_?+fC^Ceda7E&unHy6_{yM7|w(7{sQ+gQj64ZI%?rvNp_gw zWyG6Rl@uA9Nlus>3v8uxiBO-COX1D|E!avCW9=gb=BQpIAJ-)UZvwL#3M-EaiA9lg zp^d4Fn15R;nesWyoHxL7RxjcRNr#qZD`~L^*rE=YhbKn-9IzMG88P!t8@o+3k(|Mx z;W;X##W?ANxD2gvH20>dxjA=CNV}c%*6yGiPPIdGL?^jy&|O`sFGIV8HzsmbLaolu zaKWy9x;(oO#(8M^6VGpfC&+(yG^Ux<*^4OO!+%!iyZ$`_^u9H@4uPr9GSpV?C2i5E z=QOGe4F@#XdzkJ&+}i^^Orzp2Fg^8XA8+Z=BibEq&4i=xxv{9E$TGl`?rsmZQ~JgW zfIr+F(%}A1I^1bme>$O*rr**tKBL1}uTd~OLnmmWpo*Tbvb|MqV*B{y!O3VG+3sRG z)l$`#o32|G*x^k60;W`~HUYc=R0JM|Gb!>aNSyhd3SXwZVV9WL>4>2KF9= oknw$n5mWm2w1xkLmMy6HOXiv=t0b78p_W%F@ delta 862 zcmV-k1EKuY3DpUZcYjNd6gLpwNl;Kah(iK7L^;$Yuq(~(_ROFa%PEr;i$Dw-b~djQ z^7OcSP26_NkA>!v{3QMv5;w$S`P}ZAXCPHrlV(+eko` zta@O0rHxx2bm!g|SiOeSt0?_9q<+NKSTR{!Vd)CZYUNINpnqq+ESagh@=N+_5RI;v z&=bbzTEOgAjT3PEB8X#dolphcV!q&Z>0Wp4{e#sDxY!+ChX3jR1Oh*!VlE)kyS!kM z?rA47{;|M&&(R|Xx~h#RP8@9>Y|&tUf3Q7F2O}Cw#|@(cZO~!N39XVpwKwD~C!K%f z_^PD^nyFCaw11YiPf`~mqui@3NLxynT98J^8L~QekC@>Uwp#W;3TJ6NnNF!<#wjpH z9mz^bzmZ_7ChcA29pyrDOS#b{POzI33{b8OFLdaZWK*b2`bD4@{1iw~WprU!S%xhQ zUqtC;6JS)fwYHHkYjq}=;|p5o%$Hob$O$>2V5N-95Pu4PTp4bytjYTML!LQGoNl}U zkfm)L&$L>Ih(_ya3-dJkM0eq!0>a76&uwNz6_{yU7|w(7{u1{IQj64ZI&9%xOLmyy zWyG6Rl@uA9OHP;@3v8`(g;1Z8N5Y)|TCkNO#@a^=%u&5eKCVjy-UMbf6jmM;5{n|~ zLK{;TF@LvIGUaoYId6dFyk5o;k`67+R?=b-utgm(4^NDE60jH688P=x8@od^m7Kw# z;W;X##W?ANxC*UtH20>dxdnGjNV}8tHZGwXj{)+NFY=3pWPrpZi-nS;NKw##x47HVeN!xVn zIgKkr!<5o%H`4S*x(9kVh>Cx}bl;X*ArP3rD|kV^K+wCB-xt?W8*c`o#-? zKO7Ay-P;`ucbnFqO=&>0JM=rB)6H0~Q7}A1M`)s;ite$py;aU*`_|F*qwyrNeUIr_ zS5n)OpK?hzl@qT17xo~2$VwsG_cyF3<3HeiRCl>i_o-~1!|8CeA6dUyv98ncCHAg| oknw$o5mWkM+QR=r%NEqUm$~K%PH7j&Y*I=;(bii4v%>=t0l43!(f|Me diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index ff98bc3be9f52cee23e81bf55432c737c87d870e..f432588dce61c5f93c5b211e5c72fd7f7cc1d5e1 100755 GIT binary patch literal 15381 zcmZ8|19T=q({9X-Hrd$O*tWT`?c|MZ+fFuivaxO3wr%?j|9t;YC}GgJL^*O{rA zsjlhiuBt%+0RgFm2mLM`zc-<8R0TLVn%mkCGBVRK&@mDUIh$J<6EZO~5i+pRGcwUL zF)%U{Dghi^%#8qq@&-nhgp7nrl7wmiLqbtwbEoe!Q&;~Z4g@5e^?w1R3hBT2i|4=S z272KQn~5jdYD-Rd1)mX+i%7Wc`O{?7SYehgjq@*V8fhl;9~cy2Hlm+o$Uh-bz$mDe ze_>q7dGl54rW^;r=?*4|3V=QzZj~>43kt|9peRe+eST@Sm6xZK@tKmP#Z_%Tl%7?8 zZma2eKQ7KKj$f?Q8V$SPg7)!optBvbT9U|#Sm2ZZkR&-Vhjlsdp}r2o2omP{snAuc zF64pWo?3^{q#rvuJzwC%(wNuRVeW)j6Fn%g+DHNE1%%vQ+BYwLUR)pzkFy-{|L{r^ zZHO7jG+_=xM3_SNGvJ6$4+hj*lWynmC3TrR_D)vn6auo5<9cq?3LQ#c=p~uQKGjqa zcBgYULj_T_q99Z~_U2Z_BVqr}}s8RjzeMyN)~o#pcj_pxF+R-N@z;nQ4oHS^&` z=bVd-=T^xEMVCA|_p;U{w@bRVp-F*+}@cRGNUJ8s&H zyL-g3E&dja?qb{R+NAoZRI4Y%08fE8Z)fQ=1hV0Uw1S*0OFa5SRkmFP;W%Lpn zd5zpU0f7G)SP~=^yfi<&Hs}5rR_|79prNH2LHFkdt7!$dWzq@`%p+p&X3JSc%otP> z(uk+xd^M69w#xr6;J*^}9cb*7?39o%CWkj_gc)kjDx8@shVO3B1aBguGp0m-vmxw3 zKTY8B?G4#sG@-UM_BhrK3J?eU0T~F#+}aRR16M z1xv9TZc=f6V(Ty%f>_i-`;pf~?;b1~BB>=Nql_9TuLsTJk~!h*`&;3I(9vOxQGaB< zU;JsBp>Ra{?~E2`T^`$M`DCsoYl+^SJYQG9PgFO#c zAjJwgF|&h6wu`_N4~IVl*HlwUpK3OjeFnY7nz~+S50%~m0nd%$70z$3a?vuJd(G8K z#m;3fg^>B%iXXkEQPIDR$bOMT9=}X3gW{k1%vM?Bug6{<2Nj5RQE z*{XzO0svRNgp6Y*-lARNm%4#%u@zSXH80!JsQnTnWgYf_s zZO2lygVko!`~RK>l3L=!3r13Yo9A@Hc83WVVBXQPVsYpWo9_KSs6I%vM$3_j_0olx z`iiq%v(GXKQvQhMA#-i_a$F{q^IiAtHhtlLOEUmh@SXR4Icv(IpKip!Y;Di`__?O` zdhPPbzj*mvVhq|oz~}PSIeF6UfF70jR2afZllG z?Sznz=es@-@WM^oy(g!h>-0w2!uZMibA7tRh{EfBXyxm)Tl8Z*{V>S;f%=PStGvb+ z6TqBit_P0l;5Q4{B0kzWaK;|**wZ|tmtuFqxNG%U`nZM^vTOU2^oeGY4D})F7Eyi= zi4OisY|Jq*=(W}DPJMRqTPMAbEX{f9T!D|?;Fa%Q!S6%-yT!MsNXu5+^0_9LrFOpn#1xT9xECFn0x%EJ^y7Ms}=PKU5#|%RVroGn(yUfRY<{K{?2qq{ps{}Y4tXG zH&A^ueJuKhS{qBae7EVOt6qaaI!-8;9-HweAv>O(#Mc+Tk8bJ^74j%?*+h5NN7L-Y z@Hu6i;NTuk5rbQ#ADc7m`hp|X z5G*DnQG0cRj_z&dyuJGqhwT(-KG&VNwr!Ps(7H$mac%a#2h$dkWXz+6C#WRazqpRw z=uFR4N!?;a#dukdO{)ET%M+bq)UsURvMMg{+SKj?_a-Enn|K|H>FEFYnpTB!PMv$hxHs$Mz#fX?1)5E>=!p9(KGuv!J;Tl2 z3!~_@yL-ZmnJZ~wxYILpSBF*W=(wmKKBsfJZjG z>>^D2Z+)WBO^l?DqV)qJuBm^-EG)^L0J1PJa%Mo5hiEgTY8Co>|B9hE_Oob5go_bi zbJU_C$;@Kn+4iIDSPY|)L@%jRBA9o_*Q)+wncowQzEYHiV7DY2k1F>2z3ni=+nA*e zGs{neYQnFN%3?{~CKnxUAE6%-$M2ys&eDmZ&5LhU#4@?GPe&VB+05J2^|WHrJiK&m zvR^!EE(!(sNLVor|!HSr5U|c3j^}Uq)Z{VqXR?Rn=|x z`McU(2tLqlkeNt{$T#VadR~}1z`%L9VkDd4eRlyWQ-psk@v(p5)|zLVOUaabQp4|;Els`8?m!xM>r zG&yEBCZkX((w8g(j&|;z*h}kXmnNNyss@#SLppp8ZW#_YA9L+x5IWU#%jQhyC7_@k z)AS^=OPZ-fu}k;?p<%wzeUN$6?9_V8?Nv;-f=jMPW1}VeU_V~ho&)kPB;DA+gHq0$ zfhpDGe4!FlO~$9CT~#64uE}Wnu^l(Y7zYbbynsKU^5heH=;V<`mi>8lR((W~_bBrf zDAeFll+V3ay{%}ovKJ~B`uXaJB7!$uxLzEYG3k8ThUWf9`pFyPas7MQRfa{6_Aqlc zM$HMT2`SnHkfxfGR@ivPWbg>_Geirpn^hHHsuc?|Pz^9rFab!rcK7v(s>zU3B2dBS zn&d__!tkA16-2FMqw*IvM870MBu`4tH-^bjQ>k?=ESLieA$##)OPhWpp4IJv(Lg!ZE+K;X zppc>nc^8!nPowTc)@;|1TSWdpX%Y`Go3&^cthfn)nq_O-6%I=N%U=iNgH#V?D|MM{_mBo7lG@`#8gu_6 z>YFAo_wOIsWl(oLk33nGqH@%J=J0UJ|#;hu!%p3WUJ)vcn>LApN+;cnVOn&5752$Vr}F*Z~|efBe`dd|-_sCsO@R3SO&58Z1^+8H;|-bjHq z7SD!$_d0aonb&++J6>udAjj;MP#oRiOg+G(DUf5iNvxfBKmhDfTTFBUe>NcRV@-bi z$xj9iPi(SahU*MbODe@4{u$bAoGI zp-V-3Wf)7);Rn-<4*rXS{7=R1mcrOSQk)20n+MLE;jPQ7Xlt0CWvv>4b*&oZn|^&0 z7Asdb-gUhg$CJkf9~+){D$PR3d_R%sePg6dXV=LqrkTo;ER!~jWoIa3ZH2Bwo=FCDLt~Z}b!2x7BnO*mC zHA?WoDxaC;5jYN?`WDn6yd{2*TR9cZdV|KP3l?9>Xm;8*9uDH@9jgMIVuC?|U~czZguf z&9OxjkE*Dz2rA?g#$zn39Yt2=+T>VoH|&L=Oj{|aBaXMl!% z^}`MBP%nv|>Eb(63a|@&?K7+?A@kWw_lVAGQ^7&C6!UV*1MC2F`z1DtbRCoP#`1rb zxgoIEQf*zvYI-0rhpdqv=k`%5G?NcXO<&%o*AKLrkGt!tbD=NE_>|+2u9UN*jyIEe zrz=E7^q|}H&ttdsE4+xz+xGt19q@oJZiQa1Ty1gLB%?=_1Xu(I#;IykDc!4y!3@8l zc9|Tsqi?Ym-o(=$yFVJ|!QdM|t3s^QMYug06*j01E2~!nMGQKJD1#sICG-#ava2?Q zM<219Rvn3!eI@q{9eGTT=nuq@$ctkMjhKU^lRGry-r=)sYb46ZOM%XWQ=u> zVWYp(6c{=$ZfOet+B+{W^TtQ6=t$e!*QMBoVQt_&ZS-ypwR=0oeVdY7^q4#B7uTFE zy{+k=aylzU?c=v&?&76sNZ$-KGze(g<6k}#kknbaUoH}m((?z^s=Rv8X!&gp1JNt# z^^hM!#-HUockd2mZCkvsmZ4?qS%Cfs1T`fk-8wDJQ7P=+zu{ReTHekOj*3ORdaNXY z3AIR?OY$AImk24<#BV*T)-QWzDCo#2Vb%?FR2PPDRJsz7O*I)>D}AlQedw~h=uLJ< zwKc&~(C}I<4mCBw@X*nRo&wa)!Y3(zPeR}`?rQ=I4WNngwuIr;h(6*v2JuvDTNd?J z4Uir~_5N*bySoi9Wp@U|?R)7G9*uA?AAqczZ~KQK^SYE@HEQxGueIgyfgbhzp(t0< z@dh#u1ub=hM_cr9XVP!dZSx($@!&~Iy~}zOfY{Hf>+#HSw|jOJw|jzs+u)+fNx(@R zt-p0Vn~xc8xy}Z>ku3cq9G2MDG}or@2m-9s*#=rQCBW@+N|hR+d|XRgDJzb9-G{| zcr5s1iTu^gl0YLZO(pEs z5Oo+1R5xoG;V?p}^<$p+Ydxbm4ug;^Fwv5{)E@Ze-tjqXCTZ8Y|RP^S*k#wpWx z806Lz(BAw0jo^^shUa66Oy#HuWtx?7?8YLF);@#$3l_f22_l2G1^@LM@&M@t7U3s@ zb0Ikk91>%3|28&Nkg&-V(!|(l8;mF_dGR^()#=#VPQ7l7F$Y0{>0Qt0Ek_CRytv?1 zWth9n5cgpR>PWfKXF1UjE+@yTc7PF8e=xmVB<-!?{LFIusjpdA@)%YQ@kE%M z;Z?3+Qoi#RJOp=!yD?uvZkQ^t?O+Pwb6@N!&X^OAxNMc8qSN@CPl5EN*s1AA_eS_e z*AA#*Y~1T%AjF=GBqETm4=5A1YLY0&zpEM4_27)_Ugv#c8<1(46xFX1h2#X;HXMis zxkV4q-<0jyCEIH3pmRFn$zHysD z6K#dPIEQJlsg*zY#*kZ?KB>)_`M$D${X%wqY(+n|4%6G2`K&`SdlMQV8%T5}G925{ zw@=Hz&DfPNfogiRjg!;GPmC;f37{4<-39=g33-E3fq@()$Auia!7Ss3p0lo)HhqGb zO_m7eOUH6GACMBvas&?uMWx4KlJ%SXQH~-+h)}hMj)fiP_+SJHs%%As5Jd2=R)DsO zAJOFWhouln> zR`21wD{o;2MjouuQ$=AqzDb+JSzO_Y+r{hCEf@YN<8wU5mYXvp`}LRI*X5fvwd&t` z#Q*#Q?Bh4(^4u)*HPLo=A(|tpxx^2-Sys>M40b;wX?&r4<{ni)#pO^27qJ@r*xwWFLh;OY|3ZVP1txm{W^>k3PdE{D*Ucm%Wz(i+o9J z-Cw@&+m`7o4e|5hwZZ7wxYfM%Z~Pa32|JQ|aApl_mVX%E3yyJ*Mc zlGBzbueA0oh$O z7yQMMFUEbRaRLg2Qzzu!9GJd(l|4!C2bpE^&|_8-e7gg4Tyy@u&fa~II`JE<)9@t8 z`oS5-B)Ko-kCk5#KVwtnl)MI>!5eCa4abeqCFuk~mbD5`l&biyV0{Qy1=d?{+GJ}B zC#O&@_pW-0GJW6cnf$==H;=@0Igg@x^G=%2DWj5ShkXctx|5k<_$D(JN6S6a+a-sm zoqwe5E3O%&|AI%On@Uc-=O%oNZk6wrn-3h%)2(?*z3%+C!po!4E(iT1 zT_FYj1b1@4n)DHX|9L+-%{pZ>vRXl3?)JhnCI>IWT<)}e)e@&!Zy7u&^R8|fnewk~ zcM&jRP4MZ|DlOqULD9t^x-@d(I6Kigb(#s#IxVFGP7dr&E|W|6%9H+7|I8)fzij>k zTm@bHcI<-0lsX05rp9zQ={OX1y5FKs$}-rDl}aQ=n?{u4^o z?(T4rJi9*2`FvGcC;q4(E>`F3{!|?JViW(U&zW}u=9GVaU;fc@nvd?o2)O!`W$`I{ z*#1y`_xPyd^44~-Z;>-Pu9v>*YXl^izUa31arw{&R=v8WcbAWEZ$7%NR?ol7UheP3 zKJKe^C)}g*s$P}j{$0Zfzn|Op$y95=Nb-5k@LFI$|1ed`B#BcGS=(z{5JUp2nkw$NGo((==eE0(R{89G+N{5=O0$gq5M$H} z!`J0Ad#kI~yZ&#gDjK2g07^qLN=$b}84ABZb`ZaW`4xBK{<;TPXLsKj( z!QT4`QA?sbLj1HQBN8F-WgHeELp1P~OGaEs+1);dJnB})6AMd;{y~%zMyi6*Y)Cl} zsaz|BL6Wuf4|RZslv6P)X9o}lvlbLUQMVu$H1l)@+X zo!uWURMHZqP+bB+H2L)p5f{-!L+}|Ab24&U-wYkgT|EK5oJmo*<}*TF zaz2bu^-&pT5xwmEtQ|JX>`YIxVA#092WL>0J=v?AKTrcEeo(QDfCQ9Lh{v%soC;l` zD{3LCBj-rmo@l;JjS25gJk%?XUp@{d-r_=8=OOfkI9XRK#O)S$04C282L-WS<6#+s zM5Jtm4Q36WGLME=nJTOVqK`MDDbGBDv~i6~v@~Omh4^5JO{hSUbAnR2riYvutJ~-9 zc&q0+Ayog6sGp4{9TdTR>2-0}6`kY?I1qw_MzZz3{t7u5HcazXeIAKI@HSf6T!?Z! z8Fucl+vB@+iH{uYId;(XV|ne-iT2C6W!g!B)M&XQs=1)+F$WHgXAi zt(jJn-i%$n0l5K(b`4(etxVJt)QnxD0ac)VHJ_KDL5pVXUf?ZV)RWFkui5NguzfLq z65Ki%Fptz*^rq3kAJEjd_krxT4VaaMzT`!>#xuKaG_w@&%n{XsTzjKklbre7%+G>b z3#D0uo6)s8eHT3OsuO+l&DAl#?fTJ?qTW!MYu#v;;^&el>d9($U1NqL(B7AC4RJ|} zeu+D?Wjs3td5M5-4R4mB)u870#1-knXO^PT059O#DB6zP08g_9$j!2y)rHpV&)0!p zgQs1K&ZYfU1+;aZ=o^@sF#2U=?jr44iU3Z7nI4pNPrf%~L$!JX=uB0;8N2}Z%3UD$ zE;N0u83BlOtLPhy8Gr2o9<2K~&4#!?_hSABw;46<+MA%3;Vd8GT8ro#gqd8unQNen zpJ>0hZhs;ArBQCQMnk!vrFw&Egm4X^1tRZJY(eTlO0fZn) zslMQ7zZO-|)Q)qR~fv6;U!k2g<=7(!7jbMty zO}{GTy*imtP|2E$<_bw;O7mNTRC{M$CPoomL~+4Ndk{0`rjg{UR9UT32E=|7etRtU z#ZbCzxYXs)lSFB@!v-3$sD$-oOZ5BG<}y(9P(RSjWM-G*+TpiDr^}@Q> zs+-y}9hcxmYQ61Ws>|>!$#`&(3vw(~YMp}Wzw3sx4~yS1Cb)vQdfnI?hMjH?z<+Ru zh_yw@JYGSq)&ou&0eptXZgpE_Bg?}4n}1_df5>b;*;$?~86Cf*c8P5*qC2klN84#l z@QSy32Cf_0&^uYSg(3VWln^Bqm0XPFl^0J((tmk=<&yRzXx;Fyf+VSM%O6;Rb#EFr z!v{YX&%pMk@vOp=w-Jb@@=(vjIUEsSVauZ%ZJG*Llz}fbp<9$)Y`8o{&02)7L@iHcrh`~S6d}v8DEZ?E zR9d4pLMQ8BhqQDHl4BAwquSDS(r&coK_??uVxuC1z!{0*%Il@?=WrEjjvAd==)AB$ zzm*W$(C6^ncr7tlsIOM^<|pQfrs;!{c$eSQC^h61QgvBz{El8#|8FLQGM_w9;1E0s z>0*m7mp)`A=5PEGQ(4P@Qz~L1;5XR5XIX)rttpKzkGJJhyxxXl`ZkiMojv1CwRNwR zxdi$Gl`&7&0^APZA<6hBmjnjs(5^1f;uh!R$naq6kU`N}Y?g2uP;%HP&kGGOnMmhe zSC>B!GDj-eXlog{x_rQ>95&eY^uNtIYMLb~mizvAahBD9bLh)!V$eqogOH}}5V16NKJNL^9(qpGHisip7#VPHqV@g`#-3JQ(e=p$D^ zk&&uq%L+&;dMf@T10xESSV9Uj{t){>bb}^3H-~0lDgJYn6-G(j*mYE0vJw zL7q+ODB=IWR`8r5s%EuHOC+!G;C7wkB30wYy($2+pttC z&SN~JAzAbz3VbJs2jFn8Vfqzefgbcs7`v#wfvLku?s1Y4NP3wc7@l6aZThom31*0_ zY#4r#U+unLggLeD=31aJAo|(qlM>Br zoF;?Oe1*3U1^we&hxF|6;)b_w74tTdiaIg0XL{odGPd%H0Jic;AofDB*6;Bw){>cA z>;n~Udf`X6$4Jg#%~N~hY=Sa*phR-Y)BU&f>qYk?47P?POCJL%aSHlQj}DqywG+0s zfmYp3=c*hUde6yQOCtL6sr$OKj=oQ9jlOC451QRYA;(S{#$`EAdZ~%qyXscmrt4>m z%T?Xvljl0`?v(1MH}h*iwNLfZ)w4w&P}e#0T4k8?8!i`xI)m#TCUy%|tJRF2-8pxy zs-eyv6Bf?_ubjH1Q@3SC)oRPxmxmnQx|1u{YKB$9_wDQ*BbfZ=X0^JuUTZBF0&Ac> zH(b5ysum?NNDx74VDa52Kkx_wwZ|}Vu;+er4`IxJ@%`CmFTZXn)ajQR9v*Z~L)Zs; z2mll3?c-6`DZHBg5Dtl5e#ZddKoO&-pn`@?5w$8Ve9D&BdD(*Oe#JlreHfXI+SQeBA#Y1xjH3Jl z5N4l;XrG02V8`uY@1h#fjc*=>cu}`!GE~hp*P}2JzR0rmF|0rP>6?a=kxzbjvvT+n z_d7G1I1@K)voCn%^MnHv|04B@#|7|ec&`PBMZeXQD-EViLQ;@xEnOBfJ zXw4VfEh?)_ymu3JHS5dcxx;t=W%bS*h73;D22ZYyNEkU8V6gEyAzZ#d*o8+g-L9SJ zS8|#ipF4VSEx?CP$Fy0ff(O|$(Nr~RMi)L-sdlcGkPhSPP#JouG46PlH2T}KV-lFq z5P8%@op?~r3K!16k5kl@B%X*-K1539xj#>K6NUP_{GcT9;{mna#I%m89@uQJUGm$7 z4L>N+!oGgsgtKZR>M-AlV?rG1b(&ROUP-telp1P>%7`w!aD&ek zyD;uY!77`noowK)5u!B4wA0>pc;@E`>;;|Mi&nt)>R9EdV%5c|KE{3{J=D0-*m1DP zQcX5caW7O&p*2Fl9GiwW^&8)PddaVacOWAiyo#L0&1xGX|r(=zKJ#t zt)qwTYs~CbOM*1XU8*1-V%b07(SISL*KLf^7ft0x+;g1BH{`8%z+kP0C}=^s`8Y~A zpHV9{yo87U2loQv^d}FaHFz&_waTRf6ZzTVR6;0T_tmz)v`s-PlhX^b?n4av#*7`s^xwM@+!P4UFN+#5X@dxdG?F zxLHWfUiisb_{mim5j1FDpk5cprU7oqUwE@F``cjV5!{n;<_<$}k3w*-LU6x1>j<24 z2%KXGoNEZ2LkOJc$nU?bm=RlpX`FAtmJNIM*1&rYtkKtz53u8h18e4oSppWeFQ_0=*vrqip6QGYq@l%W4^q(My zhC`q32QII}xqDDu3GH5}?+S{Eb8IrM|9F*e6CDt;ALz3moZ5^K`PmVUbv|&RfvA%E zB6_1E4}-*SahFBA&|+EBRw1#3U_bU&sTvvd_N*SNJ~0$Zb(zPyz;iAk0QGD}sFSE} z9Vu!Ah&v>S_Ha(ZtunPwM6|C|52S2H)HdSIzxT~JoQ*gfP|7PjJ!kmVQH0i2gw{a> zAU5IPn$ITf0h@puD4O-ns!#5;t?T$}49*>izzf7xE6!3CkI}dV?M9664@~hj6)iSf zn!e3rx?BATvo`@{x$BBgl1qmN;Jmj$D2TGvwXnbbrPy#=2zI|S?Pg34C?*;`c5$pz zvU8%=$gIuC7gP{-EWO?a=7=6U{;{%Yve3g3-=;$c>MTM~Kb>Qy(AF8cLvVo8@%3pZ ze4J?yU58~XH!1k!tAw{x2U`czHNFE(4cxVhKioI85Inm-o(;}+vuu)m`I#tJy5+bS6C5(j2V zeBxoxgYb5G!?xMqiB-z!*$jLClype7XDcvnDpXL^!-sOhb2!{#C62z0ugBhr%0{uk z60Ct5t&qghF|tM+h;x7<%;a6pa(x<-$xy2TwAwQmS3*zp&p08i*`ulckA>CdIRR{=%Y3H?lJxF$}`XC!s@f1v6FiYO{%@)N5 zgi%SPLTUkRUWC47ZyYmN2nG^gL8$ggsJDN}_ml)UtX#f_iTeK-MFjP`QFEML8&S7| z@D)^0*~5V@-^W&Xi}yr(bKGBh zp7yJUW<<;nGa0rmX?}JWQmnDbwJGp5%~Zel>GG0qSG-^%(09YXyY-NS3lmxP&osd% zN9~k4hM(~d2XTnxniInKEnu6`wwxuaF@q#T@aL$~=AQ0eNQINItfR0hXrDI(ji1Foa3B(W z<?6WGRsY(u8~9TU<-8a}2M)X;3&lne2c zLfDJ7yRbt1Mgg*SDS0pJoi@2)eSIP=93wlc!MURDl}HB|%oMOSBD+{*fPTPomy1s? zJhc+mB1w8HW(xE+Hq1W8F38IVEy!B>KT#Ijuo7ZQ*wJ=sG06SWuHbuR zPXrr=pC?2|ay`Z;mK674Ep`Dlfq*iKw`gT%pNaPe~y=(v0 zUY&(#e8Vm{>Dp*p2Vxr+VjIxDT{k^P;jXKYjkA!AqmYfO5HIjJ!*;x&3&Vk4*@5}2 zFh_qo^(V#RIJNfRjx7ZDcAPs<_5&&bho{V_+N;01C35b0e3!v(Yw*s|7-!77C0`@r z!L^ip8L>=7OGY~+i0rK}z&Mqgs2NrAJwx)nM}eE#~tt~cVivko^7MK&%)-oIbk;qaE_@RvZ;TXFI|IoSbk*@2v_ux<_%U&y}M zwrB3(_sMX#o^ZFB%8~6Xq0dtho&yn{3lS^uK7)D;efp{v%j__^LD{W&_VV`fMh~W&CNwtMN34Qv$IoQSv6bN_YWe0pZ?X3$7AeqT5(51l^y>& z?h4P@E4Y_Oh9>{MT)0X&97xhxM7QqC8rQAeivPAxfT3SZ;egbN+;-CI>F7b=M2XuY zbE8Jq=11cfyEQM)6z4`E2N5KRgjTXE_ZBqbd1iZau3^ygKwTN4Y>C#@FN-S2z5)y7 za2oNOp)ncp6WA3Y{B1sYOm-LOrzZCY0{DxZ{e`YQBjJ>X^6?} zP2TEmUz_R7t}af;H`B+7(=_&OXfmCTbg9Ph=m@tM10Nu@Qs?KUv8(neF;WATE;tEbNCjZ3G+ znC6Il1^uK=cc^I6zR$aOS_IW|!`Hup#EHE*V{-gr-tCx>mJ|pytN5lPSc{}D68_!m zFv(=fo^!-5C*=&Mh!^uPPdnQfde3E@m3y&>;LZ^^NBLf~KBB)MW8?U8Kfjzj;k_UA z$kMxVB?7ao?Kfj{z}EEuBg4AhZjD^Sp`IO=AAC1E@Z@Tjmja$E0532nFJy}MgoJR@f2(Q%<91bV5izT# zaVo)o8bF462nPs^*3uY{<#ST^vFu&TUI-&|9Nm*~CkhM4M(3fw9(6{1g|0g^DyYw?dG|7en2Nb6HRZ!e`4Sq6AS<;&u{^dIVyLi{zHz| z9?D!x98J7Zp|s=b_zXq`&Nd$yr|$I_G-vQ(pui zAYWf@pap7{R=-5ivJY1ka}%HI(M1a63kVfoa~WK(H$0m+yldJqC>diUg9HBfgP{CO zL=J#3B321w_luWMN#nzbK23iS+G+c#ctBQZS&T|?k`jnH{9L__(3WS*+ zz;3czmAjS~*9qq?zD8cjzSJ->*Z~8@*h(GQDP?SK8|)N@UVAYI5zV4>zDz!2Zw&q# z5N6Js%-t3m2xe;7rH7mv3u5!YuM)oq+hj}fi}&HEX>34bCe;Q`WSj zw;pU1;6B&*)!~cd5MC!~9zX2SMg1YN)yHW?u~V(b8^_1nm-K4VOX2<=Y+;qPz!;u6?mT> zMz)jg+RAW&=zt&M<-h4C-cQdRDX8E@1!XM}D$q@0C$AU`_-w^u_ZP88&r81?rb+C0 zer$jcuiR(>mLys>tdkJg?~d0*@IBlXW18&-Z|s7z8y^9R&G*?f25*8*2Gc`wyQo>F zg3&$ZY{+7io)BBrPLp4)GYJCF$Pl31X_Z0UTb&(+sDe~o|LO<>IcCx8AqjOm3>Nrr z!d+zGq@C01f9TN8sUR$Qk%{T+Eklc^C0N`f=#|m7wv%~ zc2ZiF?Sk!rWta&rVnFSq4TvE;(bEA(%0p=$;hM`$Qvoge8kLRm_ZnD9zJeoQu9Dx} zRhA!{ZYB_{k%Xf+YWIoD9fg3S02ZS>-7k|}_n|3>OO%G2OLKT7)fV1-?nnyb>`@uy z0(Mc3bdZYaP+jVN9rQA%F)yOgW<+(+nJcbm1YJa&Mo1X7Hi(s0Wt~EOP zk150SgW&XA2=G0h);Bu#Z~$<)NPG#25|tooKQbhc7I4f-c!d^_=b9Xbn&r^A&c$S* z0(P(lbc6Y++NiQcmrJ!Nbn_SG-xIGchkQhR`akZik(6PP-dJvatHb8f+3N7a%#Oi| znx)ydur;nR9nLl%pP3P?yUG6l-|;ntTRdUrSa z5W65BvQsc*Mp(#e3I0qR@r3OZ@wC7{%Y6;86_A6ATf2anB|wfq>d)Gv7THA2i$TAc z*`yYcjzyO7ldpxw>#doys7^zHYE(!q$dVG+hIcm+!Mwm4vxM5f7V6nV*@3B{`1_OX zKqIV#Y+wTpNfmEgmB(r7`y-CJVFW2_TL^NIsU!lVN!utBolkCQ{k_NU^;&~LEk{QK1OMi z-3?k&Fk+XN)9=7iDV^|PB+DCP4YBok${fya2JS|RDIK)a*%r1FNJD&Z$z*dbcJ1o1 z1_~6+GY#-{SnZRcA^7_fr)Zt#((P3UrgknmyWJZ8!5sGv1Jm))pvaMFA*4!RMFjHT zX$wGVZ?w>-+x;}imKcY47I9Sh*!VFZR@W~{Y?Gf zeW2&irjcm26=gFCE_|YB+KYKEHB{-*;kWdK6$?4rB70!vPNF||!fgW$BNQT^E%TOZ zN_pxUo0l%@JNDsi!Co$Za`?x~63OJCWqQdHG-Od`qs7Nw!H}e2_3VQv8h!dS-Fv#u zxpB**wAX7 X;6OpzLImr!4`ws!2cfZ|VTU&Qs-Cb8z_c{He z`#z`Zpn!mY)WCuMlg|G>;eT4q)XCY>-j0}=m4S(YnONA>(#C|Cg_VVviJg&|g^`7c znUz@C)XB}#*pyh&(Ab)onOIqxSl!f!Sj@!I<)0^D`@e7?AdnpYTY=mm{f}a3U)lYRZ*k^fE;UOj|DA*8K6BGwSQU(FX z<3hmmLmmHIIRFv^!`)QfVJEd5fMAL-jG#jN>aXvr?(XX9uIdVqWeKd^dAK}N-r;hc zsZdtkTAIAr>~KCtv>}`)tEabQuvrcxjNS|`z}%ubG_dhD^alCBGWPc;-l}{0JDEyU z>0w}BwO?R>|AF@6Z;%al{7K+#H|FJBKTNjbTR=fg^+a^Yw@#KX3nIsl3O!&UK~q`< z6BCIGR?449xA%fck?-PURGkC#6N4saB;t4UFgaurioYcsC79P2PL00Ehwp859$C(1 z>+Q9*xj$-vJF?wmV%}a}Tkyso24XOtuwb~myJbZ4h}|YPo?l(Dr!v4>l|{41_niQK zy($zspXRkSx+YwKUdFGsGd6yLzEs#)>9TW))oV76F`ioK%|G-+{XXB`^3(-%A9NYo zFQ<@abG?Z3DhO?CT!-2aEj=!C9)tbOe6d2J_DgP|CiAAq*T z<%i>Gus{|t|C4spz+SddpVMCoNf=EIg_@{>OT14KS|IwGE3#Nq7y{7+hN?gmRW2a~ zTu2b`BBqY_n!feJnn+Y|qb2>2I3QkJE$0)QZ@%D#7rjDyXBAiSfL*J z-Brjq5x@G(GAUaNh{9m|qep+JUva2w+-=NiO(;%U9>xjq!uSSZ{+=}Im?D2Av@?CM z&H(d|z8SlP%aQbFzHM0c$^Rj#O86Y!-}aZ@G32u2i}g9P;BnZ#Fk_vF&bw9ttZMRH zfR+C+LSL`EVqN7HnlQo-?h!hjnLQ~cQzeEj?tp`6&i~J-nC8v}5BW~UyJIhawzs~g zxx>lqqiA%bB%`Vc;2ng+(?yrS+QOoX_2;g8mzVaB=Hujk`PA+Ggo>_9W?AF;?Y>Ih z)F{kIKfkWoJAa8u`j$lk&e-@78mY6%m#QV1ZhHFVfh}O+(8_TuRX4vfdYhx~xs71% z^$G>#?2y?$VPjzuoOY=0B$!h460duyDjjxcAcVNcjeg^;LXfJe7#9GwlQS? za^Otl0G06~N$lp$Ri|3l8}%8)1vn^%kVgH2jVr~FuE>dov;LqfRrk{BC+G|W%LC!ofyt1*VU=_==AqWA43IrrzNm*4Erw}8-3N&blj_c6+p52 z7ZjU3|G1aP5i(P|qvd}4OSv-iRs8w(;+M?QYu%%=)Cd ze?{<-P_IUxv%Xp;Jx6>|#zW97`RPcg&Bwf`pB@N*AxwM>zRXR6`x?E=9(MOKrz)$d z&U`T_nZ3`reTQ^9Q$F~sHT$8AM$p04my}QXF#6Mv51G>_uN1fljNChDrQfHx(&t|9 zzk7TO)C)1P))Wt)bDzG+vS&>A1Orqyz;X7~n-e1$`=OpreKzI_OD`)}99fd5q1|l( z2f_HtI8n|fS+BAz=0!FNa|FM1pm2UeEnDLxd)7w&PWzjbo|n?vMJb+J1gS>bj$UHUYckZ(Q5-Wy05m%E<%sLm0*sG`iZBj?n& zFhNSpc`snC-s?=pQ)9dw$5}oOy8E8TKYR}pIg#1D=CWM8t|C^z=S_u$u?IW(O(%y; zMdPM+{mgdld-&1xHFe$Cc4Sx0leh?;AX$d${0C6&y>Co9j(c<;C<(>J%^B9TlYFfd z7>`+PoS8K}2%V)iu=5>YCz7ZG|F$>kQTLJ$CC8h)WyuQN218+b-VSDa`R4M8@HUVc zQz*kDIr)?q`2T4&6wX8BcD$uVaIjr(Z9&FkyAZInu*4}F5SDd$6Woam^*D(dxv_v% z52%Wv4a2QT6vmzR7a^+=H!L%i!MhC2fU#RvN#jxgq4q!%dIGX|lZbx3O#S%`kejWp zu@hjU7~u-a^!XZVGCabG-92+KP%f2fMfA4Sw8=eO?VM!1hst7+QwYO`Fh(d_u0H=kvDNjjlB9=GA-)zcg;Mx z($}Tvd{BS2Un~~PjcXEV@Zp?`sROD-b%KMazK}ZPZ$H|l zA21xa9#Y}$Q!-!`)dw;I%ExPl$7fJ=?0M11E7f8mcc)}Zqjt3}7Qq`=@rz_S*0H|N zD^uArc_~%WTup2j`K0-sE7|eQv`Q(^9Jn$Peye99Dp|1vhM96pR*XZqrk)a)QY0_G zEC;4WE<_RAKsUFVYL^eDVVw#K&#^{i%oT1e%^r>~i0aprR?03d0;`~>?qw~D`g)60 z8QpI2Zc@8=^RZ883r&nYEaxnYTEp_l>pqokl=Rci zNbFjq`OOqDW_0EI7~t7DSF7FL;3l!92%w&@qK!8b?@7hL+&FLDG0S zPD`ih_!%}yo;wb^=91ymYf0EYQdPD|+$W*HwcgDQI<7Qh-@DxE9c>9JeZ5Y&#dr0{ z%#OX`L9j_c=1Ppp&^0{7`{=Nh=+2F?B}wlG!va6Nys9cxpjY)#Fbfu%Lz>K1PzYur zI^Z3IeW)|KQj?Gq?qYubf$+YMxpUZ)5@5`N-X$j)mc-A(^2$O+CYR$EZ*Ln1UqKoX zRbZvp*dV3O-UPoMgbF3MjydYy_ zDAUZ9=i1Cw@DD}*Q1TCDt}cGSQ=wR#N#sR*K9x1m<9BUe&1_wePjY>fuXGLSEcIyK zI<2kKqrceKM??QGf}LO2ca{aS(?HcWX?}o(IdmK^uy%KkM~ip8%xC1i#Vr}bxZ0}` zGmVGX^!T5p<(3G*Q6DPTz880BTaMkei^Ut*T4xXHVzY&Cw_by}TCPXgtkETF*KQKO z`A|E=$ia8zM0XN&c8(G4dKD|$+9aK`(@Q+@DZk0l)fx}<(1d$l(E;Ow=sHCSx?ds| ztVGE>d{{U0m?23D58HQwkQw#t1V>)#R{(=NfxA`c-MH6SbQDobAY#00$2;7%PO?WXb6c3oU+Rd+G-3?b#y@3bK8wrH#S zPdoLi`fWX6XdSeE&eAyGZU6mIP5a|aO9oraZqQjns1OZTEx@(#gK5c|x&M_U9drgM zAKt-_$|T@En+-f?M-*q*$K5>O-uwvO;cF@D$B`5<9=Sj7q;OYDVanxl1ZwV1R67#7 z94x$GHJ*_P8zJ1eMBWXH9D^Ri_vGbsqk@3H$D;qSFM)`HKHWyl?%r8+ zer$)gk>TAV_p0RP@x2Kv!xsWX#Nwm!yj_L3VqK^InHCS%Za_w#(>=iB;gLBa#+15? zN5h~&1KQw`G6YFLwP!<+ie1qK6X!E`7<6RHX3Aql0DJ9*LRjdnGu!>T#l#H(lDJB9 z&Ys(|EJmYWJ%Dhy%yn>{^~nIAI1l%u&|7!5JIZ=FT@igX?uWz#I=v>5$U9Sa7X zQ$;|0)h}z2>02y}Kf)TiXmYz2Y{e|tsyR?3dB%=={+6Jn`!qS?44PtGv^inqn!^S{ zw4%XX5@=a~1`N>^SlkUcABU%3&5ft1(!8f|xYKg&LtR#kx507Cjpb}w_dMJ=`y_}& z<%Ve!zr>jk4gEN{pqUb>{A><9jj?PTak+9`b{Bb!2QELyrLt7vKoVw5)o=)pn>9zTVr&J>RM zK_hWb3pUVC=WB2d5W*wX!i4IN+wO2R)^Nr z(uFVT$6ZMvVRU@rn{(m0E_E=1gAMP>HA+yE-%Yevr;Vg9fzc;+z(lNWy5Tg*9YHsw zB0O?ihSV_ErNSq4Kg%LnwtRqXm>C3jVS3W%;tO%gC4lY_r71Rj&26m5s&jHSw~J@hj;&vmt0OM1if)dLDo##y>Lsuw4uVH4 zou!Anf+3VA))w{RSG!dG!U@HIe6Dg(^h3UU`7M3Qrsj}qu9#MLlVr3zcME47b9<%A zZeF;?&xG?|*}5YeCqdKWv8Y>Dz)=`oQ8#arwaZKSMlN{=)m6v9xM&!XO;5t21|#~l zaW;J5izY=n1!-E6Zo}dxJN<9ye)qa5-CH*Ctu@0TH4$#jb@7(V>dBwUqTEcD07FXpv!TGi12SoUs-f2c7|8 zY} zCpLY%z>^a?5c||Bb%+oA_g`+8wVgnlR;7#&=fp(brCwY^6vQQXw1;EeE9fV6pH2?8 z2|ps=C)mc(BH#8OzjS>cLvkGVwf`jN+ruOS8YJsj6?U$J(y>4d?>Yj??&TlbMHaHj z*k?xguJQhSNKHXhB<$}%)!kjMl$oAgOemtKgT2(nD=R)^?;gscQG6Sjl%35*_2GV^ zi2GqcBVBWz%t6s{jrkxLBLYMRfzG}z>ci68viGhhqI`dWL~&EK^OmiP3(-%1RUzsC zs4Fb`q4tD~e8G3sI6f#I1MK5<7u8^xJS2nP(itRu{uy@>4d3pIWTkJT6ZnQdChoH* zP^uz4mn)&quzn?hr6}HiNL2DZSEcgH)33?Ys0exbWd;jW7EQuX4LVO;{b`OVYKl1q zkK*r5a<_Xkr;>#NJ!|RxhRT%~$wv-IgXc#3-PLVEP$gk>_|^4-N0I-oTZ>2=yXd&M zJN-9c7J@-#49I$5@YfX|EfMDVl`NT&bu*ni!6-B!KHN1WOhKprm7IyT7aJC>P=wWd+Rv zxlZH$RH!;~9?G?LXST7x-2@oZ(ix|xP2|qBppR#&eIIgt`G+ekhfb?a$1|b*&C-}6 z8_x0cn6@s>kfC`XGW~7QPi9d8CCf#V^3)`V_@KKaW1p|?1muK(P<2~jT7LmosE^=Ippbi&384jR3#z304=NxYM{< z08VEBzIfA)DQAQXJkNH=kK>V#!-P>h)14pZYhk>HKJcR->TYdD#m)^fB?<=-E=KpQ z_QfQgeb!R};|HHaHxB(}am~TK9k8QQ3s=7Nhqp;HoQlst);&`kb+^K&8Fgc0`!Ciw z4nx5AC*^|bm=CN=E6%xL&P_^a!vlhil3FGoqjfF3=@i^ES%D+_3fe|kWGnrMS{eVH zPT=Kdf{15K8#DgB>@Ftf{;!M3M8~lV#dz|q*0x(;P^b6i&RVcb`vN|kcjtP4)~6Wf zII;3b^kAjhT_Q?;FSp zbcegA`0>Z>7gg2Ilw;2ZfICo|#p}aWy}<5^;us8y4{P<`x>{qVeTd!pFe*i1>!DGgRh(t%bfz2^NmcTa)^(&DudGFOzc#_jc=qPxYE*vZPxc4> zf2PWKnzCh7k>BaEO-&h?F60>=@(f9l6sizI# z88TzU@=JN$JgLh{$XB;R*?W%;Z|2u!i@k zZEy8dbwCOj`#(QYVQuygyL@f%M*lmrod zEirTRA?8kn-$R-jMo7G%`fSUK=C1g40~mAum{j=O@MFjA|BTtUZne@bT0M4)%c(r- zz52T#h#a}X=oI^GxvdwUL93=&^qZj6c6GV-n%mb#cjffl3+wUesrfn1J-#Kr<=HLH zHLtg}r%Hqu`bS2a@doX7u+~uJlpm*F-&2UJ@`iozd|F9|cZr!r- zae*{hAFRhu-qq(TMCx>Kv}8Sq<^Ca8I#UWjeAKJ(_#b_OlTx}7m(t(+n#rDV26ypJ zQFZ%@MlsBI`j%wd!meuGxH*!qYZr~7%tfBHJ`Eh@hZ7_?x!-)3D5!wU_15^z&+251o@4kXBf6e)*1{{mZ=w=}YnCD+l^`uZ37MphroZzOr$aBUN9tTZI{AB=`gmzb?p6<+b!K z`bLmVUgaPJ8?W+Udzyha&Ue4iG4M8%;e|25z}tAJ)sy zB1w#s@JPjD@`cu}j|=Pw@5#|>Vze%~Op7QX1fqNefb@a_U3B$zG-!m1K5DPzJQQ|^ z(X@VUYc_Xl<2-l9db||8w(J?TukH$KY&Ll*zJUyWXSjJat=hTsWpI~O*Gk`%*-urqoYNvBME{OM1eB#jHc(=T)iDod~&91w$}3ti$p>9=QjT~ ztL$q!Df>8G*4X%zdYCQODuC`ny@A-31przr=d-6?TH#$hMnX&aB8C}O);c>B=0DmH zN?3Yte9eH*q|XfbNq;rhotgj$%G@}%80ob!A5y_tS5Rd?si=6U7tDn;pPU8UGS~dp znqF|B{8_~B_b=LI{h=DNeeI0n&$&g`j})r8Y##9{VDwE`gWA^;x{&u3ym0udJ+J8)t2)8xK_zhmXo`?{Z55txuV~cNiC~ zeyi?cc_>7a-;!qEv6D@gZK(=N0_Y3?Uc#)Iy2YxzcTG7&d?{fU>$!#N6G;sVeGO(6-6L*j-{%O?7e)rwR%D#EH5T+Em zei=!b_%d+{HO37-mA1OK*~g?KUdY!w6E^wnyj!g{`7b(^v=~_9PI&%quY*0GM&D-d z=9P!+-y_DQFO(Gg!9QguvYrJiua!0oWMP(xU#}Bx_O_(%ChB^X0u+Ln5Z=Kc8c6L- zP^>R$NW~0%Sj3jfkoSERF%suhzVuThq55uy(NUD>?j~(eNKK=48_-A(Do>eG75wokC1dCNnUeu#u$vL&Y-9qz?(JRkLyfS; zC8?jlb;TcR0nW*?0+8IHt#~Z#IL0l6HBXP1c!5A$bq0&MQGfzZaSiOa$irfVT z^KPRhynrrqW^8c%lo4U_adeyS$@kq6f~00A^w)nW17h*` z_xXy%wwd_m<6LZdCW`%=?Psak5Py}QPb$vsUjCMcGH!#dyv^j~yWc~X!mh__oQAj7 z4a%&VMKe;cTaNfUti`BRbGFd?TCkL-1mN)_EvyT_{ders@7ZO`X7|7+XSwYK;_IIX z&yKsb3l0F#D{b5wxMq9nX8GCP;yG@?_gZml=u2Um|x!7fdbvU+sJ*fPvy16T;%TU~F z(_P;>ac%JR_h0K31)pu=+6e2=Z0j_${3Ua0K~E^Lt@zE8Y+7*5Y*{ncp)*x%>;Az{ zcCqgC9{N>tGtif8xR>MwJ<`QJ@Xg=lbIZX``M8&21wFdOwjh_bxR-RZ3`-W~!ETY_ z8xZT+Z0j8bJ->@>K`&i#FNX_sOXe6LY`era5Z4RD-+x(9S~b%OdH0IH!&@N4K55RT zSv3O!TSbd~37bJw%5-zA9j}PXrPNJPAqhLu0wz$u+pB z(R9pt(r2hP(xgKru`b-Flah#;AZz{8aAmP}v^J92Gq$^n&+Ivz!0YCPx&xx>fRpGuVNpPaCEvt_n2$_Sx$rxxN(ObuqUU^CabP}Zy@KV7EL;g@n6d-mA zqskkZ@E8dv>DX@`(unW6kTbHsl++>8Qp4wqHkR&x2 z6Q)))xjFF}amjLP4F6W)qrmf~VL77Vze)Akd~@Twfbphmp3Fd$yDaB(<0F)gs7f`6 z*CxUg=X<}azm^E0Ocr|q$-EACSF7}85?1r`czFx7)wfMWs>J?dR1g*T-{X|kCSyT8 z_y&SbfOzOZ(HQCY1I_plF zzFsmka&r;}%ZoJ5h~k+76GY+oXAU=!uG-L|WT~G)$!Tp~yembJJiBG-vRoQOENC{d z%%y}Wms(mqYCgB+=K?^mDTQLQa7IAmD>y==0GBD34EwWFlTI$hq-G~UESJa!&=Ejf{ zl!C{H1c~%^)Y@?eWl-Q7+ZHs@Vo`JvsfwqEsiLi|e<>&hNiMSUE-Fe2kD65*J8Y&e zcC7W$3@fb=Kc*pC*2KKwK=()PCal$%=iggbT1sAv;!Nu-W9u~$d!1wllYf#XN1dfz zv%;Q}2YF*G?S-73rhZiamaD7|{#eF=}1CmxXZ9y(I^ur#O__~KnVS-1| zFV0<+X%vx^8eFNixpfrgEM7Y~iKQz$yK{xkkaDCnh$B9^RL!BFn%EG-vWyf!xQ=6v z|1gJT;Z_OCsdjc!30LmZFj$n1BZJ#g6h9=>lwW6bnfFUnKGrm`v8Y%fHI|W}qU_<$ z;hi&u$I~>fQ+B^}O+EzHLE6y+flHzCdb$=Db%~UlMYGdr^7M=6z(_rg%Us@ue(GMY zT%VnT#q}-uyc5Se-+1Nfr*_M0U>#xk@|B}ip_n{7gHxkpU~=r%KR?S9a&tt=7Vcc! zu1RW`u3X$d3sP$3$>&&{OYQyRBl6|7TbJmZy7JSj2WrN4g7;k<-DB8%mIj%;J1-$l zi~-Hy0eh}NPFmE3PX5Hi-KY`!Vwhu}yeUc}WBma?PrZG}rw)=Ibnm<3^yk>yja*c8 z(odNP4+d2}f^yt_(1*Bx&q*1)p`BC$LN45D)SH;7z;4_%m@*;bgx5jbhU;NWXg z%dI?zgB#)H<^O*g1O4CG@?Pb8^d#Wzg$w=vu14N$VtUk!(I+6v!% z%3nv$T6qhBAP|Cu8XI|GidX#FYnk?8;okt<7@KgY?R+bYG$8c z1Y}59`E<3eaymNwhVo%<(?BRkH|=-#ie3uve%%HQ9K{=Sd9i46^s#Pc*8;dc!9_Ri zvJMU}a@SA|YB-iIW7ZfGU`GMq%mXG-pUl@uUS{jbeK@pGUYHu>l3}ZmeF|48OsWVP zr?rrJy=?e$xfq8Yma-m)GRp9GCua!-fWuYGBsnNYalu$1V{T^|`QA$RsDe|*V={qM zZiG>cN*f=qcYlQ$o8fYsMOwj)1hl)y7)~AoB3O~upjrk7RHgCA8{5_nx9q|<4Z}Ar z!#7RCKeiD&HV|KFiI2wCEwO}Q(-M#70&YkPdu)T?Kr>I7`&Y9+8w!6eSj`?>{@@!x zTmvAk?I3#oAjXHzdR#XsHpPZUY?fe zgB89pS9GhwXqb-j07p){-IG%zv2P{aHmW;9QL9btCbI4yl4~FRS7Rzh`{<2E~WIjeBY>EWPWy+vUvsf<-^VV0<1K_ypMn6x@ST zwL&ZftC8>oAj_14zjBDWfr(6lqEK5#Izia#I5^`wItPj@>Dgb^WcA>aUn1atDYb#3 zZ+(+j)A?`Rp}3L6PhpUz%RGbrZB=Wp13&tWm@&#}KzJVDnFD@wi(k~P0R!P*?NYpn-RzQrd2v*iZbrsfm5S+$TpKt!GwS)qbozbAXQ|y4h?j%Ta9d zJ>epa#Eek7a&sR) zRPUn<{ds@NJ;l$nyvxzi)TIcDKXY z`J(-JD*rVSeZ!tAe^CuXb3X$D%kXYQWOgZA2UA;B+QJ~G8zBq%XL?&Y&B_F{wLTGJ z?tv8V)Cd+heIS0rLg^rH(Qp2Py5tOUHP0f0OhlP>F zD{-2v`s1O3D-kGnF$wx9Q41+U8!5k;m=8WoG@!Osq_$1O??=SiZuX_H-@n$ej@SW? zTsfcU{pwPV;i#@5Xa3QOP8I3G7)Mvz13-KYC%9AK0SXCDZSN#9iZTQ{lr&%uJ?}T3 zCkh{p6>{u2I)m=5rdttG8#Ce=T-T$1-ipAavOG_7K_y>Cd(%I+JC?)-lLbUAivP<*fIuhFg(33}z2ZWrm6B6{UI+kZ8eHl{ z4{?sN5rx0864cOgVJ61^@>;*gt7b%B$n@9UvR^9Ai&dl}922K{q5}<@$hvA|t5(Xh z7lYSA`2YqCHx0UtMG!RpYi#3)mS9HCI&c{=0m_>KR&^Gk1da1rfN`}U8rpsIF48KI zvE92(NojuM;E0SBZ|X|(sToP)ntp>{|3r>P_hxTS;fYgPi?pi;lxd_p$a% z9Xk4m(dX!4-@eETBR)b;(zQ?+n@IKYVsDIX8K(X_9w4X<;nhTNn3AQuc(Nk53VkQp zP)D()gC(*pP-FD4X-62{Y&eBbCHS|A@Y~cJlev))0RpKg{JEivGJH%CMVU`n-NwvC zBK8hD&Ow*~6C6j2#n=yz_z_0498SbhLOU%YejqS_bm(*1v*7XG+CTSb1r`e*Xy~Vr ztY`TfRc``Xt^+xa9S_RDxc8Zv#` zbf<{$hdxj;;C(SnzaT<1L~EWo(vI`6KbHz?{WZ?_SK1m!NW^j_48oXcyOEGFNhU86 zk}ncyFA@~iN%BGe9ka|Yh560HeYO!_Nr}4+8yn{YI$h+hGW*jnl6v7I=!5E<`gLsU z&TyfbSHO&)Ze7)e9@T~r1U0~}tK7y`E)wuA6S6N7>NZGrK!z^bf)#DV@omKLjl^s~ z|L(6vR}1S|V%=%+0F`)vEIdFbL8s-Ml!hx~`9JYIh5UOVoqu5;r6?E6a}@JuBwib6 zYo{crmCt#HBFJSKM7d0G@=&sxNn5p1>L7#K(8F;(Vw38CwKzC4{vY4;h20+;lh^gj zFY>heQOJpXMqlH|iQk)3$ASF`3I3t(d{Dl%Qt&IcotAvxmn}OW=5}G))e$>dD1G2y zxY>DP?MsLq(^`N_wIKqvpnuxBN2muWg|7Oyw2GTDRv5&Q=7dYg!<Qw+8eqQK(5KoExY|&#poY(rPW5nR*HPq&(glz}_kLqTYci=#C?$KX zTVuDXhCml^aphs=?ybF{ShLpFTvL3EW1D+<`y37;^|N;-msVfMP9zVmZGhmAt=xW< zvsa9IWIFlfM9qZDiCn=dwc~&teg5KwEQwRHWZ{rJ&d~BU`w1@Nc%6h2%*ZaN4P@XR z`ra5le=O}_)Qpb>ukhuNOK^64e*k^cN`Di3x@YhxzkW!yjS#;2YE?wnag)8vCs=a?~h_7-FKkf8r%Dp6}YZw?67qE zM^C4%oX8I>rA_g*d2p<8ZUi~YYPw(2IaVW(lISDxD+x{WC5TUt&$d=;p{;otJMJ7? zFVC+(4qgQ=fAg~HaYa{is3%}fHP}^p)Z-9gQL7@GL+Sg?!Sl?N^Siqcz|0+m%{MItaxh>XqyVG_u3_+QH zx8LP@CN~+{2*BIf1${e7iyERJ_baX4{M-7|!~wgDV-%9h2T zym!hUwWb9eOcpEWX_@h;H88wZoZ*f9iSGe;q%$&?9kGp6BGmlbw zE1&0sUXGQSf55FD_C0Epo<{=+hM7MF{ZCwd&|Q3x$G(lI&;a61bslvi4HvXd0RG@2 z8o6RGWxYy@U??rN8=ar*fV!uCY zO0aV<#FlDa+`BVqkH=bA_VO3Xs)N_{{fq9df1uNcAAUY6VKKw?gyNUatOwmE>1W_Q zfzY>XMDig3=`{bxDW@Y^`|#cu9EtAwpr6F+okl#?+2oynNc&F6yNC$)%&2I`LtS+p zeaWO7a8<0!F%@sEgDCDA9{5}QH1;?}qnmmNsc8axTd6{ofewRAA_Pk!$wUz( z9CM2zTc1!M;8XBZnyE`kyJ0>5Hpq=0PV377fN%#}@G8q;{@>Gu7WGvIyG z%LWmzAA95Ge3@}?@GM|{JY=?mygijojHdXEKI;?)p#E7c5#5r_!%?fb_3Gihlr&nKUBwmIjd^{Y&a3=T1EIZED zaq?Ps3)(m-+m1%_kyoT`ihn$ZC|T2AB(pLNwX(|mchQYAVqdDl`8zSPG0<_E!=}o; zs=P6{U}+uh%I&3oPO$xxge-Ycg@amlNy+t!H2x91Gx|~;yX)z4GkN!D50d1V$mSJokfFc;d!An0a$421^q7Ay4&hW(ypQr@4v{2KIX<^P< z74&>9!l0xoMSrIOa1YHN)8@=Zfc!|cws#*0kA)=d6KzDvSMkN@u;Ee^Pmk=@Pod2ByJT1969$yU=`&#l47-Lw$$*p0*Yw~CIquKa6~Kfd2l&;!Jze(zXx zM6$gy8!gTXc(5)_=;iU+%HcSA;}D+K&@wFCbe~6O=pEQ*xALa2i#FU;AhFAW2ct4U z82C)9>+Db6{Bsr*Tj=k$$f?U`w4t|ynAhJ1T_XP`tAcSGobH{DW=o=pL~>+TM3j=G z#)N6`ry$qjuTX^&jU;0ZWX;K&)ZaGT4iw+_Z&EgcESBB)N9r1w8TS(~>u-BYqgpX_)=h7i%V$Gpqzv-JvL6P16!mPkV4;AxE{!{?P7*WP|+F~1#kJQ;g(4X zG#m3eSH{0FE4AhM%0F~Q4Iw5wZ|8Rh3&N6NO%(kz#8(0O+0EcplH!?_ShTqgFEqx{ zyPsc&Wo|>NV1=fJDfhMEL&&f^nc#jmF%+Zm_PlPm(-O zFxcwxz|76VidiJOsR+b^ctOp>Rx$eC5T5BFq6-8HQgz2&@y4h1Y=p@alM+|B2-*ImurxY3 znU{FfWZe=4TA!yjhcC}Wq>5)K+ge4Q0b>J;x#w%~O}AKQA(6#tad5NasL zUWvpe@+EgPTpv@aALL!mLYQv}jGGb^u~@mHL>tAr{TQd${fa0CslV1X^ln!%hsItO z*ai+Nt4fEB^6d3Qq>36Ek9fruvxL=q>qCoD3~l(j)l~`CBA?NJWwGqIF5&AU8IokQ z4_YR*U1YTxF;z<%j4UI>21E(f@~kynaoZ&}Apw-vPhR#+&pC*#VUP*;E7J&n$?NFK zW^A!NBD~PTHV=jWnhQw}{8|sfD`L<(S5$o1MV;KcD}U>T#0s`JB`x&oZlU?!5f9@w zo{pohQsl6rfM6{XXbmTP!xAOpS-#XZ9Imbh;tZ>8-f}5xV2s)2 zG_*k|OT@XOLFMO8Jp29#Hv=J++>>gy1|$=d_FUhgXRkl}8v#`heL8(@p1=$6qYFDLetJaeMU)o#QGZ?tj#i<_zfWc2AipEYoxej9sm*}FIGjVP03 u@||;91|g2$^s<|o{2kvDZ2)F^I0~sNV3dUdm|NpOdV`FQp52!Mn@LBvs8gth}CI!py3f&6s x@e}+cUI?TmFeFaktZ_KVrO?NiG(jq*My#`*DI-@Us)pTdESS)^r&)VtFXtee)JZ{d8=9B5(_~Ybocl>_);D77T9)FJ>1nE~8eA&;B z#o~KV^fV0rFq?1h_iDE0ll{rJ?fGOjjkOHV_rk;Ie)#n>Xk7OBuR4Zxhb4C|dJ|B4 z9=?0j)@S=iU#1znBpU)+r#~Hd&%$#L-sbE$BXp42l18exZ z675kBS}5!?%?5<{t%!A@8EAG=%Vo@n)gYf?7M{9PSbw}OilYB(FIG-Or>(wjv@L@Y(G)fE|?xoT2Y8C7I;X0Eq#VulwpoQ^eW zbJa>`pkZ{Zb-H{7$7^S&W&jPVXc%kbCBTddQ|Wr?BQ?Y7dQBt#H9A$<$O2)8t%QWm z_}FI9%zt`W5gA*H_nnH+3-Pu@N+}C8M!*49wvk9dXNaW+JC+X-V@<36g;g*k*}3v5 zGSG}#Vi3!RkwC**C6ben#8~KsST#D8S_S49ZKVh^3UN2|Ld5cKDpFw8O^JZrI-#hm zg=Sv2AYV)1I4j(+UV<*2Q_yOS>b0^X)tbEOQhyZDDoq6GoKktAsBMH-9%dMA1sXMiy%29nPJsvElxurW z0e>1OFKaCWAi`#F1H6J4Ai@mJtN{ymgICatpo3nBoJs=+6h&t!!ZuPVfuR>7#!}QB zsZ{Y&8x-_rF@6zCqk;HE-uF&Yd84nTD2mV-Q_&1BRUgbi;6PEUrCO_1U#%wzC|zJi zsV}^!`BB(l<}?~85z}TAT0t!-P1Pv?$A9aJpx2ZFJJ85t95^5$=#3W>x?2k>)ZXwf zybmwX4D~NK2{K?T=w0bsOraqOGf>qdG=K&~nxP~FCrhPw+6+2H!9gtG6%TJB)SE;D zR;{>*-8;Y0$JMLeDzyXpVAw*U|%1IP~bjpfVU)a5Y^R=CQ;YWH^$- zT^l+>#EDIMN5WVfE1{KU;FMUeDf7)^9Ni}0Jf6Vu*MFNyQPoJtvXhx)1=OasYxeDVp4(0^qqR# z+o#TbRMm31T>cK9wbQ@RXzgKm+?*dRrn9~A$LZnj`2F_5*MGh}{vJID(yuUh=;z0B z`8_Cl8is$EFShqPHCv16{`A}SVmhD2TKeaE;bC+?{QDU+uKL`nj^Vw-E4NGZCZP5_ ze0Qj=&-ahM%rbaMHUzXz|61^#h35{u&G~UgZUAyG0&-giB&x?%UB@t{X_-^-825@;v0H3rJwBs&+yqzs}4|nFX zSqO(`Og($L%jHUsLYr|Ogr(`jM>F7Yl*g=z4JV<`rnmk zk2=soVV7yvBgAh-taZ&mvy)mbV@9lc`3$r0)TYAXb$?M5-LIYK>M|CMt3{lcglN5u zTYZrl3%wAlMimuJJhO%9MUi!>&Zd;kmG|+?G(%@LDq5$|B}G_eCRME0RAMvCFqMlt zQ?He&ymofAj#Xf-b~aX45N1?(eH9%BjT-N*`hE6iCTDn*@6& z&Va5`nn5o_bcQ0^h{IYdtRot_f`ib2-s|!dfOB19Rm$ocC!+NtIxk_w8KJ0k(rOD? z!E{b38{sp?I!(t2=Drr@I4ijfW79dMYUGVD)_+@Rtu;&5Mk0eR6gssk9n2B0unIJz z5ZJvU>1qYG5hK%PTo)(*551ZeOULlccaxSu&{ZB8CvASj1}dUG6x%kL1qAUtPy~Ph_nbZ z(0@6N25`pAcq7=HZXIL>rUDvZ4mzV1dJ&(~Xr#x&>DXBr3*U#BHUlvSA_J8ALZSQL zw4&S^Z!QL*Q93D^uoFSKg+%XlEJ`&LRJ2M9xpWnTusJbOU^Keds8LXKmLWB=u=LIos26qHn^TX*N0xP@`e1C-*>U7wb)w;E0fYMN4)I$fMfi=40BB0AV z`ZyiKCApQ(JNgr_{(1>=>)k3>U$LhkAj5G`$xJj6)rWI_wC4MiconXaQ-CwV7j7<)2h**CxPhsVV!#}9 cMl19pOQtEB9-Hq|V%DXR9NPK^0Fw*_!!EZi{{R30 diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index bcdf76ef96ddc96e411cedd5ed695d5d49d9e96d..a6820cfe26d7ab6b57e6b224ea831c27d98bd72a 100755 GIT binary patch literal 15288 zcmZ8|18}85vuLuhla1|-Z5tb#8{4*R+qUgwV{Po@#I|w5m;e2Bt8U%;zUiLsnx2}e z>8_b-pn!mY)WCs$L#OXg_#3O4I67I_*%C3a&@<9A5ed6kSQ`^DvoI4evNJF-GcYqU zu@EVnIJ#OGnGh)$7+Dc95h+U%shb!Qi5Xise@pVO{bxE55I(m5k3hnZ{)^jr{)^o~ zFMMFK@x?mqDC`~jCQ6C&!N#6)gEg6xz{Spuk7OK;Q|+h8h(+Pof+f=hCDBB~^UMFJ z|7jO^1a^DsG>Cn&*N%6ib8Y|Vx;Kg+r<7#R!jieav?+owYLg3I=IsZe=AbptT;SB zk%CX{r*BvFo?lG(j{nlPn~t#mfzE_P7Np6!mvAnmkc4I&H3}sUJyr%LxIqwaB0d;gFEe_>j$R9jZfF?zH*iR`_@u{!T z650>^k{>U663-8$H))V|lDrI_T^a+(wkczkiJyhlbfJ?|n%l>5W_X_CBImuF5R&to zRcGcjR@1Brp2g-EnC)gMqT^fU*!bes@-^LjXJK_#Y{Zfy=5$);;e0XRmUvA)n6hoL zmYnCN@YLJi^TOxz7HgAja$wwKh715(x2rN*w`NRvDycpzw^jfO9)huKLUE3&6f_E& z1Wf!$fuM#{!6>Iy(9}QD+=jf z!jHU_lRVL)j<*HwZUKU!MOc6hCl$$*d;NgfBI$3_38)X5IT2Nrwfp zK)u<~4GKqSSAEXk8i?FUI>?_WGB`wc#378MFF9h1Ia0!bn;_$(F9$~HWOoe%c6`~% z5cgjPfge5t7)`o}{%s=Ref+O9g;0j;*WuZPuSQr|aj z7p<~Lfo8!6?Mi`{=!OL2f5nc*w|IR(?!N+^dd*f@qb|l-d%oFr_mT1~X>96paXQx9 ztG|xVE?nd}jm(Zi9Jwc(Tq^LNZ&&1qSk=F1QuBM1Qyjl zrM9{0E#?12;ATipyvL)hA2T!}kj5hi+Es)E?7MjXk_Yz_nXZr7YsyHNvD%##7~r^U zmtzsG{1hih>eA`$u!=A1yX@O$de8g#S07N_cPilRvN4S`(}IcB(N*yAb6x%Q+VxXl z^YU4K{D*6V&&8)#)tuj;CZm$lKW#Md(eedQY{I1UzVNep$X*vDk%VX@Bx&6h#9guxf%gemhv zFQmA=|D4Gd?eW%u3(jQsJI)zls_hB=q0>k8+xC6&j`J4+kZpnz|25;CxNwidn)ait z`U4(L+V}o-xVsGuK%b!}^U-`gtZRP25BnH(Nqot8cuC5zWQaO>Ugxt2gfjkGF*5*O zB$a-hG)OkcboeG)y$W19G&OwgJO<+xKn>U zb}IacSe1yk0@`xROQIB)-5aMCn;lemuqMe5Y{akC(nENQy;%(GG`&rlDEi6Nq8myF zKQ;je8YsH1ZV3cwA*eYwBr7u(5KZxgv)@ygea>y~Lyp&6+{q!+>ZcZ5+)KLaCy!_n zH4jzUY4(E__81`c*B%@H=B$Vp%Ib(_dCHA)60445H?7mx{iSa#N1SXLb#y|6J}31% zd_0m8YgZkiK|SZHrP%+-Kj6%0!ORzB`gwpp*W&DXMK%AsPJ6KZ1jlpbR7FLdg<;wL z__3@0eR_gsU&Q+`i8z{2z=j_!mBjC`lZf}aI+sVpbYnkQ#r9~$&dnmMVwnYb*DRXq znZ;4&SR$r~X!P%kXZ9r)#A%;!?p5X!-9q0Zzo>hgPTucB6MQhE>^RHtU4dE$4T-LQ z?sY_b0>O@r!1XD(Yd5n=_-hl>WAxjWs>$i2tk_rd@$AUg5axqAPQvHd+)y&@ldrMf zktRy$(t+g#)?6_m#ulFAdylBKm1}ojWX6f3!$VwxHRz=)BFkLm4)UDLqFEVIj;ndq1*LI%m)!64Y%tap~6;j-az zTR1!L$dHz#n?LOKqe|b-qQx{su*-nfy2>!Eo5!Th$3NlX+p$Tp&}jlr=!Zq^n@k)3 zdBRHWY9n@*wW3NBze+F~a8qUFYih6gSjt2zgR?+?o~Y6-Jc!#ry4PpSvuek6HPg0k zJ*~d38)a<}X!m8sr`))I9{VGZA-wm=$IoyUuu9K9!p-ZSo64ME;88#4hE0VTF>fPBTp0AB!JnrukDq0Bg~#wS|&e;R~^! z924d}5&>W9+U;d-!n4KK+=zcoptTn3hE!uc+7+hOy1ywx!`H3-Wd+rmbMafmgip?q zj)A9XeobqT>Web~5Kvm9Zq&4|wS`*$H@B4~(VR|b? zmY4B3W4MGS_8*%Ebn{hlJy3OAABg`D_8t!@@K()CsQJ~2ETC!QH6i2EKXvW!>^ivR zmL?__Rk>G$>j>h9k9KuNYJ_(Y$Pjt(&W%7HrF7-9op)-L224osD^_B)o<$`cGrtrNccF zuewDhr6LnM*kgcIT`EcB(WJ(+BWgRou4nRoe7B!H**cnzo=4W{B;7i?O_ifwmK8I# z&7{afNt3OFZd4rC1ZW(@A5$kP9f72(g?OxRioX;MR0Y4 zo(5L#e#4DNCO;EE;o91Oh8`?uKD??ep#{_O!p_l48MGh5IZ*-843dN>O!03ol`P80 zYOuiGdISaIn6y-rlpUVYv>nM6X<7v3a!7X=kv#HH5DmO;xIb+0wET3CJQc$n(PX>_ zjf?i`l-g8_5Q_Mc-Id0o!sdg zyg&hJ=$v{{)=>#ry70(+Q>~_k<;g5fc7ue6u41}}jyTz0OzPdMi>4UTaDhBOm27_F zEe=LX*W)c7YVkn7h$V|6zsjhGm@gc+}m?#(nE6?iKUMU z{+jyxq&rUy(!}MWfQfbQNUn?y$EyGd(1YY{gKw{cZ|A_Y)*Ys@I@ri4U2rwU>5Y&M z?pE8iYQ~YBBNeL=E}Pdsr06N*eh=QVx_xNrXK6#o{bYBCT;It!-YW>Q#69^%^`wBS zb5Y3Nxhi4f+>o?>;>|EsX(C^{Z&L7)gR@{CpuEu-G2O;J>@t5BJGqDcZ?w?iC zjbm!ma7vHwujpG}@$Y6DeG7ceDvh||gX_+u8ku2;m?89Qd>pr{w(iz@4u5<;Ct~U~ zelu+2wCkQ)?)&atZ%FX35X=jFWO4+)wb+6`TLGZ2R$5U2brz)UdXwVzeW5WszLz-t zADL`{$8FRM(vRhXFu`D%c?PWOGxRu@W*9L~O_AcqxDe>li$5``SBa+Yol&Qd+JaA_ z*y{v(c;bdHyj8+zf#NgN`EQE@Kgz?_DD6xS^!=V0lKK&t;4h_L6 zW4tU_YvQp&Ue_-JcN@fhhY{2EDdqiXpGxfO>i}kEo+t2b5 z#qO+3sWO~Wsr?#^xpx^auPTbm!t0hxrm>7W7rkSuAKAIBYM=&Tqu;6zZ&NJ%@xHE( zzT;9tvlM5wbDUQRQa5Q>1=9Cf0(;XL+6sGvOQ-^>iwRHz_1({+{(F7~p#E#;tp>r# zaOlxc-o>OrVEzj{ng%(RpTgdGHwlC} z>Wqy^6v+KP`*nrMCo9pIX*)6Bta;~O))B8%!ZTp2@<Vw&t(KP^X)qkf!_)u@d z=_rw5dVdQ`m>8-Lms^kFvG(s>n6=qP-ZUvkj&aA|brEh~LBqRCXddT?M~=_svn;!_ zzar^nWp-h+8MwgySYt@XcxM?)(i4Qxjt>{bL;R;>e@kf`5G6qj_lFnOg7MAwMW!dt z&%9X=*RolU^t;h~Hx^50U!EvSTiIUQ(S~fKH(RTK_=D|IL(2Oa$8Qb%C8{2E)3!*Ejk3XzA}0{j}8KMZf(W0AO32Bh_1 zOMmXW5cVrZJ-p?gIwxONxRBxLjnmEr-+Rw`^XBiGAlI_km-VdxKX=<;R&Sfqv>@T4hKHuW-R>&CPk)mMy5*q=PfsEAaxizVp5m>Pj zOmX>7@ zXRI*(Y^zwwHYsmx52n@~l&y|*dn-!S9gHq;9p@^yms-61eOqw+PO-gVPsjp5vPL7(mJInIzLTwK_tlG-g! zQ8P>rHwe0BufgW4L!TmXs8_|$!JcFT+?Hj#A?4nz_r(IUmeBW5pay0gqQ%*ss(Mm? zRcmKX_u`y>9q0hod5_AY!V``X>#CK^H&;k3X!0RXw=P5e_Z6K{=N2>FmUii| zSC3YTEeD|l&f1?nsqEH0OJYJyEoh( z=oR;AP3O^PYP@jfa=rpw*S!+((oEVX>BB!H&Crl~8g6Xl*Kj2TevlK^Tl)gn$O&1+ zChPtH{i(R$+f$IVT76#v%Q<+xk(O`?dI6^hNO~iW0SmOUzWReuv@~aSm{)ZBhq8p@ zN-;QBy`DipDilJ-EN1WVRVe&rg~aA}Abt@eld25^{&IFrx*YNw&I3>0$+m~6*JP||~lg2Fvv zztl)S6x^erqS^9c`kX7iD!-4PIaq> zMM`YmPEhrq@&X&VmzL59jiMR9@F~;09?6S(oVqAHJG-EmR7QlBwBv;dk{e>&=xn@Z zpWXs%M;T&mdtc4}Fs!GUtTx&OS+A=@I3WJaEQ_paK)F(wLO9{*8bG|LdttV>^zO#@ zd7`QuRAR6N%Bbq)WU9WVMQ8PFnB!*&pMFD9ttyyQt+@9<&`;Yfj5+zJm?JBKnwf$i`Sa&%g^*O|I{YdwuT zoptF{zV_X0`lmuiG+0jUKUIb7S)9#IvpE(3@HypSuzT2&7qmI1Qd~1)Uc1zR>S}W$ z@6DqK^;1bH2FNCI!82eCtF0eD1v}QShNAzUPakq&ae&b+Xo({{bW~cPVjxuLtv%;J~9Y}dsOR!EpB&E z%Fd(nyb#^y>S$*b8#19i+X`}67T2X;>OsF@XQwIUXQ}5qD)E+@c&nQN#6|KA#CVQ+ z@I?g4{FR)Mx%=B|Q0dfQU&yA@^^xz?KmIkN-rsjYbbhQ=&-iwhC{WzeI4P*6Br66q z2Uq;F!;2`x{t14aazf-B=wN8o2r{Dc8-K`xJ4B;bDr>hv! zjzz3b*@+Y*qGGDvfaqt<-yS~v#H8F(W{ilW;AU4xZj5+JR)eA7lUR9Q%gpCB@rnUS zC=$O?HVnR_KB*wFXBIMOBZ=X_+hL{{8E)%B#}PwLWC0G3*f~JBm~f7$9u}*C)j!n8 zWs`9@FiE6QQP&L0W6wmCG3wk&J#^!*2z_T0NK?lOEI4=Bbd;m)vUKuj1U#6RRu~qA z)Hv7ddo__jJM=A!vs8O&5e=`%ty*hwA(FW{9*mxI2y0dvw`vc^Fpv4z!ksh%G2H}v zvPjc-EE95VegDS1mWMCP(VJzVN= z1D4}1(?e&S;=+ieg-7gX=RuxTC*8RiZf|`#zj`qTQO~ z#M@$=eJD&D(RZg5f1+m7dP7O&Tai9u;+ya8nF7<^JxH3c%fK z-uPlQQpSCb+_~G`n=uYildHcG`vfvS09A(d`~gB$)#rk5H0Dr5Tfr|a;hOB~RS$l# z6xL=>>htD)uN+?yNN$fE7{@l@dAqZpjhN!hLAoEw(ykWhB36@ta`F;e{pgd~eR z;R8Zx#c{b*)22YMljskqAi!ORVb{A>8;EYa8ha@bI2GKhwNYm^d<+ExBDQ(ae_WEK z+z7rXXSMQGFN&t1Pa)J71QM55)$2oI)=b@wx5)t8P)v6Uus-N4Ln2B+Afp2zYv&(bpwZrG*qv~yi z{v@~0^YUzKi4V+5{kdjkwdMHFyKXWG=CxN)yX};N)XO^C@f6o}bxauK24NfDXs?ze z+NA4e#p0{@PqAdw+O8nRxTiIrdtZ`sHwGGF4HWd~&g(vW)&iFFU+%w_K1Lf6*DjHl zugg^QZX_Uz5W^M-gQxW~6NP*85@=tF0{h)GBJJ1(o2AWhbrXJhBmrmaZ_HN8@Vh7` zWhZkY-w6x0cn7L>oqN{AJuVk5fYpi#I>J4^*_LtX*JCQ$j12PIa(9sr@IF@pERXQ_ z8jka=#leqRNt$7WcLT3Jq{^lQdW|*9#S=0|Wsi^JZa^u;-YT2Oi}aPP#g1|r^n*p?FP*1nY&gV)rCqG8*(S%|h`(OH`Cw=Y55C z0^PW!u|;a6^~LHuU-Lu`Zw)~LGisgrn(;1CV=a?=1^MMx$|1L zWSWFkZq=9bJchwrV{maDFj?~eSIonJXM%$@_|8VtxA9-IoWpjaIx39rQHarYO@MVnREu8I+nbBod#zQ+l+3W#G^@EzX}gUT}6*8S86lNR-DO6nuU~^`ABEj^KrP* zW}gA(>kW%by#r;BN3#!K%Hvq;E{vrfNmIowl}TgVPY{3U1f~ZQt?R98R!X}ps4)J ze+|UL++!+kls3V%2(Y}0cd}d}bQSl5Ug=+JwdG*=Ba)hiT^rN{j5NkLL|-ZhuiNal zkIB{vE9`ciY zJ8hjA%C_?X`danoU8J#^2g^1Ex0Rc>BC8QRjLAe4xR%AOpS`X*NPwO!wU8x(IDhv@>}fU|GmZe#y? zvG33Rei2`v^4rzb`{$=mgwf|(tM;_O=jCW!F!;CoB>dp@kZnQ9PyOp*C2t+$G>?ye z_zqZ-M)KLleU|r;T{`*o=kc0VpjOZ8$>Ef6a;Ej#`QD9syTmW0uCA_eW5t9}{Y^&a zv+>_2?&Oz-=~v8s)A{j)+fv`cyN=H1Rc+s-Z_bgMB_XC?HDTXPN_O5!!*1`yTt!a; zCj;NrsE3%B;;CETxP(vBmY?h4#|rCB2(w?Z1?D;(bga~)#~Jn!Q%b9uM&EVGo%wJ( zU99Y-5!3cks;aM<6O0ev!}A8q2F0{|8{GzluJmKcJ4wd%-psULbDN#@w)LBH=5?bT zKwvxR4#`sVlL$-hQRmwjh1;P#zUq=>M})3u<}2g4QJEuyAF(<{3rE zNigFEMy0?%4dD|h5gvnLqq2Lua+QYUm5Nz8%<{tv@o?;|BtRoWtN>1&BYRO;zlaGSVfg;e@vSZ3+~ z`bx?$Q4S6F0d)IHxZH}40-QsEBCkuKdN~LZlE!y{9$uj zd>vMEhQq!1dv8C6!#O@WeTA6z8fZSxI}L{<{G2CiEQUOq_B#J?zI^cT$K3zo*QsqW zL*NJ1nk>|TS)aqWgv!UanEQlkCKO0aey&~%&@kNPhXDM>xJ=5=F`fHFXwK8PLk#O? zf^%cDU(syGv2W9A_Q>Bfor4s7>l8bOy2Qn>p*L^SZswouwV3l1>e?!VnYFDqpMkoh z!MOY$X+9?ha``Lj>CdcftN9G*WfjJy`)sf29EQJZlvozhy3}MTVX*9TgLxm6P08?^ z&`s|`VGiPY7u~w&?3U5oa^RD0R4Y#Nvu3ku{zsP>4|+3_R&z>z&+I%9Y!+x7_4Fm! zHL&Lc*vt8JO(Htt)1=)P8-Ha=!Z9T^iwN4WC3%;H&_Qq_k*J>V=uVXcb5$KwK2<0|_q-#94g|rSE^<*&XYdp^L z<1SUZJr2aJQtZubR!y_{IiPj1&<$H);9f^4L5|4Eu@1w)u)J1{MISVc_t*Ho+>sxq!$V6lN&zk zC7W9c({Rd|!wX6mI`i1kS4?ytx^=gVFpsy2Y^aBhZ$F)Hyz%ixCUf>>k;ju*vG z8CizC0c_%m^oW;&7)edq!_+TB-0&~zBw}iEmlcwhHLAWzt1~8o$Z!+MsEqJyYFU%t z-?m?_QDea$_{FI5?eLB!#ctF{AyuI*f<aw) zQ4#zQ-S=F=Efyp3;_ZA-{<78lkF1-B*FQA*t(p2F#(5L#N;i(NTso0Dx_cC!(WFN; zv0j4L(_HT%74x&!Fm2^F0-n*)2e!M~*7OYm+u#kuE3S!6TmXiXSAq7zur=~`sNg#T zL@U?y28L8eJal7a^O6}Ix^1|$Fj*?pIq4^V>zju4;tA;G6QrAsI;Zs9a{`RDI?^*` z2}k&yw8Qx=4s#WeGl@R}$rmSJt84)>5}9h?Q;X=3F-N!<+7o^Pv+@#!_yHLY<)IuO z<={(Q_!ec?61G4|t0Be@sqLw}cqa}KMc8TrzQE})B9%!QxwBQNLq@hG;T}b~VRi8` zQ7>}Cu(N?DfoY>YfN|d8yz9}P6p%AZXGG2F!r%q)d?zKY<;do<^;u**TT`#>B}B;; z$FKk+>#e)0R&n4IR&#ZKSP!t#!%sn=CM@^PzYCavq9$DJ@e$O6Z@@1jsWDM;_-{7E zCkn0o`32@Q;?sfdawpqp4gd4QxMn~HRmt-Y2A|-RB|8WoBrr>tW;?L;1YE}EhyMfy z7kJy7cv!VI7$Y=(uF?}(uXlZz@_)hi`Z&4H3m-Hs{$yM9LM*>+foI|vszL%%Pc{}0%wy-ABq`!sHF5FnK{Hx z<0va{H0nu)9MOp}s-PQG#3W(x>!mZyP>(i(AutR!L#NiMS&f`-hC2DvN)WM4mBFj1 zMQCa&;cJ>JnVaV;CuXJo7sHs6`ccD{6C8%FD?q3oCp}rigBz6U_vP>3>CnMwB$@(5 ziH~5!ngYoGgJdCu0V+yMAr-KJhNqToYF3mn^_E6$7#h{PKb&&Ye_4Q#TiZzxzI8hTdwm9 zJ%y>j8{311OlcgWD#*gHUM`J!dhYb{j#r;w7FIF()`gzk3Lp2Z0@AEOR93!y(^SHb zC*SYZ$IPz35^8pBNR?)P;SNha0J$WZ&XlbR@@;vB5uuBL*jc8R_aYt@+s7u6{B=iH z_l`LbzU2cyxmFjdLqccs2O#J3g$Wn)`8P>4ud0PYAeV^h);+K*N2~PU(JV_w6M(+u z!iS`qy6ZE~^S%M<$C?VOvs!f!A$0f!-4AECjiP!58z(=HJzQ2id>gwj*mhQgb=7jN zO_qQmA8d`md6=)7163hM9-4-^c~82jST}cd9=fg9&z6@Rx~V76jXr&8bx&^=*Cuto zb<0=JmIV;HE`N4ZM?vejrynf~wuCi3k8YHzR;n7_y0h(T)xjP;$Il*_Km64rz;s`#y(aVxv+ZFwC9D$YVeMklaAR(xt$fltNg#{q(<)BD%xO zDcCPckjCC09LzKxefgpFm*Vv8=mEd0+RAusD7c%x$$)nogfh>Oo88e6H)MwW_s~mv zPSMOL(cgl(HUC-8mJ-xg7p*D$;p6t-*GYm&8XP0uXcHBE!jss|p14+S1 znunh%nE5JBMXJu6s1dZ`(-Pft2wam0Tq_7`KO`MOrk&t+`>d!j93!;HOyr2YwE+fg zVSxCaIfJN_O^HX(u2EQM^<6B%Re{j7ShB9O$J?o)Ts$T%$UP6+F>>3nDiEq#6n>_o zMnH}2*yY+`c)RfSKk*^|9ql#fLF;YMz#Q_-Y}RMDrJeUYkPEoIRd=Um_IWl={?1}1 zr7ZIUBULK$p|oby2km zhsZn0;CIcEC2f^k%h{AT9oN%|63Tv8B{@m-to+nvPE?ZnTW#C=X8 zS5U>PO|I=B3V}w&9zTdKR5x{!0MKLb^57$z7xGGpk*-0x7iuR|wqskiW4*RxLjLx6 z=F3*3F>3vs_VwD!#I|EBfOxY`VjbJD0^2bI+p%5Su_iz~>G!`GUj&FR+Ke{>>DTLL z->=smBjGT{CXy(`qt(2GP+7Sf8QQBod|Z#vk*=q3zL+t^G5pZ;u+N3}gMz)6iuc;zAqKfo&%YlWbLCtjl_TB=*lA{s zj$dTt-vEWTl7kn?HY&-FL9$Jyuw}QW0OLeVWizD0NtWtXFO zCZTttxfA0!n6w>hu^n@<9ecDLL-0p?j;(7C0i1*a4nhGJq2B26hBkdi7>+bV-5`=F6m{IPfj3VTo4*52`V^L?Y-TFy?bT|6 zUzVg*cUQ!4{;KY2+Cgr|g3(zUdJ_TAIx@)K*zty8-R!sqXij*xs`rul-~M~`B9uw- zGmqPhzqA+asE;Wx4xzr}PKr+aSMeiC@jq|ZnhJ0~UnmzE(<2vK8pz(Adi=j|3YfN9 zirE|5mqN12b=nWtv2?iC*&2)~i+{DpBu(wOzAWq;2z+XT05;;=%;xMU;9(*ThNrt; z!zzDx-Q%A#avbP^7$hx>|q|D?vEAPhZX-QF819ERjdc&A}41@-iN`{}%YfS{Gv z3y6K2p&U0D>4;BUOBMg^v1sF}jtIns$2PJ9S2 z$mvxpaD5@0)mE)F>bS2zwhm&c=D@_6E}Gdmtt+S zj5V0}V_$A4MQ(^9J6!(9ZvEP~^2pkbA${LAH%ObpzE`2|0|*_s$d&U+Ucd)!iai2V z8N2sZG|Gq@kZHV9pIHQ87Ve!J#{r69WKAERUicQ|uH=3>@^;iDy#!oFN!XV6;1p!A zkzS=ob2Q%{e?C=WY&V#e=+Q$Fg=Tp9?_*7gI}sG*BYKQwQ%zMUPb%!PnUL`^1s0D_pNYncmaC>xU+3MzmN%oW0c z?%u3y=WOc-Ny*rKx;(}!r+Kmul;7HagBcQ{a_c#r)==dMxa@EgioiTPdkxor2-t2S zsqMrR9mKMNe?qN5lXmSxffN7;Iwz4-o=L8?2#sCQ>^(K(lE7%%DV^6|WaKAn-UrTR zXXH4rrL3Y*p`YW*n|rzkq1BEea!w*@U;{qjwEmVxK|myin%kk8$Dx}0p_=ER97H@0 zrh1(Wy$BZG5E~FV*B^ifx#nz#yPrt^G6=A|AxGvird>&<6~kX_JVX>T0M*Do6%_q! zcYi1hqneUyV3`=$49=Bw&qTZ7p{Iczk-24}LkvS!yWISG5$RPhuJ4ZIBz~l?KPdLl z<%UA!hQ#ECa2rubYUgm9P?(6^mDvZ<<+tI3t!DdpwId70H~hykPoo33a_NQ?p76PZ z;m6Qzp+DKWiOgA(PvZPxG%sk=LpYCQog#}?}Gk}!oR)y%?wt# zx&5O9>IhRRC(!k^C2%#3OuXe9oUDi{M!cOyBHW;~3)DgN&CQmn?-}_XN}u_GEg49P z?1hAykxu!pZL}JndEnOYq;6~x#Lg4`0 zwePO$B-V2hv2_r!brG?366r;hY}iB;bYmG-8Pa$~p z;X0BNae2v&XgtF(EK>9+bauc~rJ2VHZPy(f{DsLO!N58fU4-U6=ebbtT+;~vbsYE|xflIInUx0td z0e|D;{X*POA$_iXM=#xWTJU{cw&?v(2f+6^lK5VV0zko8={+%aIc&#rrX8;wNv>Q; ze9uJz`=Wqz(f8?ymmbtxD2hFPioH0wp}g#H0kB>3&9@xk&plDz9Z}vBwSzi2Vm~($ z0!I=8R}uoy0fVMv1BTjmtK4wMhcS}+CaSs7^CC|!u|LC{(6D}E-BROWv z2*81YS@ZIRG?DXnFy^^*c3{o0T|0w*?r~@~w4?)SHw1JoVI*PEOS1B`QFb`0e}2MY z5LNq9Z#`3jSJsD?Mmj^!Z|i+DfH(uA>WC_WTL1(iJ~I((6gD%F0w)UeZ>{?mQD5Yn zGpdNcU;=Y2*x+V=^wu(qLslb=p&QsbpiOQw@;jWmj-Q`r&aqF%FOc3J_D}; zJnkE~=2#eBE0@yp59L!zn|XRoHn6JeaB5@N#ntel_6}XVUUw-3J>XL0d7>FI%%ck{ z)DYr0)W`AN@A?T&8MqQc7*_X}7LFoSgQBcr8rq{9N=~6J#sph##y9)d6*kkvw&2n= zn3T$#qt*NEYf?HriXQ9YmM~%kAI2i8Zf=uaSM#ggrjaFnjorh#p-6M(3hnVV1oLU# zM0oP=wfgy_6-a?wG-$z+CWu#ZRh*p z06w3#`+{;ZhN}lXtC$4HR{n_`_9|T%YB&PQR{?yo^++6 zcUbhJAz$DO>uc3%W9o*OmHpH8>Neq$=VdA&NB2sG@?JY`$VI>w$J(!in9%ESkJ=>` z>Dhhbt!JknQ!Y66{^H3_ToWfKB!U(y@8y*3BjM)8TlKj$yk zrJ&cUi8r3JH?pL+;`mV0+yza43FnHB*yshzSmgkk7Ko8P(amVOtLZ z^S7PMpIIC>_s1zQ{HOhULI>-sa z34xNQK?9p>T}{fa1k?XWq@#ezLs?lK=W-6e~K;&fw{4g3uZubGw@6+va=jp3%wL9ED^WVgG2n{MKmvXc_8L ztAU4*43>B2RI8~I?jC&3KLvJ>7J+CJSO8`r-VJA!nzlYi-Lgu2{wE_H%2XsJKvIZ34w+ z%G7R3z5TMaFi;lOAkj=0`2(wub{L<~s9CUJyV;2MHZv=-;Vh`#6}YY#<^A^{+CK!| zrxs3~Si2q4#u5HzSWI#>?|_prn6h^xwe~tA_l*n+(n3Ok=hFR4W>nQ_mn<&Jbj);_`PitJPF7Wl{IUFqw=Ipq zT5U22>i78CrNh_&!#GBdVmDOQKAJG0Hi#uyNRYJ>956y{umd?MUI(NJiLAQ#0Uv@7 zs+_mUDE4=#^sgY1sk2=bSVDuQ0@AMr*O$km-1YnR3Oq=giIZ05m}QZVf*6wn6{LK%5>x6>H+s!q=g$;EpJkJBVog)!|2Vu5)|RvrR4L`?@HGr}5)c zo5SdseOPA8B6_bSI<4l&6PV5Z)wH}pXvQvRw#v%mFtfEXYY{el}<1Cp?1;M|eW~#5<>?GrIK>q?X4Jn>`U7b$8Mr~rQc)-<;m6^@lf~ zJ5oltk=h7gY;ZoPDO%2DdCf{5`A{<&%3>xZfolh&reJv`NXHyHuYcT~GSZ0{#fR6Kwf8pO1a~yqd7< zaYhCCsRqY@F_qmw*rI5o7Qwu)i?vRV{Om=97)5(YXdO9}p(i^ME(Kd-+;Nn`(1hlt zre2@MRSgbiW=7~-;tRGH#U<58-b^`++j+W)KdB&&z+3`rQDY9?zS$YU4`Xlp4~0q(PKa{vDj2%Caqjr<#BKdm1-|Gb7K}M zk4-L-B?GSaNGp z;LmapQ6aOkY3#rN&_V#l+9@&}Pz-8k-^tv>qzi8_1!C<_D0X33TgqsM^ZpMSdyrazgZ;n#W)POQ{A6_&^G zD-pwqgv(}Yd*s{Fo_i)$8&yJPK0M74uF@_K#my)oO%9g9Tv(8Cswyf?JpXVEs)#qP zwGm3;EL^_zpXCu=y<}G;4>I^RzDYu)quCg@XI@9B<`S|+O{#w h+LH5AEUD4gv;t4h9BRiwOP?y8e5_{$WiE7grlc2U0c;W>#i4QZaWMJ9AQY4t7#jE*3U+7Is!P z4pKD>7Y`dV3sPkhGh0$NQZ-ppEelgpNpl;weco?H`5IIh@1}=W{@qghmh4tUq8Qe+pDWHs=7ITLAM7iv~(za%v8E6 z=IXZlWZ7>t+i%1$iTEHjOHO%Dt>0ieJ+M|-aLe+7Z8)qFLwpUb3e%QGE*W~Y-HBtP z+SzLmrpAQ^LVTgxHSTrxn9U$Z_A%Ny&pd)v{6J_J7=cMd94*Hb(R=ERz68pYx?u(j z&54n6Q>O45O-_?cOyuPuqi;7T2d{dNZB(z9x># z>5)5Yr;E9KX531kd7957R9fp4j8lLtfq>encv-x zI>ud(TB>1>aO_h%9Gy@Dly=(f5v6h1dM}BgJVQOS{!V40HoX6qysw13)6hE#rH84) zTg5jEI*?7HM^z`T5K#6mxDz=F9*0bW^>9Gd=ewBK>AOk{PqEb^X}QYbELX_kWnP96 z>k@OKspG4zz3VF$gz>oY(6`b0e*^zNO_jd8>$~futGS66CgH{|?~~Cw%L`#%F{C`6 z1H&ADFk@kET_Y?}NNmm8At&JVb$8peO-a$mO2Em9Rg-v!uNjLRv@kF(VPpjSY4eDw zl9j|iPYX)~&N&%ga#M=)FS#Vb5(lE^c}fH=@+V;AMpOPyuF^CGDaBn7YWR%03UNli zv%W4;@g|80S!Y(dSD--*lMEEyEcwphq`zzG$l+TG)o#8;MiwU4pmVUKPZU$`6f-3T zX#9B73zJST+Ld^VjZXY~3{n@VdT$k!*+FO-L~p)$1GG+!uH*KUn*JpDbJ;GGtl0wi zntVwW3;^*dES1F=`H;RbEfM>@t;(=Vsm?WeJ?;2Od?gd(0^ckFbgyNtL?@umeYHo6 z_%x0KTz;(Et){*M^8E1@AE2N2v=34UC_Ir0y2k_$rccfp?5;UJq*Ld;DnH40jE?O# zOXTv#&#>CiAA18jF(fDv1MkO+be=6koW39q;(T55j3+5FB0I400CZdtf58_=S+XDL z7$)7;Q4ol<<(+v(0;zT+J-y1gFRZFXEbsgAA=eOOlaY^QBqTKTmwz<7A>i^Wzcf{B zL3$%l)Aaq&Vtgo{#z6lMRCC}P?pY40S6vbZ|4`rpu4#Zq>UKDF4`X4b(RsyM==?i+ zxxgyjD>9Mz-Y;9zuepGZz@D+womx%C%LT{&i4O-3UEzo;flay(+qcBe-07Ex`kmgA z^Na&PCCSA?jnOtg>wMfIfG(dXE`d%^nETO8-hliK7T-XMcqohjXXIlb2rb89C&j2a z06aPnQ-_@kx)|VEGm)S2t@G|R%XmnH}g>b zV>_~2bbBrut@7=FuOZoTut$mj_s)@Zm}EFHm*p`U$S{8LsGuTbq463AnaqCSY4w~q zpUYqPI^sWSxJ3asHp%3_-ZQSomUAiY*#7Vam(2A2PwRkS$_I}WGx>bqo%w+2X5@{ z&Gwx4rpPTuzf+HtYCGB4O0J_vKg_HcBZ%Pxa+wLrit{s>4az9C=?%hQ`$>^PLQ^?} zD2CDesqfcT1~2HGuOaSIkLMajfh$&{7b&DXdRR3xgVA{WAtCh?hNv=$3}r+fB+CwY z`j~0m^%moxtTk^*Kj3*o*Q;fJH+j~ANq#C>z`#9Qev(o;Y#+a*@4il|7%^3Go|OuM zcN4?OJ%00=);%vdF_pcUUq6*kEvywgmGY_9@;Q>3lF*Z6y1Xd71$3<0?Z9sz$SwbY zoYgUiT5=K8dMb<^Iy}O<+TV){eUn8Pj67@NF7~UPl~@FvgaRgB&iwM?rJ1J>;gBO4 z`-KeOD9r3zA@qT*rmb_|m`ce$xCPdKrdsMYw9e_oMDJQonP)wkCmpu`b*KbhhNFF8 z-t{7>YKk^CH{2g);*p?FV%jcIM!X8X^wYK#LW_R@drdZiMVhz4d+>TEPKVxKiOX{)We+W}fxUIz znql@&d^1EH*E+&~%UW9!{#yv)NgA0F1g5tUhQe(G}fombg5i(ZYBBm5XX zkykC7u!hBUX~N)LwtG)^Em@7W&8YyHihv?^0%y3+tbuJk-QJkMmYMc?Lh3%O;U|Jo z0uuN59lQ~joMx-}&vJvedTZAwm~SOQsY07EQe9F2QBRrcsZqxs4twnMA^k-GJ|FV=B>F&LK6 z2dm?i&~9+lxnlmtI4zVqgcOu;%mZbye3{Z@mGt3ny;uu1=q#8`>9$s$d-}0-J=6uCCFgu-TzHE-YdwvHZ$F@0yk_=gTKmhBGSftS1$x>?(G+N!NSr znnNr>|#jiL$XJ*<2Bklvn*zLM@?o+;>o5)3VtA=lxMF`rYM{?hpFpf__E>G z1d&zJd4G*9ht5wTzC!xBiAx5bKoH`}qp6POBRLu2J2~PzDd9VL;yY>KJ6SPjiWXA@ zk3>OD_TKxATr1gpClXxj&*4Rtf3{^MXlxZ~2b&PWw4F7x(o%#`dLBFS_F3OOvkxr? zAm_C)6Svae{jN*dcUm@FKHc|fo*M$UFOH>Hm%@!~if2x+A;=~1_E0t(q=)M zbpK)BT{A@;^ppaC`;x1{nW~nYuGaJbwXqI^c-e+QZEV9Q7mn@J{hm`Z_IGP9-c+}* zA4<*d@8jdcAkM^9!yXun1G!}u9JBlO0lSZXRC>Dqq3a;_?U_~=`X<1GqSfd~%BiP4 zem6japzq@+R|rVM4(hpj1@20#7G0o6(;K?nm&haha`v6DcrGAd&RV#|x+!1lJKqjn zBQnDpI*X0Tk42hU>{TUH=xMD`FrXdh#F=OO4WamVROF!}xb%d3H&{~o;C!f*Q^Xsk zZvK1i$pCTOBoY=KXtz9lZLmifZPF{cqsm|OA_a(uGCE-C7|a-_^U$eI=m<`&qfJ4g zz1i=)$vINlt?7Kd(bP=tus z2`>Af*|>qKUw~&88L<{P)zHZ^0Ds@vMD?FYIFpQcMfN{Y&OgzsCS{)I!(^`nOCHJ4 z@ls(7WRH-jq_b8%Grmd=KrW&(0j2M7SB9*PP@v9!Y(yfq@4%{_9t@|0r8WGa6|Co6 z1KP_VR}cCnyqv!GLUo(I7vNn9(OCzog?Mj=)d*T457r1WdM-n7s-w-cWulLHZ@1DA zsf0&H>}^*L$Xu_*+kH=(06U3Pg5eRyV()!j$_AeX6d^fvcX0H+QnW>x z9lM@sm<@2RumEWkNu8{aUqMQWLqMWkM4alxcCc}g2*%xr=`#xWCQ!0%&urseTRF$g zw5+{LAl|-thjqS^+sc*WyJlXdXg^o3@Y%(Ua4Uz zV)s&>jHH;i%tOtN)wMGMVzKU&g9+8m*C2D#7^)V*nYb&c2bV9!$*xsiCe#6@a$P>k2G#LnoC8Abvm8+kDemW-?f6xU z%!M&pMk0Vwl-Z6?lTuIGhONF(Lki^@*}b65Iwb&l>?23#s{p_u&p8}EedoIj{8N?J z^5O1aHnJ@Zmk*uTnxFe@`LZMpg5BOX_CqSmonHz)-Ynsmda^v5K{G^;1(z2uJ94Ei=}cn@mtoG7Uo``3$eYMR;>kfZNRp)NmmE#sq$*T<4wV#4?l{_ z#CJD<4mAPap@%oOlwVk1HH(=qS+hFu77?|(P_(AyhDW|jK;|Vy({|xS3VYoDt*HJv(0L!``j$_(&@L>fz2TjG3aNG4*m9*S6DZUNvftgU#IrwvcgQTaQsIR>Cv6KP4jP z&(>e($j4fIe!Vraub*|Oy1KN67EciqEq?yHc3gfmjc|xjGJ{;YxL90l(Ad{L5PGYV zOm=%BrY49Z=!kkeaoVf>-a+9^IWYeSouJ`6vMr5v@|PN14!nri?E61)!yIKF_2@BJ z2?r;l(MZ)@H`+&$(l!F`Fdknoh4y-%?k%L|!uc6dyyVkJYki7;fg%#4+#K%JOZ$cM z>E)-G#!tT^8p^7wS%lv*ldf5RKJqTqvEW}_0SPb8F9}7o@M$bOWe~iThjF&e|9*(> zTtl{B^{jTho)u z-Kez8g!4G?`1|Z<0|6Xm+Ea2 z6D&>Vo703)!|H+g+{yS;R~}3ay2J6~rB6+?wEiM)9l4hU zwn6wT$xe`CUI}5SK_+L&3?$2H^ZPep2C#m`QMjHmlu;65I{`w%PokrwaV%o0=et1> zXp;pVGjz6@pZA0BPmU?xe^2PD{#=7m3l7@Jdc3FpEj^Nt23BGxFJb?j!^ub-9UghT2aqLu-Y_7- z`Br&Q*{2r{J`TmKeu{P1I}moUN<)rLd?#J5Oyab&9F4;O<)@l9*ZiIR{GY3IRsKpj zC%WzUbeI=TJ*nunJ?#Fglrsax9YJYMdNmeN$DjDK{A_T6GmEt@`7D)CZZcz2Y}YFK6u*gGV2n+Zp^r;t0oPnZU6`Q5uQ>+8hn;4BfQ-a}w$4)sww9(;fLG7wP*%NBGMy)Si0bmG;Egj?ojscgt+ffiJuZ{n^uO z#_--?bpeJio$oI5bur&J@50Z2lGE7XaBuiCpCFMXQec}Flt6FAkwABX$#WuH*g-^) z8*4!Bk7HGW`Mbp*7kdn3Z~&btu>A#%%5Qfr!+-kwx6`!QdKXQzfZ;qo>~Wr-q*v}p znn6Vu@bBts_E+3J^6GuEQ*K>{TH+-qaBOX9%E}yB}G? z4>Qn+iKXe*r{-RCDWQNCrc=U1^SDc3$bryhRu3A%qc2sn)}D5Y$}j@vCtWqI8Yq-& zAwhUxHydH3p~dR*VGK7MfTII`i0aTZab-|!52XcqoH61hd3jx z@b++s8pGf1Dk9#hVqsTH)ze=W=qZZYxjOsWK(}~eC$8wu!$EBPRq@L^zSd@``~QYL zGhumV5O{F5!#W~*v~FEd9USFAMw3oU3oL66%VIK6f!5gjXX^2 zUUz6qp^2>G0la3W_9HLD@dqa)T>)E%64IVVf#z&kMUY&t`S`8-`bB*`q^qhbAA<>I>ZEr)N0;nZ0ppR}Q<#e%L4Q`Ynx<{)9M=yS539M+& z1LoAe$N&kC@?UU=?+_>a8#rxnu$?if*Y-o|>TfvnNBp{MMAD}28r_L$CHFu0A0u?g z#rniNqpm-*2pL21&;4t85U;R2w~hjT&!m)Y55(v=QEDG{NOHx_%6A*3Wl`;vj!&js zD_B^Ld6rx<>QZh;HgJStti9Fu*e=W4I)O9hjp8^858;DFKnRQ)<)Rt&Co6P+nd8Ko z?Y&X&xU+Ng(ruRR*v_X63Z-UOd1kUVJb+}!7`eI!h4T?0^j91Ui;jDGFti@%BVgjb zM5%SFi&ik);F8?@&ic4Q*!ZFW%;s~iLV+m$&N#UwSAWjLKw*vbd1SgUJt9^`D%WHN zLDxx{=Cz%Ewun~F7*e+u+KtS~L@xYts2YtsPJMKwQG*w_U3tDTi4W3kgJw4@zi zo0n{T6^V=%T+g#VUq3$lb3ZV<4ss82Kei6G%InT9&oE~EAaLxq-m9JqvFafjxu;j^ zgcRY88s9p3PjcHnZ;USt^;}`(5o9RYoWAs}{fRilC{{)>yVor41E?=Sg7KsECOx&U z-u#ikFRj(2+SsORTvoD~?t!TxuHa(IvF8bh?g3T5#$e0N(abicq2H6jgMIn-iKzz6 zEIJO^o_u$X;ai)Bca~`LUTI?ERzycj3+fZ)l9B<*J|8*fVecwq)&tUI=OOI(54p02 z$$9L@0V0oLpB0o3sorPp6q4)QGz$?$cP0KimTY8!>g?|+{}gLgt65Or8@#W*)@jp? zmk$>CFx;6Dli1VKFQi@1(*ZUsZ`v+Ch-$8qgz2MwGTLjDmKfZVou3r@#Xl>s<^$4c zCIkfk&9%IQQkc&BaeqJe%)tya)n6V55vUC2Q+)=_xJza#hRMSJrgEnpDI9^k8Di}C z*?66VU8I^hsWjrk{uQk5Pjx?3+)#*<&_(qHK>6$%{dOl!H3t(&=@z&>Abo&Mnj74e zEX`CUaP)heh1{?zQ~8#39R|d(mO$U59)M0<@fKZ`kLEAFL~PkCIO^@>(X-)@mvfz# zL3h%(<|oM>dlzFzyKl?Qa?Qt+_e%-jpPon79?ukoHy1eGxicfSCNMKvtl6QMXAOTh z^5;6T6B^I*;2zmK5^Nqt!^((zM9^!j@R|ZyJCrZj+_dk8LzZ1jmi?I#;f0E4@EH0p*uJ?u0YPNG3?! z4$BX?=!E(6fm{G!%1#=|MFvw~)ocBil~h2@juqh}2Rp@Q;zT|^s5$@3QtGSnZNwrl z4FGDne7k>Edg7R13;YM1Kh-B369cPmkIqR*fUjzg*LBm^Bs;jg!}&}dhc5?4fdHq~ zuXgpRN~`-)wf@_Q&DLb^wQ=R+;0Dm&SV%;3F=GdqY@l%JY@`ieX5Y5gwnCK729i{bfTFj0 zw{V&&3R@Fm{J|=Y^JAq;1N!=Usx!K1=NT+M`W`70S^%2ZUt#jWIJ71v6}l1M3(Rg%v>tpvd*V$!xzhar_kqSy`W1wCq@{0jCFGKZ zxHI~)*%VF`>Hn4nYYGs%RI8d=!al^DlB{}(qbF6>pvL$%QI)Lx5#Am}YA6u78&yyn z4;Q&Ubj}hh6{TKpkJcotDyHL8p^nyp0rzaZ9#KY@zG!zrS?VCW5S3(WA10ILQlVC1 zEGjR-9&leW)0O-sLk`8jopnR9e;!qx!W(Arl+P|vjV0@YZ7Q6UhEU*Y(j%|OUP4WIror32luOZ6U75GHp-vf&yZj5t>l7n|_IcNMf5Yno#wcunYVL ziz_B>+eNvs>lcjc6C%FxlD^Q^Un51e z(7+zXbvY5=a!Ebdb$-Tm`~riYbJI{jjM!%IrWW0%Mp55JNq*QSZtbRw5RYWZ4zMOf zruFawWSyp&5RXpD4vZ#T-KLq~u=e$mAPDPN#&uj#J?pt{DBZ0hfBYs}#&txI zj^!djk|xMno>n4fR)@K5*!6$iU`0EYQarH^bItdJpf>4nfIXsm_H)~a>(MyCKv6x1 zIU$JkPaL4)>{Fxlb5L`Ckw0EjnIs6xdH@H=Q9xuj=Ku*bk9`84WfhPx+w&%5Od=1# zV?u-n(aH>_WHXdns?kKT$Ej{RV4^g_(+NH~lMnXeD<_-M;paiQ-gH3i451i0!eRf+ zag@h_>dO&?>$eM)#M+36GegVSMW*|s#>6NArKH#Uc4{0vAW3gqtE2MPBaxoA=fPgB zqICq0>P)vnI*A!W5LV?7E=`^YBn>QCzVk>Tw#V9Vuw@;XH?TV|@{)?_T5urdm^V~S z%hjdCYkYL#uMm@OBb$+t5llI!!ftgqP?h6wpfPH{fazgHQH+lG#W2*R9=iLK`AKt@ zjP$(T0)i>kcHzeMl`8wCAYna2v|U%ltU75wF-az`z_L4tPgj{4-MS=IB9M+S!M%#Y z*GpY;rCWl-Kji7HASh*z-!DqNk5ft)TqqW6V4ky_x~ObknGZK-tK5iQq&;O>z=W$A zHCpbF*mg0*R4f*i#IWbyGE(J}6_DTFWk}``w(V}!+!NSE%&`cUns2HAaPLG^0CXu zTUZ(8UpI3IY(@WPZ-my<*2#C0DBM8-w^2;}zq^h~Dp3uodzOcpVu0x4$|nmYY{P6p zMV3lD>_;@-hPwclT~m;UUg*X;_O$AQzd6Ll(!iG78FbOR>ZVU;!sNeSF{DALMK3&? zhUE(=F=%xC0lK6|H$34o=x!vbEb1T%u~TLq@>4^8@~AIB*gi#nIbE2n&Cv3f8Z%I3 zEJ>J860VkxLoAm;u_-PWy08{|+~Q|dZcbum!dO{d#y=~>3u%Jb_>IUUVZ+Y)AP2!s zI8O?Qq z46L}N54yXyBxUt)wFM*v;4Pka@jKQD=mY?Kov45oXMKBtEJ{)m2yj-#nM*YX#XJ}bipRWsfMdpmz06e zwau7!#5`ULd&MyUw#TnCxS6@`zO)PBmZE%fP=lIC?Mq9i3uRzZgVEA0L#k_%$Je*X zVsD*ix0qE{Kds+{gTv7EfDSd*V5O_SLxNCES^t&dgbqh1F)u`#@)VrZgc?@jPf7`d zB-7C#9n_#wH%MYf!;;hLXx0t23A2bd&@-;DskcRhoA}n){E}RDe%vioQsTFusg*H@s&pUb(1_xC7>eOW)Kv$aX; z9*QDL!iHi1Ih4}PZkTWUKDcChst=nky&bx;#ZJc7dvSUdTyb2D*n#i9#z<`{JsTqtZ^YQGJa@QDTgEzr#u`Ztz z(CGQqgQ)w|qnNkN&V~kMEcnp3^YaHaMwMh;*5@)k-*;uh^JlNNqLJ!$-%c^BZj!1$ z5*|-(wuKbmEB=IhuV97YtdL%j(d4mJE)?e+o#11UcK7}p+ZL{S7GR!7Ql=4`_R;h&u%{1rIe)(M&)2el_VF&7nRxa$tY1GV@5<-^aa zyT-FKG2CXz_2jdp>{-Yt=;Bqm1k_j)G!$LE&=B1+Y-sP&7hb6z{MW_9mlJ3R=smlw z8W!roaD~j?>DrSVUWG@@IDH*1kMjjD0U{y+Fym}WBhEhpf^K9*rjlp z7b$%c0b$U7Dcx3zGxZaE5$W;q(HHCl!>)drF-A#<3GpGTQhToQ5Nv#=C#U!5a8A~4 zIHYch_Gg&^xtgxhpvEmzLrA#K5I+OM3mg|bH}?wPR)RZu?n5~4Lm816WIm!}h}0^F z#TZ4aT~frN`+B46h@7*CoP!8Eh?3QU`%STz>+G0t{X-m=dNsHm9M2b^D7U%e_f=Di z{36}1EmLtgtus84RUsT^B3Z7b>Vx0W2E3+CNSC0kq}a_QEl5?nIcx_q?l!WWF=m=L z4tzMSbZe*iP44RHS6Hx1$o)}k*H*4YHdemQ5;k=L+e1(G=imW! zo-u%}Uss5j?M@^dO}uDN&?1=e^2jRE!sp_Y@!_Q`tmNjH8To6Y-_kQXWXA+CmMG-296f_N*M>dyh}ARn9Pvz#uE|CgD23R$~(wj zX8%z5t?;A2u-41{`caPNSGY=L{)?btO$Vjh=Q3wHrOc6j*@|^3k@d=WJxQkH!s@Jap4R3--&zxBhv#!%Pf<_Xn0VoRV;2BbQd}r z+x@J&GQl@plSP&N8kh;&~`=pkT``u0?C?2T0g93!=*i!u<$= z0pv3$aTIrP6jyN+Pw~972*SMx!hi56f)E%%`0rpKq~qhZhcmi}r-Qptj`yW5<%zg6 zI>s?wX&VBFcIu&Q#YULpms5crE#P7|S_PNXG^UN1x74JB9|L+hV%z5uol^#rR1Tv( z9c0%|;@cMyojb^{Nd$M?+=l@oH(JVLsIB4eL}+#FyV-TygkwFcU$9P>gB^VQ5x5s$ z3CMN!?4^F znA`+BSVRve+f{2*YV%jUdo1n*y|W)i>L#xj>50yWYZO{hhRj=-a4WidhQyg8KE}k? z!QLUT$I--@G~UK}m%(cUc=>ofF*FV&N}Jtztsg-HMkwQ zGT3%9CD9Jy^B zxeX?1f7!WKZ`9pk^wD7y*Hn$FQghu#CJY^>w42u$ z0IXWz0xb6fKWc;O%ZYY6ZN$;9ggm2RjU^6#@fmEnz9sybm^*=e3(7-LuJ1vG2w9Gg z^I)_?TKene8^~&`+*y$?)UUIg>_8^{&q;*{`<7U%J&!k<&rN)xZj~VBb|SRpl7l%1 zA2z_^nk%+_jN=j=;&u!;`@kiI9boCSP2{J7oO*NeH?QGpqQ53~f%_wS?P7a#MHuDm z3S!_*QpUxEGWxfJNqf@cSz~xnTB^JyS@rqri|3*&4o+Whb)r;OooUY3Uz{U^s9aTC ziLa7|Rh_Vgt!5$|UJi=oCrs%Rx^d+Cg$EE{0O;R}iR6Bo#p)5YMz06!)y40X4iK5i z!BBDb+2`0nwNT^{BYxfPKB|O$m#rXvG8ldDL*QO(BU#Xb+Y}t?D^P0 zP@P&GVW(6Hn~;kpWX>GB7!w8D@-FKHZLv+wR4|^ZB4k+c$)LBD{c5Ytl5RQyjrcO3 za$`(vUms-x-9-~;^^NYl&Ygw=g)pB0fi#K^3vAf)^^4O$QQ;i4*Gs7e3Bdr!iV<>$WTD&*Km>WB;!lQFj;kx%7kwgL|N{-jT5ntd0VeN4qcnqa4w z%b(nbKHP`pL0ANS)q10V%_O4^GEZQb@A|;eD^eHj7;7yG4{b5XY4H?7WcB0G{a9-?2xB2P&_M8X zu{1B9mC-OWp7l}(3M!4MePY>3*1Hgs?NH+87bMC|LMvIZ!zc##AryBBeAI+9lQBEQ zw9{8+M}dXIsEZXZ4Ier~PSmf>m>Tt@!qPUi9bEAK^!P|(*bJ0i=K>$sRVSJK8}pV{ z+zztp%_OzWBxjM|jN}F;)2jnp64EOOoQ);VxyAl+= z3fB@-_%!9d#?v>wyBw@K@pN&qd<+C850O?367S7^lT3+R^b$(vKb+zPMxs{_87&&c z9?D_t494Yhqg;b`GxMuYy=5id#X527o=+IiyRwb1jmjhrStNQkqrJj0Z^!K<@N8X0 z|8oxLehI5Bl*z54xV^ z2U6oT7-cbiylg9nbkts12bgn~KR|DiL}2h8Jrl_v+2Ru_Ok1KBl`H*NG4AtRWm!1K z;`TyM=Od|b+Kjayi=mSS4TVf@GYNAuiD5HIse>#Z@}HYE(-H31hx}SZaAzUnHDK;- z=45wUwVBncwVBe55XBGzxa-viGM|4#Wm|zzyF9qaiMq&*A_#JV+Fsd8a%?5zZvP(- z%T2r+TBd&IN!o*LAB<*Sgl3?+O-OKCMVc6uSS7yed) zd#+|!!O*Fk|0L!=jQF_br;gXLKkk>x)!1$UiS7-l5^7fuBoshmMMaABuyJ4w9c&Sa(V^`=T`aWHkFGq=Z7gLd*Lx zzmIRNRqYN91YP;~b>&i8MB{$1b!zWc*BS`UoB@3l9j&BCoN9Uhv=&!iuMutK-u`nV ze^7Q$=Q8YwI1IDlIvf!lX_VLL2|Fhw$N#3eT?6u%&!punelr^(8ZBA7m8Nt~ktsiy z%LyqRa_nF+&by8vM3r&I?nH*0CW#>_eo=4EVdb(-viG@{js`eK(V|?ZU zt?Zg~KT!XP|K&u`)vJN8#i`id*;U>EI1%91y0}wQ}CCl z)9_Q?|o~(N4Oo<{ifU9)r3q&+`gLa^_~XuMy#*)f?Ze=yg$_`5}8yFymSW4 zz9%vf;(Eog1tR*w_)kg!5^eqp1vEHMPD?MmR(>P?p9B};@`PJ#Fe!Ckqqf_0gf4W2UGuq@+v^g92zL;w&M9^ zBu~#l1gWs$w#C0C(Qa$l5ykG=#WRAv=h?{)CR6 z=YSMGX`Q|_*-ye*uey(-kKosYfz8utPQ{04Ou}pC=*s8PkvnaBo%EAI6Mey8~S!`}#Id`QX07#=Eygu_MCW z-OId)p`m2{XL}}HzRTQvHJ z-P&v977E>=(5_gk(rpiOseCW1k{jfab7mOV(0VfM-r0Q>^3<@C*hP90};1h9kn z*@c;D+3sw$)4_h^z&_fYNU3?3%jbBAhbipuc8(JjRr*DhwVlLr%Raa8FyO50U7z8k zqF?D^Er8XZblB~Ok-WylA11X-!<~KRC})RGI;*SpcFS)c`>)1vR$Iv4E}TGD=?OCF zor#ToO)i5~)QD3Gt%^K{EPq?Ucp|9EWg{n#-pKgy;0==bM!Gb9x}9C>m9Wt7Twucn zc0K*8e;rT0ph&o&VfuNAjyLiEztOCyz0|lb8I?oJ6P5;01Mk0QCq!^xvA2QqaM-^_ z;N%1y`dAM5242X4oVie7OxbuyZ|qFU!Ya@ECCLSm2SH8sw2=!ctZ0CapHg@N!`^6m z(2E%?pKK7xst8vOg%Y2)B8-ozCV@HBAm3nUG zL&L8Q@nMbrI{@H*Ud$O#C}p))lWIwmZRnrO@8OLtX-L94Y?>eZY4Uh_i2}Kd`18R9 zFfXsE^p)WY9*k+c3y#*;p7Gr1Gry;}-O^@wb3xuPJHXJ=6V5Lc!M|8j%KWHNZ9Imt z4Bv#@!5sjRKbkMe36Zg8j8}Sz?$xu-`ITX+0D&Kb26gF%P<~+)w;IbZkI{VO#bc$x zs=Er|#j?(NY^0xzo{Euq95B5oXo2(_KDF|z)42hsoBi9S0E{BuHQwYnEf?hJa<<<> z`AwRNv2MZ~Bu_RT-&r*c3WO6m()WC_xDqEH5eB$7&z0TKiX$fW1WN5mjG%8`rX+7Y zXiVvqeEkD<3^*-0F+X10o(AC3;rA?ic|={xQe+ASdm{hj_Xt_gltsFclv0(k?%ik% zr}u(BCgSaB9jK5N8QqN3cj1R~J5ZHpr z&o6+-mij5=k{aPonWAz9KiQt1f+x0GDVO4Yhy3y>WtzeCJ!`{@(4})Juo9r^{hR3F z7m=O^1^Kv0$bcIqFUd+PHqN67`Or3#Q<;lA{$pzv4>~ezmQoV!VpGDUU~`l!g+cd_MhA9E~Y{77}P>cV&SlGGokV0xU({7GIk%8B`)gW6zh%$daDewjU~GLq|(d zZJsDVBu!jX4-HtIXB~^kY9heGRfOiOs1|`AKadw=(ag(>WFL)tb~}{@SFMajg9Img zHEC7z3$Lhxb1#WP-Rck<>_RWXM`M2~AUG|fo~cS;rHvmfsnN&qF&sVVh70`=(^ZHh z5Qob|2S>(Ut27B0<*|Ykwb$cc{UeA z`lG9B!`9Rcv=SS!;w*^6qR%^YDgaZhWK~6+T)dKq4&@LI?wgoXt$1E?_rEr=nWFo5 zqDs~At34eILO0oNqkS8&>q@%E_Y6JCY0S;;%)C#j0+XNrmFaZjNXa9r<;|(Z@(a=Q zVf1#GXj;KXB-mZUd>3Lcr~nHr2HGu)G2d-tRDLpT@SK{J*CDs%JOMXf< zH}4g(P+Pd1R*zsVqctbTT^LJnoAZ#hn+j>>`WyM?D)N>RXSd_ubv8t2@1awd?h3-^3=e=H^9Z-;i;~zz1vgarv~azO&si=D!q64 z@NZ@Hhdckw+`47z^?${!29p0L9en^g-oLg|ISn>UnPvM0?(pj&79-6X4BLdCty+<{Ok(>u z^O`?c82u(bG8SN71wMNs&BphYE;U-qbVdDcLdY>@lxWgWE?cue$}uj#%b$lY!g819!nx*Qm0>`gVz`_`(vXeOqulLu z&I4Fx_T06Re3&wK=dF#{-*r2BJ+aQ82>QAzm}VkrqMt6d=| za;i^zcJ(EmW95ec8Bs7H_Gi{nFQAuXj-=r{J)I{CFmg4R6QiT?!^-3)CBCH0rNWd- zsgcqtwy`(EKOWF^f!uwHDTyZfr>Oc3ZCDKwgD`SY$BY= zywtsDq5^st<2FyZid3Fwd)KH-GShp+kEwz{x zrCJ+`uDJp{=HL756^{Pwg-ybENWFQ_G`nqztbLU@;$z9iyoM`whzFwUEqM@L>2K+) zqKOR*Cd$p$EcQg6R{qUjvpXLtTRyjCn}4Jv56ZG8HmPa(yd4k+R|h)CrZ-}L+;4DO zUmLDPc;L&iUTA|2n|>sN%Zaz(8O#fft*@vHm7S)udDjo!VCk)pmsmG~nyj4M7LQuP zUDCY8x&c*<*Hiv50gjvHEJ&THOhk9?5sF2xgl?kbhQMJ@xZD3^=|U;Q6wgUOzxc^x z;Yq^Ugw0bYPfK7t-3jd5SK4r6(H#tGYAuf5vLE^jL*$e5%ccKL*Am}ZRr*(X$VRwO zYO`xq_L&zF+H}(YH2jSd8*91FICN;3{#2OIyj>@u7~>B4)kJ<38tQiyVdF>RmiYB5 z2y~J%a)hT+mSzI42}FwfGCIkI*I4yj;0MJ9jN-xj2J)v6Rxm%J(hv}|d)zunF8lF^ zK|Iuosb_3|Z&;1Lcm3!)pvX5CYeR>f4iLTx>-aqs;-1!3$qe?mKxyvi{3!;-S@|Xc zrCGOw?eq@jPLS#%uoTNr%%c2W?t{M$5iPIwPD&kGs%^;wNu9I57|)UpOG3nhNaTna zWOWMn1}X8@H&32IrcI)qb!ioeNX*j}$VW#_V+2d4om8pUDcBQDKd?DZi^f zAo|9=_h#Ct9x%^=%NNn{xoK?$N^_M{(9o_Hj0PH7*W-7##9!Te(Z)1Wng|E#-PxQL!?T<7Bq%xPL0cC81pJ?OQr}Wt2xx3yuB|PKjIA z%@e4pw`8ea-oMIWSmGI$l(sHuzsR}TSh}J}!>n!6OT5Ii0k(Jv>h3|JutEDos7?&t;IEot6b(@u1%y^fU67IrM<-N~cI zj~{ZuPH)uXjLvsl>7gcnemjxrOQ4f@k zo(rs0@0#j7xNF6)=M-Py$EEyMRwM+IYMS&uEu{1HdLuw2a8kIiFLIcF(S9p$6*X%v z<@#{**~^$Y6v%Dm#paCDZ#jXl3DhIb(LGBJd5)Wb>dUK4Ne>(Pgn+SoKn3k^j^WGFx|hO{%r@Y zP;E$EMs?W|J!V?BBoI2af{K_AO?bs)alAKe(Sw~lR}}8`(b!Jt9rpiXP(Xc1QEpS5 z_X1q3AX+7AyqovX|4}I-#sS6@1?2#l3NAX0^o0c&6=#I={U##HB%V>5F-pW3`lI4S*AJV_~ zl2ttKEqw3hRXpE9Gy0&A#KXE}o~+_>(<=O3jCtRc0E*ru9;fy{Pi$iXH?13u>8ig5 zy=fHSP53G5-5mFII{H6bR9a>y@r9j~MZD<~@_x?3NFD2AqzbKDX7h_+sNR6;@rZ`? ztIwv>)_TVqdIOE^|5Gc|)^d;1eFIR*g8CW^0^$0)Oo-jjbP{Jr#oPkL6R%!8-F*gD zc#DW0ni|z+p-dQ}W!ZV=^z3nOog+bAOvg0lt;QcK(it3il&fj^LZDr?_iC*#I0InR z)-rlx)W?v&RM9J1ngg2D5|xQa_&qaD&ujB+UWCpuAi2IO=TVJ>M$QACEhoiZmSWdI zpH3}B(cHhE=inne314pYUf|QF#}Raz(|<_!aUAMuH}~pPqz*kaD;VYwU6~2LRdnLRpFJVf)0KZ2-R| z`G!G=@s9atA}(Ezx8N~&VL()hn~z{rEkQ5QQ+~ZUOb!4RV;csbXxwE*JM6oSSOzZh zR9tR;Oes6d*ldW_8Kh54ca|Z}w>YLlM-D1rQh3C{(k$>Cm+DCw9lEXT#Cg!m0S<8I z^sZ;MkbK-K;LHl}(RDqk>EQPsy2rc*hrUXII)E(os_w|i0A4U323wjlS0qEb`iqwykTa0C0s>kzKjBu4`0Kanw`i@Oy9xm7nJ(v!nW zoWZvCQ31_C&P%h-Mxsrd4>DY5Kh=d1*~ZEQLSm{D2e>&9qG2Lo_dQgAu`?fT zTF09@5P>c>gTw)n^p<-EMoS&%%_gVG?k=uT8MAjWErsWaS%UU^!zoE3n0u&e+~NbO zP;D3KDYCibAuR_6Zk65xpW{Z>10OHk-$M&UtJ>tfBAobZ*EwNN-)ljk`&ZdcRdy9s z3YWuLOv)rjLJD(n6rE&yVD47g)no#0p^Pq+1SGxyG8&u` z>aKRPJ?}>ZrF63|1HrRT<;gyMLGec%)F+MUJ|wSTn`lcwSSHgPjqqOKYm>Suj&{KL z)vk$GL>zWam*yzDJlwX{Y#rj&dVYKmw>ES}gG<`3=z&~6B14Y?@QV=9;wGfTmF4rc z=JU~!PYpP8*Nyi)zT>W^GD{2S%iO2xDPG}Zhgg2k(n^>VA*h*+oG*L`3mlLH1r;BN zCW5H}t3~O+Urf-iy0;rX*yoA+H*frKiz@Z;Jc`lSAP07Lxy0b|gsJ4V_4cQ%PofV| zcb6R7(0mQ?uTbLa0r~%mzK)Z*U>!Iw$V6ilqmjL_)K-HpP4-GJCx|YeidQw+02`kOjR)#+!GCcuh!r zZ_v2~U~SL7N;>fFgNMFODp0M=l-;&u9XV>JMId)9_KjtRv&!R)&+)h7PAJ`i(=7VY z#EXYDz3B6bOU0}NnA8WN?t0<14;3-=b$!1<4;5H2v)dWFjlecVj`o+Kvzuao!vS58 zWP33Tr5t_uD1fo35jgkvR}5nUFw}Y5DQMpRTM{>p5M@sHXR-0yJ0$Z_%3#wSr-;fj zJ7YcW(?;w8bngTaJs3r8X%TY?om2tney-EEfk%WIAg#4d&(^1S zpP!Q&mjCu&Ci70odL{QHIi6T3j0H>FU275aNJ+K^EUuuv4zxq-AjD8CeUhC0Q@8Ya zX<<;ZN4`6jf(YTh`pb$xBZ%Ypy%^)K4I7JM~BN5az4L;fTvIRy?0XYb?L z?ui1=CC_LH?yasqB%15|>QCU32Q;vkrVN|NIGs&KjrKy0SWF<$yHNQBEkH``*(Osl z%-=0b?hn$}?Qz3rsTIqEtzpLLPg5|YK|BCCDDlo7aVAiMm$7S|&Y%P{gYgnHYeyT^ z>eJ-;5lgKD4RK`lpe>e{2T;-1ouBm>2}!ALM*=wFVT4W|1JTU?&t2M`a;G=kVwuTj z5{{`+6Ste&`kgGBEc@1`5FB)%(T4`2$ z8wN!4>XK^fdOr!11%}q7d6gvD`Z+Tou_Wd^fBOpEJSP;Uy)R!m*z{rM0EM zBbRejs!>iH;55V~gFB|Dw8YMmRD11>GBwyHcI^{%_zCPQK6rH%KmBi>2~jy-^U@@+ z+4#t%66uCEF<3Kr(lPP2{qY5^YFZf!PW9e%-hm+p?s&UB*&f#eQTyVcCiw*Cuqj++ zRh*OcZ6)RZP+j^o&^NIoih}`GAN{Ig7p1OM^%mZ!3zt8vM-kTx|MbaWqjYdAL_U(4dsDm#Uj@iAMpl<5gbMV7mG;(R-wCyUlX(n*To3# zELg-xOJ;C*i4-y=+^PH+J-;*dZB3C4PeD~@8-5rGKFq=mXvRY?N|S&CorugU(Qtcw zuQ3KzyT>3QHRo+s^d1?%TfnTd&w}G@v!P$Rjw22mMmoi#+&sF^ua2nce74|s{(`h{ zJ=m6EaCGuVNam3fYe7FaXaET8B^uub$Hoz}?-Hd+@z)fzFqX#S+CRS`JfvywXz1Ur{qW(DOAAXLx{oCC0QBoXo*qHI9>HYqTIfip zC5nydu^r#mjVx%S%ipaQP^leiW|2eSc^f)e&=$Z*dU0hM$_~e+xC$aMmpe=^clz4& zKn2_^i(5IW_P99#@yE;mj;u(+oPblg=fR!j#jS_4u}vBk&?fkcR`~E@aRLyb0~RI} zz$6ZiH5Z!18pk>)4-|-c4_K#@QehmD+&C?)h>D|-<|j8@*{fO zq8>0$e3vqF_345{*y+YD9S|Lhw=i1)}DTv$7E0X=;%n{OwspRb_jF(H8KKvqGVv4l(^qxb3K#Z}4C z#n>I5A_)yg}(8?6_Pw4s@_pJRF5YRAGQR7LH=jd6UPn>qx? zpUH0fVl5WIA%;UIptz$(uB@5Bf}FMG#S(n3Mcd&`-V4cIn$^9q*{O%=C!+>h@3s%ITAH-ehY13)O}vq=e`p|62>*yg}(QFIJ{a;Nv-uaTz$vqG^Le!bz(2F0Au#Rgnl z;Mb1NQBLN@6SUt6&&9N+Ee4_OboPIdL;C}9O3~p^H6pFd7wc#D%oku#%_b_o=h|QV zp)8YR8pR)*dgE+JLMbZRqC+n9m^)vG*y*j%BSK#-R|c0HFFm{O^)>brH7a`gH5t2a z)4Tin|9z!h++9MDxKvE!#@z;$jcTY!cyXw`MtO+HL}ZS9k^Z|`>B{f_6~3e}vE&zR z(E0Wrz(XST@;Uyl*0}2tkzPtOYUjuKYVX7gQ>NDbYzVUJ=WcXnNd@+mTn zEMv+UcdIVA-O`KhOfGS)s5Noh{w#yeT|kD?iRtyf&0xY4yw#-^hGm zlkKzG?Yo-r{)51A0j~;cUL4A*#i5T|J<#A=RE6ZIgaAtBpey?%fZ?zc>G(T1R2emH zaQRK~dM3D?*JUENW!n49I^Sm%;XCy5l?ANcbu=aM-2$+t* zE;STPnGPtz-*WhwoL6y?+kK+!9QfzHq}S3;=XJSelTH)ToIg4EM7i+*Eiw@ro99!X zI3ej(oL35|uI@ZxQ!t^In^;l`=5=5TekrMAF*xU;a!P4Tkkj)2v-C^~Q^RBMKR+@V zm{Ca#MvY!8IYL2e1kzV>s7?yWJ>UG336c^(`+pNlW}Fkq;F#2t#!SXDOlj3AV-cS2l zrXxeyfN#}KiKbL<_NEOx1FZ}%V0Ft+^@NR5>V*gHlRv+1)|7a zGO1x6ems{WMDszh-oX0(2X5ju@%VCyp~b{w@hMy`N8f0`i?}OV^mF$y$?e5R`+0eH z_a(J~*AX^lg@v@CU12Ms7Q*u#rME0a4m*bX+J<2U#n^9q>@M_E)R`5Pt~yh9fhjzF z3w}it3SVy$Z&GAHmvVL#Rr=@g8m`XZJzNlf?5sgBI^M))wOM{uWRoX*YQE1wGZ{t0 zxptu#VBb7UPYnRX$zyWeu-1)T^)Y|N44;H4dc-?QD4U^&yz?QtXw~-S2On`(bI6Uza;+ z+;UBM89mk)MvrN5hIf(Pwtu?oQd+_(Y5TK#S21j9b{r@)Rd0KTNs&L12h+6K(`HWA zXu$bkfPOE;p(C)1!H;*?$y4d2Vjnk3%e4ITeCo}U7j!ipY=Ki#g{XtSZQj zu9Np=6M!2h1vxFi@9uYKzu)|M$9YFg?~rodr8R<7f!h8rk5M|`Jvr{m?ES9uyB|GM zqgQ~Wx1lXn?D9aXg+PW)8OUe9R!AgUx8T;E0>w>&lY;XC=~yOfDDD`EIu~2NAKxqj z^Tyk#eo)g%jdtwd5qqoy1}cxbJ-BBhw4Kbnkn=@4b1r&&&_@Hq&{@N67GVl ztCAprI`u0inlY9Fo~@4e5ChE~hx5Ot9+n{A0t(orhqNUc(1uKA8cwM^f!Qf)*tX42Oh;M|3R8e?C#obnEOre z{Y6YhuHUCv4;ymhiAQhH}7Ug zfzmG%>Hf=9^M3h%3gQn2smXyL1hGsfXCm#DOe18!u-2?QC?ti~e8Ge;X zG*6f?YEemn0y3(VeMHCa5uoct6h+6-NeW%~cAYEXUMqg9bvjg4J_Ya;g=}`Xh zDYhnkONN>Xj=H9eWb^*v3(i^c!NqlCfAQ-DYyV(x{&Ks@f8%$te4BRVUSDLyI(YAe z6N{;HTyih8;rFg4{awwkUkZNG4uaBnyPym%F1k{i6B;H(xsM~yLtJO(!pv~tZ|ald z#k%zl?g~U*v+LDfJ^5NYN$bRy9ouc_Ez~mWbCgPoc(MnZW5{8OKN3_v&imM-&#}gC z>L*&5`Fznt9_{xdKG3yU+inDjP zVD8^=Er$0xiF(oBFBIj?Q(Ck| zVe!FB=|!a8sG^xEI*B2eAkew|`=od01tz$C){gP94D{W{IT_%b9)qfX%s{Gh`W)b) zlZ9*0p>z1BS5db*==y7^G29~x?x~mg2qv&PT>S;z`x9yJW2#Yco?QCE*8=%EO)VCy zy_h8`02cFNBX4a@_vl*wRS_o8(Koh5pJJGt?W(0Hvx{5Z zcN3fs^IM%1_jZP)`2@xOTLWQ)f1gye$u4%VmtmTu=d}~gnu#u&zRlfgDg}j9E443m zD;;i@e@lt@XHZ;Fsov;2v{bBdw14iXR&9E%9u-TyL;SY83tcW6@=f<{*8%FTipe6z zN;vU}yA(E3{0Jv)9US}gLqg3ig^p7Wb}>(K%nkTiYFB8{m{C>PdapX_cBy!4eV@im zymxbG{OSk85O`MM)YxF;q{rZfRi?~VkB33*vmfHpdb4x)FaQJkuVnlQSdx~Jv34=l zeWHjN=0iPbMcGEV*y^>B{D^Z$<}1Qv$ot$XJ)Oz-pOpZ-pd9B!%}%Btj38X6y-wZALP z@H51m6+DZ%>$G^g>tS2a(K5x%%1^s%L2K{zWW~LnvWN&|#0YMoOlzo@2p*bV{EKQl*3TADc0=4Ao)~Ce1+Ibx2L9A74my^={Y_z z^dMrBumYXVtIF(XUx=IX6CHn3pm}g98Xf-B?wu=&g4SNF3e*){Y9KSLc~b9HmHRGn zlMlD~pt;uW&c?+_^@Ph!bfP}=laSFzlOMvm%>R{-^&FY^tfznI{{Fh}L+Nu19wE+y zFZrp~36C=Q+zZlN@UwX5&oq}~5%)Zdz{HQgb=XuNvd6v>P32)8xPhNr^uQ)-%rObFG>Epc z)z3+I=XO@P<+D_9jnSltO=N4O&!4>sX3Z(0^UAb>pdEkaSj}UN=@eh*3!khY)TH3n zKdk7-)jIkcq*}LDwP(WyVjokj*j~E_N*FnXy((pa%cm}U3HqpRu_=XasLe5>lpZBj z0})S{cx@|DVvkJOoFlb=3yHI*OMFSvb#~zkV=ARcc+f{;*&8B7w0xze68L4ae*=V# z_%>o>`|(rtuh!T`pPx(@tfD1VwQ)2FG<_REu)llzsaNlnStU!p!&Sd9`mcB9*W33r zS%2B%LJM)bl~-E$A@U__$}KgcFZpaOlH;R9{%HL!%ev>5_EAiJ|FdFq_GvVA>giKY zy08#KndOQ9C~N)}nzQRMy<}UHPp1Kz~o$5nQkI6*Pni6W!icwyr4DAd8=U2wA=!H0jmEMq)Vi%M3yo!@A{@-(`NS2#6i8BQEBYCGKm+Pik zIYkB{HM%ymGdWUfd-~dO#-=tOM)4l`ciQ9Gjb$O!SjNn$1U;)I^}4BvN{VO&vTV7Z z_LjG%JL+jBUyT@(!Tiw1Z4cSy=mpWUx3x3;81(y<;o0ipo-&~%6r2*u^IVkwyR^*l~`9CPm9O8P)%@<(c=+J^m z?ei$;7@?C|drsFFPA)G;n4kqXqrdzX?5p)Cnt7ad24TK#Sb=!=xlvI9&b_Eyk>*NL@zfA_f3zOJ86g<^>eLT|OCNMW3m^XCU&WD+6ltWn^U0P+mAU1WB@A+F zZ(hFiieJ{<`#!A7V7)luuj~0*jMWfY5Z(}|yH+Hw6c)Sqa`5);*xi+;zw;md2EGty z{g8`bJ-zN5_y2n!-Pn%#9(C<->1nX{oyNbuIl%wKW6=XdK2AegOxn2p_8WD&i5WLSO=foH9AFZq}u^)6LSoa5=~sy4d(qU|zC9G%dM2N@j`Oor39x&UOqZ|m6q zsr_%22qISt^j}3GvWBj01?~7SkSATW;&r?;b{Tjp%uNe@Cyni%4>&Ib+|6JivwiVo zVN{TGL{e{@TyaeAgwk3oQs~L^2O&*UAr;&5+T)xg!lCr-Ww zEn#t6Du0Z+GN@^bigtJPrjzn?#LsiOJHEe+E)O6`%$}C&|D5|kcz6wR(lFR4EZXHQ zETQ?3Q?Yun8%mj@up_F0E-%^dC)EmM{P|MnS^8^PQP&V~>28d*!7RBlAK2Doz&t-z zGU6}0sC>6Bd0Y2ATh|<*_Bg&&c$PBkz)26SllYC#l93KT63Dx1sMX3egvrz|gbjy+9BV$1fwr^SkNr!_ z&}@VE*39}MYwj7^eFjeKx|-bC8P%jEJG)Hywm+)h1;763`!|Dxa}W2|w207XKhNl< zSiqAbUB2np-Y!ThBfXG(=Qrq;j}G&+Xx#mcQ>^t$%u6amPM@(7_8MZ{J1^C;%mLQd zR6g5(zWqA)5b@hamOf1mtpD~0=v|5pYrB{!mIZ-aI&`cSlO0?>1VR3!&CV1b=zcT) zFD<`BK&wSxBP^n=hr7Wew_Ia737+~|szitDpw}R?WT}zUMUzG@yHTrDz~i^`G0-}F zkISQ9xOnne9h8bulc6l^mCFT_0TchjVk$ZL@=Z?Y z<#GdkEw<5$=C9u*R(pQB#*W3n)lS!n`dAGQ+52>M?P*IZWu`JxX0hD!qY;J zNZHIsRmcox&`e`#K7f*rw(nu$d0_fx##-Hf#GOrQZ?x{?oX;2^rkyN4%fHT=2-dj_ zF>BHn4{|Z7#b%eCY$ajJmsN|;Q@OjJ`tNR}7z8Jej&ES2j0%1tW6PHfwz_$SO+2!T ziiR9Q%T_C@apq?Wq_qcCevn+f+TDOLMef;E{(+OC0yf#)tU}*{PHb>6(uil=Ef1okOjTg)Xx`+A+cgDN`1nsIrFCfBgq1|0b!cH; zkLf!`Wc|vztO7o321&9;quW*;C-6o515E{X@tah5+dnfIJS`?|v7_7-ENgDes-Mf1 z%nY@lW_noLJo;CN817w_7a zj}{jIo2Eejv&9q6b4Z8)My#b=g?V4NjyoZ~pe-Ah;%&-s2hOGASAfJCg?gLJ)?}J? zD})7>Z_mCuBj}(!YyHI4sXQZ`1zmG0tfq{b!it#I!U>oiQG=HzKMR6k6PaBlV@1EL zEdnv)WWDn`K`P`((%Vr97zhls12xhZli7EO9JZKq(0ivgtxPb3SoqP z2~G#byjcgONgToBI34Om`U{IwJpaVRbjTMAsPW3({xP=r_q*L(IvGlY78m=F|5yDa z;Enfx=~31jWJ{uV6DGVrsg;i-Ki)t44*%Znv?1yS?WMJ`LP{qo2WA;L z`kd29kvNeww{^=q^~yXlgDzhsmFMIhhl=o=Hl+;G04_B4?MnVgLG|oYc;pzIA<4 zO0GledmaWgYeBlg-P8s>WlU+eqLrtjb|0IczWv5^UEKJa%A6|9n_@|*9ZlP3&=S|b z9zj3j&;8taAX<@5UqFu#6rI}~#rE_8qg{8QrZal~P4bGEYWja#yDbe@1!l6g<1u7% z$M(D{c`5qlM|;1zHcEcbcQ}$}*QIW6SKqa~!!F>$LiP0ZBY1>|6V^z9?ms}@H^&L2 zs^5ITAX`JoP(|1%~VzJw!>a#kVm-!)y<1H1Y5I>Drhcv~5U0`eu@dKpLa zOt!5iO{t`1l|S!f=5=4-o@IX$v9{EmDWh%}gXXDZVpok2}f0(Z2WCgvSFu2 z&k=TnR0v_d8-r%(wMM-+%+t+o8hoo7aPk%^y>e2sQ*p5`&HF%0z5FMz@eHsaWlCL) zY0VuwJPJ$t-;;UEsGDCAu+X={M#ewzNUpR1Q!~z&bU_SN@@nf53Ke$Q^dv`T!76mBj_%o)QvDJyMzw0&Fh<-zSA4-vn|d!Z&@H2B`yj6H19 zUp%~RO02Y8G4a|uQae!^oNZ=xe=tl3(g^hdeDs6Yaw2~$)CsWtp}T4JcJ)U(^ z1-<3m3tNM-Mxqv-HB-xQ#uDnSOv~)dm_iiR_Qh-_2Z(OPM&$eGXFg-ZWQ5N;b*5{R z-|5PFyUQNlNhMrQ`%_~1j@gEv%0lOAMi}uLiwN+sRz)JNx`+FN9{QtKqJEbfI6PJd zLnar|Rn0_JwSttlW&bjQe4W|SjQ2BvyfrAR&Bx2}^z=G>mB9q?%T4PO(y27Jmb&K# z?{8dW`{yRJgC?kH5&dHDH#-6}0@Hd*eH0i8e-NZbRGwCZW!Lix2Fk((tAHWI>13$i z&0tIBu=qi*xWupO{pfX>vp?(}!7i^8>V6-&wKWwS?WR%B%=NkjemL#l!A+`|Ds=Ga zc5E&_@#$s_ri&Hr?$)S6N18LP9fpr@B3=Sk*`)Pf1@8w+fr5}zjG zIhW<*C7h+b{)b~m(f-{Fg4#|$`*}z!w1!xC3epDE4A}q)wd-@-j-ITu&cfeQR>Le_X`MqOB!b{~1MF3adFXu%FMO$Tm2d%o3FO7V?8x!;rBq;Xy)0@(!W|8M-GZgZIVQvYB$;p$6VWgk$nx2v ztZs0fN)2*PhsO`y|A@#sNh5QCz7bOyUJutVzWB!t$sCh@pO8K)C^Zt+apahA!J6K& zGt%L*PVC|U&lRkri*vN`2x)4fc*+Q*>0AQ8Ccy<>O>xxRXwh%SrTK445Pq!ma&yUm z=PG+t<=9S(2aoQ5Fd-&{{Uz$e69jpVQ2g?zy^c`N0a(vpCy!ltIIDk50~`(2Z)U47 z8D;x^f~+k(QdTwMvlkXYrotg!D_Qqzp}jAL;oyG>j_xtof(5=f=_);z5{aheF~N@& z@sN;wsEoP3@D%XJ{&H9|dweqPGJ0wR#2n4>dQHs23^EsQ=H>*DSL`X!?xmEjqQ3(B zU9yUoPoywQgRtvx)-&*7epWUbqxJpy%?mG+DE=<1{}tCNjn{|Qa&n@|Mt= z5};b5GARMgH7mn# zRYAI&giN$3kJH&e%~WTJjfW-GK_t)rThW-A!t0fpQW-cDgscoi4jUDoxlXRNuIVGS z&W$WvCiIx+vzR?<7;?)?y+gaXKV=?e2l|3!x^190e|9&JciFzpHA-N82qk^Ekx-8( z&Uh3)r;5l+d6kQK`MmcFff17_uI(mYXaB!b#URl&V@l+ z$>2!-N|^HDwKr@8V>6;LZ+K9MDsCgbJ7>(3t<8?;e^NknWQ&f?Ll(= zmt({IKk5(EM%}Jjd;N~*R;|HNddUEo>w=V#vD&D%H@NE(Is4P8(C8=Z51Pm_7^!v= zUIMJAwxJuQ!Vq`QruD(EQ%=JS-a4)lQv?TKcP_&{Ok<%%-@!pJ`kNVp8hkbPo!_N3I6rl4+PL0$!oz60wdEJ+5h!epMDvg}67 zA+Ou&-Zi12V&~J#*VL9Oud8_>e&BoaKSCT&hc*H~s)t*Xd&%gURBX;u!+YIys!sZ) zfHQ2SsMxxjb$FMP%d!|*xLjD`&}j#nJ1yYvrD(96Y}0q>f}&(MVf>GAuw2b5#o-NJ z^>TWr3qqy5pag@ASo80qe)7-_Rh2tu{)Wh$#vaXw2Ik_ z0xd9SvfGaCKbHL!HCNq1_O?t;eWOC&jNAqV;bJf}dqRWWkY{5EgtiCd5KOc@e!aSN z0YhJTNnBp0-(;7%t(-Y0q1}!_du!wuSD`2WN@g3*e|`fv%}FkRCZcO#)Xisau4|HS zYTC35;KbfY%**`0P9UIob))&|#kJCT0p-Koxr_;Jo(9k0sdj&jX@(m8e;1&dDER6> z2>ip#WPeT29KUf{nM!QU2>ezV7 zba6m(J{LYm9JTSfTB|-fsNYU9IlH2|=_=4Vy%+A?yIM%L*z$vIy+Lddje*anad{9= z;azNN7Z(R)`01bzR2Moc$p&>7IZa2QF9U8OySiC#!gn*=imh$}1_ZWk>TLklQ2NEK zvlh(HcjY#Kxo>bHyb(K$#$3-!-Go(s3K6|Gk^-UT?)93u-{Yl5AYPkGK!b?a7aem$ zIGa-Njy=gu<*xroh_C-XP1Ol;91SL}jQQWdmBOv6$=F4J;7d$o4L_mfta-a;gygld z<&QPm?%Lephz5bHrdQq;v2H0&sn-)UM(5$A6LRnv+HN12OOxI}xF`vD&5x#Y%<4m^>soAd${J3zH){dyG+Mgc{I;6jiV$DqZ3HgO4 zliX2?M8Lo!kjc4QCSC1bGEUy_a2_HDqzXs`&h6wrsCnIj%X^U&$8k4Mrp&mf+GDwm zFE5A$AfrQvqF>+SvaQAHoRLoy)Wr6K3wLV!BWvpQDdaGZ2%RzDga3{y?;)4xuz`*7 zC}OF75O`_fe%{*HTQSf<=*rQhMS6mbEaZAOEAU%S%U!_G$-ygc#N9TU$(DJUZq<5U z4{PO`gUmh%#tNu#Y1m>y$+80BT`hg?y@i|u2KJ1Ec9y2Srm z?hZ{6=*&Dd*h=_tI21=i99CM5jjc~6U$-;)>L$l>9)gQSx!3B2M|W70Ph_3Vnsx1I zQLqpJ>^Oglyz{Qg^*}?$EEU2!(a0B*-NX58eiMp`v)pA`Z=eI=zF(7(;$-i(Tr~WG zAKK*9PQE9P(QiE3g16K`8U&dN{cf2lL)KYTEw6<#`)O_~b>= z#lBoV)CgM`c}-aFPq0U)fLfA6+kS5bGI4lflxG)o$O!xy!1x^NN~y5GsNcgIU;gp^ zlc3liH0+rVvoI+`L|5!<&|3G)<;6e-ybbn7+Rf&Dzcf4<_;uM*`i{=h*SdZ%_i76V zl;dM!R%A6iUZsXLIF|iWVPS8zRV!*F=Zy#-MjBu`=0$g&*mu#QQZ6r^8TT{I`Fi4@Z+I$i%bfi zNtoltP1%<1aEl{SbjHm`6k0W1x;51Te^VQ4-u;4^4sWt?q-*E$7TTd2+WNl_0y)!N z6+y14i7PvW(OKI;4*gQ!T4!~Yapg_6gT%I)TvGGQoV&6wLCk|#z#CAYOoJo5!WICmM_s~ zRF@~}z%Lr*=F~8XA`{k+!YdD=MQj8vboHRGLga)l$T?42(s;19cUGXV&0U{p)lrT@cGA&7At*=Qx$&AW_QH8o>|ao`$2F}P=OwHPeQ?o zy^M#}`RfLoYKX9Bx?xxOGi4Rjtub!#*T&lS9_>cy(|5{NE8FdUZxf8W_z36m$^QP| z+w==RP3tf6UuKaSd0IP_<$S(HsDivNm6Z_Tni;=kMq=$inJBKj)$Dm(d#$)-&w#G3 z$J2wDI8*Lv&ec%|YKgOdP6o6q`4VRo!i;ApbRayoBXhqstD+-6vs8JlJMFBzDS-;a zNV=*aBCij*~u`_naRmU6eW$V;suU!J$7&9?JAwiw4B#6>AS zo(By>@6dj$lc;N6ck4#L-@>JDL-M2rTR0WZD*uAd(7ZJx(+4t_@3JB`s!{1O!qj6z z#?uE&TQ}oFxT_>tOcZ3@1r8kTxcF%2K5R20$Zbe)eQ!wFts)}nS%np8CD%xPl!Tpb z{3A{Ld--b!Rp(;(JwU5iUWVv72+3w<`6ixtXEtgEI}xa;DEXo)e>A z5HreC!B5k`&dwWi@yCh%Y_jS&4b|b66^?v}N59ov?vLCr=)v3v)wcn9W^$?`n5l>- zdC+La4@QY4Eyn*^pOc9EChvx`wJYr&E?SLDJ{NIov)R~w-qy#*K6#R%w$aO%rjW0u zP^st{c2~DxCu_$7O!>Df{4hUg>V;Ue2)#_iRMPi6&&6rowxaeNcejQbC*B$VEj;^vTZHqUj`;QAyF8W5KhuFn@2dU{ba`!X zK{Ym7Of^VX#@s)_y^0^Lj`!)Xt*6=;-3>(a-jPFjG}$ zk+B-Srv&oMZkjlo^-u-@&jFJUz4wQd2FyMe^Z1^bdA6;(aG$cer{8-Exzp_{^0hpv z{8`im*%+rdT9T?+SO3w{fLDC|?SC}uj_NM^BLCbcC;o;*pX^gJdW)5Cc+Vx?0|f?c zNNnp7>4hPC6pXg3d9MgAL#^;W4SMNqok>aKMV5sH*- zrCjh0?pF`)U6v&~6Z?8l_%T&O<(75^yFyUCDJ4?Bg2!~cWu$H9%7szYa&Bf8lUoB5 z`Eh~r%r?47arpQ}ZJhW#Wr{{vwqCgBGjQaE0qICu?=MKCai+X{*$q=60S-1}^V=Z{ z23EXo_FL(e!bPAFk>^=?e*KG%LW>3uei(de^gK0tDbF{YS6?1&*=*aU*%LR~`?MIO zvlSE}WlBL^lymsqN^~GoRidQheOr*@HqcX?JNfQ^7o8h?KM1lII#JY4jY?$df0F(z z?#H%ww$bOl(j6VylrB(sqA=ADa?O6sl$1qt zxP5fseP+%a;&;WD#F$VuBh-|yvI;2mJ@NUp-1zz74FuO73Uiu`A%PeTJ<3735Gb2v z+HcY{3!ngRPj2&yX&=szwm-BgDG%8ugG^?bI%zd`tUK`G>vsO6UsgT7p(}7_6+#Nl zCsuwHk%)y`x)qD^EmiOv_UYu3j7@bnDkPh2>WLcvY6}tw;%0pAp-L!6wvb#Lr3$g(waX+6R{Vx zY}Co%R!sS#MsprI6ue~>H#m`PSFWIr=I!&z(m3DtDM??&&@tEamBPVVH^Aj+F%@O# z`8QF+?h`~~Xso{bvjs7y1YSr$zX;h-X!@^e$X^Mc{t~7-spTJ_8is3q@322@_%bCf zjMofMXa;Yjov|r}@pIL}aEt-J#D|`OXVGR)F5BggTVzlOjyiJFLK^V02^+d6u$zLq z%`I0p7^CaVdM-`z({q2cs}6x`4C?_Ji5nJURw(&kmw?3;4y(lkj}a@BjCH}VkwT+P zP2JVOz+)BiqF%bWO}kriF1)-kGDqR#NzXk0v$>>JvNdWiB|UevgtRzUuaf>DUHEu|ERt#Ug(dclMiP~6tzT;=wTVmnx+5N53xlp6`g|jswhLm z$B&MVk5@;>qLh2dC3;I`#-us#%X7RyK1*JS>2E9|%5N4t_Zim@x6=1eoOUv@{Ha;i zB0AQodLb|+6Y#aui8Gr#(eb~IE_U?Bb5gkj#;Pze-z9AQ3-Kfo&se^+dXUzb=?z?Q z(I7~?;k1tG3-gokKOUVP+t@op0bz75P#eOQR%)EDQ)?&xgI_zKalHokCnlKYEriyZ zNq>T!Ys_ovgO#+rEm0D`()K$l&tGWLQY7T^uM0ex=8#!>On0^W&>!gidY%choPIg3 zsCfIdNtd3cCCX?ekdk*lFm5?%_ci=YhnMp71DaY0mP2#Yq0V4_xjazMRql_w#Gjk} z`{!S$VFgu~_NJ3bX03Pa+vG33+W%8DTof z(UCfJ7p(3@-I@0C+zL6kQ_bFVR5u^D%~j_wyLA;&LOtVZ_gJkf;05n$Lm9;rgd|Jr z#U{IV-mxW(xA~g%?J$+(n*|w-wmR94qUc9%%vnzqYCf2M^fYe`_sB%O1^gaGszvHa zMQgPkbY>=_11o%^DdxvW!N1d8wBMm_Hw>YbAfsGMW08PElhHN~-x^Mr^w*qfq(^sZ z{xe|95!P7D->x0TsH8668W5}u{nLi{>sy*Dz9jBupxm*fUu_R^lwZOAXoz-~X*#G@ zqsFo-?##n4!dNvUJz@`ls8GiBpPQY=d0UBae#T{Q7?aOprqX>I4PDBA8j z#PD6|(kQ_{=v$*u?abWfQ!FFtpkk6_W;tDhuC>=~E1!%-j_pEdaYWN|E>U_JD_5Vp zkw3T|X--5;|9jmNto$z|xMBJI*TSlXN3}{LsEG}fYsCht-9IO?UUjT1aQ9v`QuO<= z!@IC9q>#{IfxmW_e4%Ep4n{x8koYs`!WFY9bF9RVv3Lb1JJB@5KxK9XWyDq{xR}lY zYq9Qc*NrcCK>G`pJbPAYrvEqY zd#rU)6A+BojRK!h;!QM(yMJd&x?#?@h^&PJkx}D@N=GRNGqXRxZ1-gqz%>P2z#Rp{BUY4bO-JHhoXAvzLPtY6#|nG$17zi&~u`+y|N$9C6m`h7;-Xhp%y3 zZFd=e#hy31Vm*72RsmoJVAKvQjC1HI8|T_7nh#n#PX!NxT0?H{Fs#ypa{4hpiUWG5 z>;sEnw!v%5lX2H{o|C0mnBfM@YZ@Y2Z84e4ILWo+{o7IIHTmmF$R_#D@c?*?lCT~x ze41jC@UMga)a2?`FfTLCLrxXAn%+JA_X3K4}9$Un``VcoP74 ze!!}IWi!MV&a<)0vFCZ#mApJMnn187fxp?vQM&~La4KwrpZ6k#cEKt1m+-(}@K=x~ zC!#sKz$+qBz}b;-;m(f-f=dBT#cklv0OX95_?NF`4td0edkll=wl2x;*5decJ|#_5 zMo|EYDHGPR6C42S-4hBeV)5C^d@6{rS@+bPtZZW()^`@ySHB#a?*7wsngY+dSU_iY za379Dg^skI?^90r_1uzGJi2?3v~Gjibh6^Y`Ghu@a}P6b{mGu0Iz*Kv}b)PnRyfqW7}f553nXQ?7AN1_#ds z@qH4xOE$LKBhP?;Dq~!cmN2;I6A7hr2!13G3VnwLPnQY^9Si^Q7>3Bo1?&3|U8VF$ z=Gq>G2)QRB!z84@O$6)}A+*Dh9UFrD8iOIxAYxo$0i~S!hhc3{@GJb)*VQqu zc2NpW<7!j2l*n3_pJUj$tAop{BDJ%?5Z_zawgxzmnG?2;2Syg1b>hx7dk3dcyqe%K zmKDW3q5xPtF0m852r@fwJjMNTsRg}+^g_f!bHirxHhA0k4Hm#B*cz*LsE=GmrGI(N z4Awb20@vCA{Da51_`)=ksXoC&Va8YA*JERXXPVUrOtt1cms?=P#Bo-Q8{0>SU_n{2 zCNB(7^eLodTNxCl(p@dYzczslsoawg>vwzhR9q$ayRG4$cOUs?nz5`k_Qdtw$JenZ z>)5RXyETZEpvqOm`gTtq@eMX8NbtcLKz~4-+)bIS?SlCPLt~dNqmNP+KbfWk5>0&n zXA}B2fMO{e=%Wr1vtmDUq1pED3_(tDt<7{y3^bx35U@Ujmtw^9@_W2=*6!9vG)XZI zz7z1ptMWVNP>{%r_Jg_P@k}CxI)1*}0jwYML0gP~T&h9^id5&i7;n7JXGw2HWS&s928%j}O3p!t4y_ zK^Mt;KHp}JlX{BHEGuzi=yac!9zU#n?Ws-WGAK)gg@T;%?^euVyhVQK^O0D-M+zV4 z0EUaW*0u!Pg3SqgI;`VY37Qbb%J*+LkooKw2kN6B%l|#_CI#E+p4u#)y-Sl*^Mrj% zJ;+GF%p~#z7RgDcjh9FaTICHZwOuTOEnmcy=Ge-Xj&DT)`9VpJS9W5dr>^$lU4SV9 zT8tUG6#*+fN$JuS!1DU7`%sOeP(UL$-KSQg&)|zYgkj1q@J=>fpDi6Q?B4)iX5;1{f)YgUAa4dHox;!D@`ou%QJ{)0bDf?`%B>`%ZrckmEU-$HCln)(2Nto8OVL zN%*JG4^xkquqWyWO_UF3s8w~N|{lZ50cA2U`2jHq_8Ry+AQeqtK|+@ z8vH3)b`ES3f-aCS%;ehF;elIr8v^ro4N1_Hk6oM-4TE|1`xrln)r+_EZ$l3CZNgzG) zl1`+ys8)_x`Ez)=hto21RQd8 z2GndN=Hqj8TQw=Eh}I^+ro1{y@!1RoH*iF0GH!kgp9UQK0b}b`nD%ZHz3@%{D45c2 zI9jK8Y)yIlt!r_2W9Kz^`#!v|JWJUd=>#4oHMR9({!-0d=!97(=Yj@f>;_rmZ;S@WivX1)lURVS2c)yGeb7Hkq zvwqh@fLNPgfC21(BTffJ_)(T?anmblDhRDmv;%AKh4o?(K)!ptgcfo8 zXuWy^5Yh_4gUhi+tRwrae{8r!Jz@p$fng(fewk`h(iwdE?&Bel<+_ap@t-U<7*MY_ zi|*h}ZSXK2aMMScCpvh|GSdV%*6rb^R2xg+SfOo0j_M@dqtvw`3Bw+az6yN??BMxwp zFaLno=dBAfU&ZonVyP3CGKr1(Qp8%`E+Birdi-n!2i_>;ZPq}tgfyb{>Q4s54T-zgo$@D*psC~WP?yt{nYG%WVzu9d8t-9{ zxn`(}c*t@QMV5t5|&eIsS^n^idJ*%`hAdcO``kw%h{!`GApDzX= ztJ{p*>R}o`Vxu3Ywhe*mUt+NlR#j#ge8&J-Yp1BCd6F;igX%>zH~OIUxM;Fe8(g8`RYxozDvkmB*|4d7I?h}rZogKbr{52{4dd*YwF;NN{lE2t5>-OY*di~lVKdcU!IEX zSd_ow`8I9AxLIUO#s9`ee~z6%B`oBl@J zRR35?h%k9chh67A*pwmODw&>yks~e)+f~RtGT!i=2Ab!<$`^$aF0+YmzR*Uwg>r#w zVRPY%Ut#BKnhR~k>%1_Nw`Un{2yt)X=~0T-NT!P7ss3B%-M5fTk_1Mn3R5-8AI^O8 zorpXYjOE}DtPF7q5l2%EYS zPt}IUDF2<5e89OY1tY15gkoH+sjJyl3qwJObA3@2|9A`y z@rxUt1xV2%R_XtJ`MxNt%ziT)#NPL=9f-iOq4Z?vr;pECR+MgX79G{><&6)YLxP1Ms+h(AWy`_v;fR7QFt%;@m4^`p-Q<2l`@ zRB={>^g<#(a@o1117OaNGP#+afM7M33vObqIi%1Dmsor$7Al@{eym(a%MFgGKhI~M zn+C~*gZ!@V60M(wTeC_{AC+k2N!W|ZB%0Yp{UjiE@e8xJ!!3orq#q(via-TyJn_K6 zw^wUjl3u|#Y!$@ve}Js`i8<={f#z$iHz}2!qsi(zlNd1z)11#J|92n=!UM|2SAtr7pcX*Z9ykvf;4)n1qL_IlzcK z;XbH?- zH|e5!83sk$Qdyz=_tl(NYUBNEdhF1c8*sYs)S|mYF3$+pN2|UsWMg5_KPFx%%#O*; zr`U6TySYl2$78e)P%9pvy#2baiPo9nkWq+WIsxkh50zQwF|?J8O-9W!v3s zqb-D9hjKdV+7bu)aDmC-$g11H{L$ymrx@%$?LTyC&J;z*`dNy@)H=KB`Z~NAd0V{K z-a`6*zvy*#USS^oTcdt@v`wt9-n;qo^f~IJ@4G|zA~w!d0+9mYft=gobua`h4KxX| z%gP91AudIMJcVDUJ~e&<;^+^(N+!2-V=uQeu8Hzxzm*RB+ zP&^MoUVp{%8uZb2Awn=LOh!Ir?Za6?55?YQ>Ib#C))VeC`(^VKX{6Ka5$p@C9z;+| z;S3pWr8m!Wh3RkVe(w3OnwH`7`N2%iMjUWAPV7FD4WHlrlD&KHT0T2JT7&7tU}dNH zRD>Ad1MZcfQ8bt14_IcXIIGJ;rcTPfWd6J?E+F++3fNrL;(>+C$0?KOGJIZtJhvSt zZG6H}%59%Gb^f^Dsf~TT;ULj#!Mx;As1UJ8mjy4@VDb?TIl_kwf?VM z1NMHYL_Iou78Y==;`VRmuBud&4y{~X^~ee}%s(qhgZ3xi=6;JMq0n%y;S1IIej8ks zf9LG<-~O}X^SQZ*$I0o9O6&~*#0a0WC=;FcR~zM{oUch!rcYYmBou!3QSH!`b*^nm z?>J~EYsz6cJD)0Cu`!!g)JwQry>`p>3HLSC`r6O9{}>zvbJTxJauRTPx=Z)QzIW6A z`1}~;M@iR}bBi(4QRbl6q)TmJew#X7BR_5hMngF-zJ8x>c}MzxZQY-#FJ4?mwaO%5 z3qZ!-osDI^i;=4eO*;*O@Hk%?q}`!&INl5(gZxz=M?6nkx5cQ zT`{yg+k>pEg{oeOS&>A}@)!4#h;}Gx|5>IQ+-nKuF##9&ELDb+&ca% zo5k;Ec2=LZl^=h%&3(PWAdI<6yuUwgu70!{i8*61G|)m1Wgjh?luFi%$xNFD^(YCg z-RCgQcI!})6!p5vBhPG1;-F>RQd45cycEaM2v9XLQ(s`ZjvI7-g=nw*ITW9K_4av} z$?$XkH=!83MnV?vswLiAN-HIo!MXlb>1PIb*;|U;>N&8$*4NQn^%!H4!fJ2*VNvnX}hMHzVuAbPPA z_V5=Cwl5Q3CnD8TBNtd~?%lTH!?{o~PS3tq#NSVod`O=Ftv!Fb#4K@#_d&_S) z>QzfE*>*eL+H$NDpLAx+m9Dlg5}tC_<4R#MFC6>+4PI0neZQK8-N$h*h{k}-|6TY! zQY2Q~@Ac2>3*mp;WmZqVyuwp-m`3YmOftS7VCm?em5)#@xF^fCZLM`VF*c!-s?u=w zWLqs*KT@RuckQ&P!RFbB>lw4#z{L54#B2V)H^VH72qBAqy+Fk}TNdrZ`E34$c7 zPk7XaNcpLIpNRBce^isOnj7<_3{o`CYq)hr*))HzUXoCem-gJ@8)PZw4JMIDBe(QN zYswt9V$+K9u5oBr7B3TnDw$9b;pF{iHSz;)HnC1ZJNZkNvAWSq!k|0yj2CG*iq-_2 z@awjusB5E}cx`CEUYpUJ|HX>_7poM0tIvc;Az(qvg11M>JXPs}xe?tHA*+t|h5#6E-?!5HzHB2%va6PEhOs^xD!h0K#EaVw8zD(#WDAhnTDAD~ z9|}->`n|$P=@Z$Ef>-c&4r~?`a-LC&oI2sx^h(+Iz2C{ETWOu3;T=P@VW5lEO@PK* zihK7IY0+GC%8L9C1x~GRdeFHJ2J3{lOXa8t5I^yRp6<@S2szUKq@M)sg}9J0;Q8JA zyvjSS`4GC|%M0jtZR;`95P2ktU5DOH(AzcGHed|oagUc`^Jfumt=sV+aq#TQ&zU|5 z^ZD)usHS5Nt>(iDR67yhEn~(jrq`xY#{bgovP2G&oMwryKd#hgz_wzZ{J0iL=i)81 zXT&ZTy7q&OVJ*E2X}5@++~Ayxz4`+H<)Uo8LlgMnrgh4x>}AS*5^I&JD6O$#)P?M{ zQqDVm*d(30vmk!CI;|v<0zg0^_l+i_EPif<%Eg$xA0r)YbMBJi1f9*`mh9?n&yRx6SevaoT7&8tQf99M` zAKc}NeKs;hb%VLWrq}9tN}cPF0UhQjma{_RZ$1&0A1#m|;8EAgfF zWIRug0zCQ*>$h0TmphpAYq~vlI+&GlY=<18g+geq3*$*4^4`#U^zz$|4T9We9J!U+ z!DjE{8`L0_?0o*)jC}l#F)H-ZpFjP-n^vR?x!wFc430QgeeAaoZQxHY!u-Qzf+(e+ zup%0r@TN!1pe?u(j+1XbF)K?@jpI(+wSLhlR_)Dr*z|Ww=5*d z_SKV^p3r%*3+ToL-S!X6+fU|Cz4%^qD96BDrkJcwwtKxU71S&mUw-kyh0ZFK@mzh1 zt*+2mz`NopH?fZ`a1L$1sa7 z?uNAk4&q#F%p@yPGBj5uUg}lh3GLC;_;UK1`LP-e5mfPq1HG%XXf5%QnWS-=By`Os zHaObyvj6j|8qXg@eP*#pjzM)d#ley6vcwWQlcFc*0Xt#65rBQPNu2^nW~f8%J+YUF=2)i5GN z86!W1MDJE@Obdxt9}4Oj1%7_jG05==%fswseNUyG;+6R?y9@h_eFd1MKx?SF-z(`_ z8G?S551y7AP{#=m^}hMe%j^{q>9J*fZ~l5r!dC1LF@7FTU1P?jmdiqExYMlbY-b0} z*%vC6fBTp~yFlJejW;qX|CFSKG0UYHV5X1Ud~?fhn^rX1m8=?iyX5L>N_9ln_SJ@1 zPUZr9`g1Mmm#l&Uc#Hk2MFeG1;m)!Dk68GM5E7 z%?gnImQ|U7e(o2x;Fcl9UF?hqozcHLya#CWkCt^h6TB)_X;AOvA$WN&^3=(-`t6G4 zrrUJbDdS-n)H(=w?zu*izwm~Z7q_e~;9)db>IfwH;k7?r#(p{$n&Sh1lfDF0l~jH( zSn?E)G&jD0bBe(3&&I7jv6J{EOL-)hF)QJ{Dcl`cb)4MY_5x>9@wlK}7i1 zLq3LJUvK)0dKB5!GI~KS!|hH;UGk_kVydxeK3`kfgL?<_6iuIOy%;}T(ku)tmiCWt zs5PUnO{~RENUuX?;_RfoJncr>OA!T!9fa-H{4u^ohXjhSIbzPFKNqYZ5~EJH!NB@q z_rsB52|Z-X*+ZW9akw`;ae3<6kiZ!wgwOi$jGv%jNeGQZg_kxz53Q#)%Yv z6iTk|Tg3ZVQ?fJ=wy}G9R4TG%JF}LNEdWzz&l^c8_gK|mBykw)gL2jU4Sl&)K6R}t zx#Na8TlOUqhDJO_MEx_D>5OqkAN%u;`qBcoZqMNEE{%U(t?Nh@hE<1@?H;|wQD$%z z9mz6LEG8hISHRRI4@4;x_Cs@ipADyhAF*t6_ICGVjH*}{s>Q{0(Xk}s7Ty~N$@#7A ziBDb?O(H!*oUq$5dB=+n-0WUnK4ImWRLnIrKeH;U%H9`S3Wo8|q}Zhikd~{{@=Q~+ zjQUU8B45e`>{1MxurY5HD6cUx^d^d3)NfF8{h8S zQxPZ8mVUO_*}`5nb|cH|Ke8*!5V15#;8vp<}0L zud1PiIu%iUc{!(pyLnOGVe9pC)9PDx#nE}DKkHxLcYH=2%;~1SAsJineqG5E5{NJ+xE)$TqW=OMjpW)oHl^U7b@ z0s%sYP(vWe+1=SYd(Pdv`_bLHb*q~4_RZ>j^SrpBhPGbbj_z)p;u0ca zBI2Acd>vhEIG;&8;}nw?6@MoBOiWyYQ{UFh&(YeJ^X*4#XHIcW{WqLOwpN@kZ5(}W zG=Uy>Zp_@eMe6o{X8|5FB!|EB@B_j1WP%wIMUbsih8U)CuK(O3NVM8OUK%6#R# z{o?hzx2oj#@BjWp?&nQ%{)PH}8jO_mKcU~}?_B18-VXn?))4oplhcX-JKQtAs*(ua z%n3Q@3{!muRq(f~?Zj0H1pCPe8CJa)Z!ju_lyg)neQ5dR-AybOEFY417jqetDGLF{|ze_hbRDQ9TC8cIg+iHh|KbX=E4LDYBQONlOI z?hxoyqFTId&)K&93aI?~TtI4&t2$p=r|kOmseo&ZU*`R?H6PSd8Z&wm2NEXkG=-Il z8YiqYug?s`v9V03>#|y?>$gl-%l55g$9LJ*39w-?-a*em7ceR#orh30;#D3AQ>HPl zqbKNCn=vn#c*f)7kj-EF3=7FbmIXKMoJd93kROBaXf>(dQ0}fv=jz>^{GtR}+e~v3 zA~2NN9i@{9F@@3-SvUq?Wk&~>L)^*+M=Aym&NOwvVbsKU@Bb?Au6{CFDQiQMxZQ7^fVn5yqoC}UYFOi$A26s!Q=~P)={hhFK{N($N_?RHB z2S{=!h%@KGdK}bIhf6Py)I?BKgA+}Z*gV*LRI4B+DMT=a5P_=Y0jKSdh?;ZA;C}Fl z`3PcKG)Mxn%<;12JdfBoP9xqOh!H9uhc1egz}%S@9)Y6>LaY$q3$)Lc&S)_>#@s6& zq`b}xx6!Ja)(ER99YUa7^!vA!<|y`M#visXOe~n#&|o-{x^aF` zGG;8&BXH&Mmjt-n7ZTY=Or}e~w`dE_fQI6q6pcWVgp}-$j*)6lx%FDuS!<(#E#+V2)5sR+ac3&iQ#re#RX(=h>phPr&#NQsG;2y! z41cb5eg_`XKV?^Xvj_FQ-P5e(Un zo;ZjWaLU#Y@SP8i9R64B?nSR?uh~A8eeRZ$X(b%J^pZj3&k zi`=X&c>1Rw!++*ab?sx_XtWcef`U#%Ut39i)7rsIai%HipqUvr4`3gXY#V|^^_{oN zUVn`%l6`z#>t0f3j6CZ8q-+((YwK81uOdMkou0U zNr5G0lb+lU>2*s65$oRv?fWnNp`=!aBg17bLzO!2w+ENH-fMa+7nt`W71`HQOCy3` z>=+5~4MS@^D4Y{E{<9kU1H!MbFVc>$1y`jbMHu$%|YjZtraVw=Z86M!HMoB8zFBL~Hrs z1V;fL^DDC9p&zH9JNY#{wKy*3)ocy6ooG4qF6n{*SA7cR!Qb;?o&_CNo~xxB$wy^r zp^|TV5$Z#;@HgVa(C=JoWH`SSt8K|O^U$|ZCcQWj^ur8UsUZdskBTC{{OA$&KC__MbM(!Bb^`Gu>NRkl_4*;tu{S;D&}X|+JD+gRv3!7@&>AV zuiE}V1E9w}bg>MBy#=2CkwF>N1jDVVV)5#jzaF0eTv0LRyN-AVS&Yy=6zl7=X^3>vE7D+7g1!EqBjz{nYGo74Hz586iwy(SRMJ(dfO?NV zzis*yTK7cAlg%_Wi=Q0r&w5fb6JV9VlgF$_?fpceJftEI*HE0Agci9DQ3Q37Kp5s{ z;Asi4rA$J{!sQ7W`yT##AEfh0YUN#wOGz$2;@-JdS?PWyokBPD#GCY*q6e_3W?}=` zv;SZ`DqDR=Jbq%1jvy+?ePeYC$kS}T|0+ygG2c3`s14H)DXFFpvC@pzNMM_+rs(nz zJaTc5Ytz|#^|cKLT_H;Xt>ALl8_Ulwp)>?V8=hTjA+wVSpQZLF;t`f@4&zHQC7B;|lrV~4GlGK%DNZ@U$1Uer@x40V-=TRC={C17Ml$WWVEJmmk?tYTL zrJYC9D&oKn@HB8bscC=eF+jcx_3so(f*FI&bgS+F73VTS&NKPIU3+`gh-#;iGqB%7 zYNN&ry}&@QOG6Q#S8~?t1cIGPzvHQfko%!5%BoMJcaksOFMzY1K{YLfk~XZM;t8&M^vFWP9)q7REcTGe`UNm7YnrC=1( zXc-99x39ct4bVU>AF+zW0 zZo%In3$G5TiDXjsefr(h5Ydb-2h8RwrYU^5uWUD~DGD^`*9lFumOi8eJ`5cOFc&p~ zX8+bjF~`9MvTlRh$LlfwAt0*G;moXa^e$i$0;bgvN#((4FejU>jioiYy@N=EX&#HUx+XD#Qk@ufGggie@A67aJx8#xSfrIvK|ZgubWjrF$dO8pWPdKMa5)MszjH2RB;@Q?Yjy+P@uA$_#{OT zU;c*-y`IA1R_W(*y&G?4U3!Po4_#S`3WnY7Qkq3{Ybq)u2;wV<$h)veA734&ZYy z+^rP1acDUIg1oEys#V_?=iQow&SvcsF!0@p3wB<1d(IJ=ZC=Hv1+e)qAD6L%+OG$8 zavp8(3e1a}94sZi*@YrSRFcXQ)fg~+{_RE|cQ+`>yAN-$m zU6gKSB3WxE$}Ue+3NGs!jNBe&>g9r7d#|^CrnZBub2~kiKZP&uf`1m!Lk0t@h3R!|l zg?Qn-5yEFltLRfQ1Xid7p#wOgv2fqBI>iX#3PL3Pm*Qq@^aXO zccgWwU0yLF3mQ9}DTsysIN5If9>Fj%nvFc6uMyXMt+agD`U*{TfF2XU{%H(s5CTfh zpB=^@4hCk+SPFucIxZj1FhjlfDfevUq0R100d8>Bnz3w|GPe_64`=_+u6}UUlI;NX zwP46%$M%`PSa!c`@Xcix0*A6>e7{=BkpO+tY4^}H)BhIA!*>0AZx-xRWOK6U{Fu1h zaqct-D?+>WegpyXjtZVMZOk6gl9S;rYNwWbb3SZQJVZO~0Rhe$5e=bzCHnM04R*jd zbJupt@#Wj~laff<+QV#M4(o3;cQP%$Z>jjAiuM0k>*R@Y3TUZ1@F>)o49KJgEad^m z02<=FOC~1Xk>%m+m3oBp;Ip?auV1irDJ4_poU@Q#;5R6f$;7Quv_HjNuH{6znX=V- zT(1|Nw{DWGFJez9XI!suyM^vPBP=Il;ZSKpK*$^X_j9Z3*Z2Y8lOx)S(FDG3jFy|? zPwyPjq2e)sFo9rl`&xsv<#O?&miSP_^K6oz$&7y22|1g7b8eRe)-1mwA)TLKD*YGE z)Bzz4pC;Pae*tu`mWJJs7>`N1JgA2x_Eu{yN^x6(6o>;p|GccDz#;XrGrH$jfXmCR zQUORV6n65Yo!E~k%N1M1Su1M|xF} zJ~cZXFtQ{-g&W4BdZ(WR7esh`596IXzJUY@cE<`FbpmHC{;K+ZL9u!{X0QRwBS_&u zH;sLQ)#{W;f7KjfapOEZ81%mV2dru2%Am#Xw%fi}+D>EK*~gRYCXEV+QS02`VsRX( z+FY{+Eur16s3ToXv)_B>TVwvno|wD%a)K$U2>+FFR)p?fw<~Pz{L|7^)T#5XC@5p1 z8kV!VNZNYFG%)sYzZdr}iKLz<-n|eB9Iu9P&Vg44p+{Vs3HzE#i7Ssbf`GG@UtQ<( zlIEt7f|s#f1GJ@;ZkSlA6B6%PG0<|+NgnL-#MmD35OS!NcO5-nL1iqYY3`$@@=06#8bjAn z)Ypf#WpX`(R^Nl!a&3K>bq|2BoINTZe6omX@``F= zEZy1Y2V>pZ1?p~Aw9-tyvpF!`&Rc^*REoH1A?67khr<~BKI+g z61ze=MdVLp&~~>COIL;w?ZuBzlAF4D%@)y+c(UkOT#EOiOyYBZ^cMoRaaGy zz|wfC;OdbULVtAeTI(A9I2LN>JSpF&2G1k)fnx}yBHU!F#N@A=Q35dDmIo2jT7?swCe$^GuR-brk-TBDHK zje2S^?OWhq#M#-v=uY)8z07*1vY6+IbpQ5LdiBxs@rg>|Y@X*UE~{G08>?bvUvw!4 z_WEO9Muz&oCekT>?cyglS(s?nY^D}|k|(qqDqcXHn0j~iE_di}jR{g}ADe%{b&JT2 z<6gofYrw_}(v~}rwU@(%FVGwX(E}SL;^cJu>QQ0uxt_gfpC&V74t?dPcN^?Qh3qr1 zkm?N-P8T_Wn<+=r;!>48#5Rq; z_X5%-Pz+_;O{^cs&cs5ViW(OVYL#rgJ1HaypvL0!+uJuR!YAc8L0@<-*P|+!P zRxuE0pWu;qK$msW3^?eJ37jYg8l(3aa$Bm0TarBBeOKez4bvWHi6gRybbJCcvv#2YSUgpW_}N65KHuP)|3(;8orl6Ut`;~NQNnfxxSMb=i` z41IdMxhHF;q!c#yJ7 zlkZ!AZfpVN4_5_g*;W-r?pn3vN))(C0h@CC({oxh$N$J3+Q@C*%0=ROj^>)yJuLQU>NnQxA+3RbUXi$Gs_6}DEJ`ih24i&>Insr6}@OW-jx zpYjA9Kf~*O-$&aGL=}ZH%~*2!#%~tOpI6-0=^WH9E0?j7x>c@|f`J2w#-iawwvk{S z!IB+Mmz{+7dS1Sn1S_$J2>O?SvwJJo(c_cby@y|ln^#`)MbYj0ykC>*Z;54nK4rsZ z?W%TZ>ImMBsl#QgXIiUOrPMt|XR=ZzW^J=KxTd0*cD5Y)E!-_H;D%J^vy7jd2k_^a zpew9gg(O^0>B&=$roQ5erMgSocXZ=<+`c8w=MprsxEP+RFFaynW+bs1P7aU*Y{1j# z8T~-#SR+fgv@-k-=3IeZ-!<^`vdt&WWk7C(gz|RF0P7?-Tqr@MPt@q;P&m%%HMSv2 z#GOkiT#NRmhD|?WT;$>G7xqku3O9=PD6!X=Ccc%Fbt809UYLvNXe=Y;8S(wRD#S8k z;cSMSF2d8-Sw^)}EB$#~S?6!EvZVX15-q!;zHr-yP?ib?(<)FhqQ^hGlg3~5FUhx$#{5dBb}e5y zzqhX+Stq^sy57rkdpR51d}1-li+HYBhyBe;WXgU+SrR+=I`E|fcJr`WaA-DiS*4j* zQZ&&tXz&NV_*;_ojvf2K=|!w8`ZTVHxWHTr;DL{s`IJ}PgVi#dCwjjnFg#W(*SE>} z@;44x{q2)w>qt1s!#jIQyfKEha_V?(qJS&A>$ZDtO;L8(SvDbok#l+ruvv-a!!AQp7Ad2C zg@mJVouaFiuqPqA2oN8$Akutxd#vz|tYDitaPzNx>)@DlpIb?8F(&VT*# zUqt0blA6~yFOwKq4(oab+8=ThZLC5hOrLj|51d4rqb{|63w`AYQ|b06&jHLnHm&D^ z%Dg$ZBV9PnpsBAF{1XL+>DB{`zv8#u>T8AnL|y;Tt#9G~b1-i#Dtg2H(XBt^SqL>D zKPmgrg?`T-MK$hcCKxhj*Nsp2f_z_c!^X7#{Oa8UQO@UT{#GBq>A8-}tKfQCOKB=d zcRd*IHL&+W#fOTpuc~cdpE&q{VtYigAQeoyd=)3RwleJV$DBztq~wd?)VbMiB0m`T z#27dEPpq*^W&b}e6`>URpcxp~H8;EGz_-AysqhG*pekF3gBw=s0_{Ylm7t2v;0_%6 z`|po`?A_*7c`IjwyQx#2I;@T?rv{!XbPdt3kJ!o^w4aRb?8Yp7G+8kn3^j+PCw4vI z@bb3J`fAS!dMm;z{exB^`oW7_g%mei$zh=c`eGmw- z<7um|7Z7)goifRd`dg~hy5l+<#I2Th^+i_Z82m*39=!k4m%r6{1@cNCSC@Z{*qw>Y z=_-Bo>aw7xp3b{Hl+KI{Ja7p8{4C;=8#I1?{FnVdfis0ZDZ;<|Zz*iUT|&vUH+L|G zwzWfI)f#BM{ic@)OKW!}a6g(a-zyYUh`i|g-G1nAc(Sc`#?Jgk(#GpYvbIRdIbAolkNVtNNAY%|V^CS+E9hJp-c;hAgcryFjVtNW zR?jO;ST227Hd7bsWRsN@G#q(#UPv&vZ7D-=x9vO$OLlThy(`Tw-SfU5*=xOSm2N4em)@qgQEb`WSSu$71-(gkR(BNaO%fy*J)7*cF*74uRO3ypAiVZm3z&lc@2pe){DgUHFTOm7Q*?9q(3>U^YD}o!^y` zDg9wpbN0*07}v233Ypv-1TtqmP{La2^?0;@2b+R&^hbjy_lHJ7)pp`P7rr*dR_pQ; z50KgZM;2M zG$ayq#*0xFV4t^XPiK?O`&?~`+jgq+s*LP;{hhsJZjo$) z%?<@6RAwjeS5tRWoNAVwU$cZq*K#!*FpN2lMy0tYANhPiXF6K!4YV;_s1C(fR>|>O zlzyzNlBdT#jV!-+Ri{kxFY3k4GqDcFx0a$ysXom=rp0;oMS)bSny;7aON%act8^Yp zi~f;>UbME5T#3AEuA6*Xq2x2~|NOxbg(FFlr|I;_*%?|x{h{wDHP+|78tFqjen!(2 zDHF{;6K_EBx*r|>)p^jWFd{e`n4QoMmqw z@r#zx=+c>GPu%4k+UxF0;%`6rfj(PYS+xk5;z`+H?N)&AIDQCu7F0@8b=|-Q68JB@ zIyJ%Hq@@1TrzD&Gxc*JpMudP&2Ngxt-}mDNzGnucg?UT)Kj#xZQzo1NnI3XQlJV-* z&1hIftTu|6W_|wQ^_YRsL~dRg}QMc;uK~Y(0#taCi^C|8Ouk%Fx}-N$nG} z?`UTD{JBIS&G!ad%D|fC`+NCfo#vaH4=<(&B=P=HrAm_1XH~}{*}t(tu*&R@V#lj8I)m|$Wy@jF z)_`_Ba;;~DG=Dr~1U7-9+ax67KT+?+e?n85YAdr9tET#782Ga^pRd-p>%s*~AH**T z^({wc>K12`Q=)DgaqT~1VAk+PEZ_L^;{6#t-LIP2r7+mCsD-4z%@S z*do!kC!}5eHQd!ur||GPEc1_yfnyHVoo`pX=D^l$pO^Ecz4WpJY&1X@TptgW#FxguWBXN~n^YD!IbOXa*z2;)KtwV1=5j|J-9;K#DoP z7*i)A=R>PaL`itXzT||jtz3h89hkO7tu$~;9 zoqDy}fjaUCO=0^^i6-v+J(`UsM++YP^cc4kt`b#*3g;Y3ln4XHFO|eYCZt)%FSa-x z&6&oloW6U|FdbQc_O4A#g@>5QLX3p7Jwn52ykzXSPPKAoLsC%q>eMT5>ri9hNIP(? z?;;%%&o>ebf?f45)mUUUod6VGwLwpSv{aIC+6*V_K;qrlh!5=Okf&BSIHZQg3mz?Y z)(X<@B)+HkIrAd)aAT(T6`)l-5tQKMcK@mU=+!qPhFou_7Y>?UwiTN|?J;o7eKj7b z+E}N>G)X55P#0E zbfCz0Ock13^VS|2+?I99g0@>qtB$yMIScSj zhofMFsW81={we6N={i_hgIuf7EUQ_z{dh9tvbD%N&E$GoS3~L*Ph*`8ve_Fol5)@F zMdtMQ2ZwEYS&NzV^rPODb3sV4ch>zk-!1M-{byGnitM9NW9r#lT_RbqX%d(DTx17DL9HpF;4 zE?z2&U8lg`8BP|~kiF^yYKw&!-dga3v@r+GX13AiE6=S&Z3We>lbP$jqA;KEX)}4A zzuTm1)JfLmz|ry{xRWPb!qlWbYx;dAV4B`WOz>=ItGx%@rf{+#F4>t8cZ}dco!{ z`E+sPNA7Ufy7^nEuwEjYKFeIK?qZ^?nJS2oT2a)FL*p0YKKE4(*SW{s&w4I$5#{PH>)xe6&7B6WCO+r^82 zafsQ7eWXLDyk2tBbgUtxV%Eqc%ew(}{70WB@z+!I^;8{4sKHl;fUB6_e8MF(bD#dZ z104sxfKsdRNP)oLMk(#JY<@fYO5)(X-pnV;y?v``}m-sKYI_P+aD!QW- z+*xH(&bqY#i%nH&X5DgjJib`Fy+sADLnz5x`xFpvw4IDVb4ZIR8b+EoMo*yEfu`Aeg8#@TXbrzzte z=5hJO(=;5FN>f?~hBQ#clEtCpJSO1UA#H>dp|)`+Er=zQ-eO5tZ_6Vc6Wq+Is2l?} zy!;kE$5HK#$Th4DG1L}o;*YDyebP@^1h*BGd3Wi+1+jp0HVe;6PmBLlkAJHFD|fil z0I16oZ!hQ%Rhc{3X)>ZrJ`{j7Ae$0=4;CHISWqT>wYj4GNpCvcE0>>dxCEl;10y^~ zJDPtbGNWUDGF2`sZ&(P@zLV>kt9f9+H`68Pi~V|RKw7Kx9vv!IZL!o)Id?jxg-yr% zzZ?Jd-FrP@Vo2m6__Uk099%SPRQY7UqRfjgEx2O6@+&HMpS56by~%lXlS&die!yZhPhUil9{M>tgdxfeJrcqnYy*CvZKMFzH;PSEH(Ql9wwQ zti@!qaK-}Yly-U}Yhxe5UNi+LR3}vFwX-uK{`-4ob@ZSj^UYm!`)%?P;Ywal#t4kn z+r}3=W5|QGiS@f_j2UjJi)i*goGQMV26txKxY_Lg?1urA$eNHb?qQg-=Y_i(s6A1i zft&%)>|N&i90~P4&Us!rrLKQbu(|{WlEx38$w>3c8|29^r7_~PC<^4;r+1mZFfS8- z9}dW%CHQafCbDc5jQ8Y{&yjvNK4d&y68M4d-uPNio!>RlfWcSQMM(voq|P-&Fp_PF zIZS@Mr(<$=%-1ONaYA^`OID$~@k>8m@+7GDB$!&$y@>{7O(nvMz^Y6NX3(;5Iu6IF zACz0Q?UMQwzKc(a*O+ve>2TO7Y$%PcDj17s8&YJS2s1Kb@Lntcn7*M&c95 zVl2qgIHt%WNKD?&DTV)_<5n6|3;cAf{&>0Z^RCrK=zEENutwJ3*@ZB+cGs^l??$2# zi61*}K)l>A9#t8%e(b}JN2-OiE-sUAuUhR$vwnWt+>G@#yR-VK@b-U*t%%fHgYH8qS;og>FKe39wD*A_H6K`JhlPSyDd~M0IDV`^^K6)Y75CfWa7H2fp zs|~c^ZLo1Us|YVplX6HmdSWC~(UZ^8aG+q^P*fE6(^&p{S`~MTv2*L7*B5P4UdAAY z8UHb1cKii7N$KOCl-2LZO{8kb?k{E!GA~(ln9x27>Kd5~@Z5TUg_?Y7>BKJf$7NJw z*4~OfJ4ZZKwXL<+Nr-+v0~^E98oh&lG0PJnT6Bi%3iW$23SyvxO#EgayvwnjcYw9j zzuy^uKHf|)od0N>R-}n+0`|T}QXBAL&1)+$zg=&En&Px>@8K@aRQ8F@fV6v3zRZ+5rda_yQ-t4%<8Z=@*tVL&Z9~9VvxMr z^YE>#^_$Kha|T+i%6@5|LBr}Qy$pN+GBG*#YOd)L<7Z&Xw19SsU9v75bJyNvU+{?@ zurG&I#hS)7ht;#l?f{+~g)Vmu{;p0SiJiDIlfQ_IdXju~c<=B^0^QCg9JHpr1|4d- z`f<49>3e_ns6>yN?Tq$d{YVd7gRuvxzNog&sluSwuV>%#gdSX_gjC)YIem+-#pii5nXW++xPa>3uH8*H z;$>aThzgStQ zQY(LokkNgG67!rsE$Hx)BFLa4gxEo6okXexW`u-)f`k-K41d{Z z)U-V@78b(YO~Rp(u+eT~`y83OYy`P$)jW1X!`H(Gci=p3fpf=f)jiQoaSNL$=FBAG zT+D>&zpifliJ0jSwAPR}vCRxN54;ODt1vS+aMUXu7JR)xaez3*FyPSg-A(KJ8@X?Q zile_o_7j&chW!VG(r1vE)5dno3*gYuDK7Yz`RN5#sL)(H6+-x-$Y1K~QmWq}<#F=d zoCZ6XMB<01{0=~5)`A$Vi#wo(5_80ga%w|EdhqAhudx?ban&+AKg$Q~!PBBeT1T-c z#NFI0>_DA}#x-k|>H#~c=(gpQ{l?-3YG=eP2jh9BcZq+I+pfKk*HUC&)%kaMz!b?U z2b`9%NV}G(0iA%o=JY=Vf$9KdsGSQz@7=x!h`d4MrRkwe2(;r)22+0ru@ls3b}n@B z))2P!`MomfA7qhbTwX9Bn(!axa45Ke?-s2Rg*gEt6gDYPH=9 zc@&LrOLk`Y@V80?zqn^@Rv)CNO_v9N;sdaLX#2yKlwt;05$;1S0D_-=pS%T9LgiaR zyzsVD9fZ4uY?j3B$!SX_MI!g}#) z7k_lZe#MHInh*RmeC#`zTWvm&Fri2=UjZIbfA;%0;ZC^$-R*E^+GKYIf-F|(FQ{Z? zLhvh3icuc$n!5`9@d`Uem7xZQ2MVB^0|zkJDZau^fT$dLbb>q^cMr|msJ5~>G8>+m z)Sr@GW+l@;zP_yQ)!gvOJt@3HJmUtQw4x`vw8lcdg07n72y^n_TH;+&f{fe^C84~A zY#k{k`Afy!sZcqNeRW6L5tt_T|Zn^mW$GggoM? zlh6@I3q+YR5x~AXy{$s+DmH#aT)?V zZKEo0-r)P3n>jUF3Sa~mL5QBVv**L=pkkqBtHWO_-Va!5b<89_;&AURZ{>iLEp`tq zZ*_n9nH6LtySvU7N^x*`b+#m$BLdV$x;h%fF#yoZmeNW$A ztnpr8d}$?U76Z0t<6B5tc`kk`xq-nW8ZBo2y|4{h{5=RuIEiNk5;~U+jES!IFht?Y z+$8@{7sf+aD8d(a4Z?dK6n6u|tqh0OS_okNzBm5gS4fbR`*ojhmr@(DY#Im#$(YoF@kU>W zrHF|t?SyJ~P<Cp*CxHoM&x@2&!;b*;*Q~onN?h4&jx`y7hMVm^x zS>B8i0cRH5xD)&+zw-yhbs)jNXqraQ$d*WS=Nd=9K3qu)v~)F?C7zJttF`#sw}_Cn zzS=Nh1HZ;Dk)zW=Vxo3l(hb@WnMaHBnM7>wRSLZ%E_9`6tQEl#HNo#`!5)8GVxig0qG2*q@;#k|C56CHr62a3m8GxvPPYpng*_j5 zChdbxb>>J$ueO7bceZKv=B0MSdLEW1ee-jCJKH^nEv2|?Fx%hvJ>Vp8l5y~0cj`EhosK8I47pRe z7Y~hT6`jX|x|dNS!+=&COTd1-ROowD^dgD#b=?#mIY+XLkO~V02IUN#kTh{@;grNt z$*D6)PYLTMM|Ue3mUoHU;RI@RgSINNP{uiu!67&G-Q7DnFhaI`Ae^W`F|H7~HT@D& z+E82b0FS@0=%{0MV;}L~zwxWT8`l2vNua1=lCvZnKDe_y`K1N$XVYNJ0^knKED1m< z`OiX4`LjXqaBVcDAh@*Ovku}VBg(lzyYf4+y`L2k%mq)>UfbVubP|QjfL>rO#n7;{ z8H-S&*0b;bR3m(lmwtOnf{)g2 zT%GOb+-~3TdthLoKT9-fIzPrie5B?OprnI2bY&b-<1o8Q1h?cb_}Aa}6cn-q?o@-E zsOn31m2+KUs(bvpe8ei!K@GJ1O%QCd^^Ld$fHBbG`<2 z*hj_e2m9+lm1DL36gEDq$3^v22@^_)n0y4}`x zqxWMbzJnl_r;j|a?reBptG@<@f<5S<)d@%JT+J;@f%*PlPf>@Q3=@9PgTZk-WT~2E z_gq&C6`%`BO9cx8($08#iB^&U22NaALw@X$wto5B<$dYEgW(K%ob@N zg>jKUJ|Q8mk$M92r+xn=>P%uvDVC2Q+1<&M1So0C#bn(@=2rB=&wltnTGN5UyB`jV zlPA>QZ4P)NrSnWdFKZw)lLI_fDc*nL1>%{Z3D2m?p2ef(WIeNcYw46uq9HA_*oY*v z#A;AIS)c#j%_i%CMJq!|hS z@qI?=L}UXSjIKy?XwyLGz| zzv*PZ(JoYaolI$dPrar`OT;^4s40-DeLY%D#3Ln+=Fb`MCXc$kJl1cN>(eEF&AN$A zwu%2Krb!s$8K<2U_gKWI$Py7L7 zV_DXCxB2e=DmD{mL+Yvej~?9{Bee|KsVvo@KmC8u5(mupt8d#H)tRvFU;>!q4of+k zPqK06RRs;c;1}7xN1YGP&G_sTz@#*Cnwp1t1?!xgVETLVw(Ojo`dDC%A@D!e(}gc} zHhQlv&P|_?HquldHr?Qvw)2M7ZiWv$n$?hkBl$ZH!uwB`{d)>f>1SMb&<7Tt!4eP>_-sfd^2K5~Y~|L68uXMoPLFItNC&Te_q> z1?esUC8Q(=7;tE2$blK=ysY)E|Chb)^XZ%~d!4=aeOZIvuo;o$`Uc7`YV_E8}*d%788|=ArvSx3&j5PRrP#wh`FJ^vq z6@`&txg6)`c*IkmT!AwK4n%*v|D5TV6*25mb<;oPV;b!<4&LszZ_|7TpC4Ef(O0{N zf`%&wL0T?)#$&HMO!7X`Pp|FGTz8bWOQG2kf|?StbT!XTA4N@)d7Q*fs++J^tn8d3 z=Mz33GHP}k3l;>Vam0xQ9KAhhEYrG5e@R(y;lHaY)GGeuk|-s+RQ-U5Yk9*&%&R7I zGe=@0hOW7oQQ_Up?Y6S@2N=JCiA;hGVppv!&--VAgzbqMe7%K6B6E-!T1~| zYZXZ|V#SDT)&=gG2Yt6*g81Z#7>xsiAEiB)d?08R_(2om>w0p#s*7)VCO+?x)LEf( zFfk&MN6?OxudGg9Dx0|Oj8rF&T7m~Aom6Sd>sEuU43Hg<{H{r=M(O_>+2XkW9wt|PK$vvhCo){!?+D9R^`s^uH|~_5qt}u z|M+2*($E*g<(Hq$ow=cEiq9wgpD0k~GHtFA9Jd~dyHFIwp%TV-DYK6hX>6{DJZc~z z+n;5;)H_{@Qs1Q9&+6Gx_&pA4;8v`5F(aGlUmP7_THGHAtwpr)3$xJX7r?1@pJ^w7Rf|a_B zDHZY&ZAIFeNa-vG6$h?V-rYC-Fe)g`!H=A}s2sh}F>X=Odt=8lUc=UuOZp;|k-C3K zoSM`5=e*Sb`>52XS5U67{V+(ka+BHUXevmw_v9JNrrG>`$6I~1C|+*!I)2_=t|(UXca4&? zUC+zx=>=YRZGR_!am=Py^=on}t5*V>uW|OxchqvRdc6AmeGgMzgCRG<8-k!%50CSj zNbqUqc2X=_Z!?_#eh87s5lIs=>gZMJgGJKEcQ0Pw+8dB3>bFw~R5TbhD10meUikX* zO7?mCZcOu3-J-^S`5KRXKe1CCA(f7wnKi`?E3X@tI7|-jINuj51 z#^SSp1nC@2)rQTa+PzW~*OQLor+b1NdAC!b-G(a(SwCeXod^Hh4V+UiXUwI9oDa|x z%q7f@9Dasrk}XXRWc0KulcZZkL6Bw}f6*NX=`dM@{bn@*os-AUTN z4>8y7u|!s2aV3~GkJgJ}q(ZkU`G;B3z^XvE=L2-V%;NWeo&|(RdK{njZtH{PLx*6f zSC5S0Sa+c5SDx#vVUROyH^t1cRu0sIP<@FP-~HJnDaf3a_9busrg!%8a_uC*y|A9# zATS}4-Xh^ka(^IBRJ&y!wM9$_U>2VG*~wqCg{#-tYyEL}$dr3yclCVIk`t~T35&?P&X;=&>|>t#U#t^v40gK&~ISnOk_g5F=m)YI1w_Jf|-2u705C@rPSy zzj_fXo?6jQ3Uk6?!@@&Ml@lNCIIMc6PxjON_?H28CK@?*@4l=?pNc zme8VNXZ|2Jtfx2;p~WR&PoXInyAVI|F>MX7HwSzRmHpm?aJ#6*Iv++Fb{04(uK5;l zI4It}u~E_E6*JSCj;I~bFxGM(#+BC3KQ4)3`@7BXYHsI$^ry&d6vt5DgyrBOxpx>?;FW)d3co-aD*@*$fypgJiJ>_y`2NPDyiB=k5ae@O z{G;)@bBv44$zv?t*iXT0;@mpy{Iqk@)LeJiylaN}R33)~4yy>C9>xznQO8a9mcYvb zeD=Hd#c8O9tjD}pT&Q|yYUP;B$Wcn$barm6YFyrd3Gz%aiZU zLF(Ee(t4hdOliH9`#~8ZO?`kB5&atm8GM(`U8WY)GdC*J-md6>_Q@6tRjc+PDXUHA zGa>`MO20D?H@cL*eY-yX^k}z4`eK|7m!r8R6KQT;9N=tGf72QyByF&NVA}HI;56(i zi`k8i_gUSbm$H^DSG$T73sL@>=ZfA%JT?3gNk8rXJ+un+D_5Q^{GzI-AK`YPh?VCZsG%kl4*XWp~0E*u`;fn=!NrCa*K`u>6~;R$b7& zy7LEEVwRH`qUPJ@b^n;=;CgQT@@=YR_jgay6{g{oca=Y}5=cY~uxIR8&Hn;4JH&=O z?~@|lwjUPUf`thZtt6~LUK+oVt2VvK4>gI=6^KxA=N2kT!qy`@F^sQ5j^8w9EcWCf z`0t6>9!++PMBFaX%-T8bKyVjlY2j7+PpDq&MAk0$ddjisr26~j^0`u?oz&4moA-_| zpRv=V%Q#dIy0Y?*2`{3K<}!9CDOVfY>s$6r$sXSf+BMN0(T`vhVl$R+DWjt6-)c|% zyQ&$ix6N-DNB3XRmWOFUeU>=+l&;tmvuJ@hdW5EuHG;ExifK7aZ(8;v^h82*{OKF$ z?-u`f|EUP^N{b}Ezmvb(vrevMkj8Kc37HWJ;;jU5pRGi8REeKK6<$z|GIP%?VTn5J zBieLI@>|LevjV}K+ML_`wkIt`ADjNfuyjx?r}D35aq6KUl-ADZsplnVi)NO;e;$Dy zh zaoE*~f@UqDrNuxfeJpWGZ?*hkF(tzHp2}X1$6@9_Lq7VJ)XYFgVGibYjl{5N{pMwd zG4_+8Le3SeW$zk&ult_`Y=Hl?^T{Io`2#UQBZUY54f>_KM=HTwT&q>4Csv&AuAE#d zD$lhk5F(%5)7kgD&>fTH&niY5tAAH@R1({*ZH^_HFmWcMgws0Z^;0JHXNezBb4@Z+ zh<-5Ia{sG3{HqJc_sP9)1F8O@Iv|N(YomLHINtqqUGOpUL)aT-J|@7K*s{?(bhBp` zb7qd?4~fF=0?GD9Jhv93Z#NI-x&4Q(*VjJ&+1P+a819XH3fM@FnlM1JhuzjGw-n%@ z;$G#!tE*g0vv($cVKE!o0sUvAT<%SA! znp6e|hg`@ms6g&zaQgGxp0WNJ4k?w6+BHgqqzSY(!tWaMcQ7`rhhx~&Qs9(8$bE_Yz!YOtVHans~D{$Er=z*DIWYvlGs>c%5=zb#qCQ+Tk= zc%oW1Pi&q;gL44tC%nH5LjS3?NSwRqta$9@R8=*_w<0)gou4$F@ixRhi+l& z#E{=T)c6ffds!>`2EGz~U+Lh3ZT%|@X!Mry)zPQIi-58a8~DM~(2yGr zL#+Qo{^PuMMc8y+t+M=wACqyeNI+9^yEet8$Asam8@PXsl=|tUa7H#I^?~uNG6BJ1 zXM0sE*$0S9T&o{_1L)b|bI?-(mZa$GYvV*fco66KXN&D4@CVz?{p)L^@%?LEsHiS; z+7M_3Vvnz_ci&k1+1Un z1mL7zN0fLI!|z8%0t67-1*|52SGt?ggyJiT`phLTZk=rh+E6s+JI>4 zbN{TGC(8Eacj?^0xQVfrxbQX`A3q~H!`|}deClJN0gD^V^PhJ)MJ+;^Vbuad8?{c; zk=X{J>1RA}M-g&kefM|61y&uzgf2xNVCXb_))g_?VYDdL0i_K3F;~ zt)XWk93O<}X~!AWM}Y;%Oi1_QfTSy43%Sdqhti+V6|$Bd(7wjCO~do9c%1{`F?sn{ z&?#Av`4_N#`}OVMG-WijV%|)fbhy74{wp015#<63hd1G)>3DTeGO!_ZX(GV|I1X*X z$I|h&wews#OS+;I!@DMnh|r4pYz^08(C{U zojQ|$x9wJS+>T6R=4iR$Q4sY4WqaMl1ScHB8lYt&x}`65SAo#vd;z==&4u+fK_h{H z#7FoGoA^9FA&5IcYSXDx8TX!cgTriWwXy D2b@58?DbkBsT*-cHr&XgY1}S@3H* zP2{mq;q%`Q8MX7j)Wqq~*8L&SqRc9)-src1UByx=y~=Cmuy*N!-Agd~+WAkOIKr9e z%F)9&b&`i!O^fpkzaF#Be-4A98)N4EO|Q|G^uY66785$&ZNRl_p2lAgp5EgFO-raarcxI2Dx1FF*H0VZO*N^!Qopk@` zzlkQ3rk$IYa8p%+V%!L@EOaTrlngLQqCpJs!^J1+I;RE|HJ9EkZT|kRUa{sPWI+gH zdXKt`m5;I;j#iRjgDVg-#+nQuvt&u)YdVFeF-^1rEdc}Z5pN0GCo=Rv@mWmQAhq`w zz-jAj7tF|-`ns6R@(g?lv_wF0{}CJZ%sTe@$0}1=0A*Uz>R74>yvmg%*DUHW)Eyw$se`8)(v3nRrsu4` zh?1Pk^W$6L*4HZYNkypyb&vC|iE0SaU$34~_2Y!E{~lgEVit1cR8=r>AraHOB<_EH z)PvYNnWwy=`fR%;Hq3C)C!>H>iMa~)1~qR&#@+>8IjUQo#9s>Dv=YLg!&gGNb_tlP z5=6bwrKs+=egW>8GupKPRC3@usylM2)kB5DAQ@?rJsnmWXJug;(({kxvw=V$Gg zHv(p$ECgO7Sn7~LayaZ??&+XCMA1^cMMvei;VUG}VEW3G% z<)%uFN8FneBs2D-=tlSh%F-;jFBf+sId<34^BKF9l&Evkz zZ6|d8X@aKh>07*GZdLh%uCrUWS7YSOg&$q1kOWH(0s`zDuoIv$z;Ea?92zM;HrSuf za)r}R>{rD=p@I!e*V1q-Y+8b9i9gd5%etWHgISB~wmL<8K zh)!w+ORHVwiI;Wn%zh>Kgf%Orc@z+?;(SGHCq({+0P1Pr?y6yTW2DmpSuha?ef3dA z=K$O*<;!NR!_^lgz=J`x|MxWY-1-;rsZ^J#0x*7r`TmP|hCEeP{WNlZj<&@`O4Req zo0qq4E}$gyQY$41as{#jC$Yy{qJf-+BKi z3Z5$NH^@~9v|PRLys~k~p1iWUlgJ5QH1OU&5o@Zwgj9BXLGBi&2?ZNrtu3*L4H`mM zr}EX_Wo<%4Ge6ezdR%Xn7;*Jhmr##5Yukt)0~WX8i@H>dH00U`fp}o}tss_a+85V% z_2gy8L~dc-IWYoP`U;UjcpeZZTE{JUEe%i;TJXBBVbS3=1pV1lli5@K1p>^ULVjhK z+&=mH9$1GNDjJFHfV(8r7-68M-QKRerJj6CPMo1%r;0i7HZNXj2-NrwX^{;wxMCne z&(GqqxCn%xD$hhDzMErpZO@%pxdUpye=GDfOs@}g4UNMi{m)au!;%Chk2Sb*A5feK z*D4wW7UCd#w-a^i9x^Pa5}=z!NjvaA_X%wvCW`2^&(0^DJpZu|r@X7sf&}C0lS*E;n@RyY1|dRfNA{fL zwT8jR-Y1_;91Wj#-_UjGfjyRkgb2W&c8oqI0_Ze@vA8_HJ}!~bMl6hK3Q5l6byNIn7nZoidP})*tNSx>=T>#eV|$FE)z3HKdA%| zLk9n%?e{uEqP`bjg9>L_r~_Ub4X5;mrL@s8k^_rzSUQMZbH$jqy#zJRuy8kB>g7mI z&gnd^icJV^d1~8~b|5fij7Z~Ow66O6^txdO<ZGlaS_0Ku4R|VW6Br_a{S@#w1d3#ySAijk-N07btvjw z)OT3vD_=E{ULWbT|D2HjneRh~35MbXayqLJb0YN^&HnLRV zhNk#G4V7RjdUmC~xZP+L{K@oR6Agbm{w=`hOo(!=yE+&gR@)F1rqQbMgegy4DW;PD zSMPLZQ9Zi-RFS(~6JVi73^ix`K@1yg(i9d?%yy9k9YJpXNwj&|`|)eF^FvS!@cD6Z zJ@-DlKcdJ_S=|SKxm%fi0-n z?G)nrZx3CxWA7#0^o7?n0jyu$lhK3UHBzKruIK&2P8WPXYyGYGBOn@`O8ArFAa!6Sso3e?ElH2h4)78R>JQS@+8oJ z#ecWqC!f+PCW=|87QOC+t^5aHr#MS@{oSO&BQFG`1IRBqAHBKBdi-m`J^as>*{-Vy zmoGwK{NJ6zemefD_p<2rs%FRTuNYgaYL!V6W96Y5@E^FJ2?cCU?@l6Lle>h(ZX|dh z`{BsoX_@yu*hq!@J10)ut$H)7w=jO^TO?wRW=nVFcJ^j;XR#*{h86AT7M(H+YsS< zSyH@#6U;Qm8=66BSQI{CD0nAhXle8d>a<&W^B>;XIuVx^yPNtS0hATluhIRPcBDou+yFd_W z@WCK9V*`g_gfT&A0UBLWxMv>-Zbq+f{`Q2)sQW{XHB0?(oWNB{AG~dteyIyWa9c%Y z5uSI=Bao%|_dpgW`L(z@SPeLx_nUOR)+~raJiDm8Sm|e-UX@5y_icCV%=yunqVw#i z>}@i|-nDOm@qgtX?+8(V*<=MHvliB^Wmb8_RR0Nl65)NP(W#W1t_>NWd(_5GRZb%` zrX7*OTQE14kS< z;D*~QIDVS^kU6hcp?UDZUpr%D<8`CUqSK<7qoiZ@j|>qt19hfSaUZpb*x%`Ub#xf_ zVu5GVMs&YS8lnG9>Eg}%4Z_dZEL=#A&2bMPlC@zEqu4C$dUhNvb62)dTMhL#^z7n( za}_2p$cP?7lES2M2SPW7dew`1`v~@+!QL= zPBR5%YuO*5E3u3AhZay_&Rxe+`OcNEWzBiiXOx-+t1ikjl4s?4JvL?O&0U2$yZ#2| zBHu-;Evgm#g)J2f15%x!z*tb}-i!OKHuN2T${)VUoYS>YJ>vW1+IL8TO_$~=9Hc4= z{B5xND3J8czh_x=b=l7_S9G*~r98`+%NaMl3$iG_7 zj>{SMyY<6xM()rzNBW{#n9XNLgp@r8HT+l7Si);HdEaaG>ED+~NbblC_?^vQYz|<| zPu>XI;ae8#QidfzC5PApC#1I9!*=gN%4$cSN9w&0){5!z* z>lfg{t(b5%qVx$qWjLtrxh*Yn#r|y%l=@Ib)ar#PeAUdB3Zw!_&Mo^qQd#J0iIxkY zpMCzzA(vc>Q>}-iw9i43R4tUYC!W3XWA)%UWU9@b&;?%9ds=FKZ_283_KIA?SU`1k zRPP4F5vme*%ulFN3r^I0V3VOxKew`M-YZcB^W7H~hAG_mNR zp*tiKD|%pgo0jQJs&iLc<`3jt-MsK|s^y0(t&O`!)hLR=W&Y{J#eHa5b-D za4s`5oC(72W#&y1g45u!G_+gdA-x&WGhuwD+=61MVJf|k>}qVt>@N45Qlh4*HXt+7 zN2l^?@5GX(#z(vHH!JtnhFRpx-^LwJkP>toDlZ2L_GtEqh}TC4NVZF<*&7$0Q}Y=; z=6Kw^0yDs|YoN(SuE@M?sB1-_Zz#311fM-pF*Z5a8+<-6<=S(GB@rromYJco@gVGO z{|y7X$su*r7%FF3x8FQ3n6P3h=*TZf*^<@UF_p!ZY%hLP|Mh;D@8jpHPp>={f|r>E zH9KQVei)NhT*e5T`W+2iC)P{31JWLkRR(O5%a`~-s>N`b8gOl+4Z{c}$3lSzsZ1Usj zWUpQ9Ze?oQQ#7XwKIs+zR2AL$~p`ta-) z;r>{wZ z_0&rUk&Ipf5U&-*%6(XKgCh}5n*@a0YL5xxm7uWo|vYtmgM`7HZ-rF#YrLiF}o zl`N4S2S?!%rA8Tvt4T2qRjlKWq-t(8stHV#h<}p!T=YPAQAFg4{r%Z;R@c`-T7R5B ze+W4=8a;f&eQtR>B{dufG+b=r(sWje%a)T48?7F);xCPxweZii{j6%;#N`Y(WxdZ9 zH$u}bDM#@n*j#T{=(}Ru2X8am*(3@LIfpq0B6WP%#{q@L|8!d9YrcBC`|)*D&^x{6 z)W)9kR%?0-HGAa88@CP!tmV<;tL8E#N7^-~mQrC6XY>(-1=c3qv4rf}=@RB(&|V58 zZ>}|+EfBGV5w0<(fIJ+6-yxzxNoAAMQRB~cI!LuEz4yr~JT@dkpI~*#5eqaz_sIFx zC=g3bXN>Z5k967p!=6NC-f*=Gsj)`JsP#-D<27i7lrnrh^e#C5F;l?ZX0mu7M+nn8 zC`AeH92KGi*S?D1=ob4F()pRx!q)rqNFM0v9f6*pBO zO!^OE8xnO3c3DTwDxMaEP_On8L7nL}y|cfraYtFrd{)!Oe}9}M@b`O$Bz^kKyOec} zxoV@6_^Z%qBdodR4WpAEn<iQzW2TovhoV@P>YAB6x5{hlYR-?yOoePaA3ns_?9*ZO&;m!8^dbOkLw1 zO?MZghou6FI!3;*^p@;O^pXspJ*n|f_E7AZdXfV)eyoQ0y+C4P&#ziTMJZ!Pix zY~wu+zTm0qZ-GC0CMNQEB3Mf)5Bj;Q=hI%Z;YnSIX7;gOC}Ccyh=}ybe*WVCG*Kh- znCSY#UE0?&Io0QeUuFb3&Vzb`(@SaY@M!f%bXtI|=ntvUAu`_-=x;|Ce7r3`Evezv z(X8?9&>LDTvw~Sw4s1hqrrc?2Z|Wm!!?t#VdQ)>$-R7lF=8285DV5D8t!vKkMBg=TU|*Y?E-_IqPfnHQ;(Gr!Wu_ zjIEXLRG*QOx9lO->`|L}Cwqc^tn&5M^IfIoEsqAtIQdokxHjZFt#5~7)U;(c&$*cl zv0yKb#A<1$xd@K^$Pyv5x7Eszxx#UIOMd-qbEXRqs^5RNcMct} z^5o~pyo*stcnq3K0Q_a*=Pr+UY(pBT52vl>aRv`JUCjK9aOpdF(Uf*Zzc_|W+pUe@#?IK?jO;VuVkM5E z)wUJhs#D4(R9FkPgy@~|up=B!5ZDhf|LU+cx|=kMs(TS2)kMExMLug%{mWeR{{?|R zj&>ZcC0ug8rOE5)-ILJt5u#21wN??yEfDe;oUSsWR7d$;xo6`l@q6k)Q9x>~vG48s zs@hsJN)j0>rc>p%!6dQ=@Y$#LU&~dPWzgw+cg9uLwzMx#C3?LPXyCOW9XWoz-uSSb zH$azQlGM6?g*|)uD3snQ+A+rAz$(;Q!Uw|7-%a4Gi~RWdzn?LNXJk(|*B*H%w4bHv z6F(`Jr_4=^*Yl1`I@HN63)T9b+@@~zCH&(N<$QWccQdFE%m?UgX5YnLfjCXgqOiF+2#@1e*L?v`sp>VlQ^12u~;>mN~xHF^eQY%bQP zWNT7YibQ+&MDiWJ^Eb{wz7j||ym#{Q@oySf$HMqWyUgmfNHL(WT_w z7`C1ry<-vhxGFO&prPb&^zHaVin{LQr>*A7fU;3&c~LC%!yJ#dS^j5`hHYo`F-?$1 zBve}e$>LgYL9^JffR{yfzUXyC*Wq>MT=z369g7}#k*{wq(qq;M%|@67Nv2KOc?imO z2pg~JZWY_9<2$;?*9ETD+qDi$jtM;0+xR>!*WqK>NT-tXqLE*Eil=OUI80PyBr5@% zDFS}$Bi9>HqKcTjQF2Hw)qYe6Z{A7&F?UPYCHJMvTZF$+6-~3Pg2`tn9V@c*EY#2^ z$(aGUT6`?TGiBs2dHTTYELMWhq3n*ys5E&_x0zk#Mp8i;pmwir`YZi2C{IVUc1@uJ zo*~$qky?@uBJWY%Tk$n-L``J>oWD`-Q|udm z>?t9_@(f_bC=)_1w)!NM7^QoK{ilyHkGMnKV0FjCMNnTSqNxt!OViPt`4DE}uQG+c z)&2lUa}f%=A6(D8N!1CVMN3h1 z{PL34TH?OxxlH_fD~{qOX;|IVOGolw4x(b52T{Ob^_2+Eh1Ml+N=)-lo|SpajCN=?_c4h9+r;+BIa>-8MhS>R)+uLo`G3Y zcaA1LsF{z))z2SSYAdS0^exV~q+?P+^#U-dc(uj{SI~kh51vlGnOYi{V#+T8D%~8h z{)xZCV&TS~uZ!2cNZ5nKb79?*sj7>anhgj)J^_ zjM>yCJ94YDZ~q{iVWgDjBPP5yOe%j0TYpZr(2bYJ`f>B}=K7sn`1DO3%c}l?JxwX= z4fZ_@GGcD}>y`8%_n)Q(=w=0w?9-s3N0hB0OyW|X*EN;A)^;5`i*YZ~A)Hs2m=KbnhO-ul8HopOY_icAgX`9Egj{^#YFuxF6$C@@hyaR7E4dqD*@+&J@oh&U&;?}4S zvU=5bhLp*x<$K59DCOVg+jneyl-U0?C@2;Z8k(XV<5}R?a}-r+i3W}nff3!yW#iD(SYoKd$v`3$9f+wri<3~cWQ7}R`yLuiV|vz>J3zitWzu@y4qNMlfdyUzjbWh`J zXY<*VX>k3G*t5ADC;b{+}xRzd>KV&{xS z1f0uGjlciaSpELUZk0$VJ>`EtT>0lj+DcNnu+*g7%M+Eh0fCKB=Pu4YJMQ+ zUkYz5mz>c4(`c{hF>+^m;hFvq4qgOl(YbTcH4tA&j|i+jc)Zv945!#lX7nrYZvHE? zKOUGm?T@)l9X-8zK(r2L-u_)_Q7E6 zV+>}@V*bAW-@VUs&wcLm-1j~2bKd8i*Xum@%|=BywW@hHE6oo&}KOS~m9&ZvJBSpcWn6~>jZwuv2M_Wx21-rm7gYL&&(n;DH z8==8JgN`!$9^C~P1m9kx^~|7-=Uln!)FkkiO7TKv;36(OJZx^BY3s(a*(2Ldok`w! zey>IM?K76p1J;$t(nIHq45P;fg3+6ldavsvYUl5AhU~rhqT?&!<54x3z$qc=#&tvM zn5k#t>zRyv-6vm*j#7OFg*R(R%+s(#*4aJm$Iair#+_y#Bdf@QW_>R0+AoRfo zN1!R+tVyp~;3I`!CJW9gLCz{e8rRdxn21k$Us&4o8e#D&seXuV?}-Ln*iT%UJb(By zvsJ@d)BBeyLtZa`cMJeV=N~W7kEp3GdJc~x=9Nv!@N$o`g*To0G9_tNt3Md6jxDrR zW%uYxQmmYw$+&$8y>8`QBJLq!D2P1D^lPz6y9%!9H{K#T$ej&^;1zCOJhh93vu;5| z3_ce2f{~tIr_)^4J4~73EES=*kuz<%*&p8yPs{Bke(~ap8Mc}cNNdp=soHy+I+|Yp zsKmXC$ERY!>3UR_7yl@8QEa*COcF{jFd;+4#Z+<0T$yxTyjsdsF&@Jk=kn~Xxnic` zzuLxBUbC6xMldg=Vd(pN8BKQM()IrSG#3^50J93DG@A_)%vK9YN zvzPMr1|~qJ_Y)08+Ik_MyhC-6#^o*MWjuC?n4bJ+eYemYYpM^TUYhpCV$_sJN$CQE zru?mN0Y6R0e1FwvJW|$TpZZeh%0F#QCHvG3?WLoVefH;6N^TQM_GI88W!WXm37ai4 zef6KMN*Ak;;}iG${&;(@f9Tf--e)nxw0oqYmIRc`r9Q>ORp@@6%=}{ajGEXLzHN!N zH9v^#5|?H@-*~PvLpU-o`LH69WFIkWvbp_z>pm&(b&3*rTo&M5q^GC}~5?6kl-!EJiot}2lqYwX`lUWPQbh_pb z45e~)I+M~nl|uWyK-G^_%Mk@2m?fYn`x%@feuWq@PnO=fSF zSgho7jPjsDRf7AU#)q$mzdVfr z19vY1OXz@5b!xb;Vp_kRQu9WC^XR*K-y_3XJw@WIT-E^>)gNxTykg-N$^7-=;mhDk z^%P29#D34fR+ABK_JLsxv)-M?f0LEY>%}XsnuaQV1qP88?0f>V-_=dv|U&Jq;#vZrt7m~oCkdN$xo#aT86xu^~F+a75hpZ*YDo+zcEj<9 z9#}2?f|pD>YA%wz3431H+%cEs`l?PDpSpmEo4+-Z?iZp{)J#Q{UuYMZC=%37kyYk+ zt>@!|E3VHz*uFFzvZEWKa+t%oadsuTOK<+Zz7{S9KroBnofczX_}-G;^TWbgq}kua zmbcVIL7ag|+n*Kva)kGqhLRdDWc?ZyLp|RGE%0x14SxVPJ>P}xpj#lwKf7(Jk+-XE z%XadG-UUh_zvRcS$W=8?Q|x1VL(m#i$3Tq2ylC_+r$jhV!0N7RWOaT|sr*FzUyD&a z^|&T8gyrU zpaCh2TKn;1$`i%%AXF#7^72VzVbtvhn-7-Q-wWuZ_arT)B+v0=C~~5!1xY^}SU-JI z9!`jCVICj^wbk@jik4uCy8K z_1~=ZLV}`a#`7+7WIguK1rJH*jj~=;W#;~$Cc)OM4@izLn6*t7q8S5nY~2adG|Xw8 z4b^HkYH>|Qm8`Jvp_t?5LQ%xnt*ip~sY-@dwFYb(nF>QKc5dKC-m?EZA#xi7^eQK- zpL(;>zI(pwbjfNkt9`;^9 zX)5kk^n2-zwDLb5V!h8?=O$5j!`8;ZREeP}cl8|$^6}c{g8hyCpjwHN6h5PcHLKgN ze$=MBU40juW<`jsNmGhC=Jk3lmT>#U_C-d`wTaqP*Sv14% z6M?V3_wU!S0E7Rg3q3x57JZOK6nQkl8#QoavHWkzYMZ65%zIib7bEzJ^j9IBs-0-O zx?x;VuimubC$)!`BD<_<-nV|P)4V4>6xHC>^bB}^yIGt@C{}*@mcz#%|6CtY^~>rF z!Dk3BYAv}nH|b{@FzOFxQ6uI2M^79!J+~PAdhIF){x#QbkqwcwC;f{VYL6?wA1a$o zPo~Dutvj9eGGiV@bgDe|B*zu2{CHR80>97I`>n`7{v)CC;kj5s5vp{$-1eb;Zg>B6TfCMHDr4h3#1!Z_M)5`(o55cdU6y?VuI=B37V#YWi0=qFh`pBj{y>YMHwvZ5wN zRZA((Ra7?pWj?XfR0h$4iXMWBdK{@exzi?D>HLQyiI7Xx-QAA#z7R5CI#4= zOsKHs8C$L5+3q-xoUK2bnq#sPEJbqiW3_r#2uZ&;{13MFO)R8CVao=zI_qGHQCEMg>)XvTlPw16v@h1=Fu!XO@N$@ z@b3CYTHhJr4b%PkUt`K!3yUUSssEzrdLA{^t~Dk-z@5JWY#efC&?82>!|Is(EG#VU zqxKS;;{B*6K?xJEa+)712XNNrYi+Uz1al*=bih&?&atI z<(0NkzFsNA5tXRM>H)&X9S&K8+Niwx@&nH{BL(MZ`@;##KC>(?FT=Q%7tuUeub8jp*loP@(uH!)SC*kC?=8O93v}n9lOgFQl4GJk!MDs+6 zPYbM)sA}}(%#T>79yMvC^W?r?LX8WWe5S4T>d}v8ul~9Hk2b2KmKaM1YOaiPy-~g9 z6fR~T4J~@+qJ5PloOl5CFJH@#+_)-)~xC*%fy+>50K=rQYZtWtXO`n5W&MYHR&b3vwDBz28rj*mja%>M!RR zs{4xv+YZ)fdLg zs?VF}`>n#*np$N>sM*p|GxKhJMFz9%q~^`&7aD81CJH!4^g>l*1aaS7^P3IPTl9GMiQlCKp>U@K@ws+`Aw*qR|!E!=cV>7dOi-<<3WH zoc_YE*oPeMsFh9Y8Q70ivIMr@0F}oKl9JQc!N!so)g})~%k_BeVu=gYg7v*H(V0>x z@^_sCYGo}U1YkL%7Ro6GCp1aCZ=q?+SIYRx7XmzR;i^}WxW2e3`)}x1@Hyle`p9}V zb0wno{MvS(``4dYbz*np8>y-zBd0zfkL2VI3k?pU1u;hci zwj-6Fx_NnPosUuLMzTV%ebFf1rB-(0lRkW=VfSRfkJ@Lrf)2%D<;JovUWjA<(*_Vl z*v>Fwln@|rBsh&6KSb{${9hOkptQRd^lPojc3K1T@eZmY-uGVc`Li>*n^RnGEkSFd3Yn<0gFk z%+l_6G8D4EP292BU9wM%>t{MuT<2O-ZhELBbr!`uIN@Av)nfllm9R=}3K$b0?+U6D zuB_jmw9bm`wNWQ{w2J!Y?Il8`f6<&oW71!MyB3@4fEsk$+oOB0+x}Z{mAuDsXJEkM z&I{hhDjbncO5*pVBmK8Dh3dSeYyzvkU?lq#oi8D)W3Rj_Y0(^cVwmA1RJ;?k< zNEb&ajR%Sh5wh>Z4(b&wL zq_u1hOuL~ehJKFVUKq#GyRAoK?)SNucYXj~AU$q>K2)HeTu+|P-j@y3f_1+W zKg)@=HmxmJKaB6ff7K~4i(+W087T=Ao=C{%=Xo=rIZ+a5yrp_x)Fqm}BVAW!f5*BA@L#%UOQkZ$>7)BB^NF2)4OxeCk3L-eF8%h(T&Ylj zbp)rcwvx-Oa>)vzgeIjalLZU9Re3M<;HcgV*2ha|UlAEg%6~@zk!EYK_r*Ha4;%L; zefOfC04t{B2(%8P*(WVeP5-11DrlTt+~qfQK8yhsX?QD?#LRnm+Td{3tTV?NJZz=j z4*o!Vk7+|JvBgq%Hu5L$$4cF@t#}0%^MCIp01{foz(nTrlE0thy!|Kt+BV5V&fevZ zj?m*GIpt84(JUv(>D4N-#w&~0{h3!@^Ata{5=^C6Q4%A0b3FB!txxi`vkq;REsv9B z(I<5kep-g{3IW}6#F7S2yt>kqllB%I0T3RHGD(h4)|8>^juIP~?q%ScJM+U&mSk6( z^2gSYZ4YjH&QU!K4Q*a1U;iN4Blc?h21WG*y<(zHn7C)=Bx}SLqfL$HZo1V8ncSjI zdazp5`X>g~<;(12%t%sBCCxp4zZIYbpPTtEK}r>1%uh-(NGBG09iz5VOK%>zid;yd zSx-FBr>1wC|MtT05xG4GTA*SYTzP+eu|B{gb9SplYDgCIaDgH` zCsb6(Wc0p9OE)z9J~x!Nw`RXL5o52RipEB^;5%w1)kG3W$JHE7E{I7(pp|nvD5=cU8fKqEQ>2Cb?SnP1+p&5x?)MBLR)LX@h>Cm z(AD`j+#uOV7~>Y7`T2Rn+`M`8zQs)lG_Dy{6BfpI@g2%a9WzJn#>>qT zMT}99Y-=`QFRwVwrHfDE7UyN%WRJ2kA>`!$^;@+XQ8*= z3(5w-bmbMaD>-5#2j%|Lo0oH7vcD1Nlf(WmdP`~eULQ}#sXfHPN}vg3OEYlzvCP{|d7^0q`INI`FU_}#ar*NFbWH<5Ba$@eTX#%hKi{;` ztOGq>!_6eqZQs^nH3%h)hNqD~EiGgAij zoowvzf}(B$JuFA}b}<^wCM4)T_Vu4nrf`mIf86tGU}<*rVdZ|UMz)*#+&?YHu;G2t z^=?A1|95OwDwG`K!4Vo-b{viU+S%7Fq?g%3ILtY5(9kZ4gp%yO7(HefF8WD{Q}H`p ze!J5ud!pGM2~DGquw8&OJCc#@vPOK7+ z3qGHRL6ag#)1ohrze#xs=^^Z(b4HoZD?%B=Ilx$>Q0dW)`^S#FLO5zN-g0FDzj5Ug z7x+xCIeEk0uiJXyAVHqt=^R~h=wJ*!<{K>kS`idZdiJlkQ51^E_^1=6WmPq1 zyD}CF@TSbYxd_0t@$G*tKxK|?Ag|=Z1X?g294XoqWPXkkSnjglG`;~k5 z7K(a;r*k&HLteZR)P~Cqt~Yp0H@A@;$aH8!_O$5yFB)$z=H;MOFvN}K&)X9Hd+48z zHOEFxPj$GICa@`{oKSJ|e&04=@mW%_IE@L3)6UleE%Y*)2vT z6xk!$6N1_K zV#2fRG@TGLYCV`jBg!jEg7LEnCeU~27COM>=jjs^>!&H1Da|5<< z-t;Cql)J!#>Mxb2At>;cM#C{O4n6S2(FFASZGvp~-`Akzy{r8^{I`v>-SJ(`!n%L! zj08Y8uEbDkTFv;vQ#bQCf!0QtcL}n``1acG)<#YaMjCNN(i)P;nNs2PluI=SrN4o&K-yokNF&2KBKdyCC==MR?Nzw5r2YMhc!5j{c^(fN0 zh*)g0v3lEevG3vzuC}w+XM``Z@0?oGHV+2aDr( zyHK>O4!MZZVj#SHgBh24TOup@e1H(eb>I9>SQcK@Y#@)m3iK`k7uTt~E)HkznA$De zDX!^kHN1w>I6C-uj8}F0cqPuj>dxR)0wzqdKUwf#s*+5Zi@=|kDXpUf2D44E?e)=f z*~%sOr>(owpGbCYFp8mDcbWL7ZDQ)}*?^~;+LW&(9Pwgz>RVY&m{wWG*+pY2Fzo7L zC?o;zpU?L$DztPxLqnVTTaTy=N3^+eFE{M^^I(2JUl`N+;^8yFL9y7~hHoAry3xqR zD#~lcec8R6$z3th!xBcy``TA7J$_aM`s+k$9<&%1Uk6V`;QdYcFb4p|USe8QV4HT~ zI$zjyIVgh*+hveSu8&j|e0RR+G)Iv}d-xsVieB&WnPMmzx~@X);Gptjp)n<7WkR^T zBoixV(3?V!yCH&cr@PLi(|==Qj0Y!JMe707a>c%f@X(A~JkH@K$rSSoFps0(sHOF4 zkzawBU;Hr+C@_O_hYq%ZvXv@fQ!_`6FQP0EFi9ZT8|YA6;h5nSaOXP6_whVF3n`2X#(cvzdt+9Lfg8BSS zPl|`GCpez-w@J3U6^!L7&tx8O)Mu!7I&r9)~H;FF60x?(*358nT~5995M!P7Sy!t0znnKFR;KFnE3P&_|HwP zSxM&Me|ho~Mix&2h6sD<^Z~R~?U^bKw$Yj#YIFwdxpz^yU$WOURyq@?!FVy?_|>&9 zFj1=-n0L91j5h~~qM@utuUWty?(qf}q0G(`prfZ=SU0psn5G=^<$w8%2Y_ghs_*HT z@I2I6gnU1-;kI2C`K>F>8^P44Fe7CaI7ESrb*O};ijmv10+y`0ZWxjNbN2xs(`oT7 zb>blVG)Wi?sq@ZYSmrnFix8Fj!7AdH2)^%b(8{9>5dQr243@K+#@PoXa8h@{bITx7 z3-mHH3~-Wk?YUo7%BV5 zAbCJtlEi(JtcEEa#iqrz=j@j?Pm}#pNsAIe7eyN-|KKN%WjcWESJ_(pDk$SWbs85o zoo__)?EXUjBcBV8WoJW^%p>og2^Gkm?el0rYT)V~pyYu|*sBF*We5|LQlG-RDwNea z)3hku-1y$~Y{^*;5eQ%IGCy=&d_G`AqR|8zK=HUYtRiHNH=uAP2R1KV-F6Uh=dU*B z2EuFt%y)vo9CKa|X{)FwRcWGf%GuQe>yqys82$wB(bI{FKUt|gnz{XMCM{+x!0Xr9 z@p}*35~zhxy&4;cWgM}B2A^PRbI=z0l!tZALT=ZOi8mQP?U7xVD7CO6G~AKlB*6T{ zi0Wd4>txLM*n^2A+mM4MCkuF7#5XHxy`4u}{`wdOuIQm~9VMOMuj&K$XnvA>TAJH# z_b=9t@5?^BIG+h!Ns;&vAVs2E^B`@heK- zM{HC;tcJ3F4SGVUu}FUcrjxvcF<`w=id)#!uu3qj^Ul$xt8VT@X@VH&gf$nUn_pItqFw0Y&d-@3=p5rCBmJ?^ z973E|y(~mT30J+<1 z$o$Ng3maqio#(Z@nC?wN&|+L5LZd)AMkSzj@c z=Q4e5Sw>$1rvmGLA4ivB>I3fNrY^?K*W=@f6E`3YJYO*N;J>mp3Up1I_!cJQ-XEDH zNY7<~2}H@vnd}XDm+cAQw4a~gxcw>v`1Eny4j3LZB=3d7 zZ;ap53?w7^BX3M^-Z86CI8AtmGQta`8$r(1GXPU&D3d}}A|7Yg){h+= zc0K=PBoS(@OKjXlaNh_i#O~emBQz#bgi`50)oI))k|GoD&A`g;h6G=_dW_$NMJe|m z{_n`$mr}%WN|8sGR$6oYm7(Y}**Mm|kL+9sYHAE13 ze|raq#)qCUgFtIDgLRDetin%-{BF9}573_mDeM$s{=k)vTYZ>U={LH%0z1>QgE}gj zhp=hXtosr*u_tK;l*91M&!wlKrgT|d|564ADcdwCXox{ zE;@+t>-=@*3fB^vtzv~lJg;MgnI{4N^nw-2o_FJXp6cdHg5+#u*Ex*XG4HIC=01Lf z0gACCJ9R}t9>id!0rKIr_+|6tXAvJf@AP5R$=z1UY$OIHX@4IaGj?&RC5hBb`l>LT zlww|+lJxCE}`M(o853?Yv~c~OVU6o=&DWXCF{O2 zjlG;R8hkV3SN}ud3t*F0;_=zO%R~&m1*EF&I{WpKYM-E)OF@R~LE#1@qCj#U*!wfU zc@hp7r2S7Y6}k6HENM2)Et$c!D!Tj5WE3;=|5}Kvb3c!UUig;OfCNHz1Lv58h)S-A zdoky5-6P@%sm&z+J?N<8bctZX)E8Fp8ZU1QVieeV6w%z#T-3^B6A22tir86dHx?E< zajeLZh2M657Xe}uTvgd`a{z0^|?p!O7qP(jhM6RPqd>D2HJu+0UDaBUxt^B69+P*ewWWvh&1nY zo^bsjTG=AVf*wBi4jnk?wUSH~m-Al37uUD4p(%9~%W~v<4^q{%lvCoDS;nF*pC%BV z>2POe`SV&pW5Gz#t*2I(sjZU8i1uG-LFtxP|K|E=cxI%URj!3Bc`uz#3YrDrf4OMy z$yBTG0Ao>7i>hW(tdH=r5=m%qf}xm?`U+@N@xRusw25<#U3YV(-Sd^GP^0ax9{rM87)Z#|0k#_sua`5D!$LrS}vs{!-22>1>C?jD_DP z+&M(ARNg8=Gp5FjQirz@S5BmJuu9t}SC9WlZ^WIeDBQ895VWbIUR^`r_fV}@IdZ_W z0a}^%%f;XqdV!o>%4_y2Ugl#E*&97as!M5P=s@T0P{=b4-TM(UIN-P{%|*$nb&);= z`Ym`)hv`#s=6@YMddC7Sy-QVl?@!w4{9A9GEwOpCm;ugY?Ld{FM)zE_l;Y`Tz#t(< zYKPSf@ZIO`$ujJ+i*@0jb&+))SQG_CvSnN=L$k`sI5p;u<6;mu+m3%NI!EvrK4$vXlcqIQ-bQ@*co3y7n2J8a$({MC7emdfEs zLYxE39r_+#{PG&`&!Lz^vI2WJA0y2HNz`U6Fxi-h`(LV$3)3i9zrUXU&3PHq)lkOG z)0@4s9-De!`G#p?J>-zcbW_s(M523x-GGJS?q-X(oV=UC+)PDbL!!;#RCAPEfYDp$`|^W zWHLqpeMI#o^y>Iu2tByo7AqS7$>1#UAOtV5vmI{ojxaPFtvg z*N7rypGUa0Kq0k;N3s`6+n%#75QcUVHSQN|z#FC=?G8WgrV7s4LQ zh81C+IwbALInmYwyf;I#!a+33 z0CYHR>LTcon9qNNi~csit+sa#WYiEB3#-mw@IDiv>~E+9RN&h+#IjaF}iUNmInm3M@ z1m@SSM_vYm(lr5R2%3@W>cx;nnGMHjM6-=XeFQDc(5 zt7=yD7aHJn@%Kp|DN`MQ>v+>WRB-$EF-1MWW!WhjC=E;HDN`ZftN+goQc%?^T2_nt z)fT|`gDRsfDN8_ zlE=d{2eO9Akk7phScP5~bShZ>$mrV!!i8aga%Y2L7fZq)Bwt8LZfFbQiwus@N|75N zzGL(&5ejoMCHm&Ej~iy`$wgLSGKpl3y7_Ea2{ zFzJH(SUbkWS|bd7Wku}fvCtb4O5<&cL^4IMu1r1bJnRnYg{KRCz74={av=LocepSJLk#SljD z;0l)Zi$wb>TdS1*tG6f^XS?3oUMP%f0167IlA5;0QvdOd$m9OqpZb5<`_2nd<8uPVY)V!w; zW#1=09#C8R*J0gC3&u`67_NqHH3ZX#+H@R|e}B;+(wsR}G5`1Y?~+m8ShZ~($m|_A zH4r}{+iM%Qk3pZnMZ(Y9hOd>&GX`awm-#(8?omIFx(+&49eT25!C&6!I4{%{GyxU! z?^3)=vI&Vgw40O^^9@`Uu%t$jFWAM7+Lo#5LdZ7%tva5ybuI3Rsz>+l4lw^7DuLNyE=?&Z51bquE`H2N8KKzv*I~MLQZZxarq}4Y3!GkP z=4r2j=Cgkby}IWz z9JviqTr+RGoI{5=tDk$^*9u0p#-HQOSAA#gtOgt7PMA4IWFMkr;_s11C3l4A)zCUc zZpnS*{^tCQG}YGd^i=G#@n9~4cq4!YRdlv^C}L0bTFs|cH|n>oogb@7#4m?T$tF5a$YD%QZ78&|Y3rOv{$M6G!RPPD+!F{#o6VGdF!|<@M|5=@+uDy)pG4MxT@n}- zufVfpPcsT^UyJ9x&E|2He-YW#F{`dtEYBLwf-Kd4gI|gpoZDD`5hXk3CJ^T2fPf3K zF0Lt?Xxw|}h*EhM!ManT^w9(q_6F*~g!YLo^0N;T8oy%&{nID^Lm;X0H&F^P`4cE+J?z9Y>5-%&g(xKmFm+ z^PT(0x$TM!3xf)no5CGdymJEpLzkTXP^mY~hsQeU{*^Ka7Px}PCQHo5VFxf(4#SPl zAYJV?#|g^EkL3GIw|me-Z5DsiLUp4O>TT9hfTWXM8bs>-M8VD`dp_{K)er2j1qARY zyFDk(25!K&7oC}hks4O$o>ckm#W2YxE|QYxORBcGmbaVXt}{J4A(Odp8B1 zr3HaEC)+RFl`$T*wxv6)5R8-$!hdk5(Sj;C;%xTz?x0X${fy?+^87Azq8DpVuaXio ztr{wV1#Ju%#5N$;$sHV=R`TcPusmG?zA&mDy{|NOWGS9-s*!>Y8NS|rqIt_Jt+Nvc z3`BtIyV^L9SiSIQ?s>^|1uZc3GQWE-F2f0??F!=Fjg-Z(sSKAKm9*yt{zwAw2sC)r zohwhJkwc`mkBG^Z?TfhM8>@_88atkfwq!rO8TQRF2MiRZ0!QPJ4>EmcsV)LI-8w^J9<}8adq?EZw^rN8yrzE71uSlVuf1veNPU;FPLm$U)tl>4y)T6B-$H!xuyJ_ z3Lq1emRoDlrPmMflSYE9_9Ck_?|*%@iFfd^063?if5KfJZ`a@KJuEs7`-GwiRrX@( z@Z-;p#|j)Rt?`yO@0~Vk&3%E>juu5B34BC6+qOEu7x*73XwLCGl=64AwNwg^P4q z$yN>xs7!>ZOz!n)(smWV^jLfI*~a%wj+D>CVj;C&tM|4GVW@C5Mi9qQ!NJ^UrF#D9 zExYUtp%$+fb8#JFBXpvqpmxn5+3w&w>mVx2l?{?YH_JPRbNBd`yzo4TXcBVCZZ+wU zI}EmZqrWS_D%udXjTgoNreEy!kn6SWU=Pt}r|gEgfcLn@heJ9wVNACgRtaqSDbdlj z>7E8)aw~t}F|?yX+u_8r4-Ei`9n&3R*0lZtD})@p*+Itm0h3SJMz;D%|1nQFXSW z&qnlAYq8MPgACCDuj~n)M}kk+Uegm_oSKuHO#G&oSFl*?VB<8oN^RG2!g%BTd=QnZ zc*t*BnZ0ZoLAsuDX%Lm0IqTq)e50@cQJ7*2?9K{$Lbg>He(Xs`xk`yjd^qWWTu;>_ z#LL2Zy;OX;RF11gAOAi}5w}HP!(r^9Z;1o1$5Z!;m2+8qBdcvgo_HUoYmf#Z-ohFgE`l*eE2?^0CWsmq-rR>n6GF%C&*pEh&Zs^ zkwy2wJ(0BGlcT^9=p(=`|5QHeL?;QZfq(khFueIJWuoI98yO#i<#60?b3IBak-ohf zMs)=GVlN&ix_x+lp`JX`Bi5IIw^JSw+8n&xyygVrK6P9l_Yb^U2V+*1?Q5FCd>!L} z=q_73(S?a5PmrkkKpFc)GZ(vf_sM%98;p_}|ABpPp?F#$ z4ahgc$?ba$Y0DgcCLH;kAqNz`{xNjKK~%6Ch50s)*+#kU=A-ay&R1mOM{YX7#P(rA z8xv=!mfZlaWN3qSAHh=4rtc!uUF0|}b2=71HQ|AKWVza*knu1~tM%CX*C0TA*oXi0G zKG7Yr#XyfwWi*H5+pB#g=b}za@pukMiZm^h#en zy%Mjgsx?ryazbE5kOR<cL;3Sy+=PVx+<$QsX* z<++ZHjvAv{uAG*-L(kiAI?x-WMvA4bhG`TMKVH?zQ%_BX!PTcPVV_`<>n|K32g{M^3N zB%MZ9zjy27XDI9}D1r+^XAdF>9~co9E;7|qlu{BsKvzi5_%Rx89ChH&$hcC0gQ-J} z1o)(ZCyA5btS$$2i$G@NlpJ^pADvxmI|L$bJB>MxSy5&&;aJ+|F3dZ7cq*GM-f1=) zajkuNE5~{ga9oy(!crL^dJy_lZi!4p7Ps~i=%u%Qv;$UGL`H@F$0T1Zq~HQFkse99 zi&tQp&ImxBjp+qdlUx-s{Y*D=Bjn)%OC|ywA8}GIa7$eF>`2Xjjimx)VZgrzBka6EZ$~CCc&jLw~4&u~WIs4}> z;~nUzQ_$7Zh3vl1Ea1+-7MkoNO!LU@Fya@jbuZ^69J%+>DC6r_*-aFA{l;xp@XD{NsJHx5{d{8lR>&#B9Qf<&wkj8`T7H~ zKU1Di`CY9?0t+#Ak8k{@Nu((8^dfX^hpcZG9RhZVEkzJw8ZrSw zyO#iW;|+%AhxLwxftrF~s`$D;|Fctjzpsj2kc?i)p)yfo!E}H|B1;~sDv1!KilRX?ik77y%13STeIWHI3MnedB$I#(jPmsa8 zZIl5vxP^3R4|v>P$+z@P@pH&~QL^=&Mk8M2-G4=hFQ2oNokP#K2Lw6RU<@R5+Uii) zBPe6(W)K^m_-hqQ=AJ~grtwmER?(JUV99;j3jZkLM*&y0oSo0Parmz;1gq%V@lQd~ z-@MQ){FxCgZ;9}6?q=3}jwV4srU99{POh^*0pjwI=(?@vZhB$m80rOWlum4XXp|&t zGo>e_3C^Sm{Mw2$zed}0NV7qI$|ZbUB`DanxvWFi2T1!z(2bW3TVLe?SfajRP{-cg zN2-fohoJSpNBbGnOoPb)7Q}#2x;#SgV6TT|>KxnC-cBzL?GH!Ppm9yZ!S z_SYgQcmDvIx{8oJf`jfnK2Ev(AJ~ZK!sH;TwUb1fU=h~4Xb|2C(4zoX5uYOtOyygt zloU58rR#|xR>5yV zTF9tB0#0LmHx`w2;;+9tp+5-HTm|d2M@?min!EboTP|<3`@F1Zi$GyQeMX`xWFJ2M z-VXjkw`?*fWhkF@g#agjtv`$!@5Uy2*d6r@?%E+#)Ju zY?6wVt6!KQWh2Br1o^7YvJPG{BemKFlj#!Iq!UX1gmvtYp}UA`kU_T6zQ^i@X}L^$ zZPBl`e^mo&ide4$BJJY~L^o=Ij6M>rY+yLIo#xH?gMiQ2;L@ENAYezo`54E<(o$>$ z++fAyyC4S!UfKa-JOZrG-TsFnIyjsluE`KL_}KnmJJiMLO{AS5fzaV6c7~@q$?o_MT#Izr9vQt;Vn(viPNh|s4SI*DONawIVVwLqDkgrtpsz*G#c_TQ(Dgt#GnzGH zPM*0O@>7kt)N-^Zihr&9#&1=wO{_zkBz-|&nz4R;7AqPlkMM~2xkBZpAh4jdj{vEq zInFhC?tAI&Dk>w>it%tXO7>g;4Dtr+{+1ySfa|_pXg{=FFI4qTeZl@93$5wdsr6TD z))xL=&TYfkkV#ljArMr%JVQHTVk9@ZVd&LsQ}O5#{4sjK&;Gj48v_Np!|TWY3_(sQ z&Ib#Q@tMqrZdVAit6XNGj@N8Z>pZ^Os0y4PTNAS;CG#tncfl2R<=A>tM(tKRbqtI4dP#N1#B5u{&4J1n6VS-i9P zs$Aub_0)JD$C{YsM~z5c4K5+J3&TeJ7+BO>_nfcd12LI4mLuuhDqO^iH#ekEc-)L| z@(jc-YOCGr_5=iXP7U+Bc1T74bCrke;CeyB+r1JL(eOY~R{Tr@qsWI1-wUbKgez{Z zgYcfh%uK;UzW}~DkO+$G9ItL$uvod`43%Swnyz{|SDWc)Fu>FmuWAFl3f6^oE|kPn z+G>~J0Z~e^`l$Lx1)x$@y7p)^ma5-(*u(JF?<0&H9PHd~CeF^@=kS-Kk5gQ2ty(x= zL=flM7k>B57SBE}D{K|mh!l3iVo&hZ3D~m~2ys(c9jxP3)rm1B_@yam?4=^pkMchpVL6S30+aho_d2r)MEk7kC z*K-V9SkH_uL=feE6!U=-g(ftbtdfWKy`kWsHx_ObY76a+0ubU3-q;2aIE?!wi0DAkv;U>Hc0?w((D&4!qiT1tv zeo9mxdpjrnv6eO`fn+DC*d_xgl+xQv7n@_v&4N#PJ)QE9ZOZ(G@Hy(puHjGm4j1cgKgQ@!>)~90RvmPsuliXksw_y$Z zhOw8tAotK-^Uxg>FZa1g=R~ORC5?HgV!ildGx=`<-yt;fuG?|@z&SiAaOOY(R4l{E zgs5+O%as3$FDler=rycAhg|0Phc2h7Ex73c)u%3qG_< z7PWt#Ckg%m1IK6|h@21kUuZf`FO6jj@vdz3zfc$al38mop1rxyCmKVA$Fd`8}MJhwGeamyAgZ__|>ONc?uMgSq7oR{Uzw%>IZFF z(F4{bdyc-(nu$2@xxy{O?mKv*7TOW%UcNX=^bB$Q4q3!2JwogCwQ&=EBa|7YRQ|!U z;s_J|iTm&^W4P=;{}j;9iYB+^yz?q7_%MY8yd4_To9|tJKkJW-6r)WX!Q#pKq}&+Z zqPKca3~jXF&PqiMNs#4Ds^FvFG$bGd3}uO~__Na3efeY9KjL1<-Zz0x2RLRS7Go-g zOXzMCvh(MIq4938EE;HJ3Re|Js4hgH#U{Wnkgz&+OvAuCk`CE_P}<5h$)=EO#JjCb zqpXu_YIBvpFLw4;tW-_LA&-vysRP+t7WsQE{C2*fDL%^x&Orc}VqzEOLabY3|S zwP~?n;AFd&oxg6*y_5~v6Jc`2Xm3Gz3f~Er_W=7$b(4DfPBQTB$F zJ)}3{rB2qiNML7p9M-!0$J_XQT0RqxWD8>K;Ino$3(sJhTt20v{ktSsFE(PbyVi!AX$HAJ8?g>AleF!-*rb1PgjGVC2E zN_{Y;(_m-=V~KWjSy_I%=K94AJ8 znHC%!lfl*e$~uKSZK;RU3+>hMeJ{uw|MmVjFV{^WJ(+1IAAk z(B#D##>HQsE*Y+UCzgRyzW>$p9Ch#T+l!H@i{Sg*2ikJo_9`l0>OBqDeq3?CFph-u zDyof5Of#D`Q}P&CaxUkrxstqr9+I1-$d9u0`{=)&O)R1Nm#Y(7ZRrcJSiG=nX%#Cw zEDR#IA5y6CY&Q7nmbr>}1gpac!2+@USBiI9gqaljO-NewXG3JktbP^0kCauX(Khnm z8d%@BQpBOb-%JW-VYK)>^D73v_kv`~%-o^m#eEl>y-gWX;=b+dK(PI4HUv$cT zW<+sM%#qXJp>@KN7%Q#e*JRHGRAfG)3X7w{jA~mU^jgI7 zhK;FQ?ebl`&5)7V;!^eX4gx%08|DhxhuX`B2MK0lw(+(yol`M*e_j-REq@M5B#FHi z(fb&g!;P?KJW2f~w~0z$*%yGl!dko{WT&0zAV3=rWe=oSw?{dF%zV%if%jYnRy|xI zC-98TI72T3s2MZRBy&Apm9AO+qjJ!Ca_&e0)$BXk>2FAXa+B=Mh2Q=3K48KR*LXN%%gDcTiW%Y>s^?-3GIf?|xMYa=ZF_A^(xwz;3=( zZgJ(rYvRjpYk17nK>xaL$J+d+?FJtf?6h0-(0XZ2nVzDa;+JO+BOi2AC7Cv}387%z z*}6>o4~+~b9t`i#Cx#&&)ZAln#vbGr%~owh=9%HMZA>8*gImmG>sM6-Rwr{Zd~h{n z=|(B^=mw4sM5S*pHRfOsGBX2~AyTouMB5%jJjwh+TYncn637U_m(iRk7}2gzkHt1u z;$Ly@)t1W}&4+;-?Ph3|XV`+BdLN*4hqtNjwNny|DQ!Ktou4ld(0W>`5+jd4gl5Bw zJ`&0Rv9w_mmw0xxhp9Outlu)TSht6}wI*ELO4vM#(e0Ow1-aFZ&~Fv6NHbg?(jfJU zhZB;QnQ!$)i+aTwm%`Jx6FoX#j0M~;jCZqpfYr8;*QrnFQ?=a!8R42^Oe&w55hT^rpF@_AuLDw6t&cPQ5;<2cAjOxv7O^Sr) zN>O~xSlo$;*VK$=Dm^TTTOIHkW@;>jTGuZoNU0c%le@qDB}l5*nfuq8sbe)2+seNF z44mqwiJ34v_H@|sk-E0)s^V|A1bq4DB~Y+4TUm^EKS5ie!9OQ^3dGGwX3lPA>W8$3 z$K@m0E-eB+$ai=~c-XrM;Or_%Ve5&bDJY0{b0=E__$?74h_Sa_2(|J6PbU0B;P42WR8Byc6ohsCy_e05CD0?cX*XF+t}<@Ab;@DL8Mgl z4>G}4nt~LkKm^#n#kCTaL92Kkg@DT0(qF`Xlu80tCtufECND6-lb*OoJ;QUZ({E&o zdZN@;+!9Vd=`PsbF}V?b8vFsO^9Ed(ErmesJf}O2tVR(r&*C{O_wCV;Jo?%$JN2UH z=TVcDdO>!G!XI(l7RQf+X)G&j24;RHaqh$D$BTxHPa4gBC~bnb-YW5Gphp~1a{~vv z)_FGrdtQJmEzP*?GP_sFhur7yy}BfKXt+4V`{;3z0RE#P?9 z!T~!A;g9ZeWWs`SJLHxt{|{T4{(h*Y+lWG;l7@!XL0)rPbEMTTibR2f?^RK)|0Zfkk)SpN8v6)k%s=NacdU==`NEZQRaN7W`Q>=$qZX8Ji=}~T9G>Ic9i}eBQgK*} zT&iwj{4qp_&^IDbTQ4^@jCZsP-+g}Uhw&>th}~Ne`(X6LLQx6W6h-lRBL9)^4^PLc zv94eH_855mrAoKT)j*qRz3SI0T@_OJpm#qjl3PENm`bWIeJiaIJ6{TP4l zUTFBscw-3WR=)j^#2}~_LdX+6USJsskbObkH}Dj z9xn{YLP|PyEt96+=X+`A+ex#*XQ$99V=fYqFpYaZuJ^Z{uo3$krx8A!VR`>->k!1) z?3CxBhe3z8P8@SQ+AMmjAzL0-IVF-B2MRD+s`0sa<9H3Zy2s1{uI6(0(nk$H%QFo# zJEC^W6Tc4JxY+GKh`;CoeA@2HJI|_pT~k;bUH!njNyeoVJuVt>s_dH8`{j;A@Cm}b zlm~CFXl*Ly-e~^nGE-ODD_kb5Yu2rE;u11Swv+MgtV*1XqHh*@sf6AcXu+1|C)j+{ z5|k@4K)WM^65D4OAn!pQ5zYl|(9P>%?}LB}ktYFHU#9uoTC|hH%A7qJ$6CM+HOe0F zUi%~C^}e0;sygA`r|-blOJ=w>cYk3TZHMLLtDE`+_G5m6FNyL%;_v(k)u6WRy_12@ zhq`w1ln-`bHI@@>ZheCBU8hWnPyy*+5GdJpL-bvZlz!VM`92o!r literal 22605 zcmX`S2UHW^^FB;h=|!YB6;MHt-U&@WDNzvtK?2gH_m&W_P(D;eK#(dTO`3p!Ktk^j zdg!4C2)%~Xm+$X?&bxcg&feWSbN9^NdG4HNcG)N>C@L5zua5p#yUvw-<>cq@=Itdc zBPaDpN=8^G(9Oe9SXNF}_>rQtjI6ZmBN;hiGbg_wHwP!-XZ8;6!ZN~UPlYX<-U>f< zbPKrBq!gpRGDAVZXa0YJLWS=CNfXQeCqpQA-(1TVRhWX|e?B=WzMmhEe)|O%O%d%Y zJyz`FpRrUDCjSLE-tQ>9VZ@R0U|GsU>%Ra>ZM`!2H(FY%@9&xXlzee3gnS{Yk|~#& z8IE2GM!i`A{!x?8Oii8e^Ak($9}?ic+QwYvHIFZ2`uOf#zoaPAT>l~#&Il!Gvhgw1 z-X$HToVY#TZ1)#D$p`anfBMML=1KqPMF>m&N>D7-BzEmCK8>21SY69AB=Ya!2dXgN zXLWRU3>NS2?wd(YAsGqD_6B+0}vSxo!8=@Wvx+HpnMd7>S^L7M7 zt?g9w+1DD*+|kKDe-i5^e2&L`+V9v@O77m_kuVSC0S=?rY@PGQ{&D~Y@aB?A7}}nr zHbr$8m6019%G-k~^M+~#=Hf_CW#_Gf-S1^2_vr?6&$8v)d6Py`KK-;(P3f7+ewDqk zb!n>qYt?-|67lIT%55o<3{5CRC?rWSFmHS=93U%X6aU*5T)`kACKznYY!$B}(nhnL-Ck zk6wQBTP}z=Bz`A=HR{6|m7eaj}PYJWf8hZ%3R(##!N zdKOeKUx0Sam{dTDAZr}f!0fyc?ElvE_PivK zflb&ipl18tfW}4Nqy6))*hvESJ2{k}@>`+YZG+@$2L2ak+*Zfei$z7*VMyEYf@_I_qm{`8)A+K5Z%Qo-62rI`||G&u- zlN8mMHEKKE+GMUNX&6EwXG)VSz$%@uqcv8!6)pJSJ$g$)-@vJ)n>nM5*5X5eVeM;J zMR(9@KPt5P-vg&j-G@PnMCJLKJ>%V(!RXh!cAnw^g)-`0QZ|^Fn3?vua?fwsj(stX z)#tNt9^<>2Z*~2Q{k{|=&$mCJ=M|ILgV&-{JP?WUe_V$0cTOoF+9aVjun->o-{Qt75T+ButQ ze@5jPk)E{(f--*u9(VDfqdtDkYagK z#TFzSXgg;bianB2MIYPYwU1-BUBwo&IE>u{7q%+TcIp6G+rB0lAq2S}g&RqiET06| zsx1{vhPxkpHhB-v#MmPex_a+YUfuCtYSGvPLle88WBw>EPD?Wg*ria< zhl~zUy*n-gz5m~z9NVnCr0}OQah3rsb^micJxjCdl*7}L5EhIZ?zw$VOc2<-PmCS_ zI52y;)5ZF;lP@jBw0qbC5Df=95ESpnbwN{-^0wU%I$9PCTc~ z_j&O<@HIA*@-buRYk|Uha;IiEnlwf=_Vj>}=s#T@vY3>nt_+u=p%$snqe(ZxKB zZVIwC$ekUEOJXnW&xtV|=A~0tRArX#prfF#=QEAGpjotPG^qZeGA}`?; z=j%lKpnj1bk3Q!?qU6oT|FGJ`{CKzI>ai)EO{si?>yyV3T;cK4HWPw~!26n?-EW(Q z^lIbZs&EJ}fJS`_l60zWhz|I$p_Ha59x|})JiBXaNXxO8Xf3A^R4&iBqEx)%E8uFF z^IlzJ)Tb(ocSK5OL!MxDjTbiOz;yAuR7C%`kqQ$_RCJj^R!{Qdk5nf!Jnq+WLwL=b z?Y$(m-oIwLzhe|~Bh}&YiyYrn>rNrO`0*>}|I(^-pEu5fZ$(?09EG(QTe{qhUL-Zy z+FZj(?e%0WOeB%J%4ZglhySv8J4D_LxHWoRpITwS<9;??Urr+JFCWK!|l|2-@310eb&z$Bh?XD&FyeOV3LMm8d4=kUvo$Q);Tu=B3?}L6Wq5Jh6$+aiX|F~3s6FHd z!Pka$)vnQAM2%L1%U<37Yb>|7J+4?YHm3ZqfT*pVweaS}vI7GN@hkx95m~TY1Aj%e zVH$eaX=A=E*fLhEQ8YsP4rt;r>uMb+2VA}|)R{+oYD%Y8aBuumcYD0N5xo`7u*muI zK6Ac{jOdiJo7lp;DCUpNpu1mykg(uo|SYlddlj*H$uUzLln^{%>} z+0q}$e`r_kx%d2n|3T9Ft%$2%}%#T@^W8=-F4Z- zdcK79TPNoGh^Eoy@Vv81`13D%m1tlnv7%p)^Q=|{H?F8DC{wm?qvliiX`6{MQm3NQ z{sW(?Wl)orKaLTA``OgHR&7obn_V_$c^RIiIWRG@;5syVmx3XzVIjsIIS%%vGx#TW zp_0#Zp<*6j2YdT{pJQ$*;mK3!s+WVY1)J+!RG(GlCWkz^cjA9YYGfOztr^cLzS4P; zT>A>yGnz7@0gZPR^SY)zuG4H#Tbh14@aK4!AaVLy_Hsa@0fxRjwHR!hW%m^*rrI1m;ODx+(wj z4v8*wyRBQFb)GQUGxx7|BKO+AHGYoUIo}s~G=7~WR-F&??gV*i1fcZ3-fdX3wzO;v zkht3;)V_0gyP>&nxAw|HBT1D5kwRKlU$1f1_`Fc$KmDSl(Z8=XWi_wXn9CgVuEW z$Zn}tEqdq74%Vdhwkp}Yq+#l9aL)JExYZ9umz^^=%+SNOep$8>l)!QY%URYE9?F8i{H)k`)IgFHi+Ao305Hp~kOv>nwHjP)PMz#}ZgbtH)W%f9}P#*wOmmeXzc*wqfvXh9`N*@DPZpUv4A-5ZG9MU z9_ce>bd!AT{>IXoMJ_||uAimiaO-{zO}fPC&p&2l?kBf6-H2Alq;@2B2WzLM{MtI* zKiEDdiaqL!rIg&*tgO1fnCkV3a1D=e5fV3B+NFp>w-D$7sohMAP*IPKnZ8M0cySa6 z|NPDz<=Zmz71Mlp-dOefoj~;4%CiEqwt(iaC!Xi5xpF%t<_8n!j~x;B>Zd*<`6_+jMv)Rxn3 z;%F%ky1M(LVRA<@M$zVVeCgob(S$BHOs?h_(eo~m0<>spkvIKwbz?^jEey!$u&_=-%H#@w{kEBcl`m7!MkIv%t)y_{k; zEi`O9O z?9`HJH4*y80rJEpf6r7KR>)wv>29cYIm*{1fl0`$j<*h6!W$rpV-8^)Pt46$D)|zg zL@#4{^+}`lgp#--zb##At0h z#Rd0A0)ha9o5F9u>`?Kgk!t$HOM&sEXihG6m9KZ}d0%DU+|VDNE{~-8!dlJZ)p3K~ z(`>i!hC=se?3s{8qLvf0_}zMuSONuU4ab)^P^% zUcJ;*Qfd2r)Kb^xZA~j)ah))FS-s^nRuH@2;Ogw8`3TKkp@o~mpae#vN|v>oM7I>miw&EhUjxd}Vt*?Y%^*B{#N!+1Hf z-}me2)n*?b6-di=E`gX0%m*Icrz|xSyigkZ;NSlb5E2&qOYY6c?o>_U8^CLK?)q}Z z|2zyCK3#DPm1d7do;GIF85KO^w3_jGzi;4nYIc)C=o`5(iUfx#jV7CQs=kH@U!1EJ zSmpg#ip->pHE^OzGPwa?&>O!WxYr50U1Ym_p!6e`mrLlaK8-+gfwat1&`T&rmBvdJ9KJ~qEQ}rjAA9pdI z=XY8-Uoo1?rGOe4VkUgINmvece5fvrqXK*!*25JRyM6m^y*!wmf}MF`x9OvaoSFW7 zpRj1u{{f+q{pm3Bz~JW>_(I&!=+qB4VJF_1zFF*A3O5dTqyz^(}<(e7k@q7x|ci90l zK=W3V))xM)t#>z?#JjTXuvah%2(}>As(Z+Zhg3t-U~_p5l$tO)=cCzQl5P+njT9s+ z#C)xY9-g3RubX%vUOJt-CPJ3c%|RoD(Don;6=RyZ`4NEeu*ApZ`%%Fg{B9A>-Ngnjb$TLNz!5j0 ztkYl1vzZGzIhp4Mj%2(zl@Z@2qe}VocLt8poWybzVqcnKGmvBPMeYo!v0tfVV@#F} z%D#zafnhe~aIF%H+$Rd(W2;syTZ_~`xf3jDgp*yseDg_;&esokLl_+m!g2Sq7V zyfc0S9JfNji?1@&x(%AM)w6y{9gO{gi91qlY}G=GKf=_SBkE2xDlE)HDU*|NuZegM z{XWO;l?iyBw;2lR{FK=U;tNDhV~H1!kQoQzA)N0n{ju4)H-)sE|4RVf5D#4AY#=ri z9)x$cK%4N*0uWjrPvc*>!#InD==mg=Sv)n98H7Cl3ns_t4{1`U&mnCv+q7p;o!sZF zvOPbRvptHur>;!CXQI`B>%kWFQ7|jOVDO1!i~hm`_|Ec0s7~OSXU5efKKjs8;u`_n zvC#r2jw&0tH-~<-0VrQvat8(j&9}V^(}=xPWY^@pjN57x#{>$jOG6Y?{;-!>gw&J| zH|IN@?*=iQdb?{w-~Sh&fwYJ* zR(v-KkeOzD5K?E{)w}tCT2C--TdPP~F^pUIIOT4Q?T8b{4I=WC^N2e4re4*`f6_t< zf)6e?BC=1rork);czce$c5p^`?^R-+Z=(lf4K2Kz`kBhV(%&==Ppp!FHq($UC37>M z=a!x2@ma;)oG;!9-7p={4EZ|`trJQ%KUdjva28?{pGI00V1w0)4q&kW@w(9qNqg4I z`LD;<*orG*4qsv6Iw&*it-&fjtmDr%aN`zUqe!~Sz@>il;=O(4f!I^jUco`r!_!vB zZxPX)rR8NYyQY3CLfS4)?&O&URg`JfsZNZk_Rq1vGaNhvkSHl*R+Q|+E7$18+Abu z!%oH}9xsG7S@I8EGh>?_p6BYQ*&?ssC0*)DBK|6@7oh%AZ_JRncT!>;21nVakc|Z4 zi2CZf0Ef{2blfTtPAbxX9Zoe$hO16%hBS+e8sNtRzJ4K#pa-L4699c>Syni(;J@k& zgLAeTPpcmUY+ZdjsW@WVg3Y#5NeqT!aK3(gvhp6{c%pt}v@cXXV|j@(!xfC; zO(D7RLr;$_wj~h3v4GTnvAh}Rs7-EKz+F$*8v zYw-YnGwq52^0qj6UPlw{ti~}>jTbD(Mm7)Kb-B0UuRBre0`{xOnqphTBrZ%v>zDde zn!(asnM866<|&~d#bwyI=Jk1#)g}2^-yKkNJ}jcr{%beGk@f*7ht4yy{773YFZabU zBH`#0@!}Rda_jpFuS@8Q+$RG7N~yjMG0^#5EWD-TVp%8ph-(Y5?kGe1lbvGwM2j;y zJ+4s{S*W8nGb*-vp)%L=AH(9ANhI1mqtsJ|Z6Rg? zwMN8!*+^cpoSr>10upT=lOG8h5wJ4*!!|gqv8iR;2MM}|1}sT zO^Z7oqZlB+ap8+k4l<^Nu)H`Gw*0K~kILxH>-;o%r4uT#6L z43lvvO3cv4gMmVD@UyJ*(`Tzyu_1v!`5ewo>|fr%kKU?sv*u$ZBl97AxP-K&TuLCl z1fJ?>%z%h)QZXoeifX!HR<}L#c!=6kH{9)8*xj3BGhBA z{-dNPqZeK-;hn0XNx~stfakP3@xdC5rlBu?eSR8|s26Su`U8Ky@(tRVUfay=f>NSH zyoboc9w=co2cg&gBdV#^ejCF|t`Uc+h>WdGxjGp6q65N+5)(#U;IZlJMt;ss+8OBc z1BCej(okY`EyfJ*>vg?63>p*UtZ@W-K{T?g)gx#c%*%JCs;(l6PdP)flV2QfsSeBz z2gVwmh?!vT=jk0Ol@fuyP%VrL2}T)**iIpWz{xDKimClA2LTFQ29giXVlFJV zzgz+BzyuRinZeO8`TX}9OV<^1HT3(sRv;WNUkwRm-}!;>i0qn$q9qj$8d zXZ?mzDjVC;8seoPXBJpAWYOGvN`gOn?3>BNfO70Sk_1Q2~ED` zTQ#!Y3|yV>Pa#(;R&rsV(;Y8A4{f!)QybZRN6M^W7vS&-woVBhY~)A*ZW{L`A->KY zS&QdZtp_OFHRD8}MnWvrRrb?(GIqC6_X-$A1L+ zdKPUv)+j*nNXD$fEHPig3yH)XFtSBjuJ1}BJPY6hDqVHbdzfAeW!9s#Od1~bq2nprjR0|HA(A1XG#oJl?+yOd}g| ztX@1ON(4Ho95o5PKZxU=wy1Ei-R0weS}WL=?F(^5eXqW81Tp(Q26=tyPhGR~QE|0@ zczLV2260R}LXG9so>`vXLu;zfE>`s??uLRJp6+J1s)y3H#?SCFVXZJZ# zOjfTWE5W1=@o97lP%C*mOuauAUSH^WJr(l(g%G+6O{ttb@bjW`dGTGR%Rjx!IcVeW zV!b)+Ih8My_Nurf=F~+&@RH!0P%MpaQ;-_OL0WZRwj86%hJXl?HL^smJ)sFv*i}jWL3U;eT{<>4giiAwyW$Qe^QBc&B683Md#k95 z^*o+qgOkjTZu%PLG7%Zl+S)BY&m`B&X%Lf&XSs%$7vK93J%D@%_>W zex;t;+bdrYX+#wvR44#SeF>$^!FJ@9DE7B}Iwf20R#7Eee}ygGBR#Hvfo#H59m6!ETPKJTp%5Z)lye+=ddVf5@$hs*&AXy87!HE`lpiF z2g(Q7j-g4}Yw8eq#EX1*{SPSrVV;LJ+2%16vh2O|9(KXuj*zGh_LEB%V&vwm1N^2Z z$f-wYKSz04h?3_`gLG5iq+3~wh3lY!#b#^p-}^>0sScj{coaQ(U1>B9eZj!FTYA>Y zb6hpAgE|QR^%MSa-um73vMl(GZ0w0W#N!t7m+~|9MVB-JUKQ1FO8IM-7JohR6x2h> zAD^6Ent#CjA8GY5SOoogt!jbgizb@1XM<9KQ{508hfAi5jiaNU3O<|=XA=sqVPxzq zlohDL1&3pgiJ?#l><2;V83s?SZw7?}s8gbI4rcq;Q^D~xJzT}Kl5Kk!SEVk|qZ6B~ zDcvW1n!;yZxd0$gvmW&uY9D+3_D(Rzk<7c5{ui&tkfi@!li&?Ms-8^Npq;tl#kjK) zReb!d=cH%#wx_ekcQ^(on8Ha}PKZ3WLPQId!MPg-&riV6 z*t12Wv#JQ8(c0lggC4Cu&BLfb$g#U>wUe4YqKp1);E%U+`tQRu>%w92iM$5 zB7f0tAGkpaWTnSRh5bi+`{C3~DPF?F@0PM!F;cY$14R8E4uNRK{F?`Qd0`GHh zezGt4Ynspw82ke++x0(Woi~6f8A3q8{z4M}=l&k8Oe|zX{}!N(xmf6u{m9qkuGCdt zj73=x&8L>XZ*fhtk^K_5LP@bFd3FKJgj`!+|)remMni-R^2ppcmq7%g% zs%8bEk-XcQ_Yq-@I=$dBKhKD+gXUcUa-o{x8!J-+wQu&fVvyDu!fH39JuA0qe$lM| z1V_a})F^o#>)ouD>8PQC5kPF8Xcnu7D3u8nN9U^^914wn9O!DUE`3xiI6K138l$YC9{xL}D(nF~3yaB^v_kb}nZTBstvQPwSd z2g~x8c2OQW0eEQeq{Y7 zdB*uU6#fi-Nqf%DH;T4jvz`S9B8+9?4Q#{)CK54Yyu!L+Ul*a64y=D#%{O3|3pq@v zq7DF4a^^VlW$CMNzn+gBT02eu661Ne(7}3bWBlhf^ywv#6H=f%cfeUyT%>;eZbUOl zJ@rfRf7R2$vg=3TV(U0d*IyekNklo^5vGcZ_WRD!3wD-IHavNM(TFgpMU)*)zJ~aH zXlxlnaTl6E$*KNYY!&Z<{3R;O8cV)jSsWi4eqQgY2i{}J1}eJi5T5Ke$eYNu45pDY zy2w{A7My;pl@u|44L%+K{{hU5>?-tA|BHtp$L>b!%~cm@fByfuLynhFg<7-+Y!KZ` zh>zGshEYGC%eYpFo(BBXS^id>(Loe^r`?~|C0 z&=Krwl1+uu2UtnZQEz>NEqH6ncR3CV$BEGQYVLQ{jCs_C4)4ZP^1%l$ zYf5?_;UB}A_U;a?OJZtezl|dIiWb7bK6yiU^LR27AVjV0BDp*FK^V-|6VEEh&)gQOkL%t&7!J4hh?d8trRrxCPucfoI zihKdpH2d3AyGzU8uea;hHXlA=r|jWa1cexkOcNh=@xEO@sz6)%Fb22Xj^I?sRCR;Z z0b|Dl1nt$!hFyM%P9>GhV=QSl#O`Tmq`hee@3{1C7D(TPvyIrirUHh-f7(law-!Nb zL@er=zs3b$;%lPBZJ0ujxj)qt@}i`XR$$qavWOew)D0{#!j$_o=8vW+rA!#%smFBt z-7X)1a5EWGafYg_4rF=IWY$^4nP(CY|~_`KbVU-CgL?{ zw_Dy)Y0rg&O|bhg@q*jbhRpnoIq%@6_iApUJYBgo9?RONUbp=A*{gdSxLs zTxvB=SuVkm@b7y)((bhTab+H2J?1IOY;H0m!U(z+KJgkw`xQc0cp#NOtbttUl${|t zF(&p_f%)QjCHR4`VBpU3#{>yXKRRa#HND?S4K!MnTu zMOoe$(iiV-h9UGXdZB|aXCT|3PEZ4Se4~kR45k~uGU|it-%u8Oh)Zd22;-D`^hWj? zHMu+=fyt+M662m{upF^|YvJHJf0K&g%J$_Yu7pEf10ZyR5aWxeVZySAF{^Jou?uRl zs4s^8viK-o%m#~;o4qj>wjCHzfutIZ4~Nl(qeQ~o@VYd*Dt{&+t?Mexp{}i)pG<%A zRfhH3rdd`YSo~WF_(#*C0nlO1p|jPnbaQ%Woh>0^+UHz%cF<(8&Q|WK%V`8}1(MOW z%Dv_nU`84E-7^wRH3X4;;S(CXy3)o$oz7ns%%Dr^tU2#FlW8@@3fb@x8_fThKU@Yf zfIr_iq{fCu0`XU|v8_ASV-(`ym2TUL!qRMQ2jCkzU zrqZySHE4%>>}t@|pLfkj?=aGwp%PE~aM)LQEBGJCHp+9o3A{|tKWEhFhLtI4zSTSS z&A?q5?tc+H6Mc#~XSm2=hnIm)Pd*_L&Nk>2;!J@b;%VC3 zvj5SLq(O(q;L!ypCocS$+=8Z)zQSS+PXW_AR>Pk8h zOVV9y?@4lh^-vEf$tnT7@5q2#e%nWQU>}sJGO#B5g8HC`{_^79XL552IiL^9J~t%* z$4Vuww3O~+HCXHJ7pFr_MwMCc8rTKPd2(1bN5+%Rv1v;?8uSS+c%fN1@xi4yi{W4b zoe1cTM_W?dQzl>z(l5#Y;RM&h)!k|)_O>|(EPuWz5P3;Qz2wwlnYGvFm*;QNiM=Yq&MAB{1 z!@ugMfD*c<4ht)?ie(tp9z z=G|~;Ecn+-p-qmUZqpT@nYNt&YAR+Y>0;IYXo z07a^t%*evI$GB+TPE;6-zh<^oZD&^(M_{@Dt|@cJ*hr5K40LK#Ay#7X!H72Gtl6Q8 zMeT{XQv-ENZgGC%-}$iRnulQV^gR53pcvTkLz${56~SYZYrJ8LpTTD>i))G{ToHWZ zgvyW15rvgd`7J$U%i$hXfe5%aayr3P?xCw2bfyhc5BiBzih`@1{j9U`i@hurLY(wYB%KRhyI9r3rp5G~=?>O*@FTZnWk^Nn3 zLw_O>E#O*%FpcZjp3y-zpEW8c4EglVwcv;m|DH_7{daK)V*N@2uLv6ps%sZTe_8r> zX-qpUl&Uw~R*VPKh0cA}^UK}yO|%dkBYAqE&6i|G2zmmr*PK-uxlq@jysg9+roMFG zu8iagsSI?;yjcA>*nqLr|Fbs6=?~pdyP0@-0&(8E|1FHaU)=?NuhMVv3s$H=C=>6< z8a8a<+jV5`wDsxWlCy{HY*o`%16GnjhUkS0J?#4Yy-(_e6Bbeg)D}01!QxttDl)oC zAKqzA(&b|p;2BP3q0Gjt)YxVNP4BH(7jb1zjAwXPeCGP1@&JWmrdLL;;Gb>{HrT|L zoUsE?cgGpj7MV~MILIXEBy{$b&n^vKvo1KzTi^qRT1C?B9} z%W^rAaoavVNWgJk-SgE)Z;E3*j3{vcqJdKtCBbLvLILUwenT6`cEk8MKR>2T!_&P# z_pI~r4qlWb>J;>YEy**%MSDD#UJYCs6f1P`&TWtrkJ1(BZ4s>=}eMCtSAE;(RHR%B?mR9YW2WzdE z@WbaCwz6MsZYZmogtq?rWUFSkJCb_g&ged5^{f}~Ef8}Vfm1qMSh4-xggH}6GPC8t zHhXmX5)*O9O_$fE0lGp~YQ~A!HY`_un<&Ywx?4>2(1-$1Ml*6AU--s220fOQQ3MS6 zyV)(*o8ezd`*cg48T-StLn-q2oVN-bqSAFdL?(+Dv(yFmCs2@RK*h*B^6$g?JV~ku zFdC}6-q{VTvjx7|s(*CaBpBEt#bnT$TQ=%0vZauXGF$$atz3TINn5}LISQR*$smoN zRAvfaJXIFcN1F8%Ik8po+sFs|7D{Ey+kWz#39Ezr&3aS3d3gSF@uTWdC@PW88Ol#( z;I%db_wgjqz5NfCr2hLb06s(uE2qjk$YSn|SP8zn8RNyJ6(L}O`d|w#KE-@qT)MV@ z_Y_H*UdqgIo8WmwCV0yqq@6V$SmG%MMcrK@{J-Mib$KaC!leu6NR-Z1`Q;e5@4-9Ni}+IfD04i zebjN#@oG;g1k^}%VaNYtSe~Jo-R&?7{3vP4*HGhl{Om~w-p4pvl0M_gj3v{sGgTncB}$M7BUS&Ya?Wr+f8q2e)q+s=O^4fUihGx zF{_-i;tz>LtT!~A%7T+7Ks2**;3En9d#6nn7&`uNEf@0)yy^RMj)>YUl!%RhW(Dyh zs(3T(4su&0@zJz=X)?!6Sya**!y&m<+W>!KBahs(k7EHBJ8G7Dlxcm>`$S=4s%~(u1q&gA~8S2l%137xLOK$^?7? zjVXBK2tWnd4`o+AQh9Ywwf}=nU_>AmJ^fj>`N*2hM)%E&G4UK)9}fzg#~o*3)J5c= z=HKOA;D#zeZ}bh9=bw6q`98_C4B)*_XjyUn<55(s9uG~X6A!uA088$=94jFQjkf=l zJ&BS&s5yTb@GRB(VEn2OF1e-s26QkX_qDtmYD3E)! zUnj8iUI2DO1yW~`+T8Mn%E6gs@_FJuzq z&LUBmgy5kuRppmRSVYeGK5+KI)OF2w?F-zjZNiG})f1sCvr4oG0d3%X-U;{g8)m_L zsgDVxcFc{B6uEwA+*%v9PcfL!!z5#Kw2VOWdB=JCD}lRkdY?}XeK$5YeftdI-QF(f zb+Xtxw6CG`3*5A2kv_otk~0*B~-YpUHzi2_*jv{_-Ur?Y}HMGDM$bb|OByoPFCCxJ+V#;e+3Pth&f`B{xwu zf_pA?`NySy!r8XeKdIU((K(P!v@y&;&^)M$5`)3R+YXHRzl!@?UofsCQe3KicN_8{ z#Tf?kQNFv32#a}pe{TdJzjA>2|2!QsYD?kymsUd~iQD^9lj>}I?RrbXVTD|hB9#E5 zT*U8v)5?YYh{59YJqG>|XNf~~&Onz25wdD5^@9KJ4fGk28weUiC_ifN#Pq>weI7@s zn^nghgAzLP6i*TihjV-gKxwM$gf$0uCDYS$at2@1^#DxH%G6_}tH`wfWY_TB+P(U+AEW za`g=7t`@#d4XDPjC)9H!w^h8?hV8i|>*ts5RVy8y)3)qGgf3b=;uMVv5|dc6#SbB( z45KcOOR9Ml8osG8Acq zLVHz6uaxTq&SQ2L%=BB!2$VkdcIS`r2X{M?qZ5bGb3^ldRo&7O<=STs=3(k!$h3#*SRDuVi!z`l7^I z;tSfaua|{J4s5;kO|Org^?23 zf#BurtdoYl73<7zl~5)9#SiDCj<`6uGcCWx)&Br`4;Nx+xZP#JBc41LNeuzAgg22; zmk19l=rZKA{}$5s5NdRh{9F)6*3GYF@|$`Ovk%c^{^y3R6C~N?2FHf~EM1#fazzBw zkUzjEO`dG(Wmmu6Gh`!8i! z3G5%ZG7z(!6oD5WTT>Zqf3OzzOv`LDhEM~;p22oD&9!mu>du$x8F%#Xh}aJ<271_2 z|9ykRqb5WQwpSm!K;7ta1GYP{7FL)}c3LKv(151-LZJmmAPUmCDE1^gw8sF8pn@_U z-Y@@)FiZwE{sL;;a2Q8feNny--`INe=j_(pXy-Q`m5c@C#&VcCEQtiWzL)+9*w-kW zW3-ZytyZn!%5+^IZ~pg4$U4EtmmhjfLqjn1A^U3_PL|>DI{CwywLRuMjZF~KeE}L+ zCS9ayXufW`IW6&4byb`>_lW3AOPqbMoB(j-oTz#a-|BTq0Dx$79<+7=>j;vA1h5Ja zkts5dIOp;9{%p10*fuDN4K5_w9-_rtfY(X?ZS_j{=;7CfKIEd19?WHiKZhX@_xB?} z$(*4PuyiDfMqT&^oZVF}$QZqCrE~8jtU+zg2Qtzr38@4;6RhmM;)O&Yr06}Pca>V9 zhEP*%gd||?#H$UeGbTcETn2s69djP@xW^H6088uD7rA;n2t_nlX1}B5!c{bUtYL6fH}*e6aO$`!O_Wdz5ssY9|@A zra6JRbvV4735m^UmjfSPoe8)iWR=%+d9u$XKTPC0`OMV{DDPQC1H;qXPr{sn@-^hZ zE-^ne*xN|0$ly*m%n2K4F`l|{rg8G3dQQ9y5)Vf+Wyrs>)SaZU9tSyg_pIoIX zTvSj2>x`of)wP!?P-i2FPAFqY71ggQgP%qOBVP~CZJPaa$hBNZ$#NI^?2`|%g|2v0 zpT8x-O|=We@aTBzL~j+9^Z{wGl((`Vwp#TfimbS7vBJ%M41|wagdHt7PiljOSuQ;&@H9LEr=BqYX$y>6m`X z=XnK|kb^MR1R*PkYXY5yE~6f2RiYkvIKHPKD4Np zi&wJ&p@PNt%H6(YD6mcDjma)wI4@Mq`2X6u@^>h>ux*en*$OF4CFG5)*$t&oilUUV zBr%nQBHJucnnW|0G6^%5Q1Tk7*KWqXGxkwo7|T?a!Hk(!rH*En%hCH1@JcyJuhf>sI4}> zgypn^IT4<2z6n$#r!U3?Xeek&D{7KzBdyb%xw`di0D;{L(EsywVvES?~ z`_ydeJC`=1T4$I;=M*VeU{p7OP=W7is;ypFgEYQ%zI$IddlCogS^Ap=9B?mFV7cqa z({>=g6!kewN1p7PT$k9tL#6F0Dctp>LAMbaLy=0%oO||9bPkak`iX3ieEgg}o+4et zerj%Rp@JpTr3oRYz1oia+p*qcY-=~M;S37r=&MC;V zq--v$*VKkKdzI6)5o$#V+-m8vUm6N<&0gN_yIQfpEv1~B15i+4j?dacJ%)T1Qj6M( z8(fdc@P?k%0c`l(D)}6xIr@{H6@DR{@3bbZ3zO)~a#i$cGA2AjOAxg>O{4J{-zl%r zPJ#9}aYMN7C1Um4&RMh^Uiw4pNv%cDuEv;hKJOY#-50;?WE~P`-9{FW)?pTe>}na7 z@gM$QZRjhV!d(_U7X`K>7V`37Mc8A@O=`78c_Q7W(bR@f%X756Lwr$kCsQ<)xBfBti|ao^kq-xr zj76Xr*`^LcL?$fQeV8S!-%U>nXxz9$&fugz#HX}OiYSK5Dy?mPhtHDe`j=aQVOorv{O8-0Rh zHX@>JiMGs^#jY3SsM+GfY{FUbHk?gPaNL)j2vZmwA3GFAmdnc7WcJL1iMw~=%t44k z5&ntcG;?{Z>xl(<2Sm`KHOQ!ZWw(7mE)vJwd$Dz_p5wrYah2D`=u<*P>^m7lYsOC* z7W?c{v)XZczI<;sh({kJ6mmwr8@pXSDe_{Qo zDJwhnWBqpmQUBH?DV}GepPLH0r`Wro#`gpOF(|b&rar)T3hOMhKE01ZGzQbToWp)0%dG>m3pLCbOApZ-!nGfK6 zD4Bi0P?^bj+!j8~HV9ewh38L$Mry=rZ<0wI58WOTUGEi95gw<$bSyhvGIro)Akx;| zOKp68Ci%RlECF&^<<(W4K+^0shhAx1On0q3rZ z&FvdaO6$H<2Dj;Gf~%~6#lm3qUUbhQl)IQFNvxc0_efxcM={`bsh}`f;@dyz9r*VO!qQpA6s~Q ztaQ(XMi9kc7d6_(`A@=aLx=z6;fSV%dh0K?Ur%eoz$j+vJV0F-T1i*fF_Jz!4g4jb zK6V#qO78I6MA=|BQnef0w`iWseN}tniTJObqJW=D@T}ET5J4W2yCwZg9SP#8|F{cT z1;?wIndPvoq!2CW+d=BwFPO(mFAeeJ;p^Pi-U{-uvh2{*C&PwKrsy}I+jm-=sn@@c zjITM{QabaqtL({RTfQk-T3k4(jQ- zKV)EtOqn}e*s8jbrnY9#DE9;O27Yt!2%(C^Tl++|1MQSV@`64M#8@3LvqU(yPJ%o( z_MLCRY!i|Nb;=yf?bq%zO{Q9hNuw3(dLKXkAG3y$cuEtqt-l(>Hqt2Yx?EySEZU~kn#dv=!-K3?$qJk(hVui|8`X_t@8T0&OjxF1vINPn<9lEE(! zC&E8#lu$ut3GQug$rIqPdSfDVyhkf07t3?ebEP`$^5hM z;#^B1nKcWm&$0_0#q>zmyzBD$vt=i5{R5=#%+pc%9l}kc^?%fANQR!-#W*?BovcZp z#3{V?E%+Wi;vG(T9DX~4<~YcfU~1v;!T0n10T}SrBjocQYPVxJsn@C#SeU|1nQ^`>BNK>eik6)0J~Fks%H?SO@=NO;`%Zj8 zaSgbq9&?vSWtZ$nU?hMm*A9w?6`OZVdFP$lp6o5)rjy+9A_l``zDuJf6SBmd(`nL$ z>Og_%6x5sV`J_1_YNBMbQGJ^r4$oHIyx~8POJP|%m><5Q??#F^7ErFK9{`BW^j~H7r z+t(Z@bKx4^?c2AV;1}2C>CR~#O?#1`%|<28GPec}7vTZIBxjNxs_gC=0Zz!KHu!h* zb?V^1wImbAZ*ileyY6ODQLFydl+Q!Aa(de$S?Yj;Ytake?A@P2MwcW;@4*)t!GaFa z*wG>O^{|8GQTL(0ZEO-%es>CZdj@qIkoI){d^#eJSdQ^rve8)Ex~af(BltN}p$bvA zhnHL+hHOj|QF=2@qdTCE2&6?Fg;`{HfD|4C1es@FP2J7Zqdo}Uow8@byP~!7seSSC zMW1rRSoPejl&AJe9Cv{8&n@kyWRA|GP_UY#qm5l^&oKZWyJs)r)0dU^vs zza+)#yH|aKnH;*8IaR?<(;diG#;$<8c8R_gn`|GQPLY+0>wMLCq<&#?ID(r9$}}q0N!DetfS8 zH3Rx^pny9a>maTORW&A29na)cZyh?Dxsj$;`bWI4nn&Dp_$01Q`b_y}|w zWs7`9**hrctD8F1yZ@lQ7&rv+IBz6bh0>hdO9w%BcZQ}Dc+*3rd2}Pm2Tr`Xr@hhd zY9Fe76m#P(tMBLsdl}AX6qKt!xzi0ev8q0MDzLkCWgwpQWsW*zc$O; z9pJiy)_ZF+MwtL7HNDSRya|#n@$*6z2YHB_{$%d)AJPq8Q-8VLb5s9Agk=llAK5LX z#ns)XL$AXFZzfcxqT(jNi*bb1I7jPx>h(T0;HQFcMoD;>FqnIQuk++kDzs~>gVO4^ z$D>667|hxuxJTlJs;n~@A`U*4WFjd~V8g;*s%!uIxL+np&C892H}k5^B}SZBxP=65 z3B(@_h!UqvM!jV}lVj81yE&-hR&$yod8>?&-$AvP^x30Z-at2VKPnXa7=LanvEXt55pLnz)?3`Z^D@7xWIfo{KRf-HtWoZc|t!%dSR1621#Lj;`ILMC9LCg4% zs_mbn7*_4kLx!zEgum%p#U68BOPc`ZwhnKFThSRlx)d{px`DSFM1>UMkxsasmK+mg z#3?=cIEzg}8)Y)t7((H4-ul)gA`nX!c}xFj!CkL|hz-l<{k?;e3=O|F7&WOABaX;n_qxvLAx@=bXzVN?^P#$n{&{nHZog-$ ztMQ4~)zlc*FCXus_Z{~rbNN!ho;%WBF#XfEy>8!?{l1h}=I6c~X@9lvc%1zS z5B)!l{hnp6Urw~wQEUD?_}}IHhn)YHuOo+ivQM}(4^=3P0X)BQ(dEkJeFXQC(G7n_ ziGKf?!-zqX4RGpo-z0l+oU2BupR-h)6fbHrSN@QlcQ#b%FRAktLH~TbYH-9wCf!T% zZHmfJ!PWg|Zq4XaTGIv^V%L2=^6Lj z^)NDhm?xtrdjE|V^XoO;bk7NyuL5upl6OT)+IRP8!CR+1-t|Y5=4hCRvM`RX%*>O! zmlQLsn4@;3=FQ{a--+Ju_f#@}=s5b;9Xr2{)b5S;I2Q2fb?vyT7;Da#l74lZe# z#J=zNg3__Vu2Rt!DU-3_(SFes>5iBn>d%XJVmDtJ|M}>4G;`yMhj-Eu4>>SvcmQrG(VH0g(q!4uso9(yRdk~dWpe#*?>L{0?zEa=My&R^0<{NPq+EM=f; zxm^-mvUvX%DXK+7qWxSTrm0uOMC{!{{9)rX#KmHt%nQHIpB>jUuT|=jZ@ZAHcXi%u ztz*0n8<1A}NUQm+(jz5Kbp{PVt+*z#wcY1crF2K$3olP@Mjj5^=lJct$6tp%E!t}R z6lifT@4xrZS4Y)H=H8;2HOJsB2jlj|OIJUKD0z2%SX`;GewV^pKV4_nrR z@66SPI{(Q6O11ebK~EQ67_)n!U;Ct$cj^pK6We_JiNxja$tnS3Md$yPh5LKP>$4FUT#W|EpsR<41)e3Q{ZB8SN_+{twL|k?8;c diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index a6a0ab35b32f0d08cdbc279170c63b9c19bc5688..58f1cf6a305c356289c293cd1de162c835a2d199 100755 GIT binary patch literal 16977 zcmYIv1AHej({OpUZC&m5YW$0Rap}>McYVV zGqGd$VcbyyffDYHyaC8eyRiD!J@^#J#K>R)Ie75wz1Y!q8ei|KYA$MOZZ0a57nbB! zZ>#CmpJyLaZ{=-mZCx-}j#Ihq&5S}lHOUhGKI#qX0r*c_LtOh_yb9K_!{t~Xv>`38>Ij}aFD8|>to4e)i(H>WZyUX?ev;RLi zGZ8&`1OYXRU6GWKFX9xc&=kwItDNJW!RT@*3k=(BmxdPy`GQaOWf}$91C<|O<1pHq zJ9XNIJ=qx&;J^6`;})>D>%-f0Bu47+h+jv_yp7X-^MiRE3VV1oSgBqfOaAfA+(stJ z_6Ikq%LUXS?FWg-*QcZp1{2<}f$9`Az!BanXe>kl3z`mkC}aoJ$`*x4bS1Gl`pIt3 zj7+zMdCi11;y(x^3B&3>MSV<_D&8EcPa{W-shKmME{ zkr-LiaQ&;fT}&49(rv)a7;ePKIP?8(tuVR9RF~?^?3R_*I4i}gLkwNM~9fX zcoLujx4BuY3ocG}N{=6H6NpALax$ZEGLkbyWfXZ2&L)#VTjVXfv${<`DQ(h*1n)9l z`*TpmtqAVEYY#$lKWE8x&uwJzm|1i`kCW_a{o|Q^A83;Eb;MY^?Q4xwr2W)^f}_tW)o}{FXkR@u^!@{Lp-3 zSQ`5I6So(?cz_z82l>b0IRPlqWgZ`fTit?-(V2SE@6J~y8s-axp-(2aaSmyBPw}ai z8sUeJ%XMcjb|zoTViCSPeh=z}>ct!UV{Aj%)L%|Rz@TL)S06(u%+_+x&lforpY%`c zSAtK&cY>1_^0$NLi5!6JGUY#f!K&o;L2iYLOPQe}!>lA-vd%);EHSBbQ0i z<#nxltGqoK+Rr-Fy*}}qYOeXzND(~{op6`Z*@fZM7O8AkcylVBWh>4irYbMA8mThN zZYXA%DG{>H=F{hk@RS&33dq+cKPRU=s^mDWEt$pMA8`q^C3=sZPcBBn9$r;dTxXz*b>XMxig`(sc1uOO&~ zfg&0R`?{^f?mc!Lc$A^1%R?&;o#B&Iy)cMS5%({Py#wV*SoL>{Iu@k6a zV@s4`)W)CilZ(OloJ+$_tZG6}p+Y6=3)JaIWZi}Qup)dvD?2Wq%W zv&~fVA%0P_x{6hcmMWX5{6({hN0EhsxzR@A$|Pl3<+jmsJm4q;@vGceHpDLi{*}I3 zU2*+g8GTjgOYDh_uqZ>H+JaAJU!e^q1@X|6-;NoNGC?z@sKbtT&FB4-XoWD4j>UNE zy+2P@JB>FlVNd|j33iQw=X+R?K$7@Y!1wq(?k3GrUHRL0iwN_D@8+A=TgP=k(e-!A zMXCBQlszZmZEGc-2|!$wGOh*2*8~_+KwTv_G?Upxoh)}wZx<{faTPm}`I-LIU7%O9 z%S?Mf@sc$j4xCL|BD%W$V&wX^RRRpXPaeFA#{3s%Hk&A-=5i+XX4|js#!)}J3}6h- z(^nJwrQPz^z3Ivq<3#OOkj-074oSRW0%NaEwb((dx%gg7r{rgz?C&MY*OT#EN~qUfPL<|mjkS5TUxKt`QWoz+phjh@ABRJ1^(In zgFDeT^|zeg@jsArb3EseI?9}m?fC0?RFZ_j8~91`?traNl&t}V(-Rn_A99kwkCfi{ zw_*t&xDCl`$Le7UZ7sK}aKnO-pyOCNn-B}m$Ox&VD5$BZJp(G-0yO$yFzu>f!@^no zsDE*{csN$<`ROV2HqK(qD7?BH8m7BLBdBAV9_^MC~6TYSZU_X~pVk;b_@eS^HGgP1?j%ZBGyR(T-6$D&59Lhwnwge~0L> zZy2@*8y>nk7ipCZ2qo9P*V@yZL0uLCs5u_mHr<8FtWSJqDVuDQ(o&Ek^6!HmBZx4M zIvCFwrzdYr0wCwG*_J71%eo++L$HxTqtVEP88^Q?)qV=gW zWVNcSnsJo0UiRS#W?c7C4I|T&@qH{EIVjAGO5dW8UO))_1BQL$j9Slk0#r>vk2*pW z&J64%k{8YX?}m2LHQngr(0!Hiu)%1jZ@P9=pr`+7zA4;D^+BY9W$JYr`?xFx<8Qvh zc7B-lH_VNUr4ePjQ{y>&>$0E*XO@6!Rc>)9O0*NpqW>oS5e;*s|KhpP>859>{OM2eKbj zp;>QV8nQ%4WZ6_$*~)UY7hqN*fi#U2Q=!&#DDBigMXyy|x~My0W1O;2M= zROrf7k^-(!^~CM{B8)4&us2MZf`Uy%m-w!^Aip`km~HaGg=6AZoAF9To~r!?J(Zt+ z_={r1ng)aFMCK&WR;|q+ht@`ly^w=#!O|}*HYZ2(uL(*EHU@fi=hQVbLe+#VSJ**j z;XtjPWEqoUZZ1b2+!`|%WpS|gvW)k>9kQ+{VlQJOjLrO<)7pw1hFz%q4y z!>}_BEC1SXFgjsbDJmrG6-JEye56chTtnrn!^84kqUZ z$9w;cUGEzNo?)L*lugOw>15@zw{eTvIJ+dQnY*Q=c&zSAcd$6SEAD%1#xFEk=WpH~ zO-~a`91bz~f9|md+mW5d-LxgucP(}rkWAbd2!02Hi5uJZ$(h2J>=41pq+P7vdz<@m zt$bHQG{a#ou@j%QhzYx7>saGm)wfL>pCeC$q^{xKQ+&q0p=@@rNLHKZgi9^dyp=Xe z?&|Z!H?=7;y9Njuef?`}Az^G*ux`yXHH?p?{bRO@=vy#P6uJ1;Z|%}n?5-M$XeCU~Q;EX1wiyX@fMQLr{fTP|&5E?c#Dl(K6Y62flH#~o zoK}M5ieHJfA1%)Z1WS>P@i3rIZ33V6$Jw$;TrJ1prxU{2BKUbP))uiW$I?<<-5_T7 zodqx|zr6#hxmXy&s_8c$pxV2r)3I(aQ^#xb!D=K_h>^hk2iZAO7y(m@6~b)sM4!`t z`Z(Bqi384@)Uq#})QWxw)$d@sVD84Vr{>^DhVR991)dQ>CQRYH^I$JN>+NT#Wk=&`rG6VViI#dzLqtDzRMn9!P3U%9$b=bCZfg)?Z$DPk1BFm;ma zPc@p1Xi1B0iSwrm8H?Iuc1>cV#U-qo*uxh3Z^G+=2y{xb;BB!2bdurgn=Eunp1(S; z8CsxhH1xmJK#PGD`S6_vkvHKPY3?z^25yW$ehBEZ!S}*59n;&;u+Yai`$lAwQtZ`4 zMDQqCe>;g`JDDIRqmFL#$bbEi8w#;!IvPbrUGQjS7?GV%2+ft9DDfORC)>x+ z#dk11bQiaEYWn+2GtE8*sZn=747}6C8h4V5k57<*L@Fn@H*x6K5EH6_p&|x;&w=Qz z6^CBeQ{<%}cs_4Q75SMmpBttf&x`|$ddSZn5gitkzd%FiU=vTd$jkz%;6WS z*3z^AsD^N4L3D7qqW{#oTppk* z3gsdlg20_aWj(`LRND&<6R5p9@8U_-D%j8N#c&Xc1oJ{3kvki~C26gEerrY}q!jf1 zxYb$$jO1Kq$uOo;@R_*e40daLI6Mp%_c&@C-Vy931*d&e-otjb34BvkCnoE(X=|9X zxMF(2uD|etTW7AYEMh@HKcI~&kyv!#kZ=`#ahi+I?&LCyd{Z$H{+t3j1PFML-<(V@B|KY&Hdk-fItZIz9KJcp|Keh}6U!C)i6%9gr_PNRXu^>IC`1QK znPl_>P(#Sf-W`_<^`Ilz>pP7t$!$HrqcH4_!WH%29MDhdyWgqWKxZ1@95b2(`xhPV zI_$2oZ1us%(@mD{Us*Awb;F>7nJQ7(!G-0bAst4|e{*H+A#=7PY=czwfst|;;!SQQ z>qrY8W)$_Px;nvWd0f@iCYKO?BS+te5)_;kms4$RG8$COp_hQw7_MQB16qcDwPej| zm(%9K5P=oL>aSLcQW5Lo+~T_Qz_k%mSWCJL>e9t;!cqMQ8ok2cVjqqc{H>>N3QmxypW z3s`bLRve-_hOfpxhHt_?UMT3lohph}n8ML!p2D$ao+=xz-p`W4Dad4PG0bFLG0aqo ztsLe_WfA2vvmfL%s~zMlA$*Q?V=#$!oZ5+Wn9`4OknN;Fxz--TKFsXJ*w5_6+|Tr! z{JGVd#5~3oL_5S4L_fs!7%AJ~L~WGh^g}J$=|{#qSGM2d5X*Jjy~*AtIA;J9HLX3D z%g0pO-x6(a4O-pQBgeBjeuwGDzXu){_A;2UN^*CxS*SMJ`|(KoVHKnGoQ*&4678lu zOlWtdiSRiyM$00k);!18s6=G6cXfw(L=y-17nJ5i)5IZ$WgFuS9W0qUCHe^p zhD{wUY3vHHRW$Gh-HY}K3P$%F-jwG=nSnRmju{@$n^eOTYj@J?bel7n zL5#Z$PW)ko!%!=%PSemW9_PsE=x_NUjmJe1%$_(;JH`80Y?jA-&lojaHr!Qy7`rJE z7P#EQ6cbv-cBDZVF&meYb0!}e;lYxVXF!5WO~N&6VRs3!xo&`zQudgxsGtq9!-~? z-ETTj{p_ZrAd-(#FY%3>#Bt^4E*`;jmZjN+!~NM1#Hn+G6{~5_bQtY4qaWosv*W~V z{yD_3F4(CMMVqmcY5dRF+MwD&)Z`B0)r7T3)`IfIzdzv?(mewnvXu{E`-~%RP&0{0 z5>?gt>EFKVo_*R;zueBV3ex5F{a-Sxz-jF^c0<{58b~5n>*i~LV1d}p0u@`_(QZ^vbRNg~!zF zK9*Rsx?i=(yJ8sqJIjcv_aX&@2#KmI)HaTAJbQj3lu`!NMa_%bddTf9?1P5v>;lEh z+oJN|C-*RC9XR)^o#p}sC*~@*&XX>pF27_Ad#{O7M)T(WvX<*TEZn>haG8J#5FcV3 z#o|rr&b6$(o|O4uOT~;X*Schx+F)?vdUGdT!0x~Ydnww`4`}RcMVpr8ouwblOM$Zc z_k)gaMA~p-S6bO7Q0)t9jAJ?dNO9#i&jLkuNMFFeQ~lX{(*~?+Qg@SNhEZlhEo8hc z8YQjMh?}Y%$n|WZ{c}{1xnw7z|M?PArwHKJ)MM~R;boB8uW8@8&~MlDh9k^` zx_Ffi|82{}56Tecag)9LNZ5F*~h?3Ahz&ZnqSV8`k?=4B=iz*2oUhRB`l4nWc>?*gFBGL(uMr z6e6!!4o&qF;Ll$Gcf3+fU4O5hX^ps`vM3&lc+OQ`g3kpeK{|G;E(7iopZL%tMBVDx zmgtO)hHNLC$UVw9-V7rA%orpD!&m2#57MU&_G&YZ47J^UzjJAD zJAZ?Za&Nq`zsz&9|EzdiirW^dQsvKBCrB^(*oafz0 z(+zvrS>Azns8(^8Or=*b)-F#de;5)z&6=CzpcU3hsP=_6?7zTi>Nq_=LA~--#BJKK ziU_pV$ne^Gs4YKt_PR}z+5*N6O|#y<5+Cdivs!*tAKWeB9d3jh(Y;@H6XZN{4ly+Q zz>BF-)7=g@T)){HtW>^37}}$nW9{9CmuDd0#Da#mgvo#XRyhiJVlMZ_8lx<~BcRHu z90LfqN+tV+-&vFcb&|fsleR(TJVi-f>5Lw~<7wYj*%@T%?O7O?M5y z61*Q{-7p^xrffrV5vj1qs(1mLd;SuN2ue2RRG|8Jk?_~G{rV)^`G6Y9=Y0Y_+o#_n zB1X1K+Q=#IJmNy(Ou4Q-kyCwWr+?c=|KN}E4bH!=Kheg@2a??sxj6deR1s=JDva=p&zPFh8VcuA!#qd)hJHm#Mei2m6?VkI|3F zhpp$*81cz`WBK0cYKGmPPoZ8;fTn&1ejA~DmnKAc@Yzh&BCp05+lH$S%opxqB3?M= z*A3=Yg6~#Qt|aDuX0xh+PlQqIh->fkxN@O6wdN(%?Sd)%lf&7EanlGphuD+YK8S~d zk#@*lLwC5|oD3|47vLAM8~jm7hfdOmU4M{_rfx4JlVmn8?09|Y&%~6|eNL|(qO*F! zGCI)0o#qHr0Oh#&H9+z*O>mK36-=(T2S&fIW4HF9 zIOiTQI8M`9=qhQmq)=ZZ54)s=1$%$==sbOXex=iHyT8%(`Puk!j^)az-tKF2y_W59 zzWijfu=1GNbykxrqWc>kd@t0+jCS{Y`SNeQ)%cN;y~ADjZiFe_=D6!;SHs^5sME#} zI#wD90;w?D&9B7Ik4RZ)?tJ$CXS5awa%aeKsEq6FME;~^;l1r=i>{s2HF%=zesAQq zKod#H7hi#Y|Dkj#!Fkt%8p{6kxJCO1?OP^uaGrCx%lKT9oH>3@Kb95wcHL*^n^19| zzimyX#?x4lL#Q%HUU%+g{p0&<6poFDXC6J(yonu;i;61+*E7*Cxf>}PQGU$zKS=}K zTt)oKr&7Romc?($li^YddRN1F7SZk4;1+U#DnTsWRPt(abG>8L^lc+R_T<}yZ>v7ET{{PglV?;h`30eK-t zcB9?H{nI5dcTcm;UkOd!_SY~c4{NS=>);Tw420*ULFD1+S z!WZdoNPYPOgXr|oL4u%)+xhI`Gw$V6W(y4c&?UkziyH@&jFQ`ddO9NK9>-Nd*NtiL zVlcMFDZ6>KWGbxOB{;q8-MP#!fMJ6}HW5No!x|#g-x``F6`!{9D}nc&WlADq49m(CBT_LkfZl7`qxtcD^X0T< z_(am+e0MS0HloV2lvb70+Zard6^zOURT)qBFH;GfS0{jP`k@0?!wW9PZIWC%&)vnu zoI6FU7Ju{Y@nal5-nZcy>8kOE{$zPQ@vH+VHwv?aCXGwK=we`Cc}i=cdR@^Cgg!2$ zpM321vDs&T-9!4_0g;mL%-^UJ{wQ>giJP*vCKB7u| zL`%p&(?3sduX_gVJTL4x`1!wh9zDHj?YeJXk^uFYx2fOJVsk||+o$XOI0rxB)#K&R zv-~mA^tBc5aSmVD!7F9Q$4dn{+jr-S00Ix!EN-`#0sWUHjVe#6v2y9&pWLz-Us`;h zseqExaX`-3<&xQFBPLPKM>_ECMV7;_{m$pf?d84+%kw`x(+!`(o4$I$wW|N<_&LG8<6L-^%*61h_%Z>53nrg?u9Cf4>U`?xXBeQZ|C?5?%@ zwB_zTXp1fr7qQk;E)yq<-e5iAYo2dYmx-<}GX|S^`7f8=_c9)*-7xNgFyp&bwX{## zE2V~ljS&*Q3V(DXw1o*hAYnVsYR3`l^z#Q2s}0a<9B8&jP$8$~Y$!HD2741N{6?oc zw0n1yGRaKCHwy-f`XtFoD%y$Kt_9oEE>c}HOp0=C2udsXSyFs&R^D0!K_DcNq;f_p za^l_)G;`HV7W5?~X(xo$B1fOBmQyZT+PP5#eQ6^(GgSofT1=Ft*vFcbnz*Rsq?N!bSG?K~>dw=r&YxKcF`WSn zr2czYV>yUACNBPu`kaHNv)vSFq_CD+#3%0P!HVtj6eBtieSYjVy>nnv22CyjEY12B zlJ=e%q_CQ@x3I}{BN56&u{pqaj+^FrNcC5VCZDul;56eplXvb-Z zu$n5_AKk~Qj0%+N*DEYLT2h9b33v6EaJ~?f&$~haKn{!aDPM!S_%R9=L`Y zt%iC*uPl*vu!b2+Xmx8UWxn^&(z8=2~=X>jD`K=5~-a6(U}6 z=Berp96_#SBJWBwO&Sdx0Zp6vohS_jl`DYom2KnQAK~@pNP+C;v-~h?hEY!rGbx%4 zu>r2%3qok~e#}eZ+!)OUq`;<$d>@d8VNG9N5Wt^W^LK#?tqzW$XAjKFjNFfSk#|gU zGxY|$peNF(CyN{_Vb0_+>RKfE`^DjirW|4PXb0@kr zKY!OYk$1b9&zXE5qz13rU%6tOe^BHGrgJt7W@RBR-7qgDazB>xKS0ghv>GVCcQ8dg zq0e0XGavSU`krXfnPX|Nf7z{cjOKrEnKx@TVEI3B(sEo0+u~cy68^Z5{?VHiC2b6hY}KwF?aXq>i=S=-ddyy>qZY7m38OlA;U9-ijoeG!;E%|U{bbFIOCXF zzL?U60u*cf-<2zkaJdSb(B)xuP-!DBl3%-XK0g}Ql0|BIxF_YZ8R?m4py;L$Zf@6{ zL+3o6@$Wfzd|i(*KZUAqAK(U2;4P;*%d0!tpFXPL0p%LoxK8cRY^^pFD2l{K#gKKx z59e4sW{i}#{oUpTyWxOQW}g_|d6fI%{@5F_3BNyg&p-SIfEp*w&eV9nzdfKWvDox_ zmNzg3_+mqUVL}B;|@-CJ}#i zqb`&LBPl}1%!S(cGHI+8#0TnmN};SNszU3~A~i$@LFRQP4<&1s2VICt4;m{qM`=X? z4BP6~SKhzK&Df*ajr_dQUQh4J+j1~+rP1Zf9l+)Q5S%H8b*G%IvD!f49TMhC*+! zzxS;+lC`EZ8f>mwHFtYEs!nPr%~=K1Y>JsZY6)%+_^-V-5P}cI!!boa2-tl=j|1&Z z-0TaxEKt29^xOSwU5*iDNXB~^8yUo0U0roJ|6yDsh;(0C#yGX4zj7T^pc6`*W$N};K z2Or?1*F?}BUjifVP(oV(aXeud= zvz=Kj1)fn8zC6Vc)?owN&@@MacqA@?1@=#ieRoqMN8Y$*4{v*w0F#kGcs3hxCzG{l zP7qm&FuJQ1$59FV=SrIz2cT@y>CwNTlfNFP!rR7eLoN193Qmvj(kx4%rGF#NV1yp0 zmw`>`#g&jBB&CEOgmy(bPFSHMXP1bAj}vf0kQ#vI5=l(7Ncx3&0#o&Bl1BZj$=auo zyugWTI9L%qd8cQ{qNzS6R~TvVz_x?(xNHsrst8em5A2AWuk5^8{k>OTB`UNd&sT{7ri8BPti>}7 zgM*}l&9}U4_S@*R_=oX!5s|s2E1DkR5^~^v@(Wx zj}9T&c#T#Mw^R`BbZrpGKT5j1g}P-cTIWBCe3@%NA^g~y>1!V0xGcv8$?>Y3cCO)T+7q(B>}q0EDBZM!nJPE z2HQn>?LEa2Il^;WH`Wc8>)Ji5gYH`LpdmlS4vvT2>pZ4hm>S0FO?X2M#5u-*=hOFo zF8V8bITz@S*!Yg9jXi!Z4g46zjQ!Wt#!*81^`HW?$oaS|uIx&CUjZPThy$~AxAAZG z%RMV2|Ay>+@(mDJgzgtDyFtY?_OLbmj{S{=ET2IY2~5{?iieR|#*sXV1L0$fni zEvVSJ#vsva@M{xYTXM=7GJ^Cgv4)!L8%cfpV##7=$#v9UYWWt286i@&&9h2l5toLc z*fzIv(89e??6U{T!239GQWi5Nha&T;k7nEpvWdLlFQfdAyhb|z4zg$?kJoaifIi<@4O61nMYHUn?Z?#rMSF}OF{}OhnQbCdslgayqK;>`(56ms z-iz#~+XAhf-QX8O`avsT;1)=DrpF0;DH2i*3;qO#-ShZ+2+^Gs-~bVDAPYE9^BwtmA$bN-%+h%O z5Tfd3o#O>Xek#AJmSBKLvVF-q^*8lPNKkIXeK778l)DxF^L^b5%l-)7?|G5;X_>9W zhRWVeeUt_qX!wrQf5b5W4$uJy%76nd-w~oWl9E@Fl6R8nN8za(;VB3RKaBC{s1Ko( z7ZO?!E&@*`lSWE;9a=mzsdiPw#p!d+P`14KloG2k*Y0&#l9vi5*D$QQ`KTVi;!OL@J$X4Z#c*M;?B0=3tg|@{EgtvG`Dx$*LfH)hUo6ucjWpb&I`0xPlRmy7|Rhr ztZmZ6wmI`s`fm6Iy5oLzb$W%XQT|1X^yeP$lRR8|$YG1q9U26vvI1l(=cCN>(Itrm z>7Ac5wDy3jFZk%0aWZT%VN-=!3f?h=+%pA-T5NokjS+*8zC*e z1{8crVqIWo$BV?kcQdpB3?TO}An>1%5o%bUAK_R*eqwurJKKrw%r9=_y6~Sq67q<< zdVe8y|G*6p5QX!AaspLMP(R0`N{;WUd}HIjtBvxNMDF>!q9M?m;am<=!}bHjEDZfjlvTS(wQ7ehzzJ7x4WPo!*rwcsn#1w|E~R4k{ab=M2>XM*06+DDw-_uy#XY`0Z=l%I9pVDI%s(Bs~u+wL^H zM6+QB#FgvAxugm|JI$K+`&%VO1mJ^kW)WDt_5FeTVC!6IICfhHcme-x|D`GKVns<#rovXDhUW zFUpt4Kzsy4@36DNFH&*kT((l_BW@YIkD?5zrn1KJNSX=p6Eua@TMADq1@}Pv)>nN3 z|kpV2&Y&xc$VYSU>_%FgjhD~UtOm0fq__sSIiTXVM(dtHXj(oJ@uc(h^ z2ReCdB`xUg2M_;7t+Bf`3ZbtBqKx!TN*Qa8El8$JOX(JVU$wIrQt9ySd}ZuN7=>sG zlF_L1qW`<{vu>^wZeQG|obJGTN4Vb;=@wEjrD^jEN%I@Y_K(7~-RqYS;0)4h{P)-* zU@jSZKeb4pJCG$QWB1gAPStv;i|--il|yvrPjr_{^baZS>;$lfnV%}i`91O9^Kv-! zY>3nFJaK`tWhcgehn*}i!QTbPFH1_=AYWi1JPs9T+{ixghgOLt(DON~5HU8K zqW{kuv=*y32mj``>jEe2MPXGBF5>4TP80 zFhfl*ml{JEID?;7X9qgEkY8i`aX~QRBv2sl%V-dLg!MGQrJCM;44uI_A zIO!gwJ+u)>$~uky?c>#oO!m|72-$GpfB-Q(@0d@Q@A?tWqZ`~%{hb7Afa$OwF?@GM z3>X(nBR)9plml7#RtXddBka#(eo$z;v`TAu zSvsBlOc?Ju##0IbZyawYkR#0Qayh8*S9m6JW_GX_4aPlH#yuwichu{9-w`Ze9OD~F z^DBwoo$x0@+*u1B!s23hVn=w17s`6T&F?4NnmD!h5bfizj!7hsW}-WO0emZ2QN>Ru z1h@sm4>S7-RS{|^0N z{14rN1KL)rA<@m)uWpS|r)}L&shS}-PZSQCV6Q=75bUn6?U7zUPuxydUpqz+|ME}K zu$#8ixcAFOB5<`$c)%^G@0kb?9$H=dk*;t0BW}IZ(|1<{_-z{fQ7!XPFUeT90NsI_ z&hYk*@NIV#H!qa#M^ay?;1ZYXTiDl5EQcB_hn(%W_f8?g2U5a2Qo<+FC(zx9RW9|Y zimSiJc~W(W&kvKQSC$Op+dr6XP45i@YMP!N`21|A?~h>w)f6p;BBQ9{@EK%{=cP zBm$0jddIF~9C17fM}0PqVjh#@>^561o25gOi5DlwRE`H@a+Wbp!#MQid&JG1 z(t3L*(=uGGiN1Vx~JC7_xbP z#MracoP+g(FvvT>``6cdog7IjV*v#Vkz*x6yr$Pa{|vdg=(2&*$ei$VFd z_;=7~-|7IC_Z2yIkC7`rgmGnmasF1t>PN~FUP1bw*~3AoixGkPo5XhT$KE`7Ob--4;Ij+Xn;5Ut?{JJQ% zG+;rc7d!1nwABsuXh;KiQ1ENe_R(%yPD|^Q`&0cq`pcoM@|i(buQO^|q=dJA%FWtP zchv}~=Z+`Rk1V~-)K}l9Ujv^$q285? zQFx2YzAcoTTYiv)z`lqXFSU)&wV5L3v@~(PmxvsFpT8W=Uq6bllqNF~D`&^|T|%$? zez<}SM}zMhoWD@c+y)8kp-EC?$C&Dsbz%;;)tvCX!C5cIdJUy}WeW>G z;ZKLR>s;oT-rj5LQa~NgQSmW&-RNx>0pAx0+X^>k_>M5SfT_v&69|qE@*eD0%_y$7 z{}4E;2B}~4JsI7B3^#h__+RvY80Cj&RNiY708qaO&pA)s^}EPUi4-_>{HpW6qVkRQ zC>){|KKCQ5KMcAHynQ;#c=5TI7*?RI`Xi7eTK++5lHi zdDn*eZEO$^8E=AwY3XU{>6y-daU~dvtz{AdnFty9TU=fU8Wlbw7ukq6@CO#^Lu_OM zRWAf2YW~hGcs~*NMi(F@oZs+^r$^}2WKXcP=D!pD$ z)MEcgVL}&$v|bulznod?Qa*0ewdcloYlC`onbZE?#Vol7$|Yz1#mM!ObhP~jkR?yY zzT_nJ;)?t-7>(^nJ)da3dzsf()hUILoTYjneQu5+X9+uLmGN47r8M2^y{RY%+cs*m ztF|^%T%SD;zi6|51P@2HODC~$60z;^^*vSgtZk^!6Ed~ZY}@ost1Nve!SY6|Oq(0S z0)w5Wum@|&L)kHomQl7{;+V%E=7dW%?Do&g4x-&bie3TEl78>?dl$652?)Eh&@4#H z8{QtwzOZfw*bjVA)+?4)P)=5x*HFw%{{zU0P!GR#srTDuZ0zvC9si#CkaX1IayYDr zhhNCo^F#UgpZJL2w`~=s!M#SAP_)MqfysAIry=&zoz`K8M{ zBxi-?)e7sMv072Wy4OW8A&28^&YuYwS9%~D?Q1}JceYks;oH)L31+agRY_COPQ09E z)QgwHO9;x+##9oj#!j96((lX_MS7-@F@AjTYsEt5MEpSrf>P<_0jQuPxH|C3IEUp%N~!A<&}w%2D7lFQYABQ0ry~R_Oc92>E>?R0u&hu= zK!S<&wN|`eG#hLW04=2bWaLa?ADLxdW6m{41E3T_v6Bc8!^)KDu9(WvRgSq4$<#&LGwSdeM>>>IVUiY=pxs@OMqAz>X|@va#3`Fk$q!{9zAcX#77->-iYjnr3*jf!Sd29p zmyj}~gcj?^UgF?TTYP+g;0?TCO|O`X+T*=Bx5j`}GgZAh*vMgfTOCUL<_%B?0Incp zmIKD0nGAY%SKKHs2P;Y?_>Pm|g#$6e8Yipn^V9aJ$L zhvW@h=&s+s|7Ie^@xb)Zdye;LXSlYKl5IsHgIGIJ2JTI{lm5mCaap%WBMDkVO-gr%#iUr5_;6~G4X zAJVJvR>%)|P9pBAl9I>T_X72gQ|rSQHzE@GF_bN~e40Naz1Cb42p3^oFK{M(0?_f1 zlC&kRzzCB}mEkhm0q6)cs?IS)fE$9}=$STp2;o4~O`Nz4iAd%D1ZV-5{*UceFW`!_ zcc7>rU=>07wpTyC88OoT)ZAUc9W~=G6@}t8ggELeYtN$xr7Cn$3ri?+8OqkfysGBN zj=}Ior77|qhv(^12Ma+XPLpL$cC7nXt{@QEDH?GfR=Iuyk}6;z$>F9J)J0s)5N20x z1Ky}u3dVyTM1ktNSty?^xFIT%bvD^t3vQMIZe-jOEx3pjuDu+AOou1GZlOMCchx|p(#e#JES}cueVahW~wYcMR?qUhx-8ge^ z8AgWe-UjqFD_tn-RV|0c| literal 17435 zcmYg%18}Cn(r#>XV{L5Pw#|)g>q|CvvaxO3wv&y&*!IS{Ip_cHty^#P^vqMku9 zl~-4~f^Qqg>#f(-PnR{&5aQU&ZhnM5&l|@vFb3gSB4cmy=tcdiGI0k|E?6R@jD{f}JF4nv>yTHcFW zQBYsuxYQZyl6af}27h3I77cEdICaaxI490FZ-nK|WV3&*g3K32|SpWHj= zt1R`Od*g@%=(?>6dS1Yi7}Z3AO+R>r(jU6F?>P;7TURxAd-lrj7si|2-Dbt`CesM> z%i}AXim3p~pvjxANI|Kmz(-x%jWF9@(4J)ZmBT;izv=&o`-`vKN19)`Mc4Rn+*QQ7 zX3F_Y?;8$o7fcUb){Zu1w}f0(-cS)x)D~!NhK*>P!XDoD&q{P|Zo9JdG)Z4R_@Yjm z_3kPAVEcDW=)dY6s$b@9G|-FHP)i=OPXb2|og79>b$nwhKhWsCpK`i+y+RMwn%ym{ z-5D*Pnp$z9gq3}xEL(Vt*vnb&np@owfOdJCQ2#gZ#@q2~&IY1dO6Cy+xw~38@HoGp|yGA}6oM!aeGFhpC9V$gth@V0hFYsp|H(h0q_f*l~JC!5N-RQomui^u;m%67W z6>f>liHS+>3F+X^=gxV+^r0y?{z)GRCcL?XQYUSeRa-V?14(U3%Q z0{tbIAvup1m)@C~^DgHti{s5bne#lIqgjqSKUt(loHlt!U~2{wAmh{}eqT2PDI9I0 zfgWeHZh{+Sn@agX5?c);3mib&cuso4S<0(JwpJbez1tTfec|TzWpu<<$6ok z?!Nyy+AbX(R=7Gjy~Rj|_E`H=5A+9W>hNrCqwJd1-SB8VJ&9TCKDl7#RAF$Qae8)G zV_n-tELwWK-LS7nk@yjKN}J=vD_s9rR$AW(&0-o=tg)Z@*V}TC;!hVKdLZkhiSR+p zLZCs-d!Ip5DQhB6^fn9UE|9g5iMiWU%mB-q+6rj{bSztjO38V^vgG0X~Ed@wS$#)N4> zOfFe=yUujDvzlJ6}%k@*73JbdoJ1mcoa^wV4Zl97BHp=xf&&MWQcuxf*}3&!tNfzq5zQ zH*lSdgx94*mjGouYfjt!1bd?O#c5;TaNSf53Fi4Dv(slLVu<56k13)je^6-v%X`ah z{&a3Evek)L4U<2vL{qQlC%&Xd$1G#TWl^=%LhR!_gXXOiSw7Wj)H&-zdDc_ZXK5@1 zjV3^kcKL7gkmmWm$fsuWJ@R^-HsN~WtER@xd1iz2gmr3TZgpGnF2tE7!t zwQ@&tNd#2xDK7>`gMljUuF^hhDk|o2Q5>PfFf@>pd%!C`>1WA$K}Oc6af8mksXs9dJSdB~uK#d$ z#2k|!HLSQM73DU%3e>C&X?j~5w&XDRaGY{v_MDlOhfEbqa)tjb{HUcXLM-zDxWx!y z3w~%WJS4e72$%m*EYPX8?Ezh#T5{-5yIm*s!{6UO|D$uMvYWz#20bvU(?F3e=uvbI z`ocmY{AvkQZI;uM&@vr)V%<;6c%68z05;UVm=E-_q%Z6m(uuxHXfjk^M&=UME`93# zqa-A!HfC5SYw$Z0Vmx-Sc5!MbTS}XJ9VxWA-g*;d1tXTE{?q>N#E2@&U=TU{++b9Z zD{u(omJ%=7)|aazIaQE!3tYPWFaF^@p=I!O(TIm=quD8{%PFe%-$Gv;f<4cn<3a(( zS7AP${}wx(C6KrA;!`khlCSjDaqvVxO?oCAx;V|X`&xfp${^7VkwOKq(v$kIRUbn8 zy!X-x{nj^St62l^>Pofv?wAemD4N7Mc`@Oj?)E$R#2;NjIq z9gvH{a1`dQv|ezCjUn{=jd-b(|3uHj0dBV!^yL3VL1rSSGN@IHtz5t3*R;8O8caQ zqHykRqB=O-4;voCOtc03emPXYVj}odBm3{l$sqaElGTn4VB~5?W&MMi$$9n2^07Jk z*L~tIu|K!S(cuSh2;USP_RYf%?!rS27XH}u@PSrgc*8Lc@Sk69?4$7xjaIA*oZ)~V%Z!E3xT>CO> zKwZnwL(Lwcq&A+hRhpJ5rFB&2)=!|Xd%2^NSB-c2=5e`-vy2=6+w-uL7;<94QDi<{8fv5yN_c_3!iY( zdw)ZTRh&*z@+{w%G$p1FE-t-7O~}O2jU7IWX3^cGOj}ypMSXP`d{#jfDTm0)1*>nk z%WN=KmZ7~tLzS^Co=#HKg{+T5roN%@1zxQZIVZ;s{ax9Edh^GJLj@sGyD*RJH{* zl5)21-f6y_aFR>vMSbeCsO9F4h`vmz^Tc-21i69-eF_cm5$W3`*{MNsEXAC{NwS8W zDkL|ev5O)Qtg4->O5UZQ=;dHK*AHBy9S)gk*6K~yi_7PQ+)R1gG9l5}SIA6cHbyWw%u;l831^<%Vc8W-W;T5T3lzYuyD5nnRYmE-qkz0g z3Lv3_e}4%3&=5nwr!nUNHwe{y*Tp`Bm3*rqjB1x5$~XN`?b`I`XM^prNobzL-p7X8 zyWCf-_w_Nu-2dp1JgYT?mc9*qh*DQychs^47=0MWtV#;ZwiWojsyl!^Rc=CDX|}^| z)Y_xB87@&c_GZZM_+w`FeVnlezNy=QwXV=G4lkAs=Es9)7#d*!85!U%v9UrPqasDt zgMNG2?U6(89m-ePy|TH&J~oQ}zBEV4`%Q1b4XO3S!6j1U z(k83ap3;OZB5n0HX>kuzC<3Qt+j;@=i3~254ksQ;+xsn zwB>I=g%U^Yk>~m5s7*|$2^#n<7Mnm%y)=l(*0>-q+L25hAQbtracw0qa$C1B#mPvIJ zwr}V#A>=K~K+Z)T&8;7e11{xjKx`cZAdP%fsX*2%n?Y=A3&865Hz1AP(%V67dvzB2 zvmhE&F7~xifwf4zBvn^1H(@eWP~1!LoJw4fYWbsbRxRgM^7-dA z@p@aCh>l(k9DUyjkklu0@NWP!&5z1oW3vmgp?3)O`DGOrzj0^+P0pB;Hh?JF z8a8y-(~HbeKR}Dy6%^KL>I&6w6Qcn9Wl3LNZL@( z%6|ZJYsEW+=<#0)fAv<@%F^Ky;oqZu_Nl*VuRlgevbHCGgQrPh2-ct8MbNf-A!hIC zwOd=-q+7bVAOGm*K#3DJplg!Q5)5V}YKL~7M+i+x2@1)4mgaxJ6;`iaE?tg$UL6?A zONLx7Us;o9HPa5DHZTD#L_-ePdO&9E&Ln*!AU$z|l98w8CpkJ_IFBBF#aVL-Sz(%W z4}neDW|M+@tngeu*g1He#-nKU#t~Wbg`O*29wvsfJ;h}?Ff-p)MeOxr3_-{HiNE`3 z9rVu`Zr1+ITiQ0B#239!m#c1TV1eSCy#z+`R;{I#zR!DIWH-D`b0tZuc3#rBI}CbN z2?$s^6`puN!aoRgb*}R_wtbNmG8HqGXaorls!I|Lv5D`SGC?WrF)CV-yBXK zJw{X;V5e0{Mc*65P&fZ9^Y4PvR8KcBK|<7Sb{afL+ZM_i=$4b-H5jRDW~1cX{WUx7 zf5db!E!=yrY8|yQm$$xmSUIzESY1KM=z_6Y>1I``$+(d0SX(b2*bT)A`G~vujk?e{ zN`0L^;%*lmT*c41g)Mq|NX2;gEOFH`w^d*`&Wh5ZmAPe93+&rD_a9+Ogk1fLH2IB` zu!-}tvvEO|V-&Uq&WB;n2~~KYdsfs{ql(-oBs2)WxAs6)d`P8%F(u{AXpM4+Gt#%O zfMK{a!gmgXz6atEyu>nq|6D@N>>aH*&Ji?jd+A^3D5H?~;6Ap7-wuLo5K;aODE#Ed z{y?!~*UkxuB9%g6I+W`bm})i%fTr@$~m^j&|9xJ&>33t&8 z>5yY|)(e^T#9sY}d2F%!BMxg48WVa%0xPci$E-+jfz-RTpjs*Gs}n)?{vDim&NS{H zu;T;KoZT7nXyF+vJ(3wKTauaaQmesi*&jh!3vD7<3#%eoiHf9Qys1o3+@($-T&49P zT$H(cNFEHo5S$hE;hYpq;GGl%K#8vO27Vl5jbQF&jbQC%`T7uVv^Ge4-M4b<`>s>#rS3JHsd%>?@5#PLH}p~jjaE>8=1SLdFw{msFMceUYFFtPzuYn& zcNL^KmOLS4jhikFJy<3G$ry%r{;Y7;)6kbeexlhT$v_~i%=yhTAU443-VpmUNO|p}zR=5vG{G*QiOH7L6uw}}gpbKKg^kh=&-v-jAU|9WubezG^WQRb zp(6?n!NUw?k%prSa&x@b3S{EY!e%8BK(ZuS9SFpJ==)Yzd4J_%O zzKT0(lWA4an!0D{P_=`(g-qS4=-0d)bOYIqRK`h2*wU*EoQ}%>WQ2rH^?r+m@V+92 zr~@6zt2WT(F2nJK9$}6vX82rWbElril!N~aRi0{$1F znP5*zicpF}J!}(Xf^_ZKs@;Aow zohvK&8)I45L~1{J6-SETwyzU)Hpkyn8pF`zKFA2b=Ke{M^IiR^b+{ zS;hTk{n(9-0T=Qu9yjRuqGV>4|8b$oovp!f5tu-&un2efg19&|`V12^dkZl%yFg{o zyJ(RO-MqE@O<1?-vbv;4Nli?9gR#|*1mWS&$*xN_$)0IV ziL?L4FbLx0DmxxA1xM1P1aa=}tSw)pJRFWaH7$-oa(rYH|M8NB@YOj{3VIri1l1pN zOU4-DZ;E`Zg}fFcsXi{nPd`s!=ts(xU2yd9hZd}fUAi-MUj}?&evR{R3S5ch9%9Is zgkP)3YhKj0Mx#3fD136Fr<2BtmndX*uLPvV{hh>cT3bA@dw7-)-Rv3|XsXlb1e?g6 zmdpB$&PuYzJhcK*K^R3FG|KUyU=~a?I-GQ2}K?Xd^-P+oSwcyP;Ntq8^ zM%pq)(6WwSi)9XDRZb^0__(IswzbHZ{DpoQPwkD$@-|WOAwH|CY}gjLldRs5H|S|A zYU^1kS2BRUE58G|K0~x`!5***i!)EpB6@TZ0=zT(2lG!Vq4|WIMlvn5LLBijoJJ+b z?KSWdU`c8>qZEa-@GI`rJ10LCzuf(Ghw`rwi{UI@%Z0WsYGgaePs&&vrTCJKA^YUNO$-Zt=QL2Nnv(>wR` zx#I?@((aW%{9-5GY9eFC`qNiEH05`}lg%C}y`lWS3-~rAk1N*?VpflGV=7vOTeJ1` zTJ7MWzZWRgHw8ag#fVDOty>moq<>3_E;X2ReRRd6#s`kWv;R_ejrNCnk2&M}R%zv@ z`bzRpOrd7oQBL6N6~(sw#M=(Y@xW=AM<($?W@+ozFJ%6A^3zsQmP;fJLLh}4aJXT%6kt|K+Dv%gn#)I0O>_J{fq04*7Wl_9uPAH0C{;} z`4TuVWW2u?{`}Va@!r9kecFuO3ySa8K9V08(%n*_j%r2yQ>P50-to*nX$~CXUuffr;$H-Yjzi{V+vJUFCG?i@ zh}mOHHtu1SVqXCf{O18~{XbY|okwzg2rHeFj~m!I?;Q7EqVVpC0{OPq-$EA~!M!G4 zsAB7bd!LS1SGnpYixl@QONY<^oU!e#c6tX(llI~Wo^SSG>xB(+deq=z_?Uzg_izUjU2FG%TMsCT7f?@tL|F^dm$V*sV2wG^V%7tsVk)xBDw z97_I?i292J*Nfm7i-HRUTRIbc$A+?r$j1xaqDuakNJom8`k+&v?dTY?gAcB)X#QU3 z^1sz%zNoG(WD^$K`)u(a_vfo}=_I3FYVXlWP8l03~Fh@Z~?y-2L&z zL>gM)HjF{+c=U8H**2wDs?qEZgP=>EgC(u0&rw%Aj*0yr6a42f^*uz7`Vn0<=a+YB zA8$)6Uo;nA(6RMVb<7c+o?OsQt1*2<>T5w^(qq5*t0y9Z+1{BXQXRIu>v=wvZ992v zuaWy;-s{cpp>@g>bKrqiuVj5rI>p~9BJ#p+hyQ0&qay9&HjOzxB53RX9DII9P0v9e zbPKa3RX1#%Np&X7Dy|^AOG!ODW*^499Iue?Jadn5VDDnQpy>idag%O_T_#&Z-qz<-CJK4l$(}&qGFm zirw8fga(iiKQXjDvCR2p_82^=K(A4xnQxnS)5B?r&nEUUlHNf^-_}!t zVQ>t*Msx8L%gg>{7mem(DV~l+bfVo_41KHcjYtKfbFA`>esK-;RxWXu1wr1i?pvV_ z;4l|a^&QtmnU2qfmC}vDraULEQbg6!ebRaUQhtZM87O#m*$xrEE$yBr_5S&NGr)o& zi@e+LfaAktO$N22V2{*=yYoyi<;wnjvj zvl>MiQUY~Y+6o`JXwlLre&Oq>tgJaWT5V;?Dq5^=tNY$6!-EU|$&$ zFPx(dqqfrHFQ*^%JOJU_EQZ=BO0I&k^fK=k+l@mgah8;Ufp(jrIq;OrPkL?s{RNQl zuRPdtj|#2|t_&wRCq0gS7K*8!e7JQ$blw^t!KU!!JQK&RUz_PF&#!KpQ=NN%#VBmhD6hUSGyoxaQli#oq#V$;YfmA7-U4(iqS#}es$$!(n~yIzqDZ@lk2g@g zx)(>5bq>0wYq`_~V1t$hebl}$xG2i4Bn4{J z7s~#LdOKH%TWTE1{?4|`+ZzD?@kd9O9E+e2=J^Embj zBfIH(M%+w8i?Rk*E22Sq%IVa45|B52-%OkDaZ`JhbC0EgvU7x6eqPoSgi!Q&Y;qdv8|7e%5&EnyhM^E?=M9sR z74MG7@kTJF>U$Juem908m$e%%>{}_SkgGOkO$GWCCJV7qmW-DiBn(cw55HGW60@8ezQ-RHg71c`tl<(%%A$j+`|90-BM6=}ZBgsO`}O zk7YTOoz^}v8t-%JTD`W+a|MVdYF;c#FIq&tjCBh(*!gVi+%M?t8Q&7=Z(m3@W>|XC zzs-x<>V9S{>t=6&Ov~ymAGpzYEefK*%%0oEifnsuB;lsEurD$fkndp^dWD1+$L&wm--Cl7a2DW)c0+7NBL4uKb;LW`yYSGbKY>pbP$ zS1$G09VKYeR$DO?pE4Bcv&|k1+wKp_l8TDkT&Ly4J+C!M5CL?p-oF?gFCj*By z86!$PMK=DVYZ-cc zXTioxQMIUgI&8Puq5E2K{xp3dqCHSNMCO^ezvQ6pZOi&tUiKKjrcu14P1&~g!u?85 z$OS%8OMXPpNWH6mu5ahA&-u#gAGnqSTM__jH^u{a5`%hBx5h*=u>*r%p^qqlgrQsqorPx=p8=rBS)Q92N`m7}b}1*)(8Ol2TYqsw2+CFc=g3c~lSJ@a z3zb>%j$Gu$&Z;ChPlPJGKahKnlep^4rVDpoICON92a6rr=2!`Mzn4m(Tv8|?m@7+5VX|+Q2}DBDkPN{V6(lcd z7r+;<9Oxd)?g6#oDrxx4iL4)NDhX&$!>p;y)2-FVGh?$V?=sbA$s&n#7@cBvGj-Q# zEY8WTktoA0^!N0s$RFMLgd{oXu1oNhu zEj<+}Ua$7GHzot#T7)y9frwC-h%TI{)TXy(W+DQo^X!-{ufZ-= zf*uv-T5y{@0$Qf?x(S*tp)M)r+H6gE*|`1Ym*AL61&zxKNjcc`!Ynd!tgWOYu z-*7GA5rG7G4>(P=LGGc#Z%CGm?3Q0}z(FlsB{KZCvxS?N#4rRPY97DkTr9*TXplRG zWiO|tBJ`zy&?CZ}zr|b*EYJ_?(sGUihocvo!_atc3lXS^2%Hq=ov_41&a=ZepCg34 zl!v-r+VP7F^g(DNLj(rp@o(mR5H^V-014-gaGNNF-Xim#lIJ?t^ThKAtZxL6w)mm0 zP<9BJEyIG`!-REVfV-hxlEldBxmkiWMxsJ8FnhMzGF%!WXek?d)SQ_-nkREjU86s< zOwpX(@z2G=Ls;|B2Ax^>U|+|t&3&;uAF<@inD%At8i-+DFN9H!tm#DTAaPa-!20o& zjY{>jq+oJ-0v>jZg!{zd9yDsH9=6p*&Dw&dtku-L2KMzPmSEsRc5(CdTS-Z!hs>!7 z+nc+z8w!5|E4qapQtX@CqxNu=-{2d4rlbJl>hAUlMjK6o1bG|46MwIg!UwJ!CT9Sz+!iRV88ee*+ zf2MU5Zb?i@EG|LBbddcDc$fB8>*Nd%|9Q*R20UeL;y|@}0l)3)?QJ^PZ{pI3Dc9M| zD>KHiwzrbJ$V{n9p}BA`EA_ef-mDf0kXwuGc^~ERR9D6t379?jHefu4Hjb%092_O5 zHsLl?7)(OOFv=00KrQ0n*?OcV!^Rw2-8{PGpa%%*Pv`!okYq>;;qf|>auhrSRCM=W zURsx8xe=xJRk*iFQa1~kuI#n!L$OR^l*=J9O94m6k9e}-lzxw)#%h95hrQsFN-CMe z>z74J{#Fuo6j3U47eV zqiLJeAlG8kV#iA=usg9lhSbbjNlj|7f}|!(o3C32V;nt1TBE;5$badyerqanv5f1Z z^LE2@x<-`XCrH8Y46^(KMej*Ag?wV4^J3)sbrIy;$9bJ`CYbFIvIEv;wF zM)>U@gHp(@PcclhF|?gQWFL5?b=VNg@GzMkwwf3X2syl@SLM{GT!b2jdh6OOj!3D% zq@mZ7=Mic?uCU#3;sqYTW>FAd(Me}u^J{^LJV34#GDqM7qI zdC>Kkil1A?A@*;oQqi4S-OnOtauQ5h*m5=LG1zPeYi%Pmtc+lBs9%F4)ig8+CMG*s zByMy~NY=j+AqJEC*+XfAY!Eb|R({kV)G+Je=$W*!w2e5LDY2@p*2~zS{A8PkgX%9c zQc>AogOM87QIw$&BR)XU{t6g-g%(*BL}~a8jDJ85wp9;|*GOh^bD9xWLeQNCS|c5y zhH{$Q1rFbgRM8V7IQ#?dN&d-5Tu-g~i>n|bdue8qiF@K;Ov!V(%SVW>vxYAt5t^2S zCs&e!z^1-}9shd5!Unapj#E|snnn$sbUU&a-QvBkSB(m*Tu9~?^V8P0h+||FTsspl zsM3W_6w0*BN+hH5kN*g$BaMKm`y08g!cLn-kv{PDExt5!^1RUIm=f79@zncrKv?pj z;wfFMid~tVVCgXAOgHaYp4Cko{>@iO|BQc2rPCYxW$f(gpRn8XlZco0_PRPn6k;U% z;?k*wRtagc(bYrU<8yx3)b%Z#c$}u&lP7z&xPO^S4E_bq@?Rk;B`Zj3B`Z9&JVJFc z@fd?Z8Sn}rgDo$}ipfgDDaK}*7&7<|rQ#9FhOR2Z6SEJ}+L^|(rh;VsC>a|bVXw8N zL(GVrzvhK(3^!*r@b9Kx!!|vJh+g7u`I>6jDUT~Z(fq6D7|{&aoBC+c;NPpBB8Ply zADjDVAN>rPH_tI61Zr2GyqcE;1kbzomX6ie{l2q~O^%8i2+Ta))vr{BcF3BQvxcQ= zZyF?T?k~akbkmfr%WAZ0o*esFWnCYdv}&$gJy>(`8!W9Jm(Bz8UN-RzOrvuZ^JX*kWOe2__~Sx81qTp;fR3jqj%$|1Pa5#X+Rx-PMbOF zvZ8Y#GeX~<8J-SBe&PWuyaD2k6HMi5o^nIv%2l*Sxr$Oo{w38|46e+t@kc)QJ3aBL z_MgPWz0VZC#vU)~k5hOi9vb39vuJgeJ3)LdNvEtFU4ecZSTg2cXwzq|mA+J@YV^Z3?_Z;d8# zC4S6vzR1l0qYXqt7&QJLq~26g|NapF`->u)0vS;Qoo`4Ge6-2=6^Rc8oM({$;f8b5 zC#sIC9p3D$KMGhzV_8#fW!pM+p|Wiw>9X31XF(c+wJn&At;gJ-)Qt8(;5egQyG`L3 z(TGMeM_579XcMo6DDtJ!h7_CQax$PAJW~q27sXi)X4x_Dzii{$dr*e*?NSyjjJ-a z6?)-#){X$P;(Fv9fpg-3U{xqg;RDI^9*^($Yv1{TY^TK7bO(-fQdYHjbqUf2O#Y>H2f;2$z_?K1w9>9zahTL_+W z9G-J6-kmJxfu{Xf?Iw!vByhgru_Hw3w@DE<8p>nEOe{461V%|=fRpCiLUB>UQl3Qz zA`cLedjyet8PQ9C7#|u}^keYBe4OhBthEZegM`sp)Zil2wT*7RojHqAF4ku86_`D6a@$LJnbNuo9%Xe3(YqI*toD<>;bI9z%XEXlmDD>Tf z^T1&<9(prA45Z&sntWf-wawQb0;Ps!C&Rvs*}8WXfWd{dr=LwgHn|8m?04e$0Hw;( zv|h%8jsp$oMav|Ttluwc9Hl;hCHBaWPIZwmi6OZ z7nKs~%ltnO!G9pBtTdB=TPxAoyCH(}2;LcV4?f3dS{vzE3GU45Zt~k||FHPuZyC0c z%({r?Aj3eDo)@pcgXHl(x|~D>av_Gl1C_rlMUGf8Zg$tFl1M%fI-W@sT0T$*F61!f{-U@65UPur4YeaqV6*1x41!q6?g2{ zn|@u2^Da~G9)0=*I2C2D*892OTnV&}pgT(V(1^LY&7SiPFBZn;9)o)e2p!(~gQEPi zcdas!_VbjI=6qs=KnP8ZSt#Xl%Ra-pL*qOs4w&1!U79=xfAreNQE)ku7HfStO<~Or zS0KiBO25XqV`z=fBrjU%DS0vk^&;6D=zMHbWtsbdi-SvWO=NKHg#5y|iPbd=HgC@g zmLUGdDp*@+p!sL`^Wq=XfcB~r<=N!vK7yah(cck~ z4HEfcV(el`#vr@r{X#ifRT}5kqCX5F2A?*;uUeybpSNbyNE>38ee^4@W0485w}(N@ z?1R+=wverb`~-o|x7%PlTcK_IQU1I&(qpEzE?Y~2$}|@(oK=Y%@vUG3G^a!cOT8WG z%)-3ShILuHx*CQZa%2__aec;6d6k`%qAN)DDv$rPc&}LV#!*KMq^PT8F$ zxIJT}e)%3$fw$#Zh{;G)w-Bz8mNwPY_k+zB=|RBE<|Jy`u64P+0TCB7Ddd+Wl%f`k zcYqjoNpAD6&7SmDvhNio8abwn;xi=YG(w(VvB;cs1cbWLVTKu^`}=KX)^>`Vpffaj z8{7B;HB5deizfB#Z<0fz=w!5^^`;%(ef|}1D07gQ`O;r?=(nhpdxZ)^qXCXXw%;?S z^IMM>ki`4W-x^QUP9o?odIcI>;;PML45VM;du|3>6r*885jRFW5%n z14145iSABfjT1~3Gf2{dtyv`rn_d`d;InfCHc-!~$uBcP!qAtmBHgbqLcVY14x<+J z&lUdXpTpJLCCQvW2Fx8^)+(9U0Y!+;l;FdOr!stwYIPl+gGI1kFNhaier7(@BT+W9{}*;3%3q z8GRURT+=B|tp&;UV>G2X_gUdf3PUgUqRUcaH*n{RPwWA87W?_5{g~jM_?BhN1&}`z z{i=00h&oAZHsj$p;$el_Vgq26zD@!@c8lF|+8%P+5Odn36*tZU_DP{qXfGA?4ybL( z91GBQN<2!Anu1n*j2IsKc37Fjv#Dj&?WiRz3kQqQ5_;%!ok!cN(dH9<(DX=Jxlz}% zb=;+fes93)TD4C_wBf_#+*gMhkcbyC4$7TbRIksIP*Ej6u#D9Py#WAupXbTiE9~*gXDg2V?>FKfw2y zW!?j1*VoI_@^G%Mx%P`!>7UhBdd9!0=vP7d3bywz@S&v+02OolxLp$5YXV}Th_ztK zQQAY%@U;b+vB4k9j4P<3E*iiu)PmD?Xq43T-j?ur+n1QIv~4g|qgwN+>j0FfGSQ$1 z%;kuAa1Q+p{y41jzh?o4+s@IVio-Z1eMa(QyFwVS^Td;z@h+S3iftshO+rm&zF6^K zVNJNtS>oYGbK9LHUpt|`peS2R+Ui8#3j1Xq^ydQIzo{Tj%{#jMOr4t*_V+0FW=3$v zRggEd3PYC)Lz;n(Y+beOBwr_?8@r(!r=jnOcz13>6Z?;P7^!3mj-)xqVz%G|sB7oh zB3ma|ci$WFru`W7MtmHIv9`-!+(XH3C8B!~zYX{YSjI)lZl&Bi5kFvT)3nXvrHiDovUAX>dV(;G*1s+CA?~!g` zb~C;++uMIvY-3++<6P|hZ^Uyl`mGJ+9;d>PLw5LER`@nI>Ju+&FZ6(NCo)$E--#IC zff(Nf#{p_-ydW2995-qlFRIV?R&illHJt1*?J`%qI^OAht->0eD z={<9H$y3?dSZGqz%UoAgSb1$mu$g`O6b3T>vuDOGxt7F(cs6AHIra_+&uO2rQG&UD zB<=mr#gyCGjItTnzHg85_wpavAFitT+rMG%i#Y?UbK}-e%*)uY;cBeg z;P8K`mV*q`os@%s1+hlN+p^70B&}F?c~6ku^eNuSLG?qfXqJ$Bk(7`?ipa^^W|Z&zi&TUXU9 zka6}yKLOAei@*k-yFqY5gnws-`UEzjiHxkwGg1ls^J#u{6w?5(Yy#Xei6Q4UVi5^` z|1MGdbwjyWgmW}IGPNdd<-o2Z$S;igE#5cwV*yatsIwmgx$gZM&b}EtfB*B;^Ln+# z$z&25KaCLB>2Z9!${Ke?$j`VH#TS=bom?+TgU@J_Ci%2Feh+rfb$op=mUnmYk4CXp z{nL_uwqJ7o1s3k87GQflLuN)8cOqFz3?OT{7VPn$oG3iqI4J}s>AC4OX{N<@%f`}p zj4wLT)IM?;Xtu}9N|f?-Pq|f@_}x_lY1b`-{e~XDy*Wp7czYu`f+t3{dp19>BD&v? z`UF-w1yA0Oau%OhEr=h9<_}D&1zv;sM#6l$_CNe@cHk)!fa`mYD;W$S{X=3W{gnP) z57Y+RAL~U1a_p=;brOuRD^?wXa2H&$IM5@5i?_e#ZiVws;8iGcV$2Q8yKzPW^vXFg?e(&Yld6f5Kd>WM7lApKGs0J_ntV^+jr~30KSzcn5U3;U5B_tdWM%agN0q5^f;^!)%9ZXKjHVogBLZjuf#f6-DqboBN8BKqsg z6SPRv#uh^zqlc_E1+19Zp$wi>g-QkGG;v^at+P?pop5T3R5rX&CErMeSSlETJeasY z4F-;RM3SjrFtfYx_RVs&K8eb_nR)W zZyRn}1n09q)^E;JW_Q6^M_9^>YGD_!&1Y^Fv}>BnLN7ZBpPU)!m+j9s+RWXKO)ewY zvlW~6IJ}RBxTeFJbFv)C$y3fMEUiVBIrlj^M`~X}-}PvZ%LWwB*94fl1xH+!9puy} z>*!U}46gTPqMYnINGz^8I?QqX_FjadEk4}5oY^m3BqvEEw(+NPzmvcN?`om&=2d)z>Hc}_^Qg822tON(KxkE?ut_g(HS8h*zzzW!sA_Tke zOttbGa+1u*-IUm`YUe>I^4kOueU6N?5r8^um^p(xngj0;>A6715vM|*dRnlbc5T@Z zhKwDBcGd>uU{#kwqQty$Bc7;Dgpo=Ie-RsaEJZVUMt@(6!O9r*pG~Mpi!nBqrrI#d zEYM~9-k$ogsVa*wAgj)~ds68vJ&EwZo+mZ8uYM!rfd^fn-AedI`rwz_a!2z@Es`~6 zFwbd`BWxJ0ov;JSm7J2ZgYxTwjLsHpC}E!KV3|Kd;(hRk6+_<(O(+NBz9Qv zKnkkPEuBOlx1Mr&{&Z^19eykl#cLKH)k zwCA$ADdLQmfSdgltj&D}>tVs&;Db{5as6Xz!jS{>WVynZbfyfo0v-NXd>URhoXqo@$Q^6Pfym5L38Q)Zku@h~1np(~! zSFlk!iak^``cDg*2ndc9`9b-6jBtuMF)UNH$#l_e?jX|Mlktgw` zAN*I8)oO$%{j8A)f;G1%)Ekua19oWK@gtZ>9EATTLj%10!lMvlDWbmGYHq|ODN4i;Z3m+$JvUPX4p^9wBxLCle?q*5Cp`T;`n!*Hkg3Q^M{ z)ZrpNbnglg_e6*mK*-pGZlIf*JgX+cpfnPbvT{l2c_E*BBMkNnX*C^g3?X1@1ULj- zq)JH(@+?HmAjIWkwcXgNWV+bgfy(6xM@f;Io!wueE>U9$H;svO~{Y!I`YiMN#NMya*48)Hl+^`si-;Jg!K42a5UuR?$e`_NqrWBS!k4`oAl< zqh|cYqENhs5J!Dw%{la-RE6$xVF^VpL)m(Guc|)011}(`G)2DS@I2l7U?FIw!>C2K z?3}k^5UfaG*3xv9fJ%l;ccNq6HQXYb8lI=;W>-yp z1I|(~mUs{*s_$l@zP8|osEXFwv9c?#VW|lKLu#d)e)tkd4WY<)MfCMc&7Xb?aIfF)d7aMyVFJeNKWUfOq4JJ!Kd= zvb`J7_^foH?vp!I9S}hXPo!PDpX?~_eV414RHo1Twtpq=m;L8@XDAk>?B>07QxF;} zx~gS*TsOm9N3z|!A4)pct@~j~-~4df=S-p`f8nE@2M2;l(ME==`JPzj`B4`Mx-V+Z q8UW;wSGB@-Gal#Aq2PJJ~+WGxCaaF?hxEHcyM=j_U66!+dXG@ z?;q1u)8ABoRb5@(bLQL<`*`~pRp6Nf8tU(_gzKLMO8H+E3Y+I&-R;ehw?)L4erNq? zahf6VL|WNelm6<8J=9-c3$j+*=aGAiZfkj`ICu|8!{W?~-FL5#Po&!O?-L24`d}!f z{X4%>ffIlBCNhE(F=6kqOg_cHa$&+Meus0xgdK?90;G(!kkWagT6-5kyK1vV$1C{{ z?T@J+M?E^_|2W#MoT_E;IUl4q*Dbes-k!Lw{TUh>%008&9!W_!4HEk85wPc7W6p-Q zR?NMO!GzxPQWh=#S^o3uSS!+r7m;u~+-p11YkTYkM#^M|P_Wfg$o?zY)1#2<=?j&~ z+BK9bHL!^B*oB>IQ9-ou6eD3q zvu?|hN-Dl-$hMfairTO2+aT`HdZa+p)jL|E2?X@m3y_G4Mt#-r*EO^Y?9u5m=lYng z5YVPClnU#)ISG~7xzYxO59^uxwN^+pCCj^!`{>53#jTBK1xf}sWOMR`hq#iSKt$go z0Gx#r6Of@PFcus?_;U%RaMHGt|6mM$(tb#_+p~?_p1}TX_}exoo+wcKOPL{C%Zt}c z1^{nXNSR24xnZwaeQ7Ag1>7META*PF5`tIoF=pm2)gv5AbZR3R}Eao0A z?h&NMsHX7F8u7fCyY5$v9MkF9S>>O@;NOqRPyj)Ql94Qu9^%;QkphNrZH)EA=9f08 zFNlR*jKxo+--vvbN8+)dNXLZ58dcI~C4k|Qs9~?SsNL(<%twl5pJTSKb=1&HorH}V z#*o3;)*=|)1Y0J{kBCF<+$m9>zs;s6-BghMOP*;w!MD7T>)Lc`Bo?Q5Wn>q}2cc(UI4g68UWG)30jRwE^+Srx%UjQlr zAs8FW?dWXsjSgcZC^Let)(*Jx^5D(NKhX~p(g-0R%X=97uE`P`=hL@DHdDG=Uy&Mh z52Krz<*y>(g(E0gxTZ6hJn>6OgL{5F zLd2*3I2ke5u-HQS8N`w+tNIw)WWXT{;jLuL{1ZNHB_UeZoU_QasX5ssh&XsUK*k=M z!Heps#~KwLkBHYk?Iw6x8(PYIViN!T8cCuuM|R8RYNYwMKEDOrQs+KG9Y6pEKE7#b zDL@hrFJ`Ya3pBS@?I&f0za0HLssze91J6kfHuBGn6bSyd5?G%5)OI0V1CbRTa&E_ zkh_lNFaEPdCbX&GVHlANIDxeho2N}qMAGd67 z4z^7|)JPr-qH*kCuL#hwm_!nMBn%fl`7u@&GHvgGDKTvrel5$l*5ZrVXQ~Neryuo6 z!+A#a-~fZOcVB}L#DA(;?P-ckdj%9hV;{~g|&n6IS|JjunjZuMH-q>d_LZEEG5;?G22{r z`yHa|$xa+cw$!Y?3UYpbCV6L}=tvYJZoW|JiP*G5+CsOEkD6$= zGi^p#eI!UF6^3J-bA)j1a7*4O8>PY31;7+`O<0^?AQ!(x+tf8M*(QRfE3Y;Tt6%l( zQRoTn)%zbQyZC<>M~=EqS>4@ko_+d;PC@GAtR}TY1blKy#xOUx^ryZL%`$9bJ#WM= zRg_vD$H-iW&Km}Z9PM`(44ntO2W6XxOD_h{bZH4<*6rbI#5{yZT^X^T-{4a}?P9xQ zxiOxhbuB^Lp?gQK@k^ZIvGA{r=5U9s5_>rAjjnI(VD{CWqu@p2E&=OU=rsf9wuqq> zf<8^Hz)@w|xio6axrNJoWN#dnvo4jwt;r8hg{*8}bmtI*b^A)e@&iHS@lq^o!hN9) z-_Wrx&GgDqnW!+;-j!K;Tic%{XJMa--+mawa0;b+d&m3cxUbEW{sc_4%kJ|#yfYli z)XaT)Q(~>IlN%pK>?+b26Kcxpq{obwG896$RO z0OBBsky-G!3Z`yH!;Ydb4VqB#y#a|VCN^dRA71pk_>X-NKi>TTk_-@Bhxb%ck`#~xQ-}0)c-?gv@Ef5VMju@ty%~M)_o^akNk$+ht?6b^V9+h5!>B@@ zo{G6tyoH_^zhE1#82=Mt9AQj$eLl!70r|| zBz+0gO5}`zkl`F&VDK37(@9@cAJOZUs{%#8alt zhP$)55gm5BQN>bD{;xZ;W_wN&Ff-tb>wXOALGGFWlJ8ktX%=|1jv8;4tj71JNCAVa zcES7kir<0L`ADW6XD;t81mjQ1S{o3XPlDEhvTLF0x?JB>P>?4-!(^($7S0j}KR&U9 zT>m5^49VMi;t6^rbFcHjDN3lYHdv_8751Bhf3fI)zZPq=b>{)I>*;Qup^vDKICS3D zz*p)H6GHRlo8PLOm(yWUUOuZWcAmplp8E9_G1dyXx6i^;w3*yK z-FUan_sIJNc&&I(DgjJJPj_)5E)ykMY|@2z@y<_dy1Iml{U3P>+sX8!&tiawkhMDx zo-=|^+TOH=G)=)PC+6_Gu$z--dIAgtiWYib@Z4tFq=!F!CyOdL8l63~H@_->!B;E} zp?X8iD{%OU8P>>^FCd^bR<3j6TzZ~i+P1r@V>-YdF%(1UGf$t}%iTR?dQU_@ zOG`uJADG#hR|2~Oj5EG#^7LsS+>>Ttlk+8m&>D_V0qDn((4aySK&^xXKIv0Y2GPQ{N(#NykNNNVaJ1HX+QH|#1rulp=`ed3>lnN{ye#Ky-Qr3 z|BP^kgryjSkkFk`T~=nkjdc1n;+776+A`umt=BSR)<>K3Gy-bL1h_o*pJSjn8IdGa zdE8Ok1ZY-=JUKE*UJ&sFzh6Z9j+83=(t+8+i-so3eG}HGz2<=*YeLkT%fv$|z*tZD zF{k_%T&FCbfliJ9ETqP26=nz3^x&VvN|hK2R8IU%F+Nl+8OTE|dH6NX_k)qub%;JR zZJu5DAzbDeY7BuRh%{+BLekkO#UMbJzkys~=X^o^?W+j&*Zud~am_FjPOO8`xlFYO z=ZvZkGEGda@IC@&XnAHjv#^BPIgcayBGw5d6xCn*!sh~(flys%dL%GYE3V-87Th@Y zvI8iEti4|I%5(Y?LSli)7I?8!|1>Pb&%8XX_dUY<9}PzMwYl$FQ=Inz$nWX~0V_(BrZDVOoY!NOYAM1%!f;<<_C z9=mq$cgp)VgRIf>smT7i(Nk;FBEr+Twa}d(1Bt*M0J+V__1=eUcx5(vj*HZT^~Q%y zlRWvt!wV1uj3We2xn%^pIpL!dQNB&TCzlgfkz^nNkV5~!(XEK^zd^UE?1J}IV)YLx zf)B}EF?SH;myj|HT;x&4RD`@*t-tp_2X%|3*cfjApw}gWLw$vAMU_}GqEzCS4^ZKR z@=VS{t=ThmSS26ykW5TLH}-j4x1JBmVI*b}tJ}RTaI&JLyS*I6zq$fzXkJEVQ)GIF z3`~LmcnN`*N_uG2XjKZ1sOZQpyDZo>0^?w1eZumInZw*9Cd1h76+Qfk&t3StY1#&O zZ%FE}59Qk^>CtVWhpkB@@WzI|GnD=mr!CVd-VI|Y|7oi>;X`ZXei7lBvulO-LBDqD zK1A!6>{45C!&Eg95P6)sgLeMWI{%gMN->dn6x!z?q_?qb zF983V{%bylct-|^Qr8=8`zY2inS2fPEHjJ}HbQ!2o4 zS4{>eo7&}c>3_zTW#z9~DzvTa(b@Yx$rR#;8bak$`dMgzdv2YPBT@p1=HW_vFIr^R z3~&#yI@J-4zLiMdJ-j3dFRVcy)&X8+8JbZ!4sPL>cnsl`dAN+x}qJGId=wX6v% zw0cfYczS1^UbaK#(pKa{KB$%keUZ2r?NKQcbDJiXe+=`ZLnb0a(S0tOczaav{$9EnV#soBAI~O=Hl)*!E1h zFM8Ja7{HQPlSmIf{_z1YIX zY(SL(_9tXM;Akrve1-5j~$4_mC#$M J)jE#00WrbxY3@48!gPnCY0rG-- z^>HIByq<*JQ>{|{riis4@6w%q6!3|dg;@EU^M$ASUztg$FrwzluzG#ec1?!7JSmZ=+W% zGi*9SgQ>@2*un^sz7Unroaznd_Dc-YPsD*oS^K-Kit;?M+AR1Ixzd_Qg z5jizAgV99J=9rsTt1Jv&F&F5C<8Bb9Z)~BG3BqwlFpZqN6r$1Js-7VIB?n23%IX>d zZnB*{?9m2sP~c#4wex!iaPSMbTg}0d7D1f^RQ^LI1vbHf;FbaFrrSIapcM>{w+uh^ z4S{6Dbpcn&&9kY`>=MfEf=;CLossp`pjLiB8*~MrKfP)>0)Eg;n03oqKpwQLtbp%p z7>PIH$YiT2A&Ts+KC%gJAlM@%M327Zm zx>UMRIwDm^tI$?Mhr#g>{`~`X|PGm2&d6~@vDfxlX^~B?`Ujc6SRwTi(#Wj zJu1VRv`gT(cUtIYUDbyZ2qS!=?XC=`14|mcMX2X}KAkx^ee5g)QN251uEJT_BX>K4 zwbt>y^BN8#Te7s%Ti)UT>4ca-)nlQ1JnKAh*^*bgpToq6C~g8O-m&sVM!!7v4@~Ry z+u^@%Y6s9rh*t&LVQYN6qIu#vQD~ne3g(e}<3o%YObwm*?awcxLYw(G>5h;BmlE#K zRXFl5Ss09n;(>0K_^XJXm1!yl-!aJ7_P*J2q;#stzF!LoayRB`Bwe@EzFRMLRO~_g z_5G9`N|Z2gC16zvSZjr!Qe!Ge$Y;3e?ot%C|44|Gs1Cd zB!gq0&km)zXS!3ROBhb?<_IjCGJ(p4|Hvt#HD4@-n+WqmX>7e6UHR<$Rwy;%8qG93 zP9`BWjJhU$*5;L$C^?M$-3io{GL<;%B`a<|x>mJSB^*)+(9K<5jV8?#IsBEoZ!)~b zd>%(OnAvdl0#@3H#1WaGw1UEP`-FA$$ zVF)y2omF=uK}vyv2l*ZnT3nzU**f(DrQj$nQ~lz5l^MC?U|Kc`ZM3Fu zDr$1GfQuA%v1I>D_09KLwp@3dY}B(>>PqMCa^ol?VsDp$b*z)G^9n(#jS1u1K0=Ej z-ppFO;zHpoi4z}=FGLzqV9slAagO)nAI5G++Z^59c+&h&q6;@9IHa7PYGH()33s*A zj%G@%Kf#^9c*m53@ht5y_ehfwjq!BSTHI2;0(ieg7F)O;WhM3ys*_-`Kb{D}aj*A3 zDriN&!t$s-;U1bvE}~sgln0(oZutI2_!R8DiBm7At;!|e43`x!p&V$_EdETtRR1jM z6yFhbJJ(||;LT)fZGEW{*LPUa>0Zis{quv2W z5YXU|#_szH6bsv;t-OP7kc_OsGKSiyz#S+n=T$4|ETbSildHD2OVkXPt7d?ei!0^e zZKP$x?9ew{_&JTWyht*+{S>uzj^tivzo2cSjN4VVfO~zzN!M}8)kw!EKRbx86WLZ+ zL^YbKH25ceWK|2l#$Z53iyr42U*vO4}O0TdcVM=YjIsb*Y6=UuaHlX z#THhu{B1_|GoKP8I5=;P3r(htd?*+<^YsNsPs>M*zCjBo_C{y#a~r*-@8i1 zWIYwPawLVDpRq#N76{hN@$u)oKX>?z-trEf=a5F%fnNaLR*14GGRkj>hN@v5V6}e) z58BwHE(_8%T_+7hJ{*6Nv2BBH0zbQCG`|iOB9*;oVayEhP;nYoyxftFm@Jrr__3; zFETPDN-h=GD+QpjGG)g^s)Y1;QE^Y+fZ~?=!?y}rsLm2X(t|rbxC>C$l%%~S}VB4I~dKeY$1;9r7g&_d4{)&-UV5feetre?0Vle5z9OP{VWGz zq);}=@u5tC4ADo8&GQG3=}aW6cVL_{|7(~D7ef@d7nq6RirhSw#TBAiNaZt9VIowa zG0T#b9PyE>1LOjOzdW`z_g(o z5u}qdjmlvRS}3GqcWgAK8_`c38JP^rXL+nOF-1qm9hTSnJ}zy#bGINm5>bU*8OgyC z$KeG)?8xMr$r%;EkB`{<(2d0XxX)*iL*7a1mhZjTk-k<*rbbi48!Vm0CA|x_HZw@j zGR~U*+5sZTy(f(m#5Wju@vXM-HP3j9)m3L$D zrXO2i2$$haCuGrl+y%TM z&q!`wV$Trt21E~BJTFEwy{=iZll)nB$4t|mvoO_op!y7uO@(hBT#e>9&3b4l# z)2;EhZwxAIc%(=NS$kuL)F*(O`JL;0Rxw&FU;aw+OH!!Y1yG0d|I8+wgJ7^4f*L)13=B5bP;PCzK9m~hGo8s95>H)+>>DVblv?Y-t=%b zEA=7SgU)bxRCP_y*yKJhRUz#zdgt}Dqxlx1Us8^h(7)N-t38H#u`942XHos#sin; zwtN-ZgHy}6kr=PV3`w5hBO7pxaShPrO*Vw$>fQsI={hpF%odi41v~V-=HZb{aw&4G zzqCNJIgYG^;qeSrYO)vcm>_t4wT}7Q`3`rhuj2Zglc8^!Md;B~i1Bu*i9c^p|KQ@h zm8?tbbq}4FJS#@#e0gF!0K%cI!hbg;jhBG&wjW^B6)r553F<8z-Z5*IFgk5s2PKst zmtdf+m`@W!T}PJCu0ZL(V?TFrwiFEpq!JGu;RY+Ui}%{T=Fb#`8W*FFKdlvOSAac} z)rXa|s4m{3A!CcN7Ii!-fSJIha5nGCVL03;-QF{yY;|x?_inTf0BBp=#ov4J2LV0N zCd;dPy|W+VS+CXvt(L|bg}rivN@4a!1aoiQM5=xno?p0=(BfVU%{au1R%yxF#?Gp! zG|e;<3|d;SskJ{|xYK=T^wNL5!gmUI*nVYnryK8?fsc2XLTfXCztqC1*Bq>r)(`$z zssb_*S+OvZOdQb{%p$PKksv2BGd?v;z= zpmhN?%P@A!T@TA`QHR~56KSIayLe54IGOZ=6WJ|ruO^{!o1Q z75Us8{o>qoNf0b$g%VR(N1_q; z)`N{Q(?&jEiZ5%#q;FvI{aaF{GREKVeovL*9gHyrv|2l@-<^#A#&)ASj_;)x4h}vp zn<_vu5VF~R+q9(*L$^EsQz&uALQvyo+h4DWt$Z#dAdy4G?t4q?Zw-whS!5bOSwn?Eoy zgSK%!+}|Zvsd3TM<9s&`;nXxZVLe<+FjT>it7}u!K7a?O(R)i*z^t8LB|FPpvfBF( z?+N0PQm$R&9~ABctjY^NUBJPQ z8MNLR$oP2r3aPI$t>V~saD(C6zc)6C6ttUq+&T9g=k1vNG{ftWDD^2`RPs}Xtx4M!B|1&Z#P+p@Q~eCfu6%ICHLE^%LdT^jHkx^{F_z!uvG7$3hqU z0H>+2)8P?ljI8B|wQ~K8t3@kNaEjLs{4Erl5B6!A2~69*jHA#63sy)`)j(fQOrb4` z@0~K|w+C`l0#2DXMLzKinz3vg>1pU_X<;p$Rni26W070e(TNmd;YsiF5yNN1E?6qt zJCCLV>lx5zN&Jy^a!j336^6iz(495yQet-l^!q!cNT`uNxii>@%kL!6 zY*ckJvZegaw2nJ@6Afn@JJ@>hiyya90(3cqeWJC7n=qaci%>G_z%$c9{}?|0LA|R z?EeF_{|lfx4)$~d{vAPJGV)&vu-yMqSo)8G`G0`e{{e9Q2lx#ESe#F75DE}n8$&*U zh}mSF94iLt1X&3-tj%1k;-i<(o_rR_N9XUK5au_*!RugQ>lEwt^Ck0PT@MMck}B$^ z@>~QoNytm9Sf8>%6zatNeVb+hWig6rT$FryGvEU}Kb0;b>vr)Fi}y)v@?5i~XzN@` z6ym*hC(*Yz82%+SFI3gxR#9BErj{10Qm!U0LM$z8&F&ofXHj<~p_=XfD^jh$WR408 z3@y(GV)NWCtmKb-e1?zueZhDR0e+ck#=e>oJy+*+-oQwt2hlI@03yeEIG~o zwqBdP1N#xG5LYiW$2-*Wd1|dAG#08+UAhwZiIj? zkMtozRg~gS;K;$CSSg|qp8NI<45aC=zuqLq8&nb%))^LR;C!;_@`2&2R<$_ktwp3w zWiE{H;IIAg8$GL+7UvQR5*mBFcV|5gWnw(nf7X8VFle=BDMxg`o zK;v#^j>;6ULn1MM%S@YeM>0sR_*x8P{W@aZP|v>2_$61(M_Azn_qbbO7zv&(W>m?< zPN^_#$Z_sK7hfPjD~~ocb_AEx;ZlZw_fn;ds2$RYuxZ~g9`3pSz0$6A=qw;HI?iUm zT1B$6o6;hASu>(3Z=G=Oj;kuKD_TXW*aP)jxs&s0h7ZkoJY6h!MsgnS@Inpns4ItI z@Bi&#E#W!IL+H{w0N>v1?*1rq2P2d>BhIO|!{=o(b3-*JEnmVXaH$aduyylA8d~U* zK&q_vmj*I!N|2>C6nlj|R89MFmSjPWF?>Cf;AM|-LPH8z7elouLHkRrPMRW2U+tUs zNuOh5Z4hp98G8)wz!%VlW$S_ zy&R;6G(5uCrrX8e*e_0^d5!DsSE76ksgjS8PF*&jje1#}#df^Ql31q3GA-R4xb6CR z@tFd>n5DsiI+aM5oi77~$NtMQVq>#669k?0nxS@H4Dt5gX>2wvr!9b##0k1%j41V7 zn+>?yyo_vB!uOeOl&ICdiM7~HmMGTo>ZTJ3agdJ9wCsT|0Z|_-Eok=cG-w?b_P@pd zvF1Y~Oq-kY@L$+<`H`E2xe^F7{446vqB1!M%6{`2{i~6Xtape9glMEDU)0jfC(oZr zRQvu!6<@#Ud~i-(3!XdEVoNr8q8bl${Y5nnaxt%NP2jrsS~2Xa5c6!_s(xU~W<6h7(@xfVr;0f(9i zwr`CP`)P9w+7CGyYm{#x@-l;Ee!b6~zhv`T0cSWMDK*c`WFYfbL1|)1+mxMx<8A^A zckPQa{+fjhyk+_ZM`C!Ex14I){@nNK*u44NNjBsS4dp~iE-^UHVgUaPj+n3MtD4+s z7~~BhkJ8EEaUjXc$v^loX|=<9A6d5x5GV9W4HUkcgvMPT%vxG4V zlg86skxg!N8;cMAn<^f8CL3I`$3PesJH%zp=y-Wug(`+Rxt@fa2wQh~Lwm<7$9aw^ zBp&c|r%*n2VWXrpL{XU4{&HLy#fQ3z_*eUF`LK_Y-6PKT=1{MO?(_Vygb)gtGQ;<} zeE~LjM>yn%J%Jn>8h(gy$R`8;*Yyx21*Q3y{M=p`$ZUDU^>I$N0_AhEqxC!uJq5eN zIUZbI^(idXGCWSS$qHuc^t_*Cd*j!Imp_>aEI1J|&9%&mfjjrWDgH4|ge;~M?oRPv zuu^$Q8oo?34KyEDUZ6&%Dqg-Dh;tE{i|i+Mh>UW?`bQ}X32MzwcfK?t=YH=$BPp!G zxkx?U{G2S7mt8bi%43^w)oCHCVn#y?WTreIHl;X0H;UOFF_Dsq zs+|j2Qj10{GaL?OlaTvpRWM9`TwE>1e-*|mGywNgBdh#-!VLJ)5n#$59mYS$@}dW_ ztnjBn(T>P@Ac;k;WB>FYZfjA~Ip)w{2n9M1gji>t3Xw<`5k+NwVYVmeztSY1@RSf& zsbwvSL)g?C6**15zjs+*Q7rYs?h#CogMw_O`54FNmEQJ$B)~*PQlc`Mw&+X-xTJ~i z-$`eL;iuME;B9>mhRz9`gymd>Ze$PRmT+Yzh)EV%Rr=aL>Y$nO;!dWqp2oPjZM}~$GbEDCa z1d2Ej+RBdoKPQ$BN0=JQe95qIN+QcsVVn&{%wka zBK}0x-b=7>kVEEkC2@?rVLu)=9{yLhc+304xgOE-?-3OzB>=>iQYkPlo6A~r!kjst z$;Y8oG202vcR56Hiegcb)eL*cs7HsHagzKZg?oBq^%Ra7#cp463A(#V#QC@RBrYe^ z_5>p#y@xA})KG{>#UW5$$o;J<%RBZKK4qQMkm@KXzAk*+Y;VN~DI2b=BTqZi1|!{b54Luw zzmk~A>#W@AQ zahJ7mS};MIQ9>&F)=hmf@zkPDU9p0pWzQ{(Nb?qVU0aG|n=GW9YkQVVXcGKetLqw& z?`ffv{?_N4xnNG1#qVz+d(13}hYnD}K@+g683%EO-)_@|HgUZ%PQK@hEo*H6f?qo*z~y#J`)ECAS%=eTG7_wj5YfU2bxU5o80{cABNF9KlBN1hfE`xf^`Qh z82eO(cxiXvcnQ=52@Mf7>R1cjzP!+Yj=M@2)yLX>;lF#2jpt&X=76n2&GFw2hXI~3 zK;uTwJ|3q`-IAl1hi1bP;z6|yLUtk-f;Od|{j{}bP#*_t2ar83-n<4{a`{PnK!vFZ z>4~@D$JI?EEr3kNU_FWNRVc)KaQJwz6<)(S##2l+qkRTEN0SqEN@#OcqCdTvilds0 z=?~ldZO%`peN>eR>6+$dwKuSr#jzId5-_2g9Yp=9a9SXG~W+f;8vNa7ybkDKEM$qydp!w^X8OJs&yMdQMmk!k~go8n^%Qmu}V%} zywPH;j+hRsaSOY!2xc9`|C{F`yDVk$?}=cS(APXK@Smw39OE>dz)1I{-?18S5C@fo zwDiL(3csD{Q<~*qQS_Vek1KVWs5xVK4I?U7Xo-&DN87eq8o+;NrAv$F;S!=>pC^|0X){5Nqim zKgks>x6?mDb7$kQ79M)TQeNSVj53Rxb1)?9zqL(MzV9on?dw3E=C73x z-aAuQ(@j$eYZEfZe|Qf#ZzEdJd11vQw&T6ADDs2|^$>y1GkmAISXNn6?c;Y)P9LKl zGt`vEwYGZ1+n=pP9}GEGw%X%8{|CAb}C zVc|)hoY;)#Z?XSZ=!OUut-5K2mXdm*d~p_W2P=Szdx}1J(FZAco!t+NtR?CYIe5Xh z2$>?1m62ER&pILu;iNk4LD;&5#l{(`-tQ^;ch1}XW_#{$+=8Rep;V&UE-@$^$Bq{FItm!UyNxi^BZ#$D#Uq-QPIWL*h{MS7^rr{2|eYNPT!%GV}BXumxq8Y!^zY<>i56!yI2%3vy23+yDWiBG~kuhiG3s}Px0 zM{b+Ak7z_B3M_lS}M)1w~gRQ%G3c{ikfr*`BSLs$Cm5wqMXd#?} zb!;3;%ae^Y!XZ0uw7p-{{9m`i{})}j6tVw& z%3GBgnRWBpl<{|p2xI~CCP(@AdzO%i_UeMWbZ{o+(zP8#y=xOt| z{zscRFbX{ztMd5vl)dNp?5iPYG>ZZ&%gleL85RkLinI6H=KmgLA@dAGypPI zkAD54!53)n(NP#UNSTsuka^`Z9(PYvj?LDwG#Q$dQ^cC z|B-b%Q<0sscQx;?6@R=3A7uQ)6_RieKJUHd^IYG`oG=7||DPoK-=uI&{8+JkHW%=t z;om&|?3qQ6UTx#5KDD-i)9l&r63<^qZmFqbP3mh5@TqY?QaI=lUcH4Ef1Tn!S=|=V z9eM4zcFsFz{K6`aT182mcemtvy1H#{pOZs}%1#EN04~$|(gxCAd4iL4b@i5Y;yn4) iXvfci4ygk^{{C08_ztd)HyrT*H#M{kTzmm{(EkD_+44RB delta 14065 zcmZvAWmsI>(k29VcbDMqE+KgE;O_3O8;3w3I5ZaA-JRg>?khkxl^1PpiTM?;_s)A=bs-4mH&_sjC}v0y&%v0ZR5;F+%>*Yhv0vG z@BOoXxl6~kLZ4H=%u^E~VtFOo`ch`CJ^w*{XsUv&9B9S)eUj(jfJ0xr2ol_*PK3;$;XT&F{WD2dzkxwBgr=W=v- zBGEM+A=)q6W`TUZUR?%$gL|62!xv|R5It;1H`t*WCjtI+#k}7J zqNLK#`8yOsLG8~NY?1d7`42{s9HM_@9H-BfeqH&nb|EB0#!B^ts2nMO9znmE70kSs zgnYl8A3pRr=_Pb_FOcZPx=Q3x9C2+#sn+Y;3F*Y2M2-7C0BnW*ZRq!&mC(j-BVax2 z;}NYyJQ4c-j+R-6Ao1DH0VZlkGx zDU|4xr1PyR?{Yipe4$8H-OS3*52#*SIUmA!!66oaRg*t9aeLKpbb54{yFjq$Jh30XE|!OwBvi5xs!uQ|Uk>%ro=bWXNMlA3lC{qjqy( z&35zo?F{Z<3mf_&wTY-%Dn)3ogoY-VZyK-j`Q`H=O93{K^Adz z^rJ}Ql?xb2l zNh`FD>2fB;|u!g5TwjM7W*aDnQ;w~6>`O_bqWM?>vTZCrnWAv z!R5m#(UFNW=4WZTp-V(l_%(W=WK%G3$!yd9V%rjkXusaqK&}udvzx!WgC#Fw_gar` zi)-`Ca-L6&87tu(T!c1Ug?sKh^}2-6p)ljU@OUDRQ3T+dXF!Mr(bF_;j$*Ap;!Sq$ zi?Gl)oblFa8`aQ35b^U{ zB(SbUc@Y`tiZ6MhHZF0G^k7MkOTvA%*wQl0aRlW3ui%m{5I~c3H3*gI}0EBOXFo^8QEkkl2k#oK6M{QbF5unc)~Qr@>EUbpMX($qq}uISGJoRD`_lR z7mS0rBvh5P*A_vif9LA5pI~P=APV~@={RR71jvoGw(`xtj*Wx_6%~0Uko{JfA;kK& zHgjS|xA$@k1y_suXgEl`9>AhQGOSgn$|7A}cLYn3bP>1X6n-V)A(-t}iS-+j@BIrN zCJY8NGkiF@pSV**t|WYnNhDGz8TNXld~uM-s(eGv{dv)_Z57E_MI26 z8}OxC*txOe%%OQZe)W8?__lq6Z?e#LURKz;tr#mSRz$o>h&py_(kW6{cAG57a*_`& zX6n0BTHoOndGvGa`wNh9VebGlUqO^0GD9gCp3m(YkB@+b_oxARO*_^{^p6nrvB4m? ze=LJw_Gb$v56*$)L+B30eZshxmPC$rKs`d4FDFIUOLoF1tP{^3985{fV3l z{(X5FJZ_Cs7++A(EueBd7@qBiKMudge;eNLqjsE(tf`nJQF<;GizR^Yb>cx$c;cbI zO07fIFZc*qPDtsCM7if5DaT*-8{XcN4aw;H8+NQuykGIc!2N>KEe<9}DO$Y14sQ&f zu{QKIBI9afW3<>-jtH%9D7iz%G=ik(BL6|)JGTQs7`c)v=a`}_hnSS-H zs;tb>D1E^f!h{tHar?1PSAjsuw&vl;&Kb2+1F=Tu-l~n>EsG~o56+?;hWjKl;;YaJ zDXPF4=|mSQnhH2LG#Gtf(OMiUm9sA{7{$8LKck19vAZ)qQIoJ^`6a9r7`=aXq&k5K$5aY7InZC-4DHkSQRxL zovTLz%d7n=OKkS6d|D)w9QzX;JOzA@6{L-Xl{P6k#nnBe5?ipVU914yO-; zvx<;DPOf>e%j6)w_ccJt^`>;3_Ja+7*^=@^aBfNKJrm>RaEqFL9*opS~%AA;Ry>dZKtJZIOiFKEOZ2h z(sN?8O0giQ7h`MqJ?633=5OZ)BI(~d%zWrxF8Q2+{nC9H#7;uKY`+l{t#IL%ywD_G zMM{c(e_JX04N(nnlJz2VwYVnvLdPlenG(l7@H{Z4c7;Acmah8GfL@Qkl##awX!xBT zt>p$-b+4^p^^-vp^-e&hu_=9)zN#yJzs;3UspU|?@A}yn{Z22H({S1m7Qt}kRDqH| z+SQ*AKZNxhg{Dvy;rDcbt1aAQvSx%!cf6{+W4FIINhUXt*)P1@jvRxeghX`Vj=Fbz zkjh#i`g>pTvG;s!3k}YnjBFsjMWywMCFk3&ien4y<>!Gc-K-3DE~bchzv=*S5j(w> z81OG2USHqq$&1>Kb{YfzP}~B~dC|pY-Cd6kPxQ+>-FE?LhLSIn8h3{6e+z_LvK9;N{Wg;QzgkqALYlMjZ*7`qjCH zWPhwf*c30Fk^p<4;~==1Q3h4{ z{KYyYHLg+Qd8YKUZVkAz~ln=~nl5RU^PV^YU~CZ)J7q*Cu!!jDUkdLlnXdzjHS z{0smL?6K8~p@w_>CS)qrrhCCE!CrZZ>TIOu!!||BPYnzl%zZ^7*Y&Y=?=ckl-(O=r z6UV)psCGkIqPyhM9=OG-Wa%9)Q7mopn2iYWw@dAA*;7|R73it{f=Fkgc~n`4DJLay z1s5N*T@gO)WOB!4*{fk4TP0s00WkjMdN=?(nPr2O@Uj1#LViNRL9gvjgv-(gpCSd4 zoKv+*d=wsoKH=DWOXqKEz&4Yv5#IVP z7Dxdb+C`AJv^w!RbjqzewgexoT3bySW^O}3X*O$JOIe$W_%n(A*dE#go==lU?QU}N zq)2~Rch*Jp+;?bJX5XS7c{o;pq@!W243@PrW2V2zdJ$u5$l$4OT7bW{;rL2Tuwu|& zort;wjykC;clDeJ94aF~afcRvmyaIE!kZAl#8_emn^~0?Kv8E{c0?f>_LE5Uoi$;L z?3r*42OJv8D`9)?yd_@1^EiqJG+Gw>>H&M)PCWHjcjAwag95=3MYVJQ&d2ZiXunmg zUNY%afI0+ct^j5Q{DkayWuKhhH4YFeA?FNjF0SGxO8zVxq3xmRDiqlGvyQ)J`ePLO zpde|^b?i6lN1I1u-j)-*Sv_t%<*Rw)d5KRh^IIGHOPg#bwZluZ?Hni0kW2;>jx~qe z)Sr;96(bWf*9pikKH_i#0KO>sSc|rwbrVg<52VgMurYNkT(Qy-8`eH~cE=W<6JCnq zpUv<0&t-EIht3~aX|ZJ{T!TREHsSDk6ImUcypREB?nhoND_n`fE;yS}h5Gv|-XgBi zEdKmSzneT!d})!(oW7U6BaJBTcsF?>u3A=B!*En;9>>Y))L%>q)VOR|nsSyKG_|~N9Mc;zDK!>&9vw+p=HIHi@CdN-uLOp6 zt>kzfSwd+d=5Q?ze6F#C-SFb-f#Dq@$641;D4>k$fT|xne*CV^DyOZ5mQZ+iR9ACo z$!RSzK0=%BSo(=Bl^x?$?5+;^xgJ{6MI8arrtoV%AlLfUH-!%9`HDVpi=UvINDbZfh5z&F)n+s}ZCm9J!%jM{`)_iC;HSIxc$CZnsqHgE{r=kC{p zx@W%KbRwL(I$KpVt!0Ayj^PIz9>KJY5Ff6sV$--Di;*xj{XOMEy!$!?9AJ8F2e*ac zCw)bd*Ujz;Ah;YCDo-H_VZJz_UHwutuHA4W*6$?jWF?L9mC!Rp?H=0s-iD!WQ|MBP zDJ*i{!*FO*Ntm2*S@L;Hg;~>v?Mb+8B}4(MYUds%+3I;?rvBO?R9C@@Zr#v?v1>)* z>X?4H3F7)71xK`IVYRJ+-ujaVK?3Bm)KSfnXiBp)fD}I=e;I)+N0@q2ZU&3wTcKHH zuW@>CI_;?EI9w1}l5%C=TNd%EwK=E|#N!i!I;k4?9Xizbiwt!Fuk*4sQ4@9DWp4S# zNz9(7SZW<>1THq^2O-Ym^|L!8-oh>hvJXFIFgCn|!V8ncexM=U&fhul4lXoY^{F2v zgkwa00oE-cLfw|Zo5v{FlZ@mFI9SycMwb5dw+Z;P5kfy=Wqo&FqmmCZJD~n#Fk(-= z*MiyLkIbjHo2E|mLwYGq8a0OnWdtFlnF zV?fSJ1Aqy z@{As6=6=zRvf!fHT3G7N43FUO;_s#W*sKs=_4YF5_Z<%*8hvBXq`CrrK=7=Cnw~4D z68|0y{_JHwg_wb_sqx6$cvKL?;hKhhI@Lp zlan0`_n(z7yAfx(iOPcUgLWXLm4WuowY z_;Z?yApkL$-dnzbQ{og>3PZ_bJAVL0n~Z~?DMl&k=S<3o(Jb==acB^w-CW_x(nHfZ z-mdPAdxvK$CwMqbUVvz+Qz$QMNvY4n`bN0Qr{2Su+eZ#FoKb{lkKl?wmcA_BKjV* z+rmxQ)C$=gJ{zk)aefrFZ&6O|RL*!&W)GQ7#u`V|h~5hkmr*v9%d$orwLgfGqpkAu z!8U!Zn?6ogvQ<)@hh*p6)>tOkunJ!MtsloXKXhmWSU!%kr6hL$Y4fwdZy(tL zv_&}AeN_Z1GSy$ZTDUBJHrXupLxgOH6UmQ|H8UxCuV{xf+qg$P74;h*s8yID zwZ`crHrq57xzI}?0HTGDH@!}y#a0LDHXO;G6)G)GIocgDIQAHtdR5mNMN;R#9IY+T z@P;Zzp}rGxTmO;e^mP>0b1hs@%_mg+l6Jjatg4C8`)85q zRoT$lOVOL%6e^@L!6IB@>?R^2c9;=$sx&NZ#}e+b4{-I_$B8(a|1j=EtpECI^p?gm zz1OZfKMTG|R=~^PTgPtj-uwrX{!6%V+)!nYR>RbRR9BqL549KJ&wTM{=omDIIZfB*h;31keN%fuY-;Zd%Mp-}34_Do=FUVXIQa&p6oDRciaK&vo=00U0XO z96Skr>J7Q$tR```n!$L9bdit@XwWb~GxbOih%(i1@0a=BGXDZ;Gy*q)8+lJu=#e$F zW&Xrr%C(Qw7N_Tuc@uA%=nv9nY%Ha^-O%v?{t0tOnhG&F0}7~g@y=L3`>g^{r|4NN z)OAUMv?XBvr1y;yMKKa&>;#T~R=(*>UvoTWG{9ASOdd!55J>RTPvJXwZXmhB1ek(^ zurOaJ{==9)Q2qA&y@@1M)TZ>FJvUyxF5G}X>|mhP&q9j+&%h0cJ{rRK_4a^MO$00L z_uvhvR0Z1TKJ0+gw|H@IiZuq5?R$%qzM?Q_m&;>eKSAoD&1mgFwzytY{NsFxyLp7( z=^0_d-VbqIB(F6_F=$PiyBQBq3R)ZDYR-SF?!@#pr!VVPc2C7z&18%T8N!&T_lwF< zO%B+ES@5XR~BxH;(gGwbLH#R4i;`ia;`k;ryNq>s$btMwL}n^mVYy z>{kt@BVmI+ADDj8S;PxgcuTo5wZ0GNP18%qr;DPC9WRW08Jd;QI82P_VFuyZ2~kA> zA8&7#*1bC#4mUG!?DmbAb-bm<#6<@GSmJE>DJ7Y>Eqb%psoJD4gEZxS)}4HXVB`)^ zA!j{dGZ|zuIDDSF@lpZ4P5N2V#o@(tmAlN`QF8uVZYc>nEiWQeABavDR!e{9J!9uK zQZC!%=p|ghipQ)OeP~5*z@_ETb?+i-x=KGuVCZSrtx-1jnbL2SZsDYCA)#Z@W^^j; z3#wmmRBcIX2k|1#+y^-WW|QB!z31gR42jd&tm*eggr@rXNKYuhwxpWC`)Utu_j+Kf zW?ECvCbM^mB$wZ;@pEpj%s_MsufG=pN5wR>+d#Aor|;9xf#m4$cR1B;E&~?Y{j^B? zg$y#+dAWNT=^?G#-2L=^8>QqCp-*}5xzg}I7v_Dq)nv-;GJ=8vIggZo=m?O%-3_4X zX4aJFPEExGdlJk5U`7^h#mC_qDa9|NgdZUm69pgjJ`W0cnr3kCl=u;VJ zSSs9rj&QyMO+$^0U>5nG?%!PqASY({4+f$KyVU*F)n%@1$EHzS28DivEx!h z)dCLc{IExruYCsJTqDj>i@wr{a+ucPO2}n6TuMUH#vtYsS$C@P7bi^t+9xMTa}QMl4dZDCTPMewm$&Bx@gBe)7xuk)^33XfmX7nC4% zi>B|Qb=*4i6{C*^G}Z72>UbGPP>#T3wp|hUrTt zaUEi(GvHvvr9T2q{5pn1;uHaG&>*6pQZ7d_W=`k7aiP`wig8!F^+}d{c3*WbDHYi| zEpD{%h;qdf9p;q@KwzQ#h>04I{ zN5P#wRfiwcx6*(aW&@>yg4_HIid9+E$A4u2yKj9#BJ-x4^}tRR4X4^1n%6zuIL~XQ zAQ#9Hu3}l-Z4+mNh)lg9A_@=d+Ev}d?z-U9jey>g$BK(gb)f4QQ@a170;7k)!tq{8FC zbd;xdl|UsQ=dDn~2bMFm46YrW>%6M|A$RBTQ04#B?g-qwll`MVH<)J2`vG0DYDq!K zH=RXGL-M`!-(4E5h!E)exSy2G7L9P0SemUHInH&I4zOtIXXPheTszzcEVfq zUfe?bJFFAec>N>{;E(KVV>!=}peORT6%fjMNAr|-Yo|?Wo?plK`ZU!wia#B> zT?5*N&guu)3=a1JZ74N}=5f3AxdUhXl?tM@eim30U#sIL2r)!2pa8!+{pGIeCW zispfU-{Us}UJ{(o0|%UIGvgwSeip(L$fr`TUd+xmxdNPICIQBe?|rkQ>TgC4IG=MY z?pF>tPpvFo-(LRnd2G)_>t7PiPZA!RWm@GIm&OAE9^aqZSiG9fwaR;xfIyG!>tFdB z<5V&VVMH7K*iqd^L^}N}YAx=s->xztgOcX}PZ~zZ*=fS>{Tq3Y0?_sExm3)MjuMc+ z+v9s8Yl|1F(846U3Q*}eZQ!BBcxl+zr!AYE(%KPo4o+XW&+Y`?+I)@5Bd?7tAi^2C zA~Or~-OeR&{0GTWZ8#o~0>#U-(4-wkM8iu=AEQ$05Txg@n}N5F5NeA=(e3Emj`I(I zgdYJ&a0t|Ed6d`2Num)wp$ax&1Hm&)`3X zKDX(;ZV>&uapPa!(SLZ}Pdxvg-T3d>*)jk6VE6CYhHqyV^o^Y?ZFBX@Z;W80O8Yl8 z^Q!FZ+HPOqW(I5!^+1*^0{&+mAc^`~TO*RiFQ+Qd2-59jbU>)#r+tdK#rVv{l`8n1 zuQ3bb{Iz`TIN#V~ngrZz*e^vW%_sM}kdwe2$@1#yJ74rN7YweFx=uP!vqfeETQKx9 z?uTl1^+X_y(m)H4m>Y>Jwm~b2P8Kg;ym|yrJShzx5$_px3l;A~08SXr=x8PC0pIRH zgZVvj%L=2zoG?xewh}gO_V?Jx8xx(5rn!ST=@n9(^JygB$27NQ_7+{R0wpHOl93JN zF$$#~Lhz_%!;MtmWBl83_XuorCJ>h2)budAbfTf$>A%r8w??MN(jg3+-0H{%>|O)t zj4Yni596z8kFh|&;A$iY^*9?ZGoi!B-}V2wbIC|i&^Bemw7mS= z;?438F&k8SQF=I+x22UYKi+Y!-Oc4Vgelo8nBJGmTZsX4UgE9ummeXW?=^pOSrGM? z|ETgMWSuxM>$t%=BikGxG>xztAFo@4lQ?8tmN(j{hE?&1h-mLC)j?@W#be_3!dUbEE9^6zaE_u{GWTaU&4#;k`yGV& zB3iH5x4s9s4#%w?-!1q^ByLum{um!=B-OnR5Q>7^z|TG4fz8lt{?3yGDhVIe3&BdM zw0~xD;Xyi7@N0U!yI*jdXE@KH16jF{GW>!Fr|R7%;BX(ArKneC2QL<)`F+=+|0avV zR25M=FCQbNz1!x7%T^Qv(V7|g)o$&5Cq|vb)3ye{;beRNdV8KgoZH`jBBns+dE&a4t+wfN_pcVC4ck z3D`7RE$qxmR8SIA??IrKKASa|9$75TSv8|!Ifu!z3rvzo*U5h#?(lSCAA!fAJ zusciP@07TpU_LD}SZy$?k4m;~rk@D3oP{2@@w2VM@oLXdJLH?&4g~!vd2|_{;?t@o9J4P)B?6JhBPK+nuX)tV6rL1-RjnQ^Bime zDPM2BX9F@+c-poA4d`qj8i)%jaMj9c;@!G@7Gtty;ZO-%Z?rXd%VF9kG1ij(?S|F zW^NEn=K7+u*>RXgrO`5FeVp2=0IEJq<%&s@jMA}NR(&0Pr4Ak?5@AFz+?0X1LNYVl zlw;xNS^(`&-7wR%ueBduMuxi6PdAMy`Kgq?hPwwQ`%um8&$;d8yV|MQ5w`nZ=~0w| z)abdvZ-3@b_73=X>e#{IKef+?!y83Uz8h=+=Xbm-RVh=WI-V67ZZZ(`hLtE*8-T8p zd?sI;bc1cRKuf6Xr6>IOMsCiFJESjv*k8%>2jc8KPsk;xBn@$rGP%z~?4H%&S`pwX z=$nggDy+%&_cvolO%vM^S>9OE9E#bwD|vGwICVw-apzit;^86$S+!vbeDdmw^iSjkC-x%B(s$(j#iYVcOkZ-`Ce!L1K_Dz7t#^9)@?WCmE` zh`0TR@p0H(|EDT;L(vDPCjj`x9Og&Z-bt$$mH0@*Kh861J| z^+NwRPV8#L!=5!Qg=cchV-j-CgBmNcedI=6k*IswkM} zA(=a`<%Kjclk{ePx3mDjF{WAwa~!h(5&_D4cVaDb=NQyv4=|I_C!)ewXe8>hrjn{$ z`8QE~#IK3UjvC~;4QMXmxj&8qqs~v5R^j;jlxxoGEl9+mi{7I3Ny2*WaqI)36DrG$ z$S^3pF3!OWAMuk=uB*H{ip?tR6ewKPm?km;%)mVULGglFP#l2s3pFCNpV3~X|9#Ng z!^s5OFp+&5yejRcF?sYAt-P#!PNqZNQrvX)4#Ok}8cQh6w(gk8%rAvUipgmw|MT3_ zeV5IQb_;^P;#?!n54Pc+Jc8(Kn8V99f5lffoGHqq+@QB)*-xa2J4`DV(LteBl{oW~ znXRQxlAlt6ae%+6v^Nw8SvToq5}J8|_HOEo2+<27Y*=H8z8|UI7;}kZ->;jhZLlIU zYft44>5vNXlABDQ{GfPd&OeQVf3m$h-GJv7zL_k~%#&j_V=zq+1t+LeZ;&GCD{g5; z3LgqHi+c`Z&%4K2$5L;AyPs~wYSm8SnZqo)|5VBZ9Pjfu!?vG12q{<^7dLzf3$e5^ zDlC|a$5pL8uE?AskPdH5pBL_j?W3$0yBy@ZIr!xu0?8jrb~yf#D$V}*rdoRrkIAJ8 z`)w$}mXvNKWU$fL=IWT?G9dby{uaIxeX*!V{N8rXs*DSQiJF>)?NEVWhR<7Jf>4;0 z*w6uhDV%X@RfDywnKjHnNsHX5A5``Y5{nvZ*&Q=1)*MK<$s+z05{S7P%_3CIM#M62 z1E>j1KoZ}wQ1nx~3FbPD(%;E`>T>r4SzzT?Pvb^cv@UbGg|hkgzfI`KRga5N9u*fH zVk_tui!^Fm4w8J@rtw@e>siBb!2bBOy$TrPO;s1x3=dM)JH3s~gU(I(*Iu+lLaomi za`p2KR8$$+a2;7qp<)&_Qc&)1?UeBh#VJv`5u-%iCI!@K{nq`*HVO5}xWFM9=8m@Z zwoh_MMPdy9ET^MbIR2d;&Ad)rcPNrkx^MGA`+iVkL5zEr%ZF^8$=6mDATOT}`8#fW zZIc;riY(vc8wL3Xx0A%qISs|u|C*>z9NVs8qZ~o9qGg6#b-W$eS;#~xMt#-7kE{)4 zshx>iiv5+Q(AZ zIy&n%6UHf1Hu+E*z~wHcx65@tjAsWLu6U8#%I0P#w2y7fdNX7KTVgGJ68h%-n>YG1 zIyqJvB{pyI*Y4`nX)YqpmDhM1WGRl-%W7QWe+{*G^w3vP75*Cu@LH>%Qi0`PNlW`; zn);jQDcIB0rX94GxLs2G4?t8w0X7#up1Y|qfP*6ts31SY4rdirfyL2U5G|+kPC5&Z#pdn1l;VwN){#^DR+E@9+2zTlC5Nc)*LCAto7N zjP=>G6ysN44Z`WdcRRN|CX|e0XE<%ZH9hl^s<#FKh0OfXLs;>H2p@7rBr6S9)Na0| z@iH}XMyN5CmAilAdGQDaz?#%Cgapm+Z4OZHzz|K=(eI#4tEh7nDZ}^Agwd{m)`g5A zran?<`=@z}!13e^8k9tz8wZ~dv#ND2>ohL2%OYNx!%>CAaM|%}?6( zJJ=DuR_j@|Qr4aSEg^B2)KzE!CZcvdD3a|f@EBBZjQgt+Ms3O!9c&C0oRWTR^LGW- z+PBWVZuHc99e^FyE44e}qK}ImJl%gIygvva8bTN3UrLGzoEW@;^EWVB)x5%%Y*JO? zb9Vg-{oci`6GEoy1SO5D#3DJZ1H>3F<l-w(NcQw78dZcO^gTt~Dzw--43RikYU7 z&h@G2OyjRde(azoywH*PPV!GhmoUa0VXW{#qP39GEV7gu=V8=ILT1tR|r_f?d!Qr3W!6dA*@U}v7XgZ{0%h>!_ z{~OR&YgKX*_azq&vBOcU*_nGvauRdaoYN~voB0yudBB@}fcs6{O#3Ef;=~%C-1R+q zC6_e@wf_SK7%9pPRQDFJhQ9&3cng?6D|{lopLp7*J;+2KLaC5(958I5?A-gJn*pOX zfofku8qY&HaED?dI?2i74nrJrC&pJtVq@x&n7dV3n^4E9Oln!Hf*D2C5aa*AF@OOK z0>@4~jP}%&R%A?PwN1ljhGjk=P^+hOjxPD<=@-I)xCae0cUQJ4 zzhLu1V_fC`Cd_}ph#~eDS%Z9;Pd6kZX$`G&BPUsJd^VK>&QydRID8#B zuVB3*hqSO4qsP9p94=fMz&@avjWY0)#zPo+;!ph#hfH2|ZLH9sigP3!A?+2sQS-X0 zzvM|Pxk?W#fvwx3kxF&?&SCL!8d7m1+Zgv1#V6XLWGC&&!@`2WULk$>S)Qz5a{D>@ z{|A7A3MxM;7JY02Gr@xl=jJpxnRCFvExD`Jlh816x(FIaMA1~pcrN(=UMdz3XNj+G zVClJ2_yt2&)q~5#S&w@N>sl(D7xs1zD_v?67!TSyBS1Xv{{T~BO$$ty6;hKOAI~G~ zpAJh^^Ag2(<#TEGSt=z<_b=WR+4?vPyD0!u8|9yt?$%rXe#L>)15AdevECKpp09t> z%dGCU;KhUyUoBEYFR&N*-+(hNmo_v#TC`!Ofjos!T97y$!@TbQXZpY1oJ*M$4JO9W zqRiIQhK`KRnBfc0=>DeoJf4ix0jTdZJ8iD{0L%UqZFQdLrg_Q9OTD7rj*Oe%q_1ASb>T>hkHs0vCU$XWUG!-72sSGfFsYy#G_gANyn356T$IMCLDL2qS2%Le6LS1mZ7 zu&ih#E;E031@zFhHe(b`W^D`7(5bW)eSbKOopuX+%LbN2xClm?&x29uhnxXoeoLO! znEp?WREB@v3XEWQnl>SsrjeI9vv838?u(cXr$ACg%B>klV(v)%ACo+&*wwDg_GCl) z9fgSUcZ{h;PGlPULU5|lHUxEbXBsE>2bT+0(zILa8`%@XH+pDB`W-V@VQ$p7!jHc{ zo@5CoApQAsYA8jx#SwOhzw{FcIGW57#6)3X;tJ`xHAZ(P;(t8kUCto%ku-rGX?1u0 mBYPp}uK(xWUv=r$_2my_VlhuQsyfB5^&%J5HPEjC96ANzIiwCUsJR$I5WJ^uO%RTG@o`q2SMy0i6?1H{fqIs>+nzQ6GPv&)Oc^1;QroUAdVx2iltwUzxC%Lt?->=tD z>xhct@jfieJ8D9M|2O;F>~(U~wAPO@<1@5Bofc)~Ekm7kEE(4zrJh4bCPkJ=()uZK z&^ak&9I{wZTg@vL^jnT->lB}{wa83l7IB6-?pUIz#rCT3@$mHWO7-W_!P{2e9pm%b zbaBR<+J!mcFZcKFRa>gG^KrdgffD}>isw01BWq76JLf{UdB~x-a~*}X_)kPLsD}z%&3YX>AOAS+S{xSd2LzT)qPr`qDG6qIGTVB$R_fb zl_xq1mDZ z!G;}o^IQY!x|r8B)C!?yBJP~}>3q)`)-?W7V)?d%BtTvQn;Y#KIE>!2=;ka4~<31X+kh$JBOdi$38K3Melq1T@qQb^+aHHgE^tiTI z92bk!_Ca%eWMHu(($Rx?|Jw^ zQiZ&8r?zVHeP^))tVFE#p16@(?l2QxD4)QE?dNHyqr$}fXNA^rnvQwZ%%QaPM!NVbeEGj_Ft-Y=G?kI$5t7eL) zy=CNp3UTeMU?*=}aFO}T$BfiLs>I6G&tmf(sL!><8tu?{-@Hm2r4>F(#lO*_)1FCy z@0BE+jA3j2sCJ&5fR72tMDNoI%~LK+Lnm&#$+*Jt99eep8HJJ2MDyu)MdZpt(oJ9G z>;23A4a)z2m;adq4GXeF_|KV0^Ruqw# zi^KmypkqU8N&);{_1z4>v+y4Kq0eB&wfj{DXEa?BuA9YP^yc$m^X$Tj$cw5_=$F~_ z31hjOfIDxZsR$?NpUJxK?KyWU_b|CM^RoPlBz0NYG&CLGQoEyXvB^_q2|2ZqYLj3s zbQ~DlMFit-Y*2))kPx$Q8#Cf^Je%MSqu-TAm3uo<=x%w`{d+p{wG9$SeeHS<8Vujs zOx>t*;}QojN^9+S4>d%QX~M9;2LXGzA$Fpb2%nu{O}5{hUbpx9XM~^LL+!9l7?Mzv z!zpWOt>~yivULHzPhymyIw{Qx3&lTZ! z@?$`X*n{v6<%K@&n!%x^ooD8)=8NacS~XkyTIb9DjAvEO&s@|JaMvE--b|o3UlJ0} ziB{09Zm5wv!|0V&f@j@((w~TnkUKwz)a7e;@!=jWT@s@JLZx{}5ZzkZwEu(aQ%-gR zq)WuO{@0RhZ$$9W(6`^!CVeD}uD(tV$fP)ar=lyAl_^H*(Hy^OkD`qS-=Ck^myq3E zwny{?Ogxt+UtxITllJz;x=;AbiS>1@)ZIfdSFp7-4-HeOMD!S!H9w_TzkCyQg z8G>t2yM$DBU)lhoa8Zlq_AuzG_P4&)X!DhD=zHU6rw(T@ZmX))5N?V)k_<6`@1`Mx zu?`(+3~mqcvciOSM&f0sSj^YIDk(3}c1n2n1gDJRk`MR5Q^NSV1$KhGiV@~ba z!FMLAHnHUIMBKF2xv|@TE#12HcLy-z*DuIW)b?19SFqV~j>i>j*FDG!j&TFX!Gc?p zxvBC~IPRoLmGNu%7fEJPc->-C2wK{N88RX)IgW(p5HiQgk*3~8ygkDS$$F65{9%Tq zE-BpQew>VvHe@ZH5J*2wtMU7W;;&AU|7g}$Ff2EUSmMzUNoq1cqM@qN80NPK+QHTE zKQiNA;Yh2sQ^WVQbg%uonHV(+rWQ|d#pd2Q@9n3}lX1mqxXp?eVD&ADo1G$slWn5C z|7jGxg*}oEO(f0@afZO8w4toi*Eepw5YECKchNYgYhNv^#@pPg++`fM=2spBeGvl`oH2r*zejq8>?VlQ2ZvL>QT z(C$5zj_QQKyx5JzN-(jQGZT55k2+Bo5z+@TRJ-2C4Z!I#~B`0=jZKtl2gph3oMVp3C$ZKw9|-9h++|ZSSh;AwBN#PINrMO;X=s z)PspJZGJHB91Q!Tzc`uMehV!eJsO{Br~dYu9N#x;Z8xo6N=$wg=lG}g%n>bE(PFQF zvQrDgn+Dl)hv+=(*$r*L@f7HDMgQ|-a2lChmoz`=n#GdP4#xYkx5f_5R1KXx$4l!4 z?V8Kd9R03G;!y58jcDVj-fS#9J!oImg!0ytNcB``HO1q;2eZ-nINt9*YPCkGoBCzq zen)b>0seby0eo8l^UnLs9%ezl#nvYQ4|%=`K-zPr$Z+M1IA(>|yHRP}N0E@GPC~lo0@_vZocDrYPmy$TG*MkpIgumAE{&|w* zJDei0_$1s8vDLj91T0SdMD!YoggHQEtC} z$&k%R-jZEIg|8x4;w!U1*nX2he4fm$|AFbKuJR1_O{Tu$cyUN5j6G0dMY75$GvF~F z->UEWBRSKHngW4J1Y9n+{JPVg$!z1}@RnA~@Ulcr&1VG004TR=#b3E^nJ2XLY1FyU zIkNlx;_&+(Jf%+&9@<>KHB9yL_`w~Dys^WBkJBx^pubcGV;6QsQmPJ!4jo}_&{T^t z^@B8sSFDlD|CW%Ed{hO>*37NOdq{##L&m0;LUK8N4MK)!H>cqA30F6^1>^2f*DYS! zg!{$TiaK4B9~*EU$!HLDH!bE4D=T8`hxv^7>yu{tuM%nsChiR+OR?HJ=#ldC*KpxI z&5^$H3(hm-A2l#;pFV8scysKcVXkWTSCDCnZ#J&X2EOrcO(=IRB~IBy+S?V>*zWpa znV9P1M-i}Q?S|0D6D%3fgVb$< zA*rioD4+OS-4}^LokeFOA8KR4NOkA%f&q>w(oXdkZ~=_lt4cFO5^&kiC#r&ah*#qr ztku!3F2z@{%Nq2rVvOpy)AXD4O(3SfdmU*o$q57 znCn@FEYE@sUpX4RKJxjT{eaV;B;My-Jx*WoG@X_nuVt9{?IEs!w*!kM8IohD^6(__ zf!CvvoRn=aQ+R^AYIX;hkCMho6bU%A8CjvX))?DMP(6>`qcMEfSkb10+YMFiK?tmq%Ej0As z!fPs6rQG))!N5Q#@V&G}I|<{(EhT%gnv{RrIX1vou7k2F^8rF8BBuI-9) zNJwPQ?WiY<*Mvl;N*0-An=zFoWBB#FYfE#Fv-U`aOfVi?0^=anEeYq-hc>~|n;acr zfg7+E2Uv{~fgZ7yJ)H*U&MgZw(`S9bxO%tJ{7}GBj5T*Sd0ngHG^Er0%dzo~!||U! z{you{fy0?naFa-yn_Uj=BHzIt@8*<=jO+{Y6ZgaicBbLY29>}03uQi~pKo0gdW!b- z#`Vqh0a^pf&250;`RN=cd|2D);8GdKfR7eW**)o{3^kESgYbb8MrpdVI52KMK^4 zQr?CtIJ!HC_ypj?0`~{e37&-kIY`g$BBU3R(`;i5gBQa@v21wJM(q(%4t3@ul>tES zXomXrwfES4_SoM6UvOECI+7cnvum+-Zvk?RCOjExq_v@C-lGyZ9W+*_XuIegJ;>F% ziPg^kzg!%cvR^g+Jko!#NgdT048u9i#KGp@OoTK67tGXi^e1P!G6TR`#{qyDZ)<9O zth2}4fs8P4W*MoY!0K8)(KcZt+Pl%wMq|Nyq$~yD2Qgc^EX4}*V}(W>Z&Orx_X6Uo zuAttC-!-#g@7Zh9NPqfw`O#V}Vf&F?q;YIb;wSELC`fiag%R`)BsXWrf$}x2VJmbR`kk=NlTR0BX^D z6(@8Xnl3lNwDuD*7Rl(#*kj%B--M5Q;LFgN94^}|7{FizhQvka49)@>#IC`T4e=XB zH`91y)!MHD_pI|58kf-Q6XMq;Mu!j^Yc zxRlcq`+$E}5N%(`zOzfrgr@Yl646z6!NGp4WKTpVTz53B%*o2lM95u@ zwIuZ=jDoZ`r<^PrMRN>HPy=VjR2DE|xP!G+)6|ti*N?|Pg)c#{fCsPP_(aA{SBiz) z9Og&IFLtFC)+-LMf<^N$*m0t*GYroPH#2`C$GTF^Rs7&kgMl0o(hhqQ@CL)6suV*a zL=%ti?5+!(N4R zu;BoTKH|}}!0qab&fGB6&n#5;Fk*g%?dpKM!ijHDpoy9#+;G({eLPg!TK>B#*AV?1 z@kTvVm*(mLLtynWkrkcv5m#{j`g*_&to~QTw8QQLtihCJs%=~`47(dJpuel^We6IG z9l+;1@DPyJ87;=1w$Ns5yU zUcENTE4I1%<(wBAgu-|LCR}mUF-zbY7q&_e!ya7>+sRqj;n_5Zjpn)uFP9?&qfO}Y zbE5dQ#M4GHc!hsVS;5p_y3{eMg;&;}5{=fUjsP3i?NBVnCsgVA=Rg(1tmCjbrQQ}D z+jNGxb%Pvd)Qk4$*x(C}8^_(g-dII96dF-sn$!TWEYHcF!O&# zri(EMp&qpzlZHnF>%kO|DuTP8IgCeELOb}NJAz2w;wb-O(cq)4RJS4LZ>@<7C|>b#9nm1y5Z;KX$2+aZ<%luE-YJkE z*GJFnIUd0hUIWOnOt?SRmDdRB%)EFRb`j&F`Wa zuzFfFt>XLU=EqiBHq z9b;1_99|DAh1{!R@F6Kx`*2Wcon{3lJ56&G&@qs8*hE9Tjs~@dRLlNOOr57Z{R$Ff zQM_J4wilppx^{sWG^T?EFU6P>VoI2!b%?&Dx5_zZG399*BVZ>zW~JI$k8=9o;s9bp z&~!ls zwYBfgG$Y;n(lmAjQ2fCA0q*6+j{4#n4fWJ zj>+Ekusn-zPKhy{HJ-Rp*OL^ zn0nSV5Pq-JGB2GIWRLX$+`$yEs?kum6cUDY!@A|^#I7rn1?=M;Hp@D{7 z)~d4SeP}Xg6ipkL$N3P40~L9tZe7zo!!H!wkIdOsSAj<&KRYZ6=mb*?R7HlY^*Hxr z;WB2(se?eDs?u1sle@cZ4v0xXhG0+g8Ezb36jsqh`YK9bXPP0c0a+r*L33KfGR9q? z7sFKtxvphp&9Tc>sxwGh{K{K!#JMLZ=v(H47{4$chG96RMIpeIVSS8WCkfN?8ScMPfPD9H8@Cto%WOEjE&Klq6-A;mqH zG1l{C4v(&EZ}lKf$3V0>#W2)c*(I4B*>#v_ik<(9`v-n`y>~JaB+TXL7(;M1%#0Mf zv;&@Q-RbNS4BWyH3?Yk!H(m3XRkW%Oew6o6Cng6jOh!NJVJ%_pr+GA1eHAG=e*e@b zhb$jfB8gtR6|XcN7iX@-C3G>Bctgb_I#)Uq2yA}`PXLzJyC>^t0jl17zYM=|)ZyD& zp201GkL-;_ahKSkh$;P^kavh0IO*=DqrYE7#T)?&uop$yW9{l=li?tydfQp`byfXx zq&*FpOv>YsnzDldfv5U{%6uGX5eGa^l=x zS+wdv`cDonFBi3al%Y6rY>HQN9DmG-fNMdm;)*qq%jzj&jP^n@(^IgmIgiUXu25ePVmbrt7-s{f?3hOOCIT7!D5=D!)OFlX!T0XX41JxthG|b(#eF zKui&}P1;|#Ny9QBkm`(+@wxOw+$kUvJi15|n+#p7?Z@PxUL@gjdUV-HcQr1iU5UB? zD8cGkQH*`PE%svj1Y;j(l&G}iafgbSypj6RjBamR3r6c%Hu@ipgrN)AI@vRPA!Msf zMVWRB0UJzNrE=zeg!?rRJNeR)l%w<@d}WgNSH54PRJ}55wpd=i4~tDk>jHL!qQ-t$ zd4Y9U8vZs`Xxj%j?`mDehv)|IAjiyq5MyA`Nra< zem{IPIF5|`$qn?AD85>s%^1TBSdV5>|&Ipwb6TDneBvPE!(nKVTv$oOvovH_0`Psm4hOPi^qWAWy1He@}SGMX1%>_)Dn{>aGfIioH z?>ea$u6Q&}2Wu@kW~L+_ml#249IAnR<>`30Gf2bbytl{xR)03xJ4pxAqavxD>H;R! z5Wkr6B3wORN+c`^Rpyx>f;#_?z2FeAXdq1YLVh6=S1yyn62So5HGY z(ly1|M6JFkI8ETV%q{P_h)!p$Qp9jN%m{Pel%imJl{?T2*8K0_xeEQ5gKRR^$#q0^ zk}|v$tLc2iZ7L!V@q)kLgf_{XBe3gQoHSj=h!M&A;@Y&YG{g=C{`clG?P7I(5z&Iq zVDgQ-`1phHm?Ox8NW0NtA?i=+8zQY5<~uI!y2Es|Aozk2P?~^4YJ4tE3h|`9q&t&_rw#NjcsqV40{`$D>e-**Jv2zN*EESO4pKsq3i3AVv8( zy;~srckhWYfQj~Vd%-cIwVD!`osUGe;L#PZ{oHaL*bLG1&i0bwMLR88W_Z_)C0o2m ztV=PJZP@2xOajXk=S|0(0VCj1BOEGO$BW%)bu^DF7*I6@UjkUGFVJERuyOtyB(V>; zOS!0N3m2LK2$NzufMFxncAD^SbS?+f;OJPU9cmJo>#=$<<7$^#VTwTZ>4(ZkE2yf_ zMPFS`ZHPCa98F%xr#aqDj(aWOAeBjdt{A`xoPZ->qFD4D3|l<9lV;iEcNN$Q#DO)t z>JKNw^^uk|Q`+%}nNg#3e6+-QaZ>O|xAss!K@ zSn~&V!cc2MxzZ$v>fWK;B9EfFR{Cp}Cb;)SUOa<{!7f9p&;a%k7zS7AsvD~{Udd2H?S7i^ zJ^U+9Dh+PmHGMu<6S`cxwSbAfL;P~sFN`2N<@s9sMe+o?M7xPwzEMRsL@&i#(y|+L z8Pr2jl^r%1$OezD)5Il%-UKL7gWn9*B}Ihki{_dLx(AgruS=V>mD^Q^bjCYvVAKh~DP()@2V;eq7o;^14M^!+U}6d6DMz0MwZWMjnLRzY1eY$J zqeWIO7X3|U9Ofy=Y+o>Z^XC!>_Jgjjs=VgQJ6<<`82@S_sBS(5WM?rHwMjK*C_&C{sUo7c zJHB)x2u@rK-_ONwP<=6k>*FxVM1A<)1xz_waQn63&(YhvQhOlRbXC~}bZI--%B#R7 z4AqdjX4H{J`db+2N|&z+cW7^RUYY>uYjqMP#yE`H=0J6crWt;qD^p?qatYflUFk%$ zt@NiXrj&&L%uv#@*>zhLr;QksY@D`0TjFUuG51h$Le^D89OLa%ocr7%b=(xC4&=w| zRo{F)>L+IX@jf%6sR!zV5b&*z^_U5qeXhJ9}9cR{;>P*$AMA5ZT*sLtZ zuloV;mFgEo`0k-pmaepVoCV_xJ*f94r13vz>`h0lbPAMyS-QwUCqPyD<5p8%h1b3x zo72@u4|zKkeiWtKt4?8*h6~J=rc>$7NRmjSk4fBkTj~1g%dR=cLf;=}!JD0bhp08A z%X8sxrxzmf0=K;gRZR5Po%{vB2)M95>kUGlE;YTXTHv_1q*@)p{qKN!l&tWqvlCh- zyJUOZzfkgGraUgHkg4)8;t=5z{r>&%DSPb zxY)XdP`pN~%fB5{9FPAe8B7rsrmwxat&70%)Y-I3Sc%-MvzfU{eaobFvo4y-F%CB5 zO1CbkxJQfJ#&E(>lA$>fDuF&;4e_2Ku@;|ikhm<>3dOIW($~WTQS6#BjeI@KqY0HZ zu2tM&gl$wFQ&AhF_%oN}#emdIT3%eA%t%fGbO#Uczvq>|qBI3RL_m`IuTBwvuoVFs zxY!hts;{m4avPtp?3ZsJ+IWm;pk_SqCa;Q6Z;2(xX>1%7#N!07LddUs+Q-0`KpYSQ zF4HR6*@j3HO_a{veE&@*=*k7+>K?z)oio99)jKH|ry=bV+B?8jTIOB+b52JC{EKLu zt-wP+sJPYrHnN70)X1!fpd9Obyo4Uy~1w0PTZ0__d#HX1XD zdd;=VK7>YO@Uv-_D4){K&?Z_qqCpVfPG)8Hp!KMZG%4ON{i~=D)Q` z77i*H`zV?CgwxvO-Em8e#1vlv7FBB?u!p>nu&svF^%VDH)-o21GfAvt2dpjA)RcCL+xtH?PR~-Vo_#bZ{G{O;TU_@7I#@ zM!X}LL3_$rrQJ>A-PMM=j%vRy(b^X1P*NcVlx7QR5kjtQdKDI(7u}B#*VDk939(|QpkZ^oebEZ!5yKxszwaahQt>f>jqYr z=>O7#l8V)AbrJ5ok=nY5S5Rj!yx{V-1;3Tt)mQx#7bSsa=T+S|P=}hoe8z@?iC-@& zSUsc__@3&$W93noZU!m!xI1}@3J$|8lP(o}Q0IhaL?%R5Ptq#4>a;82(edWU34C_d zreCPtxE9{@MPp4``1Se#!AG~Y>h>C(4v+N0@C46FOH*HGCVCORy?SpMx@CUpg4Km0 zn$GI`9~Nl;aevk_O;Y%Ef9mf;+_aJ$!B7*#aZkEn=%f1O!jSPm!GabEj z_FZR)l{B4_u50Uf4}Qhplo@j+K26tFeO08N?#Wf02qicywB4w=vtUMCL#x?zO$`CR(hF6jbk&^=OB zkTQCq>olhdtm``R!y-`k_|zq;bGoh+{UO@IBgG`KF6)L7HqYBEwAG0^3lr$}!{Ww5 zTLabhg|@~+jtkSG8>Vq#n9h0W!Z3A>BzxU3vP(+Sg{}+rH(^j*r(^u5go+(vf1|Giah+>#aGg8~OmRtA;NeNmTH)_f z*ZWm#A4;5uEV3z84IW)96Wl9vOAx|r@4I=Op{nrEw@>-pp(o5=1#x7^3nSR92#0|; zZ<*n~Kh<4A!q$`5N)(jOGVf>kzT{E3Phwst^&6t4pye4lWH(fN_WWjFmKFH`?&m0| zR3;!3{k5U8_0NLenu#)-*yTa}wcm=bPSgGYeG$eM1@bCr)Yt8=3 z_K~<_ zj>%Er-oaen33rd`W)Ao1QAf}@x|C2(;`Tl{+*YGF(wcDmjqfl5U7W97olBV;%n05) zG*h7U{wp?S8*I{VJgno*&$w?TjFI6de3t^T0Mie@do%V=(1FvE*vxv)1A^i^k0VV) zjISe{R(Uyww``#pq$)#BeAnvrvK4IjR-IkUA{{b?B}B> z{){Fa4SF&qz)o!WYZlWF+K)`$8mE3Ff|HT^ZGa9xb$90Y_#9=MUxfaK zZA?;A<>hbHE?aMP%J-9`>e9I%(Fq3gQ3!#uDT8shL6YOii-Nw0OhgFHtb|8&izHnY zgp7q*)>7%uX0a!3msJq1Z+c{ASkK$G301p*Bh^9~(>S z*5829Uhzrxyq35h%O7yuV<}+ zj9W9F2`c7oBTR?7S`;5iEL$fBK(G<~RRg|wR}(S6Uhr<0hrXIJWi51C*gp?X#V*l1 ziXbUCb}tq`&}`Z}wC)(Ld>jAl30c80an<_fDEzKbVvD*0aqRK!KjcsFR}=h~BAbLUrd^kj^luAR)1&wVZJW~37uaR7Y=dp)g%9^a{fV03MS<b(AU&- z9iS2(`j6L#wtw8=x|3L8_g?1bI7=@5K8lXf_YN0Ug{>jWhTIO22EL(b7b;i9wUpIc z>*CBV(zdU4_a zi=amTfTsi>@9yxHJv(Neh!_@{d3>Cf-gxv}yZps&-6s7iqCy*Qtsy+B zoAIsklw`iX@b+Yvio4)joELn{;au;fxE04+)u&!Kgw`QsCi`cmFAS6d*H-n{i>o)a zNgw*m9T_@Aa&B%t+Fz2B>E*2J^6c)Bix8sVi7>cgUMvso4ki(s-`t;*CdE_tZPR%?)~KPvvHLA64|TzrpZ$r1^UEH8pPxyT4Lv*n zol!gN;eMO?kUMq>F<_iOIG&SQ1afk^fIznj{)GF-?D{=Q;$A5LillvoI>3g6KL z-v*V_+CQj1^gjwJZk{Js5tM2{tatC}7 zZhHCBuV=%BWXsrK*K;d1wY>Ue>Bo1nVuO^37lgKwRy*JB4z$&VLZZ9t807VCJD?z; zu*imdBJ^5A%_9=R5_dP{cGo^A)cR7JyyRMiTh(G-N#mED+9XESpS)T|&r3fO(dGM* z&L(x^BzbvscS#N-o}o{!X2ge{Q)RPX$D*TF{8hOVQFm=L9!&TWm98wFi}d_Df(#)< zUfvyExl&QN0p&B0ln+TEjA6~c@8=ISu0yRI0P^u0i{!C8({%d3XX9QtDFxAX?lAmX z-mB+)>Vaq3sQquw{>WHMOu2L=gVl@*njOa5zkDQ2+!f@W6BpKW^MX8knR?k&32U&y zVx{7NjXBHmQnp@#^}y86ihC`>BQQN>x@&^=iOzg#<6euw0^d((gI!&-_)%^h=_%2` z+o|okphzs8r2(N{c}jr=LY>cQrKGs>o&`?5@R&no=cDy~;J1@T%q6qF@U*|9bl@$4 zRNff@FMJ;c1(mNM%!KuW{NCs+7ebC~iyJZfsR;DKo~aBOn_H7sIvW5?{gtN-d5=3; zyRF%(>*nv=t^*`-esq{Yoil%*MSq#grMji$8S1yP=|D$4wY5#IM^)oMX>&omWkra- zR7j_m-(3~ib&*n6%{o8y1wHnhGMHV zE$KejQoeEgTRz+hux1hGa!kg4XOdk?dfOi0em~H=;(^Axk7z2%@|0*&d&3V9K7UvG zjd$)6dr&7V+IZW>tzg?mS|6X~GgeA>vKXu_?&LAeFk-xdB!QWZ5T?-$@`zAAE_AiL z*O?i2eX-{g0S-DYJc&@udGX_NBO#wQJ_Sh0f6C(jw-+vY8?)NwNj!YY+x&^rHTpug zAnNX~kF-GLGWFDyK($}r!HJJYA$iP2=c)Nj!RlP(lE5pzV?7B^f00w$bi2h?c5T$f zrl;!9$90UJ^P*2Z`l(Y+PezAalI|kT`7@z~us<}^S#c~kxw(}$7l7*VXhld@d10}m zGtLIE{gtB|V&(JrUig-$xokgUT@u(zkzzc|-+ z&OQbxsR>=vUBfDPpOUhHw#_L9P#<31$Jdpy5t>rDe{h4mHuMV$I!i06gsc*!#ABP6sMHq z7pG1JRsB^<>=XRm62_`QDEZO`RKIxtP*+r$VASiKmAq>oN?AFJ^^-YK%xh-CzwEsH zz+dJ*No+g!rDL-C@!6YQ#J9|Zr%2&uYVYN~xv4Kv-eqxwOR&z#$6p6MRwsZY)$xG^-bI=(U%`%oR#jcZsF zYdufgf(F0v{!uyglzuca8gco;-7sEnNW9T`Ne{UuWHXcOd_ha(MmUdugZGs_&XjU{ zoy5K_$}8CDdn0!kcONj)ZN?K48C5@PsNdUtF5apC68}modw}B~kG)Pg#Ojm4w<`jR zh?YHqSNWfCCnPj4xbGWbpaPkQNN{M9L@ssfeFNWJ(utt6&S&s2*L^v4&1#M#z|2`sY_148Nl9vRhIyehbWMA6ygKDGu$0aKg{!Qlb z*t}t+z-)J3jk}826qgNHp4h>3Oq#IwZqIV$7dJ&GCfpOHd)E`Jz2VKNYKN++w6U{zaTZmUgTnKa<>8aDx%6h$u2kJ5dtE;sdQgKpz*=9#TLSedSc-gj}q z^Z3oAFKoimW5Onh|7Do#^Bl*^ehK1)UQp|);u-G^MDq<>$0}&dLB&x766Z%@ZF5 zKRW3LNc#(YSp*}+XfssvNuyPA#j z>-!#l&`;DXmrT82jWK>5Afe(+eZ4M$w#GetUet8{tir?gVf<{^Lti@WTq9E6?o;as zq*~*|dmfCo%D)^Ea_d9h$ii{Hx(g+2;giQ3MrYN~+JAmA(o26IMG)bwoYxLc)O4c} zzY@^%9HrB#q3D(3ll6S>&I#HEO1B7pfXt04Q%hW%J2eEYO_Sbgt3T?#$xXClJ~AQn_%M*&3C%hxa-8{sD^vB&OG7 zf%g~cc0T&gj+^1=kG|ak*ztr|_$yyMwe7XGog=02t$rC@m$FM|yZZZ}zz*WbW}{WG zv4=ijBmWzMklxwuFuNIN zyN$Wy$oK>E^{Nb#YoUE2wOXdNJwW1FJuG&alH@bX{l?Spe7x{3#`pMh*NlswUW61Hh(`Lg6( z6G_~vH*(%elOGuX(}Ps=T0d^vmBYQ&y>_lW@WemoG8D(#q<ZM~1L05aAE>qN$vGX1o>Zam?N+Q9iRmeNBRD z=rT}1yNzX%pAb`t(JtJ%!%d(5dU#4KD^K9*66Zv5-44JS8GB4$5C2CC6nFHE472Jl ziN`BVZ$8>PA**~{AMSvzyxQ(_{9oF^9TOFwX6GB-+vJ_0Ra=A+u4T(LLo^FZ;r^s} zeeFMnl5T?@fw%FZ+( z=;`HEClT#_?A@eu3ik+dp7)cpd3R)9uMy))Ri)=!Uo1S;UcuK)MA&(Is#q!0?xPv18}B6+@yUBHW9(k3tvm|~+7jW!974SdNLE_HcTZ+bp6AF_mte7B7L zZ|@0o=F6vhkF6tp)ynj=E>`)jg3NIS8bCJIl)sL(t!?XqUob9(4?x-DZ*P09d$g8w*bMJ8-gf$mL zo?@Jhdkry@m^DRj5#AV}AAm0|;_ZxY%3H38{s8+Kup(rwB+D%MG1GYdO1D6Le`H*5 z$cDdfPezrQj@E1WQFXH$)^ClChojtuL%6ot+ah1esM)EDa3EC~zlE_iE&!kL-Ahud zdFZ`L5pbzC??mH=*lq#%pHbTR2N=l8IEPf`tXJN46?Z zUwvfR$7X~fZLD7eVUW*P&$xj4gZKMFJ<(6#yZQSzoH@rk$IXv{e0um(GkYqJUDwFF z_;R+A!~jUVHEA{X`dIRqpjU@MS|C&7S(&`41(>wc*_*{>VNkSTg3D zrRh5)l$m;Njl&1Xaoxz&lo|5#7e)%V0p|b5(YePn`M-a>gF|vkk`O8+gp@;$ONyLQ zgd$s#RMI{|W^5~~B&Ud!Fy)lQk|bd8L8f|uhOKA&Fr_=)PhG!duu zVPNo;*k-&fzofeSQk;xFekh;x>`+hv?Aak{Y`Qe+4?zvCd@jc$?tR62x~$aY(0o`C zpU~CLb}*7;{UnQ=ibO)69sjTk7uw31rzA??OAgR4k|uiZ6OhL+!+u?l?za~tq1HnJ z&Mb7V&=P<`*ZuEMMo^Z5T^FWYbs! zXp5AImh5>x0KN~Z^-9hS{AAEuMUS#F!Un?+Zq0dc96uZ0`j47(3I3*8Idh7=b4Q3Z zo%{P(#J~SI5MWE|?7(!nQuolgI1=W$N*^y*6WmB<51$`!Ub>6w~-pu zj`BPPA{2WT5gN_?_E^S2qp=Uw70eVAmyA2gufs_TTiC%$e@B1YYl!{dHy>|12T=!l zAA?kc&;uZ4@f07g#VNvSms1~#s$8+8VSGeZk~2L4)wmHrT37P?y?>mzV^NfDCc6Hd ztN z(B;eO!6ZgGW;cg?76XoU&H^VGnB z{Q5;hpZ7GSYnyTS&V`KnaKDE~zkPb1_Dcr;XID~kb2)pnm0tL?igYCEV%1J7-Wk@IsHp)@>;HwF%Hn zo4k1F(1Q=VQT58g@IzcuvZoWgv{tfMdE5|+g;d}2;lQyc#O1pRE;1(<6TEnbM=zH0 z>8%D7bzIUy6#{t<7=l7$+%q4k^OFc|ZQEvkR~suJ*YU z5scKf}Ky&V27NX)GYk5bn|)NVbDe(y|Qs7sC`*SU3$&q z%Nqha0d`tJ=o_xRkW9>06{dw3$=9dW+=gPoY1j0AOs3whM~dcHU~T)|Coo#zr58nA8MgQR5>4!=?Hu9C(FZ zl5wXB(0%t0{_ytp<<2sFg|Q>~f#G5_n52s|X4?GdcEzrD3E#eqzcj2#B0P$^I;1Zy zchDeBJUhE+_nf`aLA3f|9@D zL7#tBI;}XSN&^nfo5bjdLL2;!K9JOxL6mxK)fk{!<-~=Dj+^J)%Tj_Tt>eax01I3? z>7Nej(Gny*H^VlX8Yd-l!HqLGRpz=Ie!%Yf^$frWL4Fx7y?`e4CL2rO*no&vc6w*A zq?GH~pRGoCuQpA*ax#iZ9{mKSn%R?&G+MrCbDP2P7In@f8mPA-t#vTvs5DXU@no)( zE5-n){@vnZFyUJnN$n0gOA%he@Gc{yMV6P5TP&n?7cLi*8!V-hV{4sr1YJG#RDw(I z0qNNOVDde7>v?^}g_;jN{5n*-)=wB=FK#<-lliUTbWKRaK*AcNwb z2#@u1mo^)93*nDE5PP!ft9{*^f^eTp+qF24Pr|#~Zx2TVEW{?6@o^6*5g}ImclVnw zUk)rPqB~dZ2jcUfBajpvxxuh`@9v7_js7%e5;43~Fvj;^)kV4Hp(k zQ-43>9=ovjT6^*Azir1;R>xztUea@n^|;lPQ2)_SdDsaL%!8u_)L z@-bb_DU!u5PTQ;CVuVT8+tK=fkqlI&*QsAYqwC721~X_YZY;9MCulMB2aX6?ZJdE@ zSPK|ttSWz?l!#i;U`+SE+7xpXQb8#HGgzeg{;L)TKID>%Lz}n-8J)%#e%_cwdXv|| zy`bm38lss)MN1dx+^>w7A2*2*>f}h&wxGL-Mwar3rU{)}yyVH5X_UoNBCf{> zsaik&&0-A9Q~0yHlTMa9Eqpjr`lFaA^#^3rehDO1DmlcJ+I~5jI84~oSZ^ZU!Kp1b z7ImU1CrGz)k*7H4HH8Ae#KYskR5v54EWWhseJG{qxS|~XGI@73$9LGk{FluUW2)-F zs^sSj+C2BJ&5+L(HBzj>IfEUhnVz!zbiJbaiGVz?S#jUmA>-LJ#Sp|9okL z5Boj5K(8!Bx68siEiYdfC8LH4LK@aa^M3P4sXb;{>;#PpTT1q7z z-XTUy>P7^p;Q8@ii7qdCZe`~P_uK}&?tg>Za)if{TA?p?&8)Z#<9+}<7EC(0WBq=a zN6v(jA7N6APyEI20eka!3ySe7-Gfj0>VofI%4a{szb2qlO()O)&nbQyilBZEAs4ER zJ3Fk9*Z(-4;oV3l{K*I%A*3P|*}oxip{ksiOT4EG7qJ-+%Tb#?MGk|~kRBXvczJ5O zy)bl`bT>wqBQ*b5GECaoW=!X{1}2ENMg*qICmB8LYj|^!*(xjDJYojb`%yxaZhzj> zmGk~@DwtZ!wt^=Q+a34gpFB9F?%Z}#I~RcL`6C8&W~F~t+Jj47XfUUWS|*qP|j(A9B7A6h-YKGDH*QR>;2xnlCZny!b&$y8qm4gA!6#TJHEpVo7$xkIp9@8 zNFiT5LeMt@Q&jv`;`gGMG%RVWX=&i4U>Pad1c=oP}$Ou^~J#`GfYC?e(p zWIUDMfNqOb$ks4LoTbXjN4N3kuu|V&Z8GyzSArSbD9PkH<<^(mZm*@K8>l5FCX!aY z>m>^#;ji$#vf$9k&LQR4JNHKJvuEEMq)IkF$9P1^wWGQ%&?7jd;FH&1LB-AjaEN^raI{0Bgh zrG{slFO8yWP*s&_+d1cv{D(uHZ!`s7%oI(k^0VCv0wBdQIk;SB@O@zwNy9WYXgTsB)|+ zBx8uDd%U+gd*50%S(+q`PZAGb3>?kMy@`|J5aWU>Vu_nYVv@B6^Ur zNXH1O%k=?8J=3Bj#uEu9y5%qq9#fY} z=mDGuwK~&fNk7)FJ?rFr`@efMl-hg&ZzIZ9RU$Td6Y)XG%6S*3aH9=`n-;T^BeXa= z%6@QY;x89Dz;_MNt^ye@Xh&ZuNc7?iUan{4UOsml4~&&27agL`>S?9x*}!ARvKRZi?Z2lT-Hi**r>ytnC#tLHsXb)_n-c@1MSC7U== z#2`gr1eU5h%5b@<475@FfKEc!X>+Py2ZyBroO8w%oWA$=LDPkie411bK5tUDL&U{} z&U%Rcp1`i2y8hsVAO8U|1VAH}cf5mFs$>6mm4(4yV#rO_5hQBEgiVKmjnPUF=d7L%5c0H$;+KCdZ$xBk)Scrm50 zwI@Z7(~UPws8!XLLHGgAu*B2JuFG1l^_BQApME4>TNO&&sqFH&93<2ThscY!J#VMN zRBuiL)rAPZ^*^ zkQcu?_jW~a=;o&~5C#Thjh*@hD|ej3XIBkszqI_($|6;6Mt zJQ}QjEp&D*Sg9mg|JvzdGkQY(sq!Bv6}Xk<;MK@brHt3Ut1|lBO7cns>ud^zv??q9 zB=Z(mB3MNL-s_!EaCPPaKnPmm?|8e7pmaFG-MXWgiki${Nh?n6P7!(_q49&^>_(l=S)1Ftu2So9?KpVoBZ+v;!Lz& z_D_;(5p(PacRL?Xv`1p+9> z6IfnEh>?>Y03Py5qCU z5uX)yp(tH+J#`!w{e(`gQXj{_b;~MZj3Bi+-&JBShjALSS6BC*W_pJu7Rlq6#$jjl zeN^C!)_OswW=5j-WTiEa)a#^te{kI? zz_5|s(iIvC^yf;!LI(jcOi*535nLO_K9Zl-j0m4Sk&}?yvmc)27kN{g1Bcw5@^_Xv z?cngXtG?Qysa~*xZ2R7`pbgw7BoW+cz;2hpMpZ7M`zGYQ9w&GM`T|kr^ew9VxD!Rb z_1{fhjw!Z1V3{X_Kc47ks3C=2{G{LlcVSvh>85C(4YKMl4}Hyh{?CVly!c5G?rePa zonq%LRp4SIRv`D?na;J}dB)t%Y8d>*^0Y-fQN@5adm_R1|uyF?goYz${?J9voR9w<+3u64!-?}_5GFGXNUDY-8dz$Trw>urvEw= ziW}&OJqGM96M~iS<;tUr%*DkQzb(?+b$m)u2_+7Pzj!tW)(1pAIND&6*E2cdinXej zoYgN@<20)ggi&`jICm0^k=z`YwB(ztkp+(lkPh**qD$zj;GeOFWN9vX)j)GcN9jYCA;o0*Rjs0(bqlz-CAr6;T9xLCej1@|LT z>LiZFU$k02At0Z^-UP=uAN&GRrTB%99=eHo&WYQ&jFnQ&@GeCaI=>HWq{2mJjG>c zT|}UfrIyao;CcucDajNZ46U`pug#B)JpT_fJg-+ab} zl3#7nGg#Xiy!qD-#Q6SsXYch}TmK>yt8iwQfH`~hG6BiFhf}*b*3H+jGr#QV6T6#& zMbA!P*G&ID2aYCRUI_)<%1uLI?IlMUg!*|+_FC%HPEPH%9G5ZYyR%Jzb*5v1%R}~H z`O&+{BdP#DTVL3oO%9^3)6`l@S8|S{5U-i4W6=?hHTn@9Cr5wBLmxf8h5a3TQ)7n1 zE?Qs$$jZ6)Qk4sRHz!SLdXhlk91@k!G-#+ zDtiwB@kko7R`cO^iKrJ1=8atqyP?BzfY4L-^%$p06bBgR!!`f~3*|#2&^P)@25qAt z#ZHdaqtkQ)>CP(NZTE7NDW5@ERc2>bs_Dp}@Pu;Q*cMYEz5PT|qOqi?nG2TKdO8XJ zeciz+O=+|WiNRnp)e(vMwI52ayJ*ggLlsUrD118oC{7zLcvm=XR`vhg&Zw2bRKjQd zLYx2p7`z=wOhU{@81TAG@^*YZ!3#Khl$HL%9`yz1EPfZwZVXEA1sWSi_g%-fN0&!e z7?T-s&A*TbS6VckZRhXseUxLDR^{%T^71`i+zOf7wj;J(9q3FTWT9=g0{ux;+f=+J z2aY*8d*ONukj5S~zxmFDGz;1(?U&({n<`wSGOlGK_1K`TlJn0njdBMyL=(KCh`ANd z;X!E<$G8KCbt1Am>hLm9G{UW>3M}0cIk zdAZF!9+A~XF2di3Z0CFUqU|#`(cmeO%gv!}3orob4|XCI;aQ;{9$P05NB@3H&0k3# z&gq4SZ8zG64omgdhBi^&ny$~1{_WCHZ)n)IS>4e?S64k$=|qF9hN7aPdRxbrf47w0 zshwT^_dWKj6;-;P%v(@3loNZ5%6n|OR6bbx7erQBByJ7J_Iv; z{+^~lY32|nlrCl|bmZhjs3Wh#lArJt`P4;PI+mv%?{wC)UHE|S`*Opz&RWQmiKBY_ z^eoYIUm>ao&1=jh+}IC6yk@tGQEGR_{v_v~ZdWEG(x@*sI!p%R!GdZQGsuU8c~`D$%nQT5IZP{FU{ z>!olF(Pei@HOt!1T!xusfZ7Qwss=wKchkrx^uGltcIia2c&~E))M!p8*ue0L?~-nu zg&8P=nTj#qlxwgcKY=6OF9 z-yaVLok)`I&-PNlCI;IK`FQOH_J>m51na5-)<*5twc_ggQvcR7?uYa9Qt+x}4WE-e z{rX4RE~^%D#@mtuDm&e?DUo{F8@&tX=!lAfv-In8?{0~zc;oR?30Gk}9l~`?Iinua z89GJ_tgLS{or-2%j$E$7RTTX((Y3rV>an^b(9Q*&nUE#2>F^%PWc{;dbM@Ydr1m%h z&^*WLyTRWorQTw_>>T61SoD%WWCg7*jqaY9=`ADN7wb<}>m zYg65OcDhUEUVx&|iA}j!ZmmeL7pEtOQg`~G{U_D#E6wg z*h?3kCsBMww)>;noJ%?`W%6Q(>t#;?`NC3*)8@cN~&efd+F?n+* zc>|n#gkMAJSG7cgMrZ`IG3cO-WDlCEviF$IP}%T`9G1D?yBW_?ueiaKeIc3j0#2ye zj7bl(Rc+YZzv`~2c|t^{DE(-mL_H7nnFuXjB&bX52WZgaa>G3tEYb!vx#*;jv=w=m zNXT1UJ8P0%8&I=6s&~w@*Gu)kyKj0L-}81E=;!(h731CjYf#P6M3oU4;u)WKHxwHB zZN1xATQGaJM)dQkmrzSk6kkE=ri1!u!RQwp`wp7^7qB2ei1F_n#CWY2upZs U=9 z>^b2pFp*65(h@!Nx#f{w66ryP$NFA369I@@9`Gbw0I!~4c#K^t92kN;p0&HM8{+me zF0&L42}C|U0<3|bj3psUUgCr%Cj_RuD1a@|C45T=Cmp7SO- zHt6^_B}#pytGVHV>MKI#?)p1RJ0pFZ|?+5yJU#+9lc&Bysp|AceH9z}%z-bi5s7j7L`c?sA6 zLaou+!rBju))q8&F#IU)tbcZVyJ=kyia9IDjxV6~uPINvzD2?wOAI90w1PZT>-3cq zHYyuIzxz;%r8CLOxPmNE63wLjWmobSV8-oJ6nH<_OiQ!}_W5<}-Wl$5B13SjJNUXR znk@cwQp>yOFyC^qCb1GymYSCPDxYt*=ZYF@DtDzKmzv|ntruK^O=YGaNWsh^rULUi zIv9oWlzZ~b7RF5so82bWLX&MeIe(uSDTBq)79Oa&W~!USrSFWFFdFY{oK(Gc*4_XG z;-D}%R7dFVJ~Be~uSQ|2-7gyNgg)NDWfSGK9BO~Ub-&L}I89|R>d3SkFgeovCQEp_ zeJ=4q6nD9xpDK%+$B@$JAR8os1`y_omn49-^AMZ72i$lMB1d7!NjJcp4c@Ec1VBts zAZ?ZGgF&@%?aeGJz9#Kk1S=|1azUnaVs#gbO+wJjrH5FfC}kE?ThK~17c*1s3UjgR zQ==$d7*j`7%l8mcH$zp>kS7Bwd!gpAPv9@sN;?d^Yn(UKW!DaPrA({t^TXx%;%6{> z_Sc|mnoD7_=^uXRP2ph>Zdj$xku z{+?b$ho5V*;QRQx0l%@slEgn!P?LC@m3&v9$X~@1QHqkAtaVz4i4yV#s|^jx;!Cfw z%8!ENMIYzE}9e&I(>aYRI5VzW4nGHf&vkUK&GjI!ME^S5YD>GBr7VZ+B9AOtOte=rCs!;;3 zwzWfQqAjS}xIerpVs$doQ~D|&xJ_my|HhO>a<64~Vj|}avap};db`A9@!^6}x>#tW>WBVYeME->(=~tTcfhdD$c~7@-muMsF&L)BZ z-%m+$1*Vy6Cxcr$gYXho5r>L>(v&K{Fi$3lr>3!hCrg}pSw(QX*ojTE-kalBju8wVHZ}w8wrNoL~9{c#*cIizeS>3F707)l*T)0j#{EQe)W+gT^c@;1)9;v zM~JL&Ny>WH&=jj6co(f3u9gxYCyjhk1wD9hpno@D7b5(yAJdSKqcliRNamhqUo;X? z8-gRL=F{ApZG2|IuUDlp)h4z>=^#xjAw(hP-9z~f!X*w>TW`s_UJhx62I=bs+BwNc zlF&?9s0lmBq&r#xMtZ^v@x+{EJu`$NSz%czczKsRTw+HKpa>3vgIT&n%ScvyT2B(iB&gSiWg2|=Ced6X`WJ} z>8wL+$bDlm=3et_qIWw7r6|bJ#?5(4Pxmozv9$Foq@yf7TUeH=85*Un_jBS-f(Ad$ zUfNQDQe{mM{W3)pnWBi11vS6{J6)R7f9$d_ytAp^u+~(n0c#uEGukNI4^C2e3Or$V-9 zJwqAti8P3XU|}CD`5xr+eX15^`iJnc0#$)BvISgoAEiSHpL5=XG$@z4(&Lnp%sSfo z=_n^*Uoe-#ppN&smQ)#Pk+tj>U+U=Ob4GCG@n+{Wd}8ej;2OP;KNe#}@!v=@-N6^X zFv2+_+XMz57jh^ohXGAU*W@5AZ4ZPLZDJd>3s>K~Wbfa7)ei74pO@@M zQPYGtWh6vtB(7p?Tbfu&Vmrj$y?6;(y$jc_SiW$IH}qoMyz_D#G~ZS+?3pG^N(%3s1*u6BnDBfA-Q_4zjt* zXa2?v9fCPmS3~cr+;1)Nx2+%V7CJd+{O=#b^=^M5^wCY) z*FwA;wVb=j&KfIeyKrT|;4@uiJ)3AS^la(efeVPtB3T#Tj|!-kn&A0EEhaG$OBxXJ zuKd_^A}I5T_zg{IrU_5P`fNnJL|V=y6c7ogN`$uJB-STu{>dlFhxuUEIge3P%uK=t zzG6pc`;5&Nk%f`S-~i!Oy?%EL;*sbrTa_0|U~(v9T{CY;16^$;D(4R^n~ z$oQ=Fe|ag0BOc_>Sj)xowKAwD)V~vygR<5)nTlE}(0%b(Ir=%gn*fSdP1F&cqqpqO zKB_6j#;~Zb7VxyhE@_SqPJ$c@pjpos*&?IFd?`1qYW$8UF{@k8y*QD}j;E6+{L6R~f`X zRe0JBGZ70%^wz+;RepR<4EVdN$!*cZif0gN@^d0RA}gZSU_CMb%RXCUVt(a+t29us zl1ijzXWGA^nZPo3iG(zYJVD8+z>~YQt`e6j_3+g1W;nCF3s}NiornXbT3(*n^wXXr z+abyJ;Z!SlwtM^c0TPjMjdM}yw=7LFjaNz>jB2~e29oqInYmI(9}Anm9JWKimZtVe zevF&7IFa$C1v46>wO;Ew(!nNoUV5_bg|0N$pM$eu4vs$_0OAT~AH+wk~O5Nq$wkOo; z`7_Dkrjb?q_*`mx6(J>x)tNVuUu6yJ4+4AjTdd><|G|WArs|X}X-JF%uyd7gV=M0C z98X`qz7kSHREGFYWbL=(PxD<}oK+}LAO$cRO}0|sg)7CL(W@{qYWtYuPay+QHKqT% z%e4?7XKQz@K%DVXz_fSsVm!0g1dpy4Z?#wdK=gqLjtB(7rrs&x>W~D(cG&&To`vaI z#eSEzvmcuCnNbGSn@{(A?5Ry(zamFft|}U5(go#2(1X%rI=vnw6D6=!zt0Umg?8`U zYVur1YyP%{8 zgEy5=tD_5<1@hOuJWT0I((VX!RPccsi7N>(-D`QW#k4rxhqg71_C69Gifk&vAPj%=>9-~3}MQc`o zc%}&dCZMtN&8R5k%gtI|kpw&-Qpky@TW+NN?}?Tk-7o*6G#GkN&*Ah$j(r!t&}$Y_ zY-K?@IL*w`kZ6bpC5^T72n@g8u9eh#R=O&OO{8*{mx(_{x@lbJqt1?wAlz9w=}zIr z%@P|}m@;^Ed?zh!d!A zwNO;G5LwVH2S6gpju;$9b^^FxQy0-%solk9~KgSI8VI@KM$e>==PRgErX zJ)W&J!nMDsI$D*_60SQsl$NAae(|Ha@$bUdY~iO>OD%pLvgeOwm3G!HtJ3O<4Hn5W ziJdBg`ggaD-%L?#R@K^QK<@K)x7{T-;oLGs-DlYLcO7Ri5m};< zqv5eEHx>zi2|$rTV?@~lz_Aaz`vShJ$zg3IbR|>;G5YH>On_G}b{3+m|I}(b1XZ}{ zY*yN9=7@WHsbxXUr~ zIi_O33SL*FAcqxb2iqyRV>Sb}(lpa%EG)jlYPGct?3pFB%b|?e^L}BLhHY=F+l|an zYbV?NMB`6U1{9v_DcqX_wC1e7utKU&kfLE4mgqb~UmSRxe0nqT-pA_}^xNelaa)|a@#?s&tWxLcx)YR8R{atFEtZ}UbPEnprY116 zyk-Mjs4~*j6p@MRnvS^y$b=tTX*sEhZA69^NsVTS<^`ic@|4!O7{#2fw_zW+7FHkf zmt`H?#gVm2D0Qx>k$u|58#@V z!Q|fY!t8rIKu6yUfV3)8Oy#f*zJH?-FjXDgO#ND%Chngc_A}Ov;=nrk?w1i^%G~`~ z9{yNw9~4Dm@dB{^d2t54?8&9^^@{)9n4r!Pcgx+c$xbjG4l__f>cH4t5v*r+d^3PY znsp@i&~my-Y&R6lTU+$%T&pSn9;?&_;7HCg5;d6jE|CuFlOfaywmaxAJRga$Sx6qm z7Ubd0MzGBrK+loRzxt@Ei299o_WYAsqJWX8I2PzGYJ`S4CTaSgb^-!Jn&?{xRE`;m zCLggR-y>*Kj?1BreXsv?*W*s0Uq5g1UiQ$xgXmo&ZrtrK68`j|z6)e``y z5$(%dRo%e;sE$&5f}>EK*@BMMD$U$0cHl# z#!;{>+eIs^Dmxe+Qf~Ec4@_ze%Tm^!bxGv`FyzO3#iYj0+4pa&duWRG(oHzP3?tr` z+F&+{n%yPY^f z-&3P3u@IFJF080^wp;NhXeQ8BA7aGwDE&wECy0uO`25 z_J^jJ+ibFC_|K~byoi_M6M?{ZLB^f=rUD2MrTza78M}E@ej)RGhTOmB(0>r=r0cVK z8rQmd-K8e9u)C)(1O*NmvF=prr8w| zK^b+zTkLm7*TT?bs?G21RMd$>l>r~T+1Ni}7BMAB;_-dgZC!kU<&_FDr?qXkVY_*Fy2I@-hs_>lYUt9`#N=G8F0==_X# zzQ&S`THwR^+okzRkm)}u>)*mN^v`d`etLQ(1Xqe#<+}khu)lXuLpKxdD2rIy{J>}$ zcPiYE6d6r})B`+3PST_eL+Jmm<>9sY*)}Z9LBm$P8{m}N&JJ*bVblO+748?UV&gD;J4PG=Pn3VzjrVsMaB?vjZ^zSM_9@fb%hVd z49r@FZN~DNoNyF%7GIcrRu4iA;PRneR}MsLPu@8+yR=LjJAZUMvsV8eZ|*C=bk(%J zlbDh0v^^0~1}HrP>2bDcrT@rA;oKX4tk3T6wo`JN(7+QnGzyV36J83dQPm%qq?_mo zs-E#nC-A_!{Z`q;?Hu?6$f(EX{N}^G@c7F%^v%P8WvZ@w1HZQJrYb-Ktr{zOm#OoK77J~zB=pje zJ>plJUkN$A^}{V?%wy`=V^tL%*TU`IS~z>%mOrQEiOsTax0)4~%<6avLWynLOD)Rj zdFd887Zt^74i^;KoN?Z?#ilv4vdLqXX_5_1*G*uSE9#bu4T`;*`LJJ0rOMD?y5IuX zGMi*Jz4kEC!6_zzG{6@AmvU7haRbhkwRW%c*?dy$vWFyn&NyXsWe>l%%TG{jTbVC4 zi8>NBKR5RDC{r=eF>q3EV_;jTT#@jhu%FE;y=$Qu=rs3o=!}udOHuq`>j}(K?ViuO z`|okQL=pC&`>O_J9#`id_zE08)fkD&P5ygsU^bDUBuL8xZTqEP#Pa;aj`?uD|4iPH zN1)0}J^iGIt0#}5Hoo<)xe%l-xG$YpNcgaUV1D#;LP>|w*Yw zh_1J_T;+<#%BIo#(*wr>ycXVe&5WL}V0+$r+xx8HXefBz{{#Qa%LBT*ik7Y4Ekz7H zTbSMsHMnbcc6$GrFb7}jrVCkaLC-|^h#tq>2tlBvX|c@z#kt@YRfp5Dt2X>855b9? zg^O(KKL?tNEwz!+M+ zGK=ixD&6Z zeD%Jk_>9=GGQV)h+B^Ebc6i{sC{d(%TM3Sc*_z%7TdDYqCduPO*Py%>c1jq_u%uN5M$<8k-R zA_f)|qONO2kbrM}x>y&X8v5tw>NmBDgb&{j+g2u2&Huc;c=T+BcQ2QHBRs_4J<{Al z*SP3PvURxap4IREjt%_#-Z*yBOkquTR`7kcRdB8FCbNv1G%@gIVIj#$L+f|z)FWFQcwk)yq_&1xccFh&}i)p?AVe{na zKy9(}_ZI&b!7i5Td%Mg1Tm9ROjYEPTnJ)eq_^C!Y1M_|H6J-l|$4>H2x=u1rxj=q4 zz*-8rU}TB?nla>T|0&b?*4y!#=FFPJ`lhz9jPYNax8+qm&ld;zed3tS210IVmK;Tz zJ>udnQTh&@4QpZ#rkt?NC^!_yPA1VCcPvP0DHim$GvT8vdAlbo5SJVZcfT|od)AcX zkj?%hw`zOX;kb=${9JE%fbfYlYWCu~P+u8xIKY0Fw4>3L5pJ$f3e3&Y`Q8#bC zPu`8qK1?c|oV}9xqIz}37ttv$?HGOmxI2)%BUk~dYmrpNg)=ywYFaVuJqy}?tUN)g@n)9xAsWV09)jE~f zUEwk_FHQ@ue70{CO|u@1)jI0F(uPJhgPnIkoJM$Wu!>ZE6eXqac;v3dR5X+aph>(_OxHKkl{vjydPVR8k9{R27mBPBAHLl2+if>5b$~FL6P$Y__?a}Uir}(3u0|=I8`SUJ z>$fl#D>_VQw*TJZqTIYSUK`fAsQzq*L7IJRaa@pACRE=BdYu@Y?Z_SzuI;5ev)1mL z?tQzmh4s3(LvsS7q>k8uIFT~|yd%J&cx3#Y`W3f@TDH2_d9WJxD&<1Ei>nFFMb+>v z$EO5KoY(I={}j=$x|ovZFYc%G zeh>!WTeFQF^YAs4w8OuPu9!kLS6pL9|E&Mw$IYKNOscu~!A=l{+1A8<3hp1OU@BbL z9f0V!?3c`8=9<%vILcjF@r>W|7s9O+s`f8o4y{ghyerKoY~S?2Pa;c+FBKKf?QeUk zcVoe{@Ym+4=0&HUPyg%JH&N*?Eog*$R~En0f7!uQ+vlNhf*M1EG#;{G-Jgblz71{Z0ry}s$&mHrNk58QR zVqfZx>b`Bha)D9trae%gVQ%{CN%C=C%PKB0nt$-ZoZ#U6JaT0B3yw=>n zE1GsDTo23i57jQb5`=qcZT5@oU|FfR&0Edi@8n$FvGqqzqmR#@CH+Pl^qCDED_A-5 z%`7P=wEcqq;A*_zt9?h3I-?Ut)%RZA@i4q+Q{5j%()$BPnqB>u3Zh>a-!|boVc3_$ zwvT2&2G$KK4M{)^m7COBaa$v7^nz7ULtb@=9b*qheeZH+?_aOFJ zzT4ZsC;Q5a_GW|xyT3o@Mj5a>HjtVB&7*no<dHI*6gLU7UPM5MO zDsVXbqkt1Tqu+e7Ef{1xb!qrsbFrcQa?58I&+GM3mE1td!dsc=DdL)iC3a&-U0|^M zji&DjCXfc(pJ%SuIgE|Do~+BjH_%D1n_7IF*8{$qJu@3P2p`VyV*BH7M}%N-lfPFZ zW}}_w&kd5{n-1_4jequ)=~e#f4PsnwdDdJwvl~3}m23o+%`LS$+;qhfX`*s6V`eRH zKqFVfq^A9G(TNmLo+id3kId_hN7fboMJtr<7Feur%&9eWe?cVE99&my0Gr|9P<1!vldj2bCWbI zN*9}b*Zg_rF!4!mdXBC~IXSe2GichbVn+W>OzYF)McPo8a}Ia**bHeXG)hEX>M4C< z*e)X+>sIw{g%dPd)a$VF(yNH=`yZ59dqAC1&F&IW3{1Oio;b&f%}6`zeN4-#eL>Pp zJz=4dJ6AqpqZ?RHkI8$TAv^f%IEh2;vSGHn+!?stqjWBL{`B$FrV3!?uZn``=hfL) zvsH9Y+w)?q&LCA62BID>g-}F254){R;-dQB$A;xw=Q%JGgZ`yk)a^N#N&9NENWQF{ z7kbEc;(hF~yxxOZ4HZ0gxz>?6H%lU?(*DTc=o6B2qY1NJKrt~%mDkQ1E&dNzI8y2T zP+&SA0~wO#+xRCulbr-hm&g${qKEX;6q<4;9TZ~ywd9lbEA`&iJY;(VdrEzIG`BSJ zTzrOk-my;yJ>>dEeI=X9?7p^i+tiu62N%)TN*zYu+whv%gm+q6($bRZsklpL3yd!v zBBT(12E`}ieePRsTJv|M88{s2Iy30o+0-N2$WF0wGD*(pxR1_%I)*zt%?dZa>A>r6 zP`9=%lVf`+P<@>!iV7Igi;ih4oq z?)&uf{55#wo@AO2RV>5Yt!B1Rr1P<5iCoMj$Dv){D^f$=(eTo?nA3HR`6+YpvI>OP zznmPU(YJ;VYIarM!JN~Yj64*0F(Gj**Cftbe1GACs*VdDt@&BGE+ra7TE3x2TW|i2 zi}b*ZTo-jH$VQc8?SN?mMmlG`5|UM(?OSz@ybm7AjJ;TG(+Z8Kx!np%sp-Ip4N+{76tZzi%i#)F!LW{AMF{ z_6#mNEtaip*1q-(6FZUlIQ#@>dR}*p;CVZ=k!{K}poDdq<=qLUkB!DljES{U0}+Fu z*di_FFI(2ERrDQc+pqd(3!E?7KDhan80wVIM%$2)Rzpwu7>ULU4IZsKt>>ZgG%9t~ zB+=BZCjL0%Fz?QOYmY^-RNp)e;_E~XzDuUOI;2pNbk$bQIPYTL?0f(=t#4-vZflTE z$is)fYbL7BjrUTrN*b$mYtYFZ6XbVmHl7b2hJ4~Vcg*pOlRdmJ?L5!X<5}g@DBG@a zU-=#KBS}SerCmpg6Nx6~mm^Qm zwk_GXZp}OVgcUPrxGN+ytNev|DA(cMq~Y95dsE7RUzhb5?i)r>zmD_&J7MN6HOZ3q zrev$RUCOmNr$p(oO3WkHP6f@?1XZ2;+S#2YXV$m4{cDDGTfxk6^~1$|M>FfRZS%ap zUk%ca3`yWUbo=FNpO}oF751Fg)jE4^bHy!|A@)kvGk)Gt=dAn%QPW$P`i{^d?^hES zlaDEK%10mOP8b>aV^xbYsZ(`DhBcn*QIW#Gq>6$&TDt}N4AJ~P72rMl%Lvs^_+qXIR>_n`dOnaNE{rb|nMtVl0U{`{E zv{n`Q)aTcME#wnLadOlkr6q+lE7Uawau`X7^qnnK5E#jO=AJgsE4&?C9QBra$W$wG zzT4`lZ_2clbKC3M0^Ou;HOm|MuZ;^Pdx9PuBi_Gx!FY1%O`b-m*73?qoSdZ<=TZGV zk@eNR+{7Frbs{jzYB_Uyd#_q=alqnf-IvPh*FH4oVm==+3(1aJ=~1=zmE3!JV#Mlf zj>KX?U;3bo4AoGecy0Vm;Thvg4}V&F@hBFVOHU>X<8!7HSB(Dc*rwb*lZ!Zf*K$4}Twi zu;kkupB#Lg;pnay*ltP|vnjH_G>=I-Zf_`@Gc4cBJ(XT}MBaW$jddingk)MXm-^M_ zR*?I!KWj@KaoD_VXI?>8)t<;FlZG_z+n4jZCn~q5gipI1H7+>rzx^mm$o%G6b!VDd zx}Q2r+aO!$B1@&TEhV$CC8hGi#{R#07LjGxQkz>RYMSWZU!QGlC*L}%NHmu2p!2d7 zw2D|Qb1Ck(k0|Ii-cwvs>zptU469{+G)X$nV;>Qc2LR;ugUvcS^75jBN(zJ~Pv%)V z6!|I;K0UX+#{OiNB+u)KSHe37R&DRKDIIJzv(5jQH>~l>H}G1*G)Fqhmz8lmMf>o| z?Y4!4#-u)iN=Yq+MKpr>M`>UGrM9Y-2V*d&)k&{dJ1|f6Q!S( zYs8$KZ}r~!a`8*#fj~Wa+C!Di(13edq5VrW#fq*oj4SSw*R1?gqC1rSm@A8#QjL@( zFMr4jI@S4(_%5MO)oQs1*_HrICNS7y*?e*Ll5Y_;cS?^Q*cw&-?m_61#AUPm7W3UJ z1+GPdtZd5%o6C_H-;~f-YHQ24mo_A1M)hq?nQlBjX+tJkJJi2k6E}rFcxdkl9JZ+E zPV{yyTP~>$O)8mfzDWLD7rCd)>_XwkomC({f7tl&8y-`fW64QUYSi`4m<&|4jElcw zkKN{b@U8Wp9lbR3+^p%f#Db&c7hzkLA#0ZBbzavd>3!}GH>YoTEs4p+&k`!riu}|| zzn|HwYeLWK$#G!aiaqIlW$7ZXB{d@`8@povStEvUSH5n2Nd5JnA+C|Z5=khdQ?3ck6~QoKaefk zTc4C?o%ztC;c0Q0C6U!(6T9T|&twC+-3@Lpv^ZuuT5RF6Rlew&1$kfBW9OfA3yJOJ zqp#jZ*4~+1(m1QWq~!Ll(tgd%(W6nZt-V>M=w}b_G+Taeqi!rhX5=%u56{K68RqUT9|$ z`B?l;(s9Mv(>Kl*2xhKcI^vL&A&oUG-xhf5kcC>V&pC9r?#Ts(Ll*n$l1**%@4`m* z=%wyKo!~CUsv8iul#f@}_hNkN?0JiE8PoFyn1Y986=);&=5g?@V{h`sZNY zX`xKVtP7hTMsrM0`VSkvsBm?A(^F#lInwRkNg4k_^9`;Bi5sq3Dr}hhkXN14CK2uV zWbEL{S1 ziP%>8t#`XjQPw?$-32f1`4L_vWWN zTAx*Ud?sn$I0oJOcw4i+QTdKci3d~38zSb4Pt0dr&9}MP8j`*x@mb*7*&I<*ivZ`A zoH05lLe?mKi>^6g`@CIz$gKFgXww4v&Dk=CGY8)J*w~+%jksj%qyNDw%G`WUo0&)A zhO8H#-DCB9OSALhxspfXGfCEQ&#t0(!#@n2EHWNzT-t0S{Ap%m!r^#x6K3Krks_a~ z@0=(PM8onAckB0*z}RgklkwYfbh{c1R36<){AlMW zbk#M+c7jpOMot+f*!b!n68Hr;tMT-gpu4uebP3x;OTp*P~XMehw1Sy>7yE$$m-y z=R$kaz`yx=YrdtX<=W!(g9nWBHmqa}6^iTLA9!Wz>@*wzp(!s=eO6+i0OKW}vfbTWrr`=bKj#-N~QdT-x}F`|;~z zy~M=K*Wi)Taj}Qq=BvMt*Gu|mW7T<;k0*Nx|H>V7Uy|rM=KRUxqQ!#VyK0wo2NBC$ ztrU6Ryhn)dp3hbpUO96KqPuzv((|qM0N;|}UOXCk)7tys@aMu{gYSOz@1MHV`0goU z|58lZ?DcMArn~6d#a7SO)9>1DqFh34JS4K*?*+D;9{y(!qYN#AvAGTH6U{&Z32!KpK&4->!N&eGdz zt9CH_-ShZ6+s>Ifgyl&H1rg3@jYpo`=?eWDK{Wr}X?vq4_>yf==4_>5l}BEXz`T5e z-c~7G-_E?-wXA#b3TdVXb6-?&g}wz)b|0n3ztl*{v)HNNxpC)Ab%SNnv(m(~2U|AJ z?cbi|-s}F)Na^0>;bUnh3xZfZCj5(xiLElBTZS|L$>{VN-qGLqcy>!BQ#l|(>Yn-S zy!-+&@@0!lse-I;KTK_!Gt6#}fClGlI-l}La4?&FX1*awwxRiC*9-GcnKJSb=ccu? z&lvsZq8=fA8`vQB{+;wKS#zn+v!=UmDSNS3?Dm`JnP#No%F}#ty=Ee*vpKH1&F1zg z3dVWvwPF>$nVW#QJU^T7(DnU%nx$9argt$Wy+NW{ZXNj+Nt5olzxn3nt^aD`tU@#0 zlQsqZkZId&cJ^**!Q1j@*0wDL@AgmT1EuaYmNkXVKI?T}?Aej{!TGmtl;i8$BESnP z*yE9SmxKV;8R9MrEur^^R$B=fov&r}K`0tWm=(f zkkALR_Ih!*{Eq82SLjQ5HyY=qCT${d1wFk zKuFdL>1w&O72P&^@4uUFKUcrtcXLzLKN*-gw5i3{U5U+`ZNCJI4j*`1JlWVc=A3u2 z@L}Tlkv8tGT>QlaV=!=OU9Fo^?d2`(ipDGlEmyxCHLA{P%KL{K`V(s2rIl}anv-jD z`pUuEdrFgK3ZA`F+mt1-#jqLYS7@I5`TNz%YOv9loK{D^lh8`O`R&3R$8H5B*VkrS zUAKxbKRV#(ai{lmdb4JszQX%mD!~_lOVu*nC}jEhfw98QyTqOEgyxIh#OHhUt_@{3 z>&~_K(i0N3*5tcAiaRApyPif3JGquN7Vkhc8hqbiqY@y9%W zP*+K?knVpMzgT1PWJ-Pq$!O`7S$}itr98c4QU3C6X1;&q&^EQ0qv^vwO8%$5Je`gU zAgvs=5RxDF+B{sf`>na2LA=O8<5xtjInT*|+P;|aPB~v!_>>uOEBd5vaq6x;_h%p8 zxT_XswBi?$(HadfjK5LQ1yiZ(UliR75+p+AUA?5}s%Dj6N znd}JNr@8CM#@+)mb)1@yAqz&CLYdZGLearX297MFqZN~O)p~FO|ImMTib7A4j9PDx zqCCmxS}a2qj|P(py*|+cb|8B)yB?nl+J}6)`*ev{mN@hYAENe#2LE^K1r0Skc!b!- z{CUKKy&64^JtF*KiTL?MGsEwT(&HVE56@^>k3Kk3(Al|BAUAGQ?_=CHJH}v%3wM3l z%MZTtUP6Jy*v_z+vENoI5_sbAUMYNfX!yU~*DbK}PlHl?+UD)P8@J%kUSk--Cnm`M zuG#*bZeRWMpKv%${u;{2A$k7W=0M^2O1Wv{e=!>4$W~bJx5fuP%2&Bq=XHQ41X=v@ z4kz7f3RfQfvG(AI-DZpG-J=4zz+Kb4dTPEACnJ+XIf6=)qN@pd#?7$>hQ+J#ML&#O zpLX4J%<6k+CRX0hhMoyob{#qG{d`B-K+~&HeY5zEc`{%&bzRr zagxV%iX>CQ-2C>7Mk?{ciXkEG6aNG1pt2x8WxV6(vpWf-gB|X%)L*M|r|Rn?@H*2L zcJxevI45|S+jqrmt?U*hyKt?CHkbLz`a4bYz@_^o)0C@Psb7EXU4bNc;rkY(QtH)% zOw(zPTd03C|FjU2Pt>Hv61OkmbQZN%NT2T12bGnx?O)m%Gn~j{Gt}g&v6EA-xU~JN zoS?BwAFULvrZ(@DUJ&hv_SF*8kV~1oSWT?eM6hx^`h969bA^?)#mYmJohXu zOW>as8j~3ssJz6Gn;%^`ot;BkRsM=}JPf#P(CErnOMGF_{W>ihAEYdS))A$(${{Bv z)g@wZsedY;N0S6@+og+~t;R?Mw=cJ@M(|7DlD03Y+vK>KS=!=^DXS8V4E3gDm$lhh zFPt`$-ipmfpMLec!%RA6tnvc$+J1yxPm+#VA-!A+uyObdf5?i~A*^b$XVsUj)_Phu zm2F;cH_tdrKqRmFO0u3l);*7&+0<3uQIdKA3RYgC`LB8(=W~SM<(V^OQ9-k6V;t4d z_HW`>q&?|uMDsjk6ob(bAr&`}331TM-nO%a=u1u?*{(gB=TC|3m=rT!%0GIC)=FL|4Ma(wS}x+`F5{{1li2$*WJ52hl}0LarkH{i`DM-8k&^n!IFb z0{>7V>BEwAOy6{k39Polcm+$x%poGY!#RAvM2@R6^6{n5ayQzc{uWMKFn+VC7nKKH zoa4BbX;i&p`W%~sQn>08EJby**#)64?B}8(2!9vy+lZ}t!!8kBs3(|&*j&RF>F}!3kxX|jwC6Rz5*Zgq52`p~i60E90<=hTv z%83$31$7ClNyG>T{Kif@!Xck72bN(MkSJqiY``%M>VCXY1P+LIw=>`VIn!2nHAs05 z8rnyTc*9U^pb|`wYLQxAH?+MAQXlLaH}jz86xoAy(ZBJH$2f=i|54 zQaFpg(F7MMUV{Xg_|9<1~fAX;bls z?()^*n58Hw3Ly?2q9A*x;Sm#{A8}9yno(Qn1+-U$^XK$qAM$|nV83j zDNU63a@ys=pDPD(+GOL$mPld3AQz@Q=~3UvL}FHh%VOP)tWA*6#3z9=Wa`64zf;mN zi;xuYLcy&$!#HWwOT#}AY?!nneAgi`uT*P@zNalNyC`euLEd&ovw;K7#+3`YP=buB#2J`5vN_&AzMb;X~HQtGfvRW|d)!s)ZmU&Il zFD^}&Kc+^7kE9A!@Yr67CEaBQXA}pQ89?|4MgypMi{R~gtL)z?Gg(+n@oTOL!X#8 zp#(#sPLT2viL%E=UEBSzKI%J;w?4ev?r{EIS%l`9SOqjFCW z`lX=YE(x^#>>I=(>w9tiQ{@k+(JW|pI)6i3u<}<7}S_1D!LdC(A`-!#n- z!>dcYBps_p$_{+3JgH%|9|a_hgb#4YWSFVK#!1Jp5#koI4m#QaT}t}+UXZ1e6NXWo z^&i_gfP(dbH>myE=0RU`u^O}r7^K#hDdH+Bu|@Xy$|q3I;2P7AJ2Dsz1t`Tn1rCSc z3gwt#z1xDziiVmmM&nt7KOS@#NPUCZ7X-h76N(z8annMPO(A!eEs8}0mE%#hsDJ}O zs2j5CzS?;0rOJB`i>C2=e)IhJN+(!P*RP`kPSC@)TcZl~kElts;`r!jpK?V^)71#H z{vV1ib^;lBy7-?Ozgq}d|M?n{W4&g31@)J!f!fP-J&9gIHm)KreDnoi$}6xZE*%Pu zhTc8E27kExRJjXWCeEnu7tjw6=INYRi$h4Kis|Wy{S44QL9qJx^rI$Hk~jivm9|ZZ zk@kZ{+FWkz?^=eXKSziep+2 zifN0HoV;GxsVFA$|1mTk#o{j{T(HzP!xQYtF zjHs2%XPWfPG^N4|P2ojxGbwe2e{MM+`8#uH#$M4r#*?*V`~wb}z0M}FmV|}dPzMun zY?^^--F6=1UfBuY%Ih6oczS45x^BnT65Ov}uG!ZBXGp*s%at)KgU z(4nt61bU8W5Cj2F5n)8lK+^8TlQ~LD-3)g~Wyg#@A{K#Cm#~K53;&G}I+J(Zq|;8C~S*EBFt^m5=|# zbbiwGOxXQ*E#3*W!n>%mT6es_>9};vBIrj_#Kv3A&bToMJlsZl{Mp#uz!&6hBYC_V%=jNyd zHY=SQZdlD`oP4S*H@dGA@$QDdGq_m{f#)lj)s+-2U@Z*=heV{|?PFK5KUc492JY3C zL&W}MwHAb}aTqsvBxN}i3ne|`s&+uU`8EI#?3&H=94l9(+L%sp#o}>Xs`Kuu1fPF_ z;i|vjDrO+_nh4W16Zw@0Z4?~70pYwvqA{npbI{?-b{(vF#-)4EG>~KL<2pLq>LbYe%&N3st< z-7(rAz58$%vh52R^#dLznDDpDVH43W0I(Ya3k+tX#@i#xZsICfe5U((=t%pjwPF)- z%UZP;=Mpt%`qVG!7;es}f%PtgzZrOwNb-YWd|fQl$E;~5z}FE?Ik|{nfMZNqMw=k~ zl+f;t!+7Zifg896r3t7(!w4yihpe|E!iV8GNFD}OtIb5|kw!nM%nd{@OUD$GcIS)) zlC?6YWd?(h%R&N<%vAU@R!wmh{=OoZu{&{?zKUhAc`4`8f3Wj|Dp6bka(vB1rA-D zU=9H`%cT)mZ3^B)|8ZNKf5KBe>HNAIYlN_+@U4?W!Psc_^g?K;(jb0s&O(pJ2}5Cj zQ3FEtr0kBdg6R{7{s~WZ1M+VQ2t|#lB)*4C@!HF@%}PG@c$|}GgI^i&NTe#}FU1-3 zeh}jGG0;oKlNIFj`Z08p?cD;;A7ZEl9GjVDjM{?Gr%uy|lxE z?LL5P0GFDNq3JBw6(rVG9$15{i;;8n%bmu|kHCJ`)Pw5yD*Ue!hnc8!Y2Z~T!QG18 z8iz7MpDHim(iAl!=!8%=7wMv*s@CW!lD8P1lP$pCQU?TQ*%{C|d*mUVW%L-?L8VHe zP(n*A!E@XLlu@z;X62a!KJ1q(i6g3|l)9RL2$zDU;)HbV_5FTvzyo zuwgD|^l=crx_msi4E~lBxUg-IK?9QTWUT5eD1Bw%%OGzIp3U>Dt#fAxJUpmY_DoEz zSlJV#N_{+@V`m#QdUg<+Z}q1!pTZe&fxy>uz0O7RVlHSx+kdT}?BH^o5dRB2MVvPM znn2u{QI))+X6QtMv9oQ_jCx8vAx^;g%-K7P7Hbm#5X)9i%S!Z=wnEJ zRaC>{8W?TiNeNvMv3lp4$&r9u#E7y zc_-*OvUkvD+qtcT1$B)|7OTEgJei)=YmGYFvATAA9MExr*5Nkj#+vv#jOVN41%Zlf zc%48161=^mJvw<8JP6399z=l!Fe`LmlA6Q!1S9Q)#3rKJU_*vaAb_$QPJojL^(ut` zR@@^{cz#nf@e~jd2ar9iC)!9dz~gZOj|?PK4WCXbou{9(6(Rl+;7stfYAp3ZFIxsqJT{+EaAZ+VAWuCm(QECoYQW=MT zp_oA`C%sQq=^5&{34BWUKtCF4fP*djX4lUo#go62Jl?~j_skJ&Pp|?nEOY<`yaMD{ z%!4`vg1zfFubk)_61nJ&3^eEXghKm9NbYnxrf3B9y_NrD_wvx^4sU5sPhP}Sa-RdL z7Dv~PdIT+O<)#3B4CoXrqK>ZEGd}*fkXCnJFa?lkUxI(PdLf2-Uup)xIJ?v*e!_HO z`N`(be^y(#3yy96>FY%7LN!*T7Be`=7SQUjw#lraeSO~7zG9bMgE_?9P~(hy*c$(LAgYbA96y*o1m z0z9ZoaS)}+NhZ)!3H>O$4nbAgFn-zf0P<4}3fe@+Z?;~K?a0lt8VfhHa2FQfG3a6+ zCTbb(@>2m`two{`b#5BwURwQ080W4I9J_=5epva7b=cDGJ^obL6W_39SH`I-(U^5m zAwmDO^(lJVVlWQm7D%0|BVlxU{cn)(5!jZ(HSsK(Wg%b>y=c*eY|(}3A_?V3&}H~x zEP`|9Uw+A~ClrN}u2y4vM#*R$PO^{D2z%i9Hao>_6fV4Bf|C)y{FkJIRB9AW+r!yv zP_oMsM^X}cKa#VcUZ=f0uH0cTq*Q13@9G$UYj(->ON1(H*-WNJOjAy;Yk;t?z{LW@ zD+&B1EksxjeQi?R1|7uYfE8i9;UOY2!-!;!twk*NLxJo5D;Ppu34&KPa(kbE{yPi) zqvS&TD0)q|FGBa2@>x%No$V6_yN(bwfd-A&AMHEKU~b>}!9=)2$6LGq41 z)%NaN04XE31SB;PAHF2Lr$d7s6!} z@mwB>&8>_o?w3ZM5yNMYny8dVtAea=5rUb3`;QhArJqSl3%nWVm|<03 zBCMn&XeKO-Kp6rZTQo_Wg*SHNG=J5&{E`J4%H~N=*77W8v6f|ZZw3QaLfWBV9`@O^ z+12HcHUm3ZmTPb&gN-H4A8uj@s<43<>2^SN$V3@!Rt`D1o@z!UzmmRz)xtMV0kA5V zww<$25VY3L8>>mMz&cNLSA(#}tFdKg==fAIlIndvd;Ni~{Ma-iRzqw9fw@2uz^)!c zWbcr>T)#AYN}T^Q;KbP7eajFoUGPa8sfmOuWq_0^wC3PwmP@Tdu_o-^0&Q3W*0U@( zK9;10FFQz<4O}_iglrGgzGsmZwls6`ITm*T#&mLBg!<3`mf>zvyl1GxP=-{H@&~lE zqkwcALdHzk7uK)MFxoX~n2&&nK7g$yuc-kRNa3UsRy8`8qi*&iGwRL&g~z~kEDVz_ zWrxAw0tZ1#jhMx69mWjcpG*N{fC;2~{W`1V;AmGx+RsvkKv>GHo6pAIKH#|7q#Tv>N{rsV$p=tYqf#JGVBi9uACkAGdANPPk13`FFAemqCq>fOE2zXyE4_ef|*aJVTf1{`%H z6tKd00Tccg%o%>6PFK>rp^v=ubygV3oW54fFpM~|PPSje&A(ycEU7@{YK`BcIlPlg;si`~(NYU)o*pkP=OI;pX$dQM zFAx$ate=LHx|A;F-m6SKm&S#u={F5M2SI}Ro)L7q{9*2L5%O`LuX;yZUmqs zL}t!jh{yN|Dh6!KD}nkKNQ@1>Mn__L5Q4x=r!P6Do5ayJ1{szdJvq+t<>h}n$RMMK zw$Fuj@|VxROjlc+Fonm9LvCq8jGAY&!O!E6#|Yh?uX-3>2FdNUJZLMQBL+%5-xf)@ z5=0(s3Mv3eTVoCpn&L7V>=2e~8AOU&yC=+u{CZwu8t@jeM;iF6UgFOc>Wjl2&0 zVd{OPHIaq~S)m0!ON3h+3r&Fn4Lrag#nKrZ&2i!SYMy=1N^MWBVAOC;U5xZA1L%-D zNnLK*KEQxFp26m6EO;o*SzTkhD#J&}tIPWr{47~F!?oFr8v<3cMQ?yhGodnU^a|rB zFAuQ+UCuRDk9`B=(nJzk&m9h+ z)h9_A0wW#Xva9V7T8ix*CP;P!d0d`iIzR6J(i8KHHv-0e#I7G$rJ%wRvCSi?GOvZN z27=yOwn5Rb)VjLDBjQN)ZR`QyxYrQF6^%3j{YGJ_y2LCZ$F$waL)`!h$qtYY!W*rP z022Td=lq=13&FMf>o4oY255nGg2w@_>Wd{={YR3ekR$;Ejzpqo)OT_?(ETGUV4h`V zG>8Ic-vB|LO@4!X549Nd)?#8d)+9SYtGk6jCWMV7__&x%;V21QfZva?lZju@n74u| z@D5V?D65JTED34^187Nj=p}PGXnx)3XA{v8OQGv#2@kwMbQ&PbF$NGO#SW@@M)wBU zicSKBGa|gJn0Bk7F8INsBDT%{2`Eo)FXa#`w+C)qi#iTgMpc(+rEQ~u+U!YRP7^w3 z9E7Z;Y2i9V3B5%9BdD+Wh8qLJ7t-R-^9n()>7_XW{)WBuUmZSxraWRC!zDMYW}Sw` zt;713K{8d)y>F0ny{Q?F#(|_DU6sPK1!b}@*#9tWy?q@cGDGJhWLk{G^1rY=>4Mt=h;0rXPNZ{pPpNNpp3nli(kKNU@C_Cx+2Z8`=j zLN2rnd#O%7B)0rTXJ`-*oY+OhGWJ^6z3Ar#qT@NtFi;90c6@{WH1lIptwVrLY~|(z zj40X*KlDa)#@iq9pQtZj$R+@0V{B@W)mR@-1vZLy@fNTt&RSl&fy!=wghlpRyqxF%*nepD#KL)c(Z66O%qg0$|L9H9v_qB#eI8z()X*m%LAJSky~B z&=tTp2Wby~@tJ4Fn?<5g>s4%2Qb+?3 ziRe-aqgvq4XdWo^3u?-#)NQ3i5?I5gLVKM{`FS_7G|F&FZYb%P!RdWtob%M=>UB(7 zPCn>{$D5MiSkU3sh3jj1d59$NAO}bs3UpljR5;I!n2gz&^fsE(OAtzCJP{F)^KBCG#+&U3+6a1ER&`2{?_p!Vkc+8(d}d=!cMjw}@2Fj!3qtn(JBAA<0jZ&2x8&JBQX@UQw+NBKhVfh;m$kbgP_usgAES?y|Z zC9$s#TE4d2R}@W?{J-F@dV!?a!H178*8q#9GoDD1S;=9KQ3IcgJfY?;uj&$XQ2g_L z5G2bE)0a2SleYh+tYelLUR4-{%<~EbHM14$%%i?=q2D?VSqP}EQBmMlZcP%E0$<7! zfS3lE{f4zEaP@B(+=-V7+c5fyUoe1p{)EW{PbnJx4yL#T{%gVx2j$N3YckB*7pq=I zAwWSY^#KMnTTDX0f`kzQGoM#%i~tAb&|co1JA1?6=ND=7kO znK`r*L1kV!0x(J>P0&E&)qI#`cvkrH2ZKXm7LjNjq&i~tp=QXsR)O82lUG0f?|52y zZ25@5&j(D|0MkE$;LhM;v^s;e2h-1h&7g-BJS_Nv@5N%)^R0qW>w_sL%sDEP0MkOh56TZBVbwpd8|;e`YTqv zmD>pVfv=fGM-dP|fJND{Wb=k;-?7p?APaT?k1f5VJpV7snsoOTUYj|v7cu<7YNWX~ z|F1}7EI7Rn-~0xrGjvU(s1^$re4SREPu;)+@8UT3S%~@ySXO=VK$UpPtYC&k7N;mf zKw2DvI^Zvx7kUVc-(GVv0>N&gT|Bjqsjl%9a&(_h{lMf&HhBYPA?)>E^<+dt4hl46 zC1g{3)N3hM)J~&Jz zSY&`W9kob+RY1BvPCyeu^27;s0C$|=$!2}z3||jQJNgw;D;8$7E)&D7a{ywm>lx%B z6jm$dPO7W$#e)kCK%}F0L#$sh*N!#009{&(!(O;D39aX_R{6oEq|r>{19)1M7fF~p zeQTWi5j>msby1qV(_=LyRAPYk9A@r>@mv3gj}O3g0u_EJuXByZ262hBfv4k$WB?S! z_QG?zk$3-bd8Kv`@VWS4H~h7(y4Sz!)>Y-^ROi3|I^+lZ6Er4P%bQWBTa6KEoVIVn zcPxwYmiaGrY$fqCYvHc^nVaBWM~%uDZDxu%38^x6QJ?-2z%U8d!^iqbC)OzfWWQ1u#q9;v#sSi0u5r_{2f8mkPB0j5 z+`{Eq^@)SQ!iTNp#KB6^>kLG|yN|f_lZ4lAMM9ezJH;@?&LK>IXsY@V5mrFrWMDV{`oNfmE_BW*!~qi@J@>|4&zi-H;Ji#JCFv`h))X zq;%H;;~1dCCwR%%5xp-+js$qsT|S~d&NvWF0@JM+U`BZAD%ps?UT;Cw)H0fK7_B-m z8Z7U23d_AFw?38a6?eO79U5q4D4uuqgS2hS2RHAPYhkO4TI%4kH3>VFftG%^rG3K@9@nCZf}Tbrk$KotxE zf$4p93I|<;1h3W$lNc%^$A!=Px-Ev=d?+zhoQH1Q3q}xQFg~2DvXTdiklI|@&8m6| zA_uTl4|+w=+?RPeuhPLLl~+^$7dWzUiYuaeY$#zQ;+RmTk0F7T#-xD7%g`mN+8AG6Set zhGw9$0+D`8@ek?ODj7V8d0t>Jg`1Ui4h0jL_=btlD_^1Y=?QD4Z!p4Rs?or!C;*2L zkj;MupszV>*ygRZb{fIeDm^E;w{2cEa!PrXa-k(r^H}TMrb{vMJIze8KyTf{>mY&9JTOxcu4fXnO@iIR#tIcuiBdZu*m7r#dx|Gs1xxa4CPZS%CcVMm{mr zIRcu8c1u93;TrEN0&MyWZ~fTz3c6-ZfcZc}&ZWphP~Fh?X5J9$^7i$!V*=wp{BzU% zYNu?zV{3pQAd8QTnrDZwXrToyFtr3q?nuN8^D5(cR%txWUJhACiREp8J-ieg$B0i^ zQuU+hGx>*@|GR%~LPxOX1ny-d7rllKuGTntYCxK`b7K#igJ+J8*wFh_*3L*?@%5zyk?+9=~4J2oCWy5;4wefu{3bN&B6`TsBm zU<0n_OqRN_y#ojFt~k(c>Z~vSCs4~~bRvaRfDK)s28SrdE3NQR_RD8GL z45V}JZH1zcTGgTU(}mv;wSNfFqxGD8lKP)CHYn?p>QUlQS=1GtGxl#}#?tYSUpX|@ z2dOTk5~t5sB;5#TgPsJNj+NZ5ro+%XymQHf_p_!Cjd77aC_|&^zs1-^f>_exiW{Nk z4nMC**QEp>aRE;G`}|HoqgW{BK_Qd(>UdGbF`6;aGg_)~o5)&wr@OiDouZ0g-J&W6 znTi^RJ>KMgSlsBS`V}Q&8|5y!)VO%Kt^G+V`&q?154UUNp0bz-SCfv6kdhQFBTvHV zDx+!Vr@@Yke;h?0$3LQ$h2utkN(Tsgl;k`)(Q;`dr(k(lg(GJPT~~i;HdG(b(d6hR z)3n^&+K9gj|0$i(9tlugE5yfcIn_?rQNX89iEPc>5>hfdbv3HiHs_tk?8NfNaS2B! z&iA|is*(1C(i*ENOsry{kR{z=-T^Kyka#-MYj}7DylBFlO;{f15{u1|33lc z4I1)?!O7EIGyWZm-92h!Q9k$7i62F~ZPb7vU*bSQ{o>!M*pc?$ZOz?1@!R2UYrQkr za~drwFHgRx8y@A0eLHfU(+Q-wT=KFbf3iJ#Y_hq-U|a+nPO#@!3XOixFZcFM9B=MRCk5lA&Fmukc z&TiH>&Y9pe<~(4ox5L_4_T6=Q&RZ#Fy56)sbf_2=?ZFJsEr!habBf--788Cj81h?`- zD(%l=ot|934*11#eD8_6ImImPr`y|%J*S@@JHg(HJ3aO+?(~RR+zGM!`NQi3cd;K( z_pApj=KlP2jliwth|XQi)hOppyNcOurF7`uK}`@yiIKM({S>qkyDRZwB{~KweKljAG6Zr!o2aXWH5#`L@y_&cO^(s`f=u5r3t_pY_L}mAjSZ)h?6zs~kT2 zI;Fj+jqOXPjFYvd74M^OQX#ANPWkpp{q$<>N*P<|np1Q_A6@e;UGsUJw?>OrI{Zm-LS1Z=w${{*OvvlHn3?QK-S1;vY`)GbwD$0<)(+Mof7Bgb(N)gS z+Ua^r_iE!JJ?C7l)z=|izDn)3hK{VN8{MFNW|j-J@jabqHeE%Bl+&Vt7VY(p^z7cO zeN#Z|>GaV$eT>$s>wbUH+C5qn>cmD`JKn-mL3jAC-op$n8th07Z~D#F{YLCe85ij) z#y3jub6z@;ZbtT|u)dr+Q<0Y;??7&0 zkf#`QCHl>bI82xHs^U{OZw7jQLu)as{*c*Vp9{od_KS*o=`upg^@$Uvl z>7o>7uQT>-*sIg)5^U}1HIVpQk^PYG5L+Jm+sGr3(~y@UTaq)D+8v4OPaI}%16Kun zw;+cimCb*(A#R9?Q?@nem3^IBp1<}JjO;+%0OR`)w!c!hC$_HG`XcW@j>CSH>GyBe ze`7raUz;>FE75N)vsXs0L2e=TH^d%;{sy{f?H!H&W?0kP*2I~$*Ra%Upd6ZH_XAriOs zI+6RgiZh~&^SRC^_eo;UF|qTo)xq`@HubnJL7Ic?EoEK9#LXqH9&s;WI~m)v$kUDQ zIo79{^=#rUBX@%Wo@jh8v#w^=j}q5_xV6|SVH=3v9{pkDKad-+SHS)j@+gyo z%bUs72>-jta!6%Uufx^(WuJGk?quw@VJpP;5w_kuS3}L&zZrd)i5W-zs{-|LrC$B{ z*^!tBkrxO0^h3WDy%x0>QTsFUe@*^ojYOB`Y5W82Prn333Gs%0Jyi+)5%Q>uW1Z@Bb!?qfOj=V$R3^DpGyiP@g=O z(&G?%Y@$bJ?CQOyI=NSjbwXZ+1uNy=4RNf0*Ll}IrnROz1a}#$rdF^1g)9_RA!Ph#JilLe#$Wgyf z8&mIkQ*$JGYqR$A@59zAWcwe^<3siQ_|e3V;(9)dy^keceHu0&VpFAt^Yf-qkLB0~ z!(ft`X9D__CT0Tpk8@3P^j%F{l~65Asn-;86#Hx8eodMf6*!F;b#9u5So{n7B_Ug? zaNx%<);FiAy#n7L3QsgO3eayivFfYA!(3AwdwPwya|jqlntzFE{$pQlELY7Qmm zmNYrIQm@Czs~gzsJoGvNT?LKex-LVV1>~u{T}*E6P=2aW;KvZwZA`sW;bgwA1|sa` zV(O~T!V5zCQ&Del9TS>u8L{g9zB%{5Rmf*o{=POCQ`?A~XQ->*$LBj{Swn8+G`Z?q zTwAWonW;4~r?Btkj%Ry0F}=7R4%Ht-?RIHut6i)lN9}oDC{Ov;a#c!`doRB0)A&?w zHm^76ul6|C%rJoD4tc2kE;X;`n(f$IaJ~9KX9NBG(~LM?Q-@0{J%bV{#6TsHy63JXGT>Y|BEnUtrrF zu$@KiWv1?-tkrk;VBN#8eGsyh#kMhETTjA~Y0g$TV&4tKs@mnTy%Vx2yZ@?ye?!QB zB)0V-n;(A^zPHl&{FrRzP7>7ji(Z`b9jw*o{+_JAX05)1^kDrJYxTXMd+1p>3C1e| zj0ubVs>NOwW!TGk#5`eQPG$XkhWOacP2jJXf18=V4)x~+>bF9_54|38uCZUhdQKoF zn|}4los}l{B7Bde@ioRb179QLOk{hSZe{NKc|MyXCo+EzY~4cVvI+6iP0fa^A7b4a zInvnLk+Y5b(T?Z5JHGCrdQHiFz~tig+H!3tf0W7ZhTbEPa~bhdO?(@CUlMztiS2}b zS0J_zdgp+C0I_OsBTf8)tj(VZ63Myby3@p~?|`4vt6S)7_9CWBpr*?6Up-lLP2=-p zl9X35?>N|8iJV{HFV|74TR+7vo4yO}q?|C@Oqn-OZKP9kbtT3rC(5i<@!qJEZ<6t02C#8HXGrI%M-_88RQ9J-t)BWNjs}ue^zc2wNM*_ zcW?e0f@mNVop+TIL4Czu0{Zyfy(>`khl)VxjLd63@c(P=qif7uy=i z5W#K?PIPffiJbYZZ;+uJ@K|-0=%8Mzvz^1H8U2R0SN`Cusmr@De!t=8$9}%3PS{EY)9?W8IWT4n?^cF{MY2ON8QKu8cQ`Fpm&nJ+ZhdU4RpcW< bTWHV1!V^S;zf;^J>|vP9zwER}_~rPAV(xnP=F znNpd%f{J2kf@Vf;Ad-TS0*W&1&-nel&+q-c$9p{g!E<;VGvZuxdCke3pXEGfRxVhu zpiE~WxQzmT_JRAe!8fBqk=Hj`+geyzSZ~}H9U30A(Z<$hqm{j-wT-2Xm9_0gui%@v zLj!|1p1c$ow$XZ{*U^nW!Iw843<|vko@u{!2{_Gy1wlXlvs=*rUmq82>;JFMsD*!W zwUrsY8jUb5e3MJszI{Q*PCqHTpZpFVBy61i5Hok8w<9_H)Rumipil1(%x#luWOWVf z>gpLdw2dj1LJ11jIvBGyj~5-l!_cG4BN5WqeioU6%%erSZ~wfQsGmD-R((G6L|9qx zTfvL63lViwb>Ze7SrupGdPUQ=5xLiyw4&@gAAWREc4YR(P2B$dEF;WCeh`z&JPWHQ z<4V+s6wmTT&5=gwW`D-c^79iR-g)v8`T2;FSPn?sJ-PfC^9?1l#C2F*9sLGZH#sSD-tAefK{AWP^lSB1>hCl!IJ4`T zzi(q2uPTbEJ#o`DW%KOJbpO~Ji{aaF1mo>cG+FIATj2}uQw4Z$? zIB$%6B3cvVGG!>8jsDFWVpi;o8OFu?`)=4l%$>y9m`-Jvhx8$tC3R_<-Ut#;aK3Ve zn8Ex(e}@jK4*1O-)O^BTupG|w*L=#W(xk-xnk*4~YW$>x50`goNC2gWJ)mraSEhqk zJtvdr^h6G$ON z(&_%bdJF%01}eQ60 z;bpv8jc=HcKp2ZDJy+dE|R>ur_s??K{d-A@+cN2#vzw?CG<6T1C zcoTK@{t>Qa8eE)$V#31>vfGij2W2I7xC;#KF{UPi{YS2>9`MBUPWGoDZ%1ps!^@=b z#xnI-07>cFP^DJ(MwWFU&nksgQuYtVPL}4Z?48`(7YtVfq1t|GOfxylj?f5yyQv3$ z7x@iVnjplO@=tlyT*0RXdjykNbpmt6M;h~cOfHyw1)Hc6T&Xt@?;$?rz!FZ%F%8?PyGIgKW%eS$A zO!Hks{BevcuSTC{YkaHvC_}NqXT_@CxJp?u*Ke@uw}SWFPI#qqGEzM}`wm??hC{-? zPN9yJ$oLAjz)5K9`9@-H^leAcX%CmNBGPy%_hjy(KBxb?`F~@e!O`#Th*GQJh8fq6 zZdLnIzga2~_^9^*=_$@T-|on4Q)v) zbwXvnz3>gAId}4%>Ixu)#FQoH$@Z!Za8(cK!3e_H3;|SNUwqXX-#m`qF%8WQh|aa# zr;g$pF$+$_Up)MQqQ|j44qx{{u}e$y9XRxZO);u&>+y8JBzCj6a(a^q`rL{=%FSF; z214erPxEp@k?82##NLCwd^P4gprDluq7~+vv2IU0)wSa`(8O(`FSPbVRo@y|5Adgz zt*eSJ#y#T}iAX!r&*Bvg19+uy!=?$7btglgR{MJGjQ-o6Ng9c~iEey!<=%vumdg2i zjOrc-b>OrqQZ7`X4%9EsmEG68N(*24h_L@y6~eah`Am8bCB27qcRc+J!fWU3op@KI zPb%r=d6s1sHdw!j-Tv~-z~6iay|^y1;c@s*zI2&9?j>a!_d_z)&F<`mhBp)`sP-3Q z2LO~b(e5SXSs7*cx7u3Y`1D-bL}JfgC~>^i>h9cjbua3A>)f+q0=L#$xr_@VovC)~ z!06C;%5yX4V)qy1CsG{@FQ2$d;>?7F%g6i)x6L!OlWld=W%*8M zqIkXLmUC@QZhM}YklVXVwX~NM!h@WAqthu$*652|Y}Gn}zyld&L|i!8akGAcsV7E$ z`7pCldtqDK!8UGxR+sNEk?z7?i+vO|O^?}(^TG?4L9e-{dd2`F$z((HKZn@HEO;L? z?Py{%Mw2R4f5b)mAp+%n`*Dg7wyB>tlqk4S=@We=1qi@kzvrw?$@gzs&6*>wUq{wXe^% zPO05LVD3%?Qhpp1s!O#r9uv&iVw^1iO=LLH%p+S)Ty!$0dfp>G;b1r=!6?0);j^t! zm6;7ZdqDZF)zTn?Tue~v0!JXkiV?FQz#Xv66~nbvkq`}|$MR_pF;V?ISsh#+S>}k{ zZjsAjx=b6s=d(^UARWo%HXL>a_qajWj^(FXseYunP!?lfi&1EQefV}N=|1$LYwFBW zd*}Yh9<#55r9HwBx%&8er1S!c_`L)lwP1+X*KI{wTH8=P5r0268WLf0e92o?C`&)6 zzGQ-{HqjMcZ&-!X<2|(^;^q|4ZD-u%pGqcp`ci*~(TaFl$l@U`#lF?^^O(N}1@|v5 zHBQMMANuY!=_zJ+%+ZSpVz?H&6==VdgG`LEV{K2Kd3M#lBbkxQkNFJ1Q?LoiSVy@9 zMCB%;)3_*@o;0#%dotDVYPGfM)TIqo!5gN!lBtV;@bpmWCSYA?{d@Ow_MIYkPIhra z@tF%xnv42vhAkqv?S_g*_`8G&=+bs3mp=d@Neru8#hx9laQw%rVuB*_phOrX3GAu< z!f$W(Et&k0cGR*NljFIZ`}NaqQi!~Q>WDa0uN^XgF(pRZOp=!(%zLNmUk(TEuYbV{ zd#=9MJ>~nU{$}woQxuvND!ncl43=e@f$u*;G;|pI2NUs5TJuozJyPr1w!4RL#g&$M4PV2FXhWp3KZT zQ5KD>gfApk*ubI+G+Vj0hRTxfiGa`Dc%?5MC|RYD7UNpE?r^JQ#&auJq797wf}Be* zz?@&90i_2=r_9rsxYg zO?*BzKKad%=j-W1EW~tYzNSgy8H)pB6ZdBez7%C5t`lUzJDZAMV557Pp@dV1$+*tK zjxieGX|3|X5)*F60$aE`{TMnzw&x(cN0P4CiavXX5{9;zIkmluvpU)Kemb{kiPD2> z8uA9bn~bMsLdL9c>j$O<#kh8!0k*UdXUC0-dG2HIAkt-RVWtNqonQ+KY9e zrZ<_s%^=#UzOP_B{bZN2ZP@8Zz+oGcYDuldM*_^i8)2F7rcViL{){8S9ow$!Z z@O!`OV6%`en&iX;1F0gH(Agm{{= z=>>AXQrKeQfJs=P*~GWB3veQylv)985bWXx1RlDCvXM%-OU&f7H#5G7R*!@P14l%* zHfR%?M)nnu9>l$v_;Qw3hEFl#R^J!9>am!P`)3t^ZC<@K9){Dubmv!*wprdt&X-LL$%E^ZW&x;}Le=7AS>rEy{idfnfS&_u%q1du~NXL3y*U52cL8HUPCF0%ZA8GnjO&b@x9cQ!J6P@>u#6xgHG#&|!FJSjdChsv) zM8hd?Yh#$hOLmuJW--xsq~1sqX^+OgWVcD8w23Q4CuqhWp+9$hiwL@Hqh1-cUrCb3 zyWA@;#@#pJ!eXBGHv{7va23Oy)@YCTLWq~R+te%2I$Ri1vs0begS;;9nAw0n)Z$`9 z!P(O|rzF`gurpS9g(D`(a2sP-VNbP#>TEM_89<{!B{RB_uw_g|>+gE0kE~?G*hne} zch+}?Z!%AJPw(V@{$%e~Gcp~kMaKR{P~9wZhEMVB51K*!4L=18N(kCS@tSwnlqKDy zOio!c!&pAM6FvLMzX6d*%HSZIe7=aPN6bTjK~ehY0BV>~4Y7LG)FPWgIrR_Xtd;7~ zOT_Axdpt0&r&ppwxxNo(n%1BKx#B1tAvLoLKrcn6aiG-94w2``u^^yC)HXi{qDET1 zHnQUU1af~b#YnDLa_@l`^etKs(T(z#UQ+EwtCQ7#jW?b8gWOWI^33*uts1wiSPzQH zT_=j6s;by9O1(L&)&nY2@vG^4+@Uk@Lg?4VlQC19dr{bf2+O3B>+xrte!M$!iWhQ}h{TRy{VkOOSRJmru}*0C_Uv5ekR-Ht!aQf%>5cN(??pr% zwO5B2u`X{$lY>$1r{Q1{b`cD)T%g%THEyDG%;TpqVJ7Gl5CTz+-tdQC{Y?;WqHIB5 zz+38Rwo^0j;vRESI9|!^sEkC<4t{nsyHm85BMd@C0rz#03vsq%cCMX?3IXX74ChF~ zc3~k%`TiDVXp-8Fdw6Gi=hRn?afDjnwx(-skzF_Ca$Mt~n`ATjONi_OCM=hGj1VBW7hKlhzm+16UQTOOZ+TRl#_1&q?a#OLIGVF#jj z{GdmB7D%h#?;3wIW5G|%#=<&s1bM&7$yjYk%!_~vOyY8Q8$e)LcGR~Z9bia4(?WEK zHf#DpaVvoxo)i8+*i6%-5e&HRU6hBo&Oe4iJZq+0J^;P06M90VA7jp+Z36oVlw6`n z?;Cst{^(I)RD=77OMb-Nw=^(OSc-zDyLJ#ODz$}aH<7-IoSgbqEsIYN%QvYLx)Imq zi2X|U7Rx>4zoK1D-B#Cp2U@MJCpKHsKgIuV%p9{T`j&MALyrm=XmH9guuEjvs+zH| z10?1+*3WOXBxV7_8Utl=WB*czKnU$^Af|ppbxR)*GdOml7rJmgmIIY>hnU6*p%NU* znFBC7uZ3V&&TOYM5}~=`+7;L!JYq52m1=nxdac1)bxYGZqHP@V*?iJIFq|E@;mJB; zVzg>4-(U}>aHQgId5n#`v|EUbi>+bUi7+Y8%0%t`S3}UgY)TqJo81nmE@=V`*e+b# z6)amS;vOl~Pxun$n(!sYL2Vo+uA6iUX^QbgUjgwsu}Nx!JyTOYynsyw9&qYzuSQpc z=v;~QW1`iiU4NU>XF6}_5A{jbo{*IRe{$qa^WqU(r+80ZP2PV9zJb*SytzbSFB0bT zuyxq>^N||7K_T|o-XI`&56e8@i1mruJ;=}vM}o<2y38oOed^v=)Ru-qaZG~R8rTL= zT}3H$aet8`@$hBr?Ns7D6sKy1qvgY@^a6)_mJ(PXF`Y?JA5&32e77;NX71i50sA4yVw0%#UmX35KOZDYPL}OlVlUN<8prz^( z`WABNr$mt`j)kf|(gIzfEyfUL{KA7d0Q9WT05q6NdS|d3^@nQprVLhy|BY#4{`tST z6bl%`dfhkTD*6nu0P$54cUA}!6yj)-xN;#{OO7ulLM?fSCPVrs#oC6uk;Q>+JY(Q5 z+LyCvY*65JZnYeBTCIaV!A-m`Rzd>UD74$Oc|!n*KdzKQSVmFN{(8zwB3076FK4SH zZsS(Br$=+5nsBzn&w^%Lmq;!m+M=%m1PIJhsn8`t)e(5h<(jX+$4&Gl9E34bx*Lt# zy;x%-e$$)xa8oR$1C(kHdT^KIDOtu-y(?f;VEy=`nYIz)Hg%B^%y+SN=9oW&am+8~ z^#ygSByJrCcI>6*Cn^V?G6F4DqbziAaH=u2WIbCuSK)Bilg`g>F*Aa$phYYJ>LIG5 zqH0@oFyIRzFIVbu#W1uTAfSa-+31&25naHidz5fxeLg}*efbbYUn$c?7^uVX$OX6o zfOToe{yseYcUa9?s;X|NpTV2U*~?tE>nNs0-Dfwpj5}aPMhsBhLx63f_T+Zv4p6+M zC>qK@1cWJ@?=v=dTOhS?tzhtKmMAxIXYR`y)++C|y3fcs7s_2SPf20D?bzs+5Kz=n z>YEacnISn3Bb#tBjx~+}XQx%2sGAcO>`-}iF1L5BY7(N!I0I!WUqR#6IWhu{gk+W{1m#E~Wg-m6gS`*Ynqzd)P0qOe9M`Wfzfpnf*ZM# zNXI2zZ)jWr+ly1Oo**?Sp?cbzN)=h6)hX?SX zYy<3l@smqK7I#MUz>VqZKO)+*bi&mUDnwPA;jbf%TZ_x3I*@9`Ci9NZF;I+~-$rq#d-r_=^b)i&6} zH5RUm*?)_BqA%^+&3s_+x;|@SY*I}atqA?SUlu6xNEXybmqV8bANRoZK?O#(f(4F5 z*vdbM>>)6HmNS)jmqffNS7>eU%YjOaUj5rkHxRtQj@`hb7ODnlTp4F8@M3s#k*iChl7Bo} zg&+SfTL@YkJBJ}gV7lVScoO{-rQp`lA@d@&!TRtr^_|v`pKl z+fX*}S?9|+6ET-3xkFlA=eS~Q;ch?D%rcHAm#wcx;bZO)I&O4*aJOyPjD98V;00X` zvjsN)my&5`Fv6GMHWo7DUr2*dX28E`w%6mEFhf&Yh1_E6+CfR~c|}bGVZ0o4&@uPf zPdF~k$WD>}2-T*+SUIlCHo*qYSGHbo8b=l-libm!xESCfmyyVQZHB%`3qb<25SBK! zOx$-5R2+h2%1Wj50pgk1X?;2K+qpE9-}RMCZIalaocgHVs+<&p0K`3L~~nYm}DqRk9il z^w5YpT=Y8SVz7ZQ;UwbPz>KJYHp;(%QxKK`_5dEC3*SYxd;opQ$)p+_jn#{SPAPnZ zO8~VuLf@*9MHH*zLGzTnS(eMKI9hYU8^-%06D|EcXAO$N5;&}}7quO_K$y-+7;&>f zFGZ7dDGSN&c=lrUZV=8C{*$`CwS zgsl<_`~Yvs7Yn2+z$n2l*_KQA)KD}rTYCzsnfEuY0YcE-6sue3)}x>4LiLbHKT6lA znm`>aiW@~krXuM_SlsW{tRIrX^Yx5#dm>Il2O!$WTn?j&$q?~I1XlnZQKhXCOj(F} zIXXobr^m9Pwo`q&L=K$YHt4?^Vmlz!gb~4FEI4L&DK;Se)y$rpKD^|~V0hb}F`9uYO2Ma%tM%dtw zja15A%f}pcGw)ceUf?Pgu`k8~jlGsLtGvK17FGg|n)?ht8dt@$6gj1|D;Qa#y3l%5 z1}8eXo%=ywoEGc$?lxEbw!|@|y5uYOje*9gk7;$~B_eLyAJsS2Z$dFMs-Ep6yqh>9 z!|v5+@n(b`BwjshN(tb?T**@bE7Z|O24V}4%BhBTae16aEpS^?hV3J2Nr>cFO9=$G z^Q)$a0}YmRHo-rOz`XRLqso^{xu=M=)8g;0S>bV*)UWL&JLE~UCue}=q8Kk6Eo3V& zNaKoYY?Nim*tLK$glwdo)kT=7--;dc%0?_hBw@{nJ*MqZCcq3t1=>tk{HlZU5W?%-@wIxmQtvw9E15}j{iWX(A%nHI;f-WNrid51qDi!2E-#IHE^3 z%EL7F0git z)#(H2C_cVjTMluRoP@eVRa9J`8q3J+hxwxSa8$j2N;3$s(i;|PL) zVeFC&Atn@{|R~gl{ zwLd4@abkARg+6QicK30%RZeEW0ZPV%;Xi-m%lO&Z>iQLos@5pvw!D~b((|N{`?q*iNP3EuT*tu@>D`xSs!}2%ZVT_Ietqez#yDdHt4bt}SW8P79_VjIsYZ zr?B~l2QMHFN}vx^<-!Ak`0wY|Q2e=aVh@wS$30-$no0{{&*n-i>wS~8F($nRI;yt` z0R}yN*ClUsh*_Md7Tgz6EeCEyV2W!;;1B|j5jz48La)1r3q>$)d=`O1`UVaG{~^9tE*oW!RH_NQOMebPM@;1`1rDi1RojVhiP<8Y&F zl#);W9iDfIFE}MFo?k>!oOUCUA5QGF2>VnF)ZUx#)1c{hp_v?BGvSNq*hr!gftRH- z;tqaCSy<6#8<-&#M2=$|xv7o6tanzj6HwETC12ZTZUTF0G5X9(bB=Zpx*RXeoHj~z zs$tA@%mj?r25c6@V;-8p+PIR4#{5N-DeyG|jmBhuuv63Eg=cHC zw^5;Ykm>W;`&r~Zk{t}J7Nw+A>vHF|qrJG?{YtRLX9ELyFc?^m8q~!Zk?)ED`K?_I zDdG+Vq16r)vfLS6v`QDJPri<)EI_!-d;P5WN}aVSoS|Hf29=c@Flq>obaAU$ ze^bqxvO@qD(OO%j8>&K=xEKMWmNXfM0Q01$+>a{M)vPCKI&?8W02AwbScU}SROO}rzh+_~d5kCm=orfu=N_&j^J#DimUwQYl%Es`x!x0z2l@PLta!QwNiuJ(@mr^!UWA3ptIH2Us$9=CjH8@` zYEgayJueaSMK4o@9Ud6GG72|R$-oXFR0qyPZAb*UFa!XJ&{heu9R#~v`CS*j7JC#= z)Q3A!8TX0L=L=bk`Aob5$V7#<%6-5S2-aF@#f{9F*PA_*uRwK2vSy5LV1wD9`S1MV z%v(laR@9i%?#SMpcO?s@RUizNuV-9DNs~1vfjkIyGg_)kfv|Q{kq?C7t~8lZLyFsae^w|c_Z{-YsmO^m_nCLckDVlW#HcAvQ>Us zkFkw|)l{s~d#$G`FC-9){O(-PglD!&jIoz&3r9&J4%~--wTr1&Y4{0cDBe`R0V;pV{M~?ZOjm$ zLNuG~Blo6;iLI{7Db?tq6Wgkyk5iEU&KyMl=m03XV4{;+d>Eqm&=_B1MrG)ytC@2M zcgl+i#I#CBv!YQ=asJqb{8O*ilavNYkj*||ZAc$|keeRaGy|sU0YtT%G*3hWYg%vp z@|Gg6<#68oY5yYHmK0#^`M?r{uDr4PV)#P;9?Nl=6UrhmZmf}F+r#YoN+pO81Cnob zSkQQaKw3gIWQZUlJdF#W7a|-BHOpBWInjeNtd(doSTku8YPT5fIZ?e+^$q!oLF6y1 zkhq~)X-pB?F?sL_|DoFEx*_L{MtC$jT^jlPnSq0{Mf*@|TaG|;r&~xbQTm`7kJrn) zaBv1+lQDh!5&N30D)qdE0o(iWPD|Qb^iqZ#=NdeJ9Tjn9uMIjBaEFj}l?h<&A@y!NWfbdv zA@6b=TX@Y!!=%0XuKgdRAT4ETbPDQNh_P&{SrAI6MonyiPlVNsH@VT{w@bwC7qG*U z!Nf>k6IJOOgV_ts2)d|p#PSN@EaKUMMJ6@zGraA~p)kOaD|YP#5uy;O1AWGs93h0D zw1L;U>^17Ec%(k=Co0)pqureVQT-4pY|*|zBZLJh*GRXUBwC0#5b|a}4f2`9I&Yqf zCI3Ho*h7tP(uNSkl6wZ9`SDam2nq^RLda0%Z(Xpe6pV-JQof>+Lt^PO&unVTY5Exh=YUv|^4<9V^p|I|dF1yYNcGsxEmbbc^V;&6Di`Lqp z|85Ayd*U6wh~9|CY?O-t8;E)(dP0||g|MOiCp8%(kFEeb(Lo#KF<>7=ZHI2w)vSZz z@Hhja0~L3lk;{Qo1t9=Q6bDfoqf>P)BmFIcfej+|pEwg)CR8f1-o&UIxQ4Buo5{$t(or1+?lS7OJn~NsDnVR3AJgUF4kOaCusm&rILlO$m*I)%gxVi{l#0-|o+n zl;-28l7>D%KJO}{b~%g%biOOn=&7!Wm?_6L!`$QPvA+NiNM3 z_%`>MR&5RSBSm<=HOo7uDUrQsRX%Ma0S$PGL=y@ zZ^o>knP_K`B4CQT3_$gQ=n?;6c@uUr=js?d%Qe z_w#FCB1@bfTYVpXb$;wY^}_kFWgMw-CT(``F@;g{yA}(k`TV?Q)0^kVx=@AI;Bu=s z)Yp#b&DfL;KV?O7nyj-3X*LFHPVDhLj zaphsbBrU`mH%9fjznlmhcW3NCDPA5XK9T-hcVE;~xsS3qmUoTWKK?Af@HH`bq$b{0h_RwS(=PrMSLQ~tVT7d| z?EX*g;8T)U)9hn2t&HYE~N6FC5 zOzGB7PzS>;wS>{{ShSK;^f2}#;pfq~T*mA`old98UJ~Z@N6s#nC5>wKlJv@u+THx<)IPOI*S@Mz zlA50tW48Bcb?MJ&3)3h3>VumtCU5U0MIi&LW-%G{U+O(qS9#C9>WeH_mDyl~-d}15 za=+g6Di9{qNIDVs0$6hRc8Q`c@IM2iQ^{M!J+9|cKThpBTJBTtbzaLXZqjA8^O>M> zuA#$~WVfyjkQ}LD@WOTw;)o2z);PGOe=c9uaOs88gK3>kEW!<%lRy9EO!TpvnI%@FRN;m=_hp6J1Hzl$TNZ*vt^qJkx#N! z)utU(ksrKZq-~RfM*T8VaBh$|xxXwms_Q}NIg1a@bc6l8nUmf*or;T9ie*o8I(ar3 zn^!@*WM>~dWprybj+d5ChP=-?!NTZY_T^1IJ9k1iE?qEGcI!&_Biz?2pl8?A%+lkU zklf2|aDKFZ8s+j<-yQ_LN@=+gyqV-h3gQ0Mjj1NNNVnR6Fh=RunG1oZKJ~*z(u>jk zFnp0iER)n!Mbo%uEZj@F3D-!M$B7aBSGLsJFukKFDBFjsboRqs*-mKS|_ zjIi@*Ibj=assB9Vd+z0uvI_!c8?JYJ*go3eboEuA^u|G{aJ_dHj1o{SkDCvuAq&qp|Sf2}i`CZ6`$9PJ3 zFU1PtYacgK_RU=n9ff*Ev0+2kgZncYnCaCWx2v4#(bv8DBX=F8$3JCaGGS)2iz6`~ z%M`zJ&sS{`#tmmrkmYAH5)%T(blz`|FqMq5-|vP!ZNuEMWZs!vea=}6<5LygLSg3a-vHHnH!84zYql53y!<|NK!?c`<8^WGC2UpWbUZ#eA?MDS)}8h?c|>Vpt_# z2g~*rMrJpC4DTj(RME*|~2o4hBwCbpG?%(f-tk zl)*iFpSDkMtAYxcwGv|Lpg}WY^6F69_)9&cPZ<1!_qbnsdTOt5@e%sklGI+=xxblC zKiYTS?3=0E(Ow_|c3(YlX9xY%uBgY-x{!)8N0-<7-L*f+xaje5NX86#eg5 zHCx?{Xs?z`oe2BlH9 z#RJTVtbma=_EUlWwZr`m7w!e9ZMXaWGX`EAUq=3xoNvgS!HC=LsZaeJeeZsLR5Q#v zmHg48EcLf}Xqk=Y6T+U!)6Jk0$_BVw@)AAR+xfhI>We1ex^b`irG~F4Y;=YPy2_d; z4e50!Eqr%07+0A%VRwguKZQ$^{Nb5qNXt@Zj-*vutQqorfV%ZAEGILejlKB0^`6|1 zZ(3hpn<^CiSQX9)ZmLbq^gM?>D)H*E*s!ac8tgCe6hK+wW^lX^B&@Bi!$4gme^>HoEzc3mWzG?r{vWM}fQ+l$Kutr8HPt2HM z|Hawi5AB(kHs6FJ;n2}DwZv+sPFyZ&4R7E3kOb_WpdZGTQr zBsPP0M(E;R*^S+jqg6X;dwEpD)s5xpQHC9CO$~YXg)v_nb~X#O@Wo-s9But_A9N`BIEHS*wgue^=yE+rZzK6y_EbFi3pDe9-&Ud{UB+!} z50C^`uWvZR5FCP9zt}!7l%&Uex6F&;yzshCBw5#sa;Rx1WvzZM+KW=<4&TMMV}B>r zUmU)NJN8^&srP3byqwi0?$Y_F^vs@94XkVgBOTctdCR?k^yr`V|4*dj->%!G{{%j713)*EJgQd-Hv+(I5U283eusF_yd)P!V1vDu%m z`AoOm4y~3m?z8E)JWD>3wQ?-41ed&oFX8)zrSHf!QntY>Q71ekbq1%LxAq8N2b7Y0 zrc?Bez2Cm_5^?JH60HXTO|0!)Gj;d^<#7bNAJcVhP3afwSL) zGxk>+DGPaZhPn4N-f72peu8a(W&BOdNJ2<+jukd`-!EsvH2;o$Zp8&JP8rInoR>Hc z-AH!!2a_{DtgIzan?J3rCAOCzmS9a?ta=2%>1>~aZpYgd%igOJ0v7U7aPtY~V7LH9 zxqox3@7gFtd*{m}tjA((!-2-}d(4y#kN&tmVJTehJPh#hV_FskY*JIEdtyE1%}E3z zqn=SrfC?S9z_2VFt-dcDYgPuB!Mx$@%ctD{Q0EDXW=K`ziw3f2oet|IYsQqoSw$Q=R6Cy1BKTK-wMB+b+~LJ%U!M zaTWcN*u}Y{r&`_~7Op6qI^q_4d+QzcGnnZ>Up(IT`D_2HK3w3jjIb;&C4o(?(u)hf z<_5l-Scal$5iNTJd8giZh3|QVTo|k;zp$%_~vFv*-FVY zQ&wj1r!qg2lFHtHad$$1x{g9gJ2?5_{FY(HX!!0avk&|7b%Q;wfPr1Aj5DldKSNj?zDaES z@_IPo+_q;i*s{uaqk(O|as{x{lXJmYMiSDZzA5APWB15g2L~)mn_x@h+}fE~C*7*! z-4vZ(Tf(Dxev3_?^zO!fUL`XMr|dnyIV1E}SV^P|eVi@)Fb z_*rVLL9SBGQaX?6Y>_v5X#5MHSLL!*7|&kKW4?AaHtzs?SOQU9+wC$PNrp{W_Q5*0`*IqRQ_Q~IA2MhmJ zTJwD#=oN%78O?Iwo3+H9wqK-@?q%!RKmLe6qKq@?d-SmmwLRALg=W#YE2ArAPD_<; zDW7Bh8O;57_R0t>W|PrzW6O$N8%F;mleG&-=gCVWQ~!Z4oxHb8FCl=DBpEoxAcrhg zoqc~dZ8(vAd9TJ|Fs}TiB($6KxoV2>=r8s2SE#8n#Z2te$g8@&=ai3=sYgD&QB-Rs z1zhkqCLfikt{!G|zCOJLDLwH#&m42DNtPNU@e8%i7~4mgy6j7ufM3col2izP@Lp2zTty5P2h3HqUhZ+UDa~Xc9n*GIkiiO zM}0y@UvIntw(_o{!R*DTuKY@kf`HcdUuD{?6o`%lME#L+LMgn{wo;%VJ_@&#{5$ z@WOkHX8w+yo_8aRB=LW(?OoFW)r)H!53U$`KHYT>6o@Z;gkI{y-3Ec#xT4@4A>I&Z z+xDq1GY?M%kNScRweH3f)=@ep?={jT5%oGCxr9DZjYlb?p9MUcGLm44q@T!T zab1|o%II(9Xp>MgRf7*<@z8q%v{By{bWbdtNBgts|Hg(BgWAHVqfM(75 z8Kl1PDka0+^Hl6#@7%iuSl>X2^;p8a0HL(vJS+EOz+Rk@bIMrY8vUj6%{`~j7`=vX z@m)(?RQ!7A+3mSyumM8&x{11xLQJ`%_j_b_Cqp`Bx7U(>!G{(`ub|irZt+k%GzB3X_1$bdnr*%b>MkB?nt-8e#VuR8V=|- z7Q;;~m&Y}p_|N&5D3oUHn)XUigPjv~=s~BpbYS-D0Uo{_wSANM)A96-LGg+k<;=+!^+vW^c|X@U zmob)$4-Xo?{s7<9T4Da@)=pvuz^}Vu6O8G}TaCU|221$Jz1Y44>Sp=Pt=Z}Q%ss5- z^QmPQno^g^U%p?xBYySmz1@Pw%!=(^&O7Mi z7J1X0jylf27ymKr5)-s~KfTiQnrl3}*$W3B+e{MGdr5QcAGXix$3^1GVuQP%l{N5g z{L>xi@5JutRBUbR01H!s*k>I-dZABe3_-PkT_=2*=ENLen8o97x6P^_x~BP2HXIj{ za-IMJ>|tR<%q$SmDw8K)ML@TvtmEFs{7BZRaEJ zPsDxL7XB!oy{PzO=EWfM$ZJF1w^Npki3Jt@I|m(lV(!2Bvu<^r`qXn)=J(iJXZ|g5 zJFZY3x^J@2l|aRxEEZ4;_mX5kaz6?#W^1W>t=tm(we7d@qu)vM|HPde%x8Xp{rj=; zQeZ-W_1vrQjqg0V&1nU?hpKE~zljt|&do-jO>@UZOaa;-H=8GwH{+ZS)v15G33;F) zs#EVQGnS0jsUK2SNb0G#+%U$?1AB3+j|(roJD?yecIzLF*>Eo1UMKEVdhBbP%91UO z9S`-?j?5YT^x=H?79Q~l$lBU0lGnIj7_qwa!RZj_ViyjT8>4hNo((XZl`PhuB7yR{rQz8qGU*HK~(LZ9jX zBI(=XnSB5MJCd9#l~bsYkmQ`x_Ld@ts3;*@rIMs2VaB$YNJ!2hCPI!Yk}&3cEJIkx zHm3|}GaK9N@Vh_1?|;`Hd)(Kx>%Okn>-l`X4!5=t0si5hfJLjO*I+^5Eex%d&O!`9#l1yc_O={Jjbh<}i8V#cmK7qTe9 z8@N5WDLx~z9a7uj=AAW1EI&XrqIJ>j|HnwoPhD838rI*(*EI59%BAX8t<%(FD<9O_ zFw9j;%)@p?Snhy34HNL%6lf`!*uurWIs~AKTB3H(y;Esa%w&C0##<+T*{KD z^c*675Ju4SJL$~@xSmiWmi^V1J3}jcD!r^lj)ee2gs*)1wsd8=YHZ8uC*dFe?%;dv z5=BIda@)|B%Vs8`YjOgOfJc#qP%=vogF5gCs4byU0&FM;$75;)OLQe03I@)41P^54 zb_s6H4mYrOvzC=ok1w$^2CD{U-_J)Pmsje3Zo$tB-zyY`olK52c)J`bRPl)X?aE}^ zzKiiZqTd*6EjMdCHLEmX0cgM}S>3m84mHVMxbSMV%=yzLM)=-8fr-+fz#%};r~BaRnZyEdHh2?Y16qe5W(O)_vMss*YP5 zHz%!xr10u=7INc4ul*As&VJFY4vxWR{I;j7(3C#CzV@_w<=sEm{2ujXw&LE^DfR)R zuTFXO<^(dbieaf0)b9aAM!4$^No&)`gii4na!Rj7>&g+}z2}QIFL4CZ;F!rVm9P z{7Q^d!3cc{`tw^kuM40lft3S@<`%c1l_tF$S;WRO?;#w~H1{5Qqw{`>-L1mU6Lv{& z-i4jG=u`Ty=<2-wEG{^x^gKc4(6zAhg*}A|YT+jv2;KkPyAu%$l&Et#QqpVn_Vzzt zv_uSrVYJij_=-n>1bSnT+KIK_+ebNnOB^+ldvI=Mn!LxmivI7!L?ZG=niGvlCqRw= zUeYr-A3+xuj?p%Yz6&VFT#{_9e~kf7V@s`Hl*4ho^`z5&irRp>XUK#lQ*7D@md+y- zPH;Fw?RbeHD{fcwziFVnvDgMDJg&DfaNC9;=R9Wx{ySSh3U~O7%QlGTUv-q=a+C2JexDtMh|ySnb9H+ zxMqKbD~9?EVQtR_7oaolVGF>j6v_~8u+a1)M57tzF26bfKWPpYD~VW}TYJerF+1GM zQH^iWJ#?+Uu2W-k&E%S+t?fI|qsBqH;%vH$1I`U5h4`_s>hJCQDPm~F`rC~0Rd9T% zm^zXy@cUvWuGZWWX2vrIB%S2^QdpeO?ANCP(#mSYklLOpO7@j^+3h7Ao&5uK*~cMqE` z>a6vgRVVBT&Io+p?LdY$ldr#%ok5}>cr2XI3sjjrw7KX%Y)|)DK4Nfk4Xlj*@j?}T zzPTm0B%lL;Q*-A6KRP>vyY{cJn^S|Wjka>WnmO><;Zn#y{{}mu*dESafeg*w?GK31 ze)t?(-)USmo~( z^7#3D6Ox&fkcU?jy=qVuELCH>V{`@Wg@!P!v{1RwV?z5AJ6d#B;Zm0C3u`qX`3ATI z7B-X}=SZ(wTHex-t(>Hd|rR&&NYPoaD?-Z1I_d-2LPfuRNw@+2gIAn%6_%KbHQ%WLb10SPWCtE3P^t0 zbx^zZ-s|19S*uxVGjGhH0AbJz8@@$$b;Rpehp3l0uS{E zGrT)mq!7qV=dF830qvZQRa|jUd8H$;P;8#XzPGzbrWlV*5?&WA z4kw6!M00CO$S^^&LY~iV`4dd@crG{$2*WEzlEk(@dwAV6wsWA*TNwn*gZ`P8?Ue$A zgd|BHzpwZDh2JDe3Ox$51W#tU8G}v4s53vXr@wfDX_V*3^hSs_1f3X0!dkZF|D21O zob~t%6uAI;fyd3wLuCZA+qm_TeLNw5a|u}w)OD%A>cx|mRquEe zk`{YDtZlaY;C^Kok2}3Md#K5{5zIZ?x%7EO@XF2xr(i-f*-#T}CL58gux`?C@IKs! z`J(91396AyNjNArmMjZWZZ{@QjZnI6z-Cbo^OG-tHEHZT6>hyl_u`Xvk&YrZ;Rj(m z?|vI}F_=q9phUDmvAf-%EN<2>{YG!|!^e9H4beH`BJ<&jzkn99kB@%guU2`bU-g{?PjuF$PvBA zNe`UU`7QS>GQO^$l!AJKkt0mHI4$!x}6;jVY9!V`wUiQ z_1-^Nd>? z)s%R`23_XMw8a`Jpu}=G*_L2_?;mba)v^$(xN+v*Ap5s1@h&AgP?1G^Pk+^N`fpnS zR93J`^K1VqgHVyTe2?velhs%OMIPQEX=~>p@lmsm?{2ui{yEqty2aATl?-)d5RQ~^ z8FH0QK=`(bTVQNOQ?9+l>1vrg_P@hrDcAf5v$s)9fHW=#s;mBP6p&y?K6?sd!Il7# z!afA?9l2FD=zUX-$z!!fb?-l4^~ygQf}3cIehSLA88R^2E4%a#b{_d7@qz`Yw|4&)#|u$ zZI*s_t@1hOX*24&6}^zI0{ChBwcoLxA}WsJ>7|McFgaIqEeMSZ9GlSRbUs~}`?{iz z_IUHF-GpnezVP_q_He(6+l zQHL#>egS9jU}w|G&IySU!PcZXJtkf+nu&%i%#|8*C07+V# z-$Rb9X43>_;)nHa3K_#UbR1AR=-*J^GW_dgw{!16nT;eXYuZ=|O9_*PLG54X4kXgR` zel)ZaJmTSYdspJ4dq-HF7m?`hv>qJg@o0p?th^W+DQklr%h?V#C-Esh5L@? z7GwASRbyp+uBzdkDlg(W=3sRq`jvu&Clrxk_iTBQJ`A*U2}w2iJw=Oylld9uYiff= z0^4iJd;C3(wkd-^-nYlrQ}zg23)EzRwWz#3Z;e5jyzS<>$nXN9Aq4Y+h(4cTx-yFg z`Z|cL*VvQ**Hj^Y%FAlry^s^fvxs%+ux)K<@vHW0Hi?H|DQVs4hynJEgY2^Fs)vDq z*Kv3T!d`QjZ9$_+skBUiW-|DCrgX2Z#r>B~2#$q6$_Y4_5$43=9l=!Fzq-=L&L{n5X7&( z9gPA-->r>2jLw(lKY^S>%% z^uH)Q072J}@c&Awf9e0WR7+zL)R6NCj<%?37_GvWzm4t_9TR)lMipsJDOs+HXmfMd z->z%D#`Sj+WQB28)wV`DK80gM#qJ+I;?4i|6kvC)bIrqs%(^fPNfB1gI`=|3mL}kD z1PrO!6y;#mZv*~^Kzg10w(oIH7!bVW0D^8#J|YO})MUP?Q3 zj{iCOcmrxTKZ6tr$eK)B;LbE!f-noRx&^yeUbVbl-jJ{ySnp;Sfo2sab zv%|={W~_Cf?;QZ(D`=Lt*V{o7pjW;gEJ{b_UY_-^tSxxEC>bLZV_B3M_YkfO(E+lR zZZ?coVAa3(zhFnj;f7Ew(5&$W|{7i0f-Gli&K$O4rVL`s^d{8lzC-v!d@eB9(4+d98 zRus##2=mEb^H!|){iwfi0I4fxqtq;O`DruG#7@ul-3^awOWcdI$WtNKp6Y;k{*&BI z%>XL?OStPV#Ny{yn|J->d%ktSDMlJojL|u*kiDQBSPnStc7V8GKY-(K3^dTn$WSEK z#~%(mJukX+AQ?o|673$ssqqPC(5qO#Xo5)0!+yi>Z&q^d+tkgh6T0iQml%{+Je{q0 zARLGml$^Y<(D1S}aL6@=%ReH=`oTCldOR~Up4{k#uo@0PU9B1g-X-c#uI8oXD}Z4M zkaKKsG0ew2LRA+!YS3}eFmkK5w_xxfcf$4Lq&wa4{rt(10VEJbeIdfC(SA1M#W z-C>)Az7hxv>`e#OyT1Y!anZUY0^{n-KO|Rib@4piU^?+77Llss1|E>{@Jz5#F5AA2_kKpfshQTXCRoGXZ4l!e(~x-mKlZ(bgA1}V9C@-ylPBYB?yI#CgjVNJ1nDh4K{rVenmEg`D7U3HT_@ z#9z&haNuu$0dD8i5y8|aOtdhP#Z%qd_Ge(h1}&vB>?eaTARJ8FtWcZceQ!GrKabL2 zvsH?2!_R}HN+>F{Oj>H(lkO!gI-FYEr6zhjs5p9M8wjN8x8I%m%I)5))^7AE-9@ZXG-1|{ zbdc8)pMN>wnOC7!`Z=f^i?Sm`Xs&QwjwQKopN}q7%u_y&`xYGPD_=&+Iya$wHE1^{W?N(bFHqMj{iTp^{8p6 znumDy5=w9=^c(we_xw}AldtSbo^_@qMs$W}^122~>q!AlNrHD#UIH^A8nW(60ccey zd}t+PQ;g;s2Z#>rTSVZZ0ND;JmZKK>&3h7pmbXSM^nU~ZP2PNO>1^c-LN72x`$}qH z`&AYASy6Sxk5DX+-GXOt^XsrOQ(#)w^Ci!%zE1(sLiy#DP0*x4!-h1E_k|=TnClB! zKHKdyhc;FH4CPhFb&KFu#|cs;Yhbfsy0{x9Wcms-h!NS(uB?`XOv{N@Z-vbjZ>r&| z$2VKuH*^HbO1uj0`@W+nr@TT zR9hVQ1#PTmx2rRQZ#Py;JF?>1PF%LDJKiAs?V^QQ(w-p0zZqMvT#mWmaC3iK3P=rp zn%|AZrgk;|$(=-ym6)My%i0?U1FiN&inT`k{08ZHbSRS5d^E#EuTbE7gq2qbp=r$) zAK#=WppGi*Dm$iWwiTG4iO+FVM zw@-BItA7n%cOh9^;P+^N_w)wP!bGZfU%G5`k_2M9)E}x{Ck^Bo<$7b?{{)@h^AooC ztW%u{yVXcM65sQUird^`36RZkqZXVM0VpHS{_=3C)>_3Q_$-d3j?gDas$Rj-2c@Fr z+Zb`>7e>M&C$E}XtTk*mjjh?>;1y;^!-84Ps}jGwj=69Lg6BT?7{HvQ0p0kV-fXxD zC&BmHhcOJ{+eX4(AUzpib#*`rP~BegMb`f!9snp&TG>nm&;E`VK2!t4lHhzLKfM(V z!B|)z3sj~>T4A>=Ui%ZChtt-XMCx~z(RcZS2)hCf&yFr4CXyCRZl^_~lvzsk9=8B> z0+d|wb^PM&%{_8K&1OY_l5VzqC^^51pvITC(_gCnbPq$wchb#g-%=A3JV{uzS~do7 zq4>?MHHFM%3`iB~1SAKL3Ci|B*6pAXZvW64U<@pTdj8Z$Rv>BNqr(Jc&DA@AoPOJc zHER@&+RIxrHI>G+NHku%B)Tw!b+&>Ph@v*+0U%7j;A<(nP^q();J-E>Ugh67gp%WT zebyP-@jt*_7EM0@fP@?%<{PvI7~F5;H>`H#YSBVKV?m!4o$srbwvawVB-mH&U$h_w zKpz8;El-_Lp9B)BeC&%)Zh=N!YLEaCUYup;omkB*`(%FZ9S`*U&&<<@SqX(b>$?!I z99{dtw5jbTkCg$f0)c$oLtG~e2W^(EZtW;yWR+B(?$jW`?yM0zi%<*^6lh+Khx}x2 z7KI&me6~ND)+~1g=fYAld8wLr^d;HyN?}-G)1Li$!&}m+`_9wzB7(?tx>baA#0F^H zDsBEOJ${R@lBQL=SxLz;F$%aowfaDGTA&rR2~&p>(dB>9RGadr&jjcBagM@aw%mD> z$^@aC5;yCvkb96%v_lcUgKM8-C#jqL{B>)Nnlyyo*bn|0jUA^(xeL~t+jI|4-V?Mh z~nqyZFVd1v(@@fG?B>9dIR3eZ~C?MIR&=} zvwTk9gOb6J8W)fZqc-J0hFAS}X`v)MSP@T-<8I^cVt~%Tn{gi_){%}YH%9$gQ9L_) zb^FkxB|k!TZ8h1swCkcl7!tYKC|kYl^%+jp$8{BEb+5%GCNYrlM++9l%BJ)VBWx+3 zvX#k}cCW{k^I8eAFdGaBZ@Po;I#0OA$njg;2|mP?ROI_H?4m^qBA^SGUsSzucF(2Plf~4>wBaO$hZvF_5nWU@ zF`VB7&~7TdEr3$)HzEIGGqsH<;o5>!$saRn&b8VJA0TagemH<@)%TkZ_-*H&t2txs zH@`CNx7q|f-3OJ#Z%o@~@#sa=Iyy)KU43^xY&QSzG<6(pf?50$MA7rco`L`HnYvQXanzP!-z)(%CLm>3^wwf5v-GFI_!%ZU zKYp^th}x4F*}SvDy7SC3bbwk18l4RDnwK@^Y_={nvo?l8q#*SA#2B-8lnyb%o*N4S z2(y-4pY9@;HG7?I&5eWw!U7o)QIIH%QbrV4#9d&_@!=k;F;Qwe(bfFwR? z2pz;QYnz&&Ha$m6^T!$0rts$V>JYXbBh&=Wg{~}n&iLG8B=}jNcet8r2-v@CUN7## zrE?IEvnyj|+ctr{6vqEHvc#k8gjiY;hr5Ri5u>#7ZLa5rQ+*yXb+gS5`p@qZi zvW$n6w3M*K;ov)OA5w~jRy2TF5}8vg)MQf*Wu_075Do64)}I5+hv|#g#&GL;?PSVdN*<*KtBSi1*aq>df{GT|)P;I>E6k7edq1APag>uQ z4Iwox)T0<*4dBxrsT4$O(->9D?xlNn@;Prse2a?av|T!Hcr063f7I4Np}3oV_82o0^R48smiEP6!6PGyj?Qw^98;`D~@HXVWv7tkXu8Q17 z!YP4TRQ;5O^#1;ObGcwW=E zh2y$1p11Wb8>B7;+1hO#P+*L03m{OJMCQDUqB&p_{yt0wjfmiP6AhW0=UZ54If%GA zLG50#s2%WloaVJ;7FwBnQw*&wOc6@90*;X<{J>I^fC0X8Q%e(A`*mWTq3FmCG7~p< zo=My#Doep_s%oOo;yn}j(w{wucb-LWHnar@IeQ}Y;YP}Matc<3Kf!1*=1hO~pd18j zRiYSLbHh~SJjh=^4j%xUuM4DnPgA+ZFqMwCl4E-tIje-IjS{uw47r*u zEog+|H|)zS@Y1_@usWPwT=o(dFD*2m^guc=QD$(YZm~EAmSR@c=A?$4dWL3-0vSKu zAR+$3D0lYome*_+cIB6hU>`u{C^z^a)Uiy`oYMfwY?m?l-{n`>o}sw%so!o)V$K-1^yr`9sATFOL)Nz_!^wJhLv!C=f#JK-riVJ+jd zCM<~0ElVNnu$s0EKeYS45eGv17@_5HOyr9Ji|20aBIq&~&%mpuwxZCl`NLwkkgWyd zUr*<~twZRa#k(Itn1p}U%k*_}6xdRMTjPz!dibZO=w}K+IF1T{<}B!!{+yW-@A0w zP(2Je12b1baHMIArXuUz_7&f^qDQ&MKLM3 zfG?6!SXKVA7>+%1hT&xke$4RFFMC||o^%HO%IxFg;vOl;T;H8}llu%P6Ricu1(SCx zI>v*e=PvXqCnkm@Mq1}G#KL`MtRKx2qJ&K1QMl*~$9EViB6ZYD{HNaV}C zLCbvp$S%lO*TF+}#Z2}vv!0jwm4zfV<)(;a;fPFx>A{Adz34q;&v~TtP~dGwX(930 zydZ6j5sCpHKo0H_$#&a%F^Tz7UL>wdN z?=aPwVOJ%Rsr20h%rN>oTq_DHPiEVRT&ZPx%Sf%;e(K^ON@;g*2F@RO1xl^eyHPya z(l}W3OzsA7bBsq{C*8t;x8+Y3yPi1OXRZbeWLInAhV8iz<%-YvH<22Bx;(hEK}$~Uh*yF#AN z4lINCc#PfH(B;M{a^;^^e|{7SFIDDlMJ;}rQ5RaaQ}uV^nm;mKO*qYGv-D3N6#;0d z=`BJS_!xjgcyib1mOQ<~vXd%K^=n24sS=E&Ta<-2mGK)ZJxctt&c6RXK~vDtZEEo| z0a1C|!;w-Dk~q-E2#f~-2kHxRFs|oz9kLE|g*=>yb?n1>VINc>54fxb%wquz{iIT= zr9K614EMpHl=yvO`dpsa&|_+{A-s=d=2*Q8UEYS>1|d}@Z%4oWe4u--MBGV@j!A-nSi8YIB3mz4G0y!;-=*`hxDfF4yK8X)>ze?IrH z=%r~3WvN|YB9;EehbliC_Ph-i1)5YBBv5vXi4-j!CWoBF3#1_HZ#HiDwKnxqL#CZ0 z%H?KOeHluwgzac4tEKcw4@!c+xbPGsQ=fp87E%vDw$iM1hju`ik9$Pgn%qKfDtZlf z2C>}GYS~7Q$A+09gs)Cdw?bpFI72uRdS@FaVP^FqgV)k|pBmBLG)ZMoS2xAjlLy6s zPTw#cD>gKMk%~6sIL)lyWuy`$IL*|)*t?_?y#(<{@c(9AYJRS&$yXn33f=A1>Xg6H z`M+8HT_Y2Q*R^NY|6?r9)mH(?*42KqaH9uSuZW*NlHNxdp>~l}o!R8ujOgW@vXMVx z$(~6oGmb9*#BDM>{y3pdlo&WIIu^bidP(RR)dih?=&YQJ=mMMk41V55h)*o~NEL(U zD)Nt0UBw92RuWF^(BkITVrV(|fJa2$F4WWkp=bJ%SJYufYJH8tsXlq6#n1KqMWqE5 z_c?V*`R-;jVMVFJNp&6A)(E`Xy0BZMtN_NIK|IteT0;lJD-^Bk zGKV>6dxw^mzM?hJ`&1CKv|AM71V^set>Z@KN+4W4x6eP80Y@r+Ul=(`MZYJ9Jn8J} z6y=D4HJNH+lr#>SObvnF_hHnxdyfMnyKwIe)@bm-eoJMp_me_toT@2Q!Lm$#k!A@)ywyn@_2gV3x+r1~L9`@bMRFduzZfivuqqYO0 zy@U&G5a++rX#>r(K{7obD>ytC=>hzIFO@4%;&=x~l*F%Ic5VN;k{x@vw zZr-`ZNNJ-aH#c?*^x?J2{Mb>m9sF|)_ppaq;cqAsd~d2Abk*btKU$OTH){E&#m8px zDMTFSmlu~cPvd@0h+lH)<&My}huF)o-jDwjlk$y@LnDCCpVhVP3@B>GUbV!OPJVt! zLEqzC(X^JgtN!ysrdQZD8ZZ^6ru-erLjIAlGCpupD~Ei?G%26t27 zn^M0(b9d+Wf7r;8Ao!~Z%>Q>J{MD?3C2(~51Axe+s-sJM7m9s56EnbDW3BY~@5V%z z*4EtlgcBjG#Nc0D2S?7Bi7wrl59<_HS}ZLl@OygE z$&T7&hPB|HAqFbVo%G8)uSrnkr}bvJCYKBy6vH)~ZuVm(w3UYMyON|mQB0pcNe@%B zag6L6w>leC)_meT><30$6W<~#o^!Z&M0*WtzL_U3Z>ot}1f zgd_=Je)BZTkepXv=Lxu^cQPEg45h~%SR+Mzb;Uza-T|__m}m!LM<7QL5`pnhzF+OX zp53<7{}J?}uOp)T>cXW8fb_TycW**l7F(HYy%TjXM+w5MKg1=cr!1di=S?Cp?;3iY zIO}Ve$S+5kpfd>7=V}KRVYhFJV@T|?UEEQIog)4p(eR52e-EyxZ6!Ctefs`kI9&;! z@9WkSxfce)i04C+9vHP#7a|sHl-x!{W-K@Ik>1OWk*`ca1hbr-1F*QfGM`h>^%wnv zKB-1J>r-33p1){ZgYw#aTm@_T zE|J*N>wx_e_#X3wjob3t{cLAh||&dw2vZ149RE!F~0TS?~N|%*lX`- z=w7m$7%mhq&39s4H{y8D1nw51qGu&A6s3Ho>B%Ht=v6E;(>6Aho%;vB_~te@_91P_b)D0f$jp(F>??-);<^QAARksZgm7}0yWLq(P zFvW>1$rv|+*Ufd$heT}_v{k6kw}TbPVq$1B{H0cK9OWO-$9hH^){sg8*?||T;-!k` z#b25J?xJ6jIfVxOO|J&#&G%c`yY9jSX>gsKVYpb_3AL#bj&=wX|ir02R{R|6FuU7{US@XfbAu`A7-T=K(dXS42*GKAKY7is^b7$2>7a6Hw`A{|3Ja(_!`j$9n368<;!JiM14Sok$`2W(z zzQvgjQ|Tq3^Yz(>`37PH?M0_(5-<91dA>V|@k+tdkryBXjkfyiYQSVm$9v3#^nmS^ zxV+fTSt_m#T&jp47vUWCEIq+^U@vZ#5zlZg4Y_WXh5TS$#sJ^rD9)|UK3C3LX|P|5 zNbgp&-n=*_EqFN{ z2DD|Pj7XD7)c*$8wp^Yg{u_LhdG;`B{qu!P_#@NTyH{g8v*DMpUX$r532*DzI zM&MokbI8!8%5)Y<2}(TnGOQs57apj9mrNnV0i!A-4st)dxUB-ZVjeTsO25xoCP_wv zRU^@uED)^X>d0v^edKr_>k4GVji?814~N}7so9e64N;DS-o5EJKd`w|IVEw}4m8vq z+E-KlG{ysxja!V!*!0z|W<}yAgC0sXOpHbxvZ|ZI11b*tpBOBJY1iJ=M%`*vb|we( zQpnWgxKe!A8{+M2#48-n@VG2iwm4W#TxiS)Q{pPwyKF-na~|6Xe^ZlpqYrSJq=b45 zt!V5aeu)@Gk#9f+Z$~G$L7k3l?nX;-lB8QKU2Z7y>*Cw}zmjSKQ+IYD+*Yq1YXUo-d!Sx7#Qx zA&>rZYW4m(S`iA}&DUk9n!>Gn){Vo%TD^Oy#Aq!-pbYF&o4%wgDqsg z2drVkaw%{PC#Y=ae_r!dV)We@(#^G#;jV4o-Bc5gN(ZtI17gV8{gT+zYpG1WDkhR~ z6z&9si@P4H%aX!lgRWw@^uN|({6=c>4pB~uJ{bJ_od3SSvxXw&BgBP+{<8d;NCrPAV>@6!pazKLbcaOkx+D1_3xG9K}&EVEeh(jFn zHXy)&2)%}6+cMMurxm^=D@4JAl_Ft`9H{AY`_OBy)@BvlV&Hin2>H3!es740;!`Lp z`Li3+tn9H~!r}bc(ETj#cWT)4(r+r;am{U%ZLUFBzz9A=N*Ka!j zMyofKmyRoM2BEb7_qcIo@H=TmYo)Sye<`6X>xvaC3RoQx)2gd_8c?%YV0rxPZ774Fz#WM8uzI%* zwpSfWNp`b3B1t#HPCkFwfd}8)hM*{r+)G1>$l!xp5gHn z6|Tkg@7kIu-hJ(Vx*R!pU9xv%6{qY4sTQu2cC{R!f1^Q7&hI-(>xvdaB~h94tXk$w zmzyKs$SCqf?)qx|OT8ADG=ITle#N}jJu4$PP?|qz!bl!(#rf&6I>_;p>yF+S({!~E#v?u zht~vTTGFe+BY%_v41PVX2Q7KR)^qO0$aG@;dbI1@qRD+cQWmdePboNrUL4`zU_*|= zvEE-ldP$TfXC_X=H85M#krj?Tb9Ff-zGJd`s$n){d+XovH>lY$M~U^Y(i%Gb5oU~{ zD#{B~f`*8&==IMtxJT;Tx_3fHuCI=h@0?-wah3OU!^6wZASiY7d{?axn}$N>ky7tu zr>B+nN*TmGzb~3d1^{{z9Xm>ybE)c1M^Db$$Zrt(gZ6;!j<6xdo>OwGS+7dW znh!k|b_PH8KG~M^9CW?CQk-rBU$Iqt9{Qr>11Z36ZAH9D+&!|-Wiczb(kUqJKVr-> zGxv1W$q?!d+$!xxNbrriFr(Mvcde|wg8j77mTXOgtlp}XMHYo62QEwOlP z=l9gp*TBRz_o3DIlP&=(m4P)!`@j)TBE#cJa^x-s;=e7Mx?=pPjwd(tBDVD`-s2= z&I`P==CAscK0#?LmfFf<^0!+Xca*F?R`Q)+|I(aEaYNV59q=$$O3Ny>2;^#*CHd&Q zg_jSc9YZ;OocNHW_%!#!-HIQdo*tldXp&2wvp7Hh3|UHDTKo7}t8ae>F~r=x$B$|G zZtmx^JE{CYmx`d&WoP5tf4N>~#IJ&e-ORz!!AbfO`a0GddTW*MV(P4{4Mj@#8qbL< zHkvJFDR_QO1Y(8EhSO`f3loNvJ3oKvk^k|CA9QOF0}DUHmAvIvZ9PLn(m5&{6KiM)+W+t^7(phg%Z@7q|hFueLSdZ`i!Y)vvC}&Tg%RPc_hkvbp zZHk$@n@po!HMdFA|NHrLz|@y`ZNIgz(7mwrN8$Q7Rnv^B7oUSuKQa4)OrAN{9H`QC z~PE)?b{vv(|Zu^?{RdF zubY>y#ydUiYq?7bPAdzVHx=DLu4kPF6e99p%hfGBREhXyNX&=5I6Cb(^7~HOAH|4j zU+>c@T3+3mK)1oTGA&~Nr*F^ReeB9embYpVdD_$T0yk86*<2PDzI67=j}+q2iC|kw+(Q|Je0lh)|AY3R$+!KBcQV75 zB6l;t^xWK6Zk<0~*irE2#JzsJhAlt6AI}V*kT1K0XD-w%1ZOD9L4ZXk&!5^xUHz`r zu9LC459U0NP{_E(WcK4}ztemNe$90|<{<{&A{M>;W^x|le+i;|2p_CtHcpz3rRm+A zAo}YY$%fN2CePRWSum^Vj2r8$ztXG?zS4KG?7P!q@D9$IiU{r3&{_Ctn)v10BcH3) zCs;MZ9)^!n@fzTadhYe=g_RrO{@;hf#Zg6XNNuB=`|%UzA}O9zw?~5vzyH@T<7!Tk zyIrGp-NL3`xMQDuYU$mTvGDTRojv7FR>d)-wYTXG9IqRFpZmJqN4x{?8aR|J6wd|q zRb0D&lM`IuiHf4{wTl}!7Bc$r&p=no$l%t&-#3nn=)q_D z7UeP-==*2-Dl)V#mg5eeSn!+8NmO3o)2x=fyFGonjp<=x7rg&9d>1dn7h6=bYYiV| z6LwOTKl=JKU1`jb_^{I*w^lg_lQm_?&SHPQJ!uz&I@}UKYyfSiM6Jh}kMqvM^F(`4 zA+((gmeRGbet)UuR#$gYP1bW6@lrmrmhV~1&!b9ino91U`Fdvrv72{vvE$>`xD@GF z5zjr9!NZrrgA23fRozo7tY${R8bJQ}UXoFV|L-e8pp=I_kt;IoUr$eVtNeB-+WEZeOgW2b!3lE`hS_C(EnU#EEsal+wy5UZ+=r~UsLo% zDmisxlbIE^5%e(_L zl-!|~2#z?HygTk=tlU}oYxy)K{`sxD+<1`&FCGW>*Rb#mC7X1t?7zH*?dSN^teezE zjz0MAx)^^VoOd(1ADl81dv~!+_+gQH3O(lBqUvzX+(mgoi|;lOu9L-b2-Ub*W444{ z9#!^MNLJXYTFu~8R;uEWY7yJWvFO&>wl;y9QAGkGcr&F3v zaNo$|1WUyYk=R}Qg;7> zVb|K5vaW8tNw93_$NuzZOK(YM9vs2vemT8tSRLq|K7v1-cE8aLyOkzapOgyL&A)6u z{X-P+Y#32;q|f(26=b!Ki3^(o=AQQxKYB|u z2Yk;x)m3#iaYw*MEbL|eb0k-26u+#z=&*jJc;i{-=4i^8f-^h(a54Ulbi;-6Y2Bjx$LS*P%Z@ziXm?02<}kY$xa2_v2t?psvAFISxXT0Q4}8oIsYdi;vpYE97t+u`;6 z{JxFflQ&oHtS(hvKX{UGBO>T;*_Xi7RFc+@gaxZAw+1Uvm-g{Fo_mRZ!zG|S2 z$gc74bN9ZVatyNmx}f1xcB8t`Db;hd_D)s*>LBi|$CtKRpM~lNhKS^ZgAO|5@;%x8 ztQn6o{RxlsS%1B|YF`HZssmO!7hMXPIim`{+*iEVWrKxKoehWRtM|S;`f>ZA#PYP9HAId-avH9;w{+J`p|U5Gsbq=DQ%IJXsXR-B$sWqcklhfHbz1DoG}Z`HCI*9P zFlIgHd~UDzU-13?`%@h=bIyIP`??<2<9b}@+;>d0@noJ0ZPD!K^RO_jez^sgow7A{ z3uh~q!{*%kN+!Cb?i37~tL=<5!w(c=Z+jd!`}9FrIVD5B-=Cd-+OLKA=udb>vd+=r z`Rb~JWw&h9%x!33(zxR3YOk1q94~I}16)F@mB-z1+6LW2*1((3--slv72;Zf2SeC2yu! zH^wk7A`B~SynsL0>}@xRxmB;oa^_SUzxng+=xG(okL?}`CM|L?0jcByr!$)5J?jOs zAGf-2F3M^4x|mUeE)^aa+Tr*51;cmlN2)b9t`u9?60;oVH^((bzt_rh2^{ylGLt0J zmUpGM!u#u?=0z9lVfR@JHJU?POC+PGr{!hZy1X3p^Euntg&d`ri5R^H6OQ%v6;~>n zTj zVXM zrnwuaPbEuJ?seqke7%9KRe$#>DLq#*_?AI>VN*<&T-wrm&xzston6Fzd(Ge1&ba_8^r3_paTpveMYAw^NKe(I>rbpQ*T$IlRBrGajv(;L`5&`0V1fxuwde>?M}_x`;1?v!Vvoc-93&V9~T zXHt6iXxRn7-(g>TC0l#6#BXmK+pEbJd+*j>H$@n5 zTv*cjTN>+TVBd1|=&wzu#x9+l@yqcYvTA5>R?gRub6(JCovMFgV2{!7UTE*Dc_{O{ zZEsFr8TrU0ciN=LY)dGZBL~6om29i5V?7~WfzOxBOeE58cX`gJ(HfQ`6B7OIUvlyb zJsm3bP0@^Eg%!pYz5sZZ@a}h!ufgj%^V*TcuFoC1Nis*hcLx+Og91fMPB9HvDxO|{ z(s1Q%Zb?k?NK^hvFK$B3=1?ioO6RWJC&?s%^BkkIoGlEsx`(!%qrBkI}yd&AKm8z0;{FX$O zbg88-oV#?sJ!8aMsNkQsVY>E2XiDX#@8=sU>?0c#{r?O!*{Uh|ElMX{s+}`*D|fx< z3@!CtE93qul02Yae9$u?ddEehFC60^KjxiO-a(gNNbT*LKUBmme0r4csrPS{!vT#N zn`%}ZAx1KV<*uf*cd2yTDr@HFuK0YH-r?uEQ+`9C+rl0FUYy5vZo^29l0p9$R@*S!I9VzNxs}!ed(*WmO;-RmyV?5>EDjs zig_Ui{I6BNTG$}%OAUW`tg|$Qde7#ZA2d{ZUS>gf$rG%J+23HrM9&xQM)ei%gi!(O+^wvqG=oDrjxxFt!XSa7PS)%aZ;l*6vtnMWRi}fn$ zZO#er*t4qkID-!v`N1!%y6>E*h;f{Aa9(u0NI$1>u(EQE{g^H(qmpbJ`J$&XQGaLX;w4YjBV(nhNnVxC2@6BUe?rxcq}wp< zH*0nHCRq@Czjv3}*ZclRs~oY;mz;4J+H9|TK80vE&n%LB6JMBRY4gr+CKPw76Q}zk zF$d$N=JaPm5r>?{Rv&bUXgS}qp`&ZijQOx`8*<(z&w^?xpvlF@>j0izo8J z>}MuA4u*C--;wgAp~NY%x;DfwY)!hilV{PDv3w_b`XA4or^gbUBvjdbz6L{Oj;D%3 zrktbea?Z!-;)>rsPR6Oaohpi=e{pfg;AbLFC1O?G(#?Y3I=Ya~rCmMI)g|RDv&9Lr z?{mO4UpKsP#W0YV~b#Ot@LhZ6f93 zT;}6tSJ#PqY*qJ|V%oO^m%>x7epg@gw~nl9I4N^PG9T7$9v>!#{Pl8sV?h9UiJ z{mi+tv4omCw81)cr@~Vc!b2(=H2`w|p%t9Fzi?Onx&8Cdfg>0uch0Wgb>#v9t;JPu zbpX1$Ro@(t?#>v&zjw7BuCMokY=2&->n6)oyE>)G8!vgi4w8e?IN(>WbAy zJJ21~3=((O*yLX>Iwwe}!~M>0eC36m+l~K~uQ6}=IIZcB4O)ZBC~WoXvF+g0sAl%P z=uzpXeoxNWdqu^8()?qPL)qmqrhq?#={N!9hFSIRu-{-(cYksQDHKnvO36C9+fLa; z=V+<1{86b@bvFvnLqQ|!%Dq!n9>%9^-Zk(vL2>GG;i{?4hgJubIIfyf4PUczyr*3! zYn9>MHQ&=3cb{y#u*%_!T10&;f05TN6tQ0cgj0p z-)8khHAq5lI@=>}%{93_n^yikd1H6BrBqS)<~2uD(hDA}w=_&hK3ci+ovT@=t!19? z(<@~GrqPbmS+}?EH#n!%`CaK_N>N^LPAt9C-CEY=`Q+i7G0n0-~Z%TL}tRFggjOYfIDudBnUxs$D_y1&t3o8{{x_Ib_=rxPN)xEH5{;eVf~D zb$5-TAz}NuCo@T#EsLgBEzw&aw2Q z$}EyB+biP}8_EO5X z+|}&ubd_yrgSi`4&!{^&+2gOA`FKbr%kjIQ<1eA(>?NlJ?YF8oqaK2Jp#pzMZ;SZXdgr^xM%q@7b>XP_skPC5>yt&s4NmXCAw|K6&G=laA|~ z9d@&>6z(^uZIyP-b>HoF&G3?%)&rfboaCbFf-sF2_TN$%>N!Kre0Nca#suga&hUB zv%DLT{BWVL@3wBZ>Ersi=OK6Z?q$!q zmc1Do6X*th9MyX0xNUXqv0Amz7W>etq!Js7tNJmC&?n5lmq_k|*1Cn#+=8~_I>n04 zcCG%A7Q6V#?}99!4(?Z3Q}}S|w>Y_|Rh5xdvUzo1ot4wWSWA2R;8d%R^5VDss|#Jr zQCj-=>;SXGbyaAoshq9$PWrFYoWIZGN=wpmqXDWNdAjjR z(Fl@D!g)f9!7pFtK6YeH-3(3gaX4jEG;_IdzooR&rf4sBl{F@3ywvyFcim_tWIL9+ z2Gm&%Oegk_TdsE^XXUW;(mA20`d_R$F@1Dqjg0oF;iJq|E#-H8*Vv|zKVR6j>&`t< z-m&TG^N#(#mb)}8&sF&i5qd0stvl!Vgey7QV4L^&&mYP=?*P8E14G}Ku9~ocs za;WfSQ5>PYSzHBM#;8Rq2?N|iWkmmhdK@Q5{}Tc#{J${I<e!D{7N4K4VFD1s0V%uupqGlNSzhV-%2MFml6S8i3 zj!#k#Svg(JEh+2hOnhgG>_~K6Z_VjFmGa0<=d;yEjqh)6ds;~FRW79zqnmCQ)`>&Y z^E}J@1g=OzQC-o)x|)sB_jPer{cC!v8(iJWj#XdkRdXM3J_#v)gmY8vt6itPA6=MF zEqvlDTj3OXGIgg4?0AlX;=<0!%^kN}^Q`Ggys72Y45F6P$H&1X`a>y2_D=gl zbGHtJCOs+Nd>NCrMa8DjHga>yqiHdY`ZR3)$kBQ3W}VO&%U@yZGy3+E98X?NzRZ-5v_=QR7;#9{ZKQH0b0K(%{fvD6HLk zRNbl3Nd=cj+N>-U6tT*@Q?j2L{CvZ_qvsmETmAy|xWCdRm+Vy?Uq7_W@X0rSx!Nl2 zwYEpkk6#yi2G>?42F=QiSNk8xb+q3vF=e`iu{!gt-kQDKK-3N6ZQh3`WOgSy{!KY% z`S5Jbo&RLB#U8=5y*{7+OS~VWc-1LY5o;f)h&`f))>gTC@p?t(s_3l3wf11GbeFG+ zCliee8rl<^*Pc3jGN(Rl7gJgguu|;0b5-RJ4AzyIACVe3VWKgAvbq)h z;b4&`xqx?+Ij!ZmkX4}GcdpER8TXVJU;WKRo55-jvutpUL7P0oI1Vz7Lq@CETT^ga z|NcYi-sh)avd{1#abc-1&d0^u&l&8aXcB(VhTHh)Ja*rH1LviGV54oHQbUxLyyeC| zy{aL-a&MJQPDzW`|BT-7DxzvbTcbf!hIsS@I(qSt0eV{}MNi%UM~uW~r=6CGY)Y7z z`^dSlkGkyw?`=Nw^1Y>9u`|Ch4FBDHUO(d%zp8jVmWqWC}87)!V&-L-NYzz zW<;XN7BT)aCUx=C-@$f%544(p^~50d-8OC0+;3N3`FDSsv&}31ZSwT^T>N(MHnr#A zALD7Gu(Nk<2-UEOJqk%Qa|-ktx5*4#_g;53uDNton~ zw{orDzUw=%KA9(*9%E)QP#M(8ybQL8N|IBEx&uEH7)Ef3{`{2Qwx^u!{EL$#3ScRU zEgjC`iqK)SyiId}q09wJGO^n%&3w?g{aonI{(YrQ%SQ}fb@|(Mr{K)vg?Q(MPOK<7l2M)*QQYzrx4kT%@%JQb`Um~>MC10wYKu%idP>Pg3B#BmjqxMgCSRWiFDky162x14>cbYuE$C4WqRXd~&akA8 z_^)}IHX$2GEIoAyT8fJda~k=Qh~E!i-GY9glZX=VEQkHw{?Px6Aeb-H&Ff!r4|g3| z-l7nOUA#6n5})!lE_S!VoMbFT|2V8}AvU%UKhW_-u2*$U zf2BvgiLy8(BAjJQJurz-mN{rFH$?XKFxP(i_0emExLHax|LRkI*lHu=Va05#*miU! zY0!|*ye?+Y5Bqr@7D#W!i*Sa|GI~9>-WfWeOHy5sV88emm z`Ct{Yw~Asx;*ZKBx0}U*8qg1to%ns;$d(dH>L(k8xN)BA5@sh9mA&$%*j9GxU7{|H zV)=b<>+m1yBP^+cN>VGu(62!i3SrwwkYO)Q;m$26!w88ui9ATBC;phGDdQxL;CQXD zbvd2%R%P^yvMJHaz3wqwZNz<`9n zD?u>l`k$+H{*K?Y^w&oRuTz7VMBdTXHpRuuE5r@^@0cLBz^}`{fT1HEC4|X?IMe+& zW4Uj5d^WGXImBZ8Cn*7#PJ*diSi%NOSwG4t(>zXS4%PH<_Dad*8MX|gwIM+znKcbBNF`=2*^ec~jGfXE2S^ny0Q-ODV0wr0n2D{Z>T|*#a zf!jeu?+=&-kwxI3zQylVCfMT8P7+OMzHCDk{@yE|r4?ZB_7BGhF>i4Mb4JpVTMCC` zDJ)zu5V>}dVHC^SJx)P$h!!Mn$DJx9>8nPu76lj+_4V5a;YLTGaMx8QP-RstBi1NFF4a`tx5!VbdJ!>;k+nR$CpyiK~DD} z8Z*2pWSBfQMSuIvoh|BV))$4P69#x4#FuTzWa}%_4P4IxNiC}F4 zUWuv{%n&FN%m*b%qWt2&{gp5(0DoPN2&S?3dNb15jPy1qfFL$XTiDx!ut`XGmHSU+ z4LO98J-VHdF6#AOnC8#Zeein)A&Q*2t1=e`tx0gb@m!e6Am;wHS6rVzi2g0Qwk&4* z^@#sjD5V_vw@l(y>xns9JF+&K1-7`Bgcrfr5|WW5R@?4-08A1Po;iX^M=5#lTU@c~ zVH#NDjva}Z$O-VuaOO?~AHDK_B(M`7h2(!h6yn5qNg@6*;@yHM$kQSE2*+D#7M%*? z*Fbb|Hq7;_hEj`NHtig69lK<7mSEz@7 z0KUiES%wbE7Atp#>-ZACDD&3O8SS29(KwA*^}N+yEwBxM0vdd4)2w?O%vlGm989bl zXKWw$2ps?4!Qo|$wF7L{86M=b^S0ytyOPdl5nq8(y+{D*Ni;M{7qWYT0ObM{t?IBKxJcmiU-)zy? zw-@1k#(Oi+gn^^Q4XFzB_nr|y9`c)r{AC|M*5C*$iFxCraV&+c35V&(;oaXtZ{IYS z&W+OwkkK#V!Sn^;jw}lj%+s3-RGkZ4H^-o*#uFyKQ{1=CeiT{G{XpZt!9!q_&&P;i zX{#IU}7{Y%JG7f5a)y!cTrg2mZy4PRXr&gR-p0pqkC1 zm#-tgY3Fc%kh|^Jc$Qn2W_Q?1PR56e@rS@(_el845d|$O>iSE~`tg5Xl}e~T27_lC zS3vBJRjo`pUUrt}lZ*L7U!Ne-g929z^DPbu67cLi=;Fa9!jUEuxkkiDmf_b3S1Pgy zPNBG8mIDF6mwqORT6yKBKlYfI$d#fbepzcU7qL;0pc12Srwjp^9i?RItQ2qTO4aXt zK44)d@JLSsU@?Y?og~~T7zr;kH1U_OW)CJ~Vm0}?vDufW2LZd~y3Bcly- z2A`G?<~^`~Kz3B{glD_CHGWf3z@aQyi2n!N36nty69AJ>rtl`5%C#H@?Hp2n>kIZ7 z^86VdBsb)wsO*Bs^s$1%ox5V=(}*kBV8;SYcpoG_%NtxCfVV>3=4is*Z^C-_y2E_a zmRqq$xjnyQO}Gn$ZJop&$%JM4p$hCOEj^L~$S-H~2PR|!k@reG|CQjO!SzZa_T6UY z>*jn55)^_T_TQa_s?Q95Xu%S=hpNdFX|Pcp1(6U}>fd>=iNm6`H~AppGHV)m_j^SR zG}xIqYq$gIHUrr~L`Mzb=Pd+Vl-V>u9ekh#15s~NGv0rkPNMNkc}D)%;o%HH7l|g; zmf}F#kcSn(M>)jVLyYK8DCIQ(??l!yMB*x{Q5C_$tqxm8nh(>26&8Ih#3FSVfD$pj zWQ&&<_HXDmxl%Sx(KP;`>BS?aAeFVjRb8*A=-U7yw6twV#y@1SS(O(#J~xrq&27`o zZPx*Yn9z@E$f3ftO@s2ckq_XE4V$P$GD&piE0Lvz57U`J>zDhxCvg!{31TulBB&H0 z9M&LaoyZM4Q0~5!y*C-HtBeNQl7r>HUeuRA?K5lvw{<-B!{Wf)EfZ9nL}o9AeG{R@ z1@wTw|9h12c_^7}7D^bXyc$XqBMOVirlkrG4#}eC3=%eNzTC=Pa0`!!Zo=^PLLobE zKUiyIGTgJsy8elaxcOv;!E@5hNcAZ}Ukry3j<9i-F8~Ctjkn&cs_Bf^71TQ8Osho?^jO84N45{zV_Vk|xe#<@er$`bY`b zw1X6lWp1k}@P}Uf9vLN1h~q!I1k79bj9@m6CJ$!Yi7vKrYv-@fo1TUa1A=5z$sUnI zMjs=9n&S1AQL&Ksbqe6DytkZ2c6TnD*7tndgc+;m)D>PvB+t!)p+qoW0Pp@vw4&Ix zPnL|&=-}?28EI@6hTIyU0F=g{oQctzGh13USMs3dL?e49k3DBLM`p*_oJ8(s;{kdj zXDSfO0h!`m77BN!1&q0C@QF>mGcE0Ir{FD1EI(OF$PMwbPoHV;4w>EnXxV5S&{@&> z4*pwz+l!|n0J|m@;LRZ${O;dAGw5ic$?z~Mb4uv3B(~{8qgv-hdr!a|^;M%h45@=QqmI2RtGceRP^Gbn^AIS%Xwrr4=7vDsiKD zM%?P+15Ae{3h`#05^q4z2)W`ui&%1{b`!W9)dv?RCNnWgH<7 zhrdMW9e~;9fH6Zy7pQJOsz%ch6%xV;b@=9yA+2qL@JssEtA+MSG6 zR>t43g-pzhTV(FV zBZFkbOhlO8<2>etRICz702GfPum=el^*H1;$4_EhbPv=crHxIoWf~TPm>hl&QD2cvhbCV{S-QQ`U)> z=p3eBhzGVpbMcGGR|&ue2CTZtRrLezcns&3f>@*g5V@*}2K)I7sfU-q z-HHg;o97OTv7?RN+*?&mjGn+RyGAhKPq4|Lb{Wl?f;K?@JB3658zji=CNdC9nB&mB zr+(AgVLSuxKXQ&?c@z1s3g5_%)E$H;ml6MG^sqr*#!tj89J?4or&lj=r>6wN^;77v z5QiR~V-CD%)5*Sw)IWxqfab(zBf&Qkv?A^i%^?dqzV_LLcW``#1xdi!CTw$wrEfgc zMk&SBD+U|rr8iz@tlhY;C63CbmJkfh+z;YB9D$<$cs0lFxU zPVt@RQbSzOug{71oe@8%s{V=2zyRM0`%mC$H<>n=jsfA#2(-qa8%{ic@7cG~8~0E& zz*6u)+qj=M^6zBZ#jk)vw<6vyn9`GwFuuY%a&=Yhpc4E=9DJNhG=qQ|;XhALdmW7v z9Hz>AJ!kYF$mbd|Tg84AYlj-hrg3Gls997pqBLP5H$>UEI3EeJt0u^T?-escsGN9%rE-uz`B3Eo>)!yGqyRiBx&-nlR~n zYzCj}BZ)3G!N;;iY?~oO{R`A6^ML}WSj5Xn&hJC58%-=G!NHH0LUcaX`z_W)E{8+YCN{_wxg~^F9gjYdae|`R`9KGA&4) zP~U-4bO7Y3I`9Zsv8}Wa!L~AMWr-_ep>dP0UZyLUMG((NgoE>&G_i@9^*Gk&CP6Bd z-z0-?>mrqoQgQ_0mcw|bWqq3-2{7Rys7ZtoO=Ua-zQBRja2hoxG;#svL;Vg*#dnnP z+Q81B7NKh3zb$sn?NI_ufS7j~HE3=9n;s@8aM#DTg+1x;n`7chY@P+Ha!U9UFXH!( z2(Z>oMj@+NOz1K?ysEm5I537cqe^V`!2Y}G^}qhDAV)B$;#fR75bJMM#tsDqMbD%HNnp_0aaiZ&&>2iB;3Pxz5he?{DZUyl zV98Eu5H@`A=0ll{dx#QV*dS@(^g2!3ypeykCI~qV0KRjeQ%hKS0aT6t5zDe!`vLv~ zv@;nJL;?Fy(_p|E@QR{DK>>ap7w8zs;$PN<+q>SIfq_y9U%zr|1`F_-KqKIr;=1KZ zP^SN^x&1!d_-gUI@aEBF{juQbphiPq#6UL0JP`8T-f9OD0eyt!>|ai1wF$`^p^qdx zC~YSXqTywhcs{@2f5k()5!7dXz>xre`b`-5t7zXjCXpoS`tbH{#Ekz!zh9&emdtj( zV|KV^98ZA#v98qmJHty}_y!FTW6Ka~#jJxqIOE5m6W&5z@{&IQX^%jpNgNbQrfX9! zcAWq+SH#RGSg1Qt^Sq*o>jMour%3Qa5L1^bT+D!ko0k8TkKBIfavO+bMtwEKUuur> z_MmA9LpYQ2^@~E>fP{7>j{g`o*bVi9!tKW^Avy>D^&;{YzzO*VJ>VEs>D5Uz*T)fA zH9o1+L=QT_vL)!%;1!z1{Ye7rfGOH!8Ol{8oTp=TEsJ8_VB5_lxEurl$)5-LBh>27W^~dx?HKDI6r|`dq_(z>6qNrZZm}-pdR+tLME2 zybAJ*z<}_FJv7Hfx*!$WA^iV7WW{kr9<9KBkjEAw*Jr>Yu-tS7GRfZ9pmi*g!*sqv z+{_@IAm1VMaUTcBF-N4)qeOIJK~q$whGGd5?Q_2H__2o_TRKr$~VNLH&b9*zE zy7um&*LX(&ZK;(fgqVlGVytqo(LMw?Jcj5UF%}Cgu384g|74WBY#P}cH}pub!ulId zEOtqj5OIL4aFNUrlu;5K_z%=ZVu0nc=D8&8P{eEF7^OCgm8)VQ)nklqu|s&5%(EAqYh$al`$^aKKVT7d||Kayo)~Is$J6Kw@Rm=^B3XbeVp> zNJ_+(eYp`R(ulBLn+uy>>chWf2x`0Ox;k5nPmws3Y9jgWJRhqxsCH>wNYlQ82GwU< zhz`zz>P(T|`jEz7rsMDcc(fk|1elfKw;hNF6;PXvH5K`}fy3LvVwM?GG@pt7RfvO4 z$9hZ9ET;gKL6qKv@XOWNK#;qOk~TM;Y~1 z2UiwwfT%adH6PY9cyqCIU^as6OLWs)_&(4)eBcjJ3rgB}`4;g6ZAuj9{F@@Hj7R|h z!%_ld#5kS7{EIhGAn36mQ63VC1|T20i3`#FDbZL?5VEUN?SeqY?|WDUxuG3F?I3bt z_}U+2`xW}Wb~sQC$U-!zP<}{X!CJ5z)So^OZ6{R!9oevZBOou!1i0z1bQNMd9=`s6 zPIY^pmwsgb-Bcx9R_Q4c)B7z6Ti}FxoW?d;9>@ z9Zptl^p|QO!Z0hoM564_b`X*DV@q`EWmJ_n_7@-~xHm{po_IeHx{4_BT>KfqG-yl| z!1O}AR3{n~p5_p8S&XPLoZZAzK(sU2gP^X7F(^=b3{j471bd*6Z9+1*AL1Jf_eRnK zJ;n!cV3f^kjm7hh!=XCwDB2UalgO>_D;XP_9<0wnoTk`-tf{C__AtKq>H7Gf=O~(- zKnb8(w8K)*GHFW`gDE`V2&h>4C8nUcZK&;m&N~FtM>#qdA_c%;@EIYju$pKi`-$7O zh`#0XgUI^>W*6}i<3NLOHwe20WO0c;@sdK!9LY~W!tL1FUp0O7Z2}@ZeS6-RQU%@#=tho+vT)S6nH}B?ZVh2IA}Y#=^)?_tD$d~* zAY>Kj5&XeJSKs=jqwnXS!gSu@U4+anB}nRZhzV}ueQfv^Ex6Gs(tKItoA9=0C;9>~ z13`pPlkH!dX$Q>P<6F}8&RJp_!o|eRW)BMNsv6FAHD~0tx_vz+o+1Q<<_4MCKeN?HbM{5kk6_c7qZX&U_KvvTA^rsY!UCvp~PY z_qP;-SHM9p0B^k;ukV1{3!D+GFgW*|hNyrvYAr%%AbW5l%!QuCFd9EZW`cLPNnNoC zpcsBsB}9#ZT&zm6h#HV@ZMuO6@ZGKV1EFBn3!>?J(G3exuMo9#DW; zd~|RoZ!B@#N?ZWl&l7*?qihFkpa;?FWVeXJRe*<`Lnga1c8JIyfoJ$I&RGW@=@jx* z#{H$nsi51Xa6x?aj9`9+%u_V%@5&A!8s#gxEk$BCZ!A1+8r$Y07Gw!(^`R;as2^y% zXO_VJq%6Z+Ku}1q%ryQ4PV|J!mMp=qNOt?h&TKZ?d>L&eBDe6hcdSet{?)y)aG7ar zY&$%}K_>g~8}EUsMGmlFsal-&e_%%pFu9q0?);W~QA+~!?GEBzg|MrTX3&}g*zyKy zU~cYN+>ij#=o<;c{+dGi%xwK(ARj}cKV-Ijguj8#S^|R4p_f^Tjjccvu?H`;EMr}P z4&MYKTY#*dnft^aB!JH5O=PASxzWf1m+1hknT)%7R9>C5eD*8VKo&$(Ymya0%jG%C{KAv=Fpz zm9DMTHX0->WyJ#1qH~aMj}UX9ZJP`A^>KTD&`}>3@l1{wbc5I;)J|iB2i6V!fM57;r{*T}q5-^*<=$9yL!Qu^1ubm<*P>B1(Vh8pmQ8al3!wA~<<1wOZ zEAlE=m1f$mTi}q{veGKd}zJ<XFN^u9)g4-u zN2cHI;#aNawaqgP6M=Wy(LH%h&y$Rac}6jB#3@YS=sUdSEHPGd zszy$y4mLL81Zr}ACV`?S2wK`JhNULFvq5OE^4sj2#$fe2T%anW^XCW=^H8+7LNR0n zltZkHzz4|UeqjOtK6Lw@{%Afb9tHX>l%pIvfu|Jq7yb`?Lir*N*vzUtRPlvWI)@Y> z%Q^IsPVND0)Cz?heg1(G%kj5q1rq^<#B}_ua(Tu5U91c0L){Q;F>|l?8H7Mv$p;#} z^VT~l8n=kk-`nBCe~~}27|K9)0(3PRF^fh9qk(tKkts(tO_uSID^(*qW|Yv2D+Vp& zxY@xF%P`v}6u_5$v%D zre{2Vg??wl@zH_L$3brIn(E^MzLFRs{^EW{w?Y*%@xR`7`jh*tlcKnyQ!E{<$ECg| z6r?Ol~w`f+roY!&+r`TjA@gM4&S}NT6>Xh?3DXJ@ye6 z-T|zfJ8-|#ukC}22Dc5q@Bt3e$1V9ZzUzEG?ti~EUqu#$KN1fL0LGUcVv)fdT6>NS zD9(0|D^s6DD$wP8P?FE#wd=l}L->g>XtyE(S^*5~;0Y}I#5=a#WKC^4o(_6D!##7n zRrd$2Wp+@0?xyJPpeU3&Ukau1|H^S~9*Hq80N;xEArCe)f{vU9q0jwURgxJ37rgoH zdsh)?fcVjKl%H7~&W2X-;)}R6&8ua;wgQ3Vme^xRrV%1ONkL*osJU9u)ZVYbC26MBYh0ZlOQlW9@4LtkI*Ev~W&A7*L~1LbejRQ?t*(1#fLf4|XK99}((qIw`xU;>t} z!F+k-d@O#&gGdx~jVXt_3P|GvcZu3B2boO4&yXJ$z^X*u%jmF0*9>;fa{3y|?K7g0 z^CIxf$WQN8bCn0@wAYycfo-nx=wOYW;3bP%DFnZ`;6v1&#z%bzAX82KRDx>qf(JrY z84K}^*bI^Qliydg!#e~{Ke6P~*A!B2TEK%U3$XfcuKo;wSbk;rP@Q3<<~lsx3R^^q+7%wEt_bf=rQcE{Q{ z1DZ@??v_?6YdVd{0ya_Qj7XQTHC9m4ouK&*wl*Uf38K$E`! zd%v078wj!XPF@G0vZ&4w`5I&3b%Q$r{h-Hl5&aTOzPJ1eMQo%P?_}kpeL+UIzKm@B z0$LI)lYqZA+MCV^+yjKLcG%Acd0mM3f`@r-B3`jaiMF^)fb&F&Db3j%5j-tk5zMi5C_G}0FA1zz2(TbSRz_SyOP`zz?G9?R;!(qJi z4ErHs(GOSzeJ9dpi$sI+xE)^IOvlBdWsbuur$H~XtsrN+m0{(#&95&<=Hx*2tHBMw zuY^C#)#w)MtOt9J(+{jXnet=`?e_*b3F?qP$TAFM_=PqH;5`CUoo;xR+U>m$h<{B= z6C(R2eZaO-F!IP~G*b5)KdZ$a-47!3MZ1#{0l{#CnS56LbR>l|h@V58qd z04Mb?TX~R>H{uwUrCNmFBdoDNr~rHH1dPAPYCdB8zag6@qZ1YoLgV7Y)EvXLe-A#+ zcyRGv{Nc^57tiiGywl^J?b-E?jv0sb${)&Yu|F&MVjt}u&DZl^z@9*bP3l8EybK>oG_TvvM?WP*%zi|KmegpBC zv*h1f`)_V1ejUIcWq-RdNp6F&T5ib22Bd}~pr=vs#Mqzp!sLg$Y}Z(w+@!qva5i|l z|NlSu|6&6(CEFgI6s?e=KloDBiW0}_#GQqX(|2#}^trLMY7fRLLdC7+n{`QOZ)2-{ z{#*TKzpV=+0b43HYhsFr0!H6=D?ILKepxg5K3F#&b4-E0!$3)qe&%sc#esm!9y|CO zkNIe#&f$M9#4J5tl1ut3yRpmQZUyR?o>r)4P0*Us<9T^je*<1-m;T2;HEC?$y(wT6 zw1LP~JUgkN%)6TYXqkIEt6$EKIUCh#o~WO{>v{Ck^Buh=mUV8&9!cw4KMvX?D7=um z>Rr92^HF8(nxIqF%|DkEe*f^7^`AcYFX!U2gXRYE6XQOui*wR})!9{xjwPCZJ9aF4 zwE6}4O=cV1VJzUJ@9chfeyifLe4tzk>Qerl^(GY`M(a$Ghta5q7kHa%>`GEUU~WrA zHSC?1|E^oNN#Oh5t7+QcPwm$$YERE@m%mZ;VXD)=SM$(By(aQEIyB6Z?^pLlY5oPf zH6}6bfiL>;mEN^=iA=J~o6C`_J^vwJN@o7LTem-hcz0Y$y5<{kPg?tj4hhUS)7E_8 z(?heDCE4i0#|BTVM>eT!#GJZ#Z{*ed-A30dVJ*T2hO5Wy&GnyV{F6Ua8AZ%~2q626 z;{^BrWp35E#j@C;e>bZsyEHe=?Po-~)Z|)~vU=31@h`t7rpf8z=)?00H5Dg__?aA( zSJJTT1mg-1UTJU`?I9O6Uc9@f z(jNb~Lv`uLpNG}^GcS&5d}J7nbrhdH_`GQ&0+tO>|GWc!|5*L;{`t70pKk_?Zqcc( zC*`m|p{qsDMiXMP1yL`|1KN)cr2W4DK@Gn0!_-B8j4lc9WNt{sYVLsLJ&W7j)!bRE z&o#PQf)F<$U(MgB2q(+ms0gop4kZ3hwpq@Q?UVM393D>Gl_G9s*DW1P=A5PE|LsnY9`iAiASCWd2kGvB zG5z9-CHDnwV;cEG3Noj^bn$1A;l16x#^eVj3Hg}rZn}S#7(79G;toNA)J&okv9t`4 z_olP&K})CHM_fc871YRW`*YCB?HMJ9RnUY2z6ZvY>KWn;^bD(@N?k(cTx)N2kNTZSBTPl%fNwM~_qZZO=&K_wL%|#n2RoY9H+2R{5RbG}V17()#GPTV+OMH6? z*0$1Ny*#ltQmmPTbQ0fY@wF14J$#=_>De+w2N`#h7;lyx&6ZhyE!}HM$V?G)CGUKx zDSc9%VK$f&6OfllsnkT)tytfRTu0J!hFOh%9V3pES}AkDYH`m(?~hzd+<2M~ui5BmG)Bk574w6ydV^g;A`6jXmhZ%5DJ_kUj7R zav6)d)agN7Z{kLyUxj`3anu`w-UfXv zvbD{dPizBXAIEmCwP8wMHF;KRcvePv1ph_&ry?)3ar3Y@BKH|=XIk5{*v`PV2wQz@ z^N{9~;W=bO8)NFt;ciUaa_lEq`x4eCu{Q7PiPrWMac2|vI<{l1Z3XK(tXCqBv$om9 zH6?B}HuL#12>llHnaJkIx3M2-W7o1i%B~;Be=hz3$Sz34PS+^nud(s_!o<9i{fO#D z{CZ?}-o^(x@9S9iWIf8BeN*T$?(=Qk{;a#&bv^1Vrp{(+??dhN$lur=CeM8JJa5?> zJ-AN8zQAK|gnb_NFR1gYP#u%k4Bsq#V_3JL|JTI)l9+Fhzd(MA+zZ))xEY?>LGM6r zC4P@kk2>V7Ag?y(>{ZTLUjkQRn{H#CKyPCAy^VEM)+gd$O3rp_?M|HehbNUxJT+1_ z?`muhvfhZvFn$288(160_p{!_x(93XF~8Zax6^+VIiI87hVNc{U)c3Mthcc4g?$9; z&+K|DIS<&LUt%*ghvEN^UEj_6E4v=bnq~Ux${w~d?_JnF!g3JXxR#mkx3z58kK$ui z)h&nXiMuaD+$Y3UvvEQ4Tg2BO{zK%!$VPm=&!g`#^nacC-ypCb^E_;8_D1g!s_`lM zfgwGpn~NMl@Qt>{Ys_&hy&5ye9OgKdIbLIq<-`nTj%jv|htMy#Ii1K^!)@NrA=V%C ze~-msHttDc&cXi*@{h_d;u^tc7P`FuGA-#}ZZ3;F=NHZ{AV_Ydh;kUO5- zZrJ;U>?U>YozP<6C$E?$t)!2Ve&o}8A#I!~qi~dgx zLtylntu+DtGTXNobu4(DzcGna`8U$yA7%JwzC0`1SI)XM}7LVwiu9glv6 zt=pTP=CgPN-_wVNdc8$V4XaPXe*w9*+2gCB`3On%#YgE>H(R?udRMzPe&02Km@YQv zYXZ!BeW$Iv8vW?d943Dd@#DBxM|vAyrt41P&A#fgulA98CTAtL`3*DG&M^-CN*gzn zybgRuRr2JS+z+@9iTH+*W46ApUvoDWROZsnsFU;}vY?cikg)p0VhyZOmx$pWvPqs)0Lwt>w?7BDJh5HJ%*9 zzAfDUo*~A>P9Vmdn^qAPld!jp*n--D9Ll0&hT5;;GrwD>*cyG%yW7|))P9tEhG$Rz zBJOt?YTl3U+6=zw)HA=KCP!-CMb1qba&V=uS>!bf?R7SK(~xe0Msi=5rOwmjnY~>? zZsSOPx>4wlJ6U(K^=iZERnB?Hz0=bC_00V4T^iY+34ELT$jEF9h&7-0SM&U@kN9>f z9x)6-zd0snF?G%7_+rm2?~r>$hTMOU)0Vq6Gu=SUAK173E3h-ci^TNgel}8nFj1W| z)HVgo-w@577e(@n?_KW0GvwZbuWbgO$<5_@lm2Fp3+)U8NxroJwcn%WRowGEdkfF^ z5itja&fdr9)kAtXHoTt1vuMc~tiyNL3ckClBR@tSjQkLJJo07ar_?(zRBs*n0UJBZ&c zhj=qr754spTrdKs=pG0iI3`QP=|D%Zix7hw2u?0DY;QJthFNn!ieoKP+`@s<2gKt=yze@~e z{T*xb`(+U8t#&;y@~)VFp0X;!ozSdTefF`)vyTSY=X>nOVPEO7XKfS{P?g!Yg=RmU z9&>GvHmt|6J`?$jwKZlvrwlWkP5x7!{3h6+@Yv1h&GF5&z80)!ux^k2b7p^t_ogLs z5_{;0?T*m7JdfDv#J0o!B{AbY?^q9fy+b*usjJ8vO>?0&QzOo2ezKrDie1FxBVxisXbYL7kWN~rLWuh zvlsD3F~9w{P-{TsT$bP~u`wnteDy-PJ%cYCSFH7A%sYX-UxIuX*%CP^!ml2-#nyH* z>lY&a=xnDFv(Uzz#(F`P_#nR%i*+!Z>^UDnOjzE8vVr(Xp7@UVHsPD-@dY(Hu-HP( zgqWBhwh;1CSWM2qq7}Z08GPa1-I%wO*viE2KyKr=))3C+ZP@!Fdmwuwdm{PIPB&k1 zX)#LMC4**J>>JqQ;-Xgry-w1Hbl-vo{oG5c_#8{?<1 zA)6s*AX^}(BhN!lLtcP9iNTi8zdmvi@>JwPWJBb0$fn5I$mYnI$hyd7$n@akQzo?= zwjIXC^G;Yfb}t z5xj^{Ja|wL!J^>Bb1xz&f>0@V5PB2QQV>BzsGx$Ldhz0^pzlA~WV0rP4$N-m&F}wa z-p6K?I;e|?8kZJ3`9-5Vl{2F~cSZ!NsBP)zRbaWk(pk&5(jovwNuDi6c;fPzdrjN{OJpVlM%G{O;ZrCqe3^ksNMWOFd1L&dDoF z%be?LUpm4B1E+MhiD*v+o@$6-HwGuVIi*C-!uB`FP!4#kI7@U=AKO0ZXQl=R`8O_W zKptIQQUHoo$U#zIXX(rz9Z&$@OXwIe*MsB=ft*skamfL`vZJxVMdzP{@BCkD}HZ;1e z*HCZ1+&&3YD^K_rPFi4XMxQ~(Hh)ZFSw@h*(mp?%DaFr+Z@<5XshcOf_(FM{4{Zz2 zgPkV1qFttB!%cT4PVuTIL{Sl7QF+1k8@9bL^&9GPkD@Z3>(6QKCdt{(V$+NP!`rKW z@YU4i-59^$@C)NVUsUr5p6}s^i^9>4r7Ytck3rK(e?Px|SkYv9{> zR*DA$wQ@5aAZ`Y7?oVvOQtm!e;OXfK9_6J(L9?>F5sP!y@?}Ap+Uay43pt=>44E^^ zd_UXZn0fxFOtf))n2s@W;pkE`)FUBoXA1rtXJlU3N(L!-fc6|1Ge&kRL&752p_mM9 s2-iED7OP9-V|2GZYHAhvNYFOgGr#x*(cteC_Xv9!CiCz74*&rF|G-$5wg3PC diff --git a/cpld/db/GR8RAM.tmw_info b/cpld/db/GR8RAM.tmw_info index 05b4338..51a8f52 100755 --- a/cpld/db/GR8RAM.tmw_info +++ b/cpld/db/GR8RAM.tmw_info @@ -1,6 +1,6 @@ start_full_compilation:s:00:00:28 -start_analysis_synthesis:s:00:00:08-start_full_compilation +start_analysis_synthesis:s:00:00:07-start_full_compilation start_analysis_elaboration:s-start_full_compilation start_fitter:s:00:00:10-start_full_compilation start_assembler:s:00:00:04-start_full_compilation -start_timing_analyzer:s:00:00:06-start_full_compilation +start_timing_analyzer:s:00:00:07-start_full_compilation diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index 7212bd87cb6f81ab76c44ad22bd0f183022af4af..c0ccc6dab2010316aeb2c9327cf0ca7199cf9d5e 100755 GIT binary patch delta 778 zcmV+l1NHpV2KNS#Q-3TF00000002n?00000001li00000004Ib00000004La%vWu0 z6G04hl7eV|^$%PE4zWSv2DBUk4zXMUxB~^m4Yu3?E&+c5AwdT}duBX+*<>0Kq>i-F zdTc*Gf6VSCrIeNnk*9)nB7^?k(`(WTYtRewLhVxeon(2cl7H`utg3#p-R<_GFN#w}xm=D_@8t>5YTYgB0`CB=l$o0JK@&K;dZ!FBiCCvl#=X#!*{oVhT?*L+Z z@SDtDe{%iT#xK?RX8maTr8*p0{x8k{Pqpv)v%bs+U{KE`)$^;#TGh<&z7^Q3yz4v` zes9hHs`7hae1Ah`{BzR--_IZWdt?yx^I!2+E;C-$XL~)^x?+C5U4dZm`C|HzA5#7t zpXHW6>eJ)T{w$vvAMAR+FQ}evJeYF437c3qoG*^g^D-G&;Jq?F*qrazGqVr=4Zjhp zmj7J6Lhtk0_#1NQJ^s5=pF9to58FRClsIp%n|#3MlYhzjzR7rl*<*gZAD2a+>&x+2 zZv6qp{;mF6{ER=r32RF~cf|u9$HSAvR>m7l@ceMg_N{&nZ{7IM=^rcK{n*DNK=KfayY~Sj^kM+SvEMCuk0x7J`evH`uj30^T$s?N=jWWXrmdq#O z)BKC`tABiF@OI|M^~-n>Z{s|V;8)|x(qw)<)p^SObQE~{d0~BTzQW$~e*q|o^ZLZ< z`J;ZXg}p~E-*50jJwBTK_Z!=P=-8V&DRS=r9R!k}6~DwEY(MH(WPe@-AGT*b=jZ)8 zQFPDueTOgm$9Z%A=&#Ff4DVP9U$1}E+n<-mB7ge&MSWS%cqKnIo$W`_k9od0|8wIL z-*3($zXIHGk(VvTy%N{os#&vp?6b zXWy$=&wt-|``BxG8|RPvxr^T+{3n3u8uUWF7k1vZ|6k{Nmh->OUm@i$00030|9Amn IWRocaDoCE9uK)l5 delta 733 zcmV<30wVqQ2Ga(RQ-2H)00000001Qe00000001li00000002`100000004La%vW1- z)Ibc>t^)=6$`_a56008Du^fWO9SDRYINX6#xdgjb_(dp7-n=kMY;Wuc*xT!1%w{c**9dzw3Kr_-1E3^F20ysPO&8 z{;aR^4Zh!*uYcMX>hX~BVgBAc>P5fx^!E79LjK^pp!&slfcD~D8K2&MbNydhJUGqQ ze}oAm^Km}WpX#xPThvc>n1kKVbIvdN1OK+vC$B&283Dq`dZRvjY)0I?Uq2Y1zCSWw zGJEUi-g(0Dqd%zFKiW}`8h@d_zv72K^KbQ;jm(#9;(z(zC*z}ij&B$I=j^A!j-OR; zuCJfW2D0<3uJ3TN{|UdHpY!@x|BR1z=wo}+BNnfR{|Hgi&aa*IM>}7yZLX|;t(W>; zTwm3@Hb2&r`C`7vY`H$$J?wlQ)qcwB>8AvczJJ)>>#v6Q{GWnKT79|Rcg+5|et$K8 zSK@k)4S$98e{bt^vxqO>Z*TzqvV-^KS7hE#=Wxtj4*z!@{}M03O^2UfO&{CK`X@u* z#y_#=$k+4t_eJC5`tZE%NB1xB3g2tX=f0Hq&n(`jTF+P6F8caK{%mJH@4vP_TyMs| zp!nQRDn!R1d+~lP{gU)4^e`UJFMvqFaECM&+7;Ox%w~ZUBa)?dx&^z^l6H>_8<3itS9R`g#QQ<9Z4_nZ*2cA{~zai P#`AB^Un%7WlSBhE+$fgZ diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index 6f3db1c52253a0156256160d16cc7f82341b04a7..8fab7854a8db13cd658624b2311f3792330550ea 100755 GIT binary patch delta 301 zcmX?+bs}rS86Fk}h6cNdf+CZj@HjC+ST?+35Z2}lUKX~^GlV(BH=oh+U}UlEdu$6- zx>-j@h7qnWL04qr2Z_l&5Ne062wdg~5?eqIYUX4cI4eU>eBuYu$x|TI0X=6BPeLCo z=%Nqi&4Ex~^dlJMCI@P3Pp&W!fjMLH5(5V~`wx=1h9N?{!Egr1bp}Q`aHU&}5Jo&e XV)GcowQWu@e!|FT53-Tb0Ym}-)bV6b delta 272 zcmX?+bs}rS86Fl@&q;O@1w|%5;c=SyL2R-OuNaWpoWaY&##pl1QP^0V@y|p??ad`x z9*h$|NNm2MEyD=c!=WnzXPY3g6OhkMR>m04zIL5&!@I diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index 9ed85c4..857f1ce 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,96 +1,95 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618905490360 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905490376 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 03:58:08 2021 " "Processing started: Tue Apr 20 03:58:08 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905490376 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618905490376 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618905490376 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618905491798 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(83) " "Verilog HDL warning at GR8RAM.v(83): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 83 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618905491985 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(263) " "Verilog HDL warning at GR8RAM.v(263): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 263 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618905491985 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618905491985 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618905491985 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618905492110 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(24) " "Verilog HDL assignment warning at GR8RAM.v(24): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 24 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492110 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(107) " "Verilog HDL assignment warning at GR8RAM.v(107): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 107 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492110 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(115) " "Verilog HDL assignment warning at GR8RAM.v(115): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 115 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492110 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(122) " "Verilog HDL assignment warning at GR8RAM.v(122): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 122 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492110 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(313) " "Verilog HDL assignment warning at GR8RAM.v(313): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 313 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492126 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618905493501 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 540 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 543 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 542 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 541 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 544 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 539 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 538 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618905493798 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618905494188 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 257 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618905494251 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 257 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618905494251 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618905494251 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "311 " "Implemented 311 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618905494266 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618905494266 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618905494266 ""} { "Info" "ICUT_CUT_TM_LCELLS" "231 " "Implemented 231 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618905494266 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618905494266 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618905494720 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905495032 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 03:58:14 2021 " "Processing ended: Tue Apr 20 03:58:14 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905495032 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905495032 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905495032 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618905495032 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618905504408 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905504423 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 03:58:23 2021 " "Processing started: Tue Apr 20 03:58:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905504423 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618905504423 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618905504423 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618905504579 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618905504579 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618905504579 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618905505423 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618905505454 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618905507220 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618905507220 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618905510158 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618905510205 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618905510736 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618905511142 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618905511158 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618905511158 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618905511158 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905511173 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905511173 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905511173 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905511173 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618905511173 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618905511173 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618905511173 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618905511173 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905511189 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618905511189 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618905511189 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905511189 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 375 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618905511205 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905511205 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618905511205 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618905511205 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618905511236 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618905511298 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618905511298 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618905511298 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618905511298 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905511330 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618905511970 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905512283 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618905512314 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618905513392 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905513392 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618905513455 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "29 " "Router estimated average interconnect usage is 29% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "29 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 29% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 29% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 29% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618905513908 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618905513908 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905514439 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.53 " "Total time spent on timing analysis during the Fitter is 0.53 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618905514455 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905514455 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618905514486 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618905514783 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "372 " "Peak virtual memory: 372 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905514924 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 03:58:34 2021 " "Processing ended: Tue Apr 20 03:58:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905514924 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:11 " "Elapsed time: 00:00:11" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905514924 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905514924 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618905514924 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618905517830 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905517846 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 03:58:37 2021 " "Processing started: Tue Apr 20 03:58:37 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905517846 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618905517846 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618905517846 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618905520377 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618905520393 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905520783 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 03:58:40 2021 " "Processing ended: Tue Apr 20 03:58:40 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905520783 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905520783 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905520783 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618905520783 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618905521502 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618905523627 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905523627 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 03:58:42 2021 " "Processing started: Tue Apr 20 03:58:42 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905523627 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618905523627 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618905523627 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618905523799 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618905524502 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618905524737 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618905524737 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618905524908 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618905525346 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618905525502 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618905525502 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525502 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525502 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525502 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618905525518 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618905525658 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.202 " "Worst-case setup slack is -9.202" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.202 -651.252 C25M " " -9.202 -651.252 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.202 0.000 PHI0 " " 0.202 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.143 " "Worst-case hold slack is 0.143" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.143 0.000 PHI0 " " 0.143 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.385 0.000 C25M " " 1.385 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.416 " "Worst-case recovery slack is -4.416" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525705 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525705 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.416 -128.064 C25M " " -4.416 -128.064 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525705 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525705 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.862 " "Worst-case removal slack is 4.862" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.862 0.000 C25M " " 4.862 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525721 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525721 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618905525987 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618905526096 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618905526096 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "283 " "Peak virtual memory: 283 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905526268 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 03:58:46 2021 " "Processing ended: Tue Apr 20 03:58:46 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905526268 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905526268 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905526268 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618905526268 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus II Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618905527362 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618906713072 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618906713088 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:18:32 2021 " "Processing started: Tue Apr 20 04:18:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618906713088 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618906713088 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618906713088 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618906714666 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(106) " "Verilog HDL warning at GR8RAM.v(106): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 106 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618906714838 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(282) " "Verilog HDL warning at GR8RAM.v(282): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 282 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618906714854 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618906714854 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618906714854 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618906714979 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(42) " "Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 42 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906714979 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(47) " "Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 47 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906714979 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(130) " "Verilog HDL assignment warning at GR8RAM.v(130): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 130 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906714979 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(138) " "Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 138 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906714979 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(145) " "Verilog HDL assignment warning at GR8RAM.v(145): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 145 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618906714994 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618906716541 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 553 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906716822 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 556 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906716822 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 555 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906716822 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 554 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906716822 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 557 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906716822 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 552 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906716822 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 551 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618906716822 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618906716822 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618906717276 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "321 " "Implemented 321 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618906717307 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618906717307 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618906717307 ""} { "Info" "ICUT_CUT_TM_LCELLS" "241 " "Implemented 241 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618906717307 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618906717307 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618906717510 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906717666 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:18:37 2021 " "Processing ended: Tue Apr 20 04:18:37 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906717666 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906717666 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906717666 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618906717666 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618906720682 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618906720698 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:18:39 2021 " "Processing started: Tue Apr 20 04:18:39 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618906720698 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618906720698 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618906720698 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618906720885 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618906720885 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618906720885 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618906721651 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618906721682 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618906721948 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618906721948 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618906722260 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618906722307 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906722666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906722666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906722666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906722666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618906722666 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618906722666 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618906722838 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618906722854 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618906722885 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618906722885 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906722932 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906722932 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906722932 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618906722932 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618906722932 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618906722932 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618906722948 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618906722948 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906722979 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618906722979 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618906722979 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906722979 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 380 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618906722979 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618906722995 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618906722995 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618906722995 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618906723026 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618906723073 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618906723088 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618906723088 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618906723088 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906723135 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618906723307 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906723667 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618906723682 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618906725120 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906725135 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618906725214 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "36 " "Router estimated average interconnect usage is 36% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "36 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618906725682 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618906725682 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906726260 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.67 " "Total time spent on timing analysis during the Fitter is 0.67 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618906726276 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618906726276 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618906726307 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618906726589 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906726823 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:18:46 2021 " "Processing ended: Tue Apr 20 04:18:46 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906726823 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906726823 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906726823 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618906726823 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618906729714 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618906729714 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:18:49 2021 " "Processing started: Tue Apr 20 04:18:49 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618906729714 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618906729714 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618906729714 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618906730948 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618906730979 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906731745 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:18:51 2021 " "Processing ended: Tue Apr 20 04:18:51 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906731745 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906731745 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906731745 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618906731745 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618906732667 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618906734839 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618906734839 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 04:18:53 2021 " "Processing started: Tue Apr 20 04:18:53 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618906734839 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618906734839 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618906734839 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618906735042 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618906735948 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618906736105 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618906736105 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618906736308 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618906737074 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618906737308 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618906737308 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737308 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737308 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737308 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618906737324 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618906737495 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.005 " "Worst-case setup slack is -9.005" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737495 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737495 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.005 -699.357 C25M " " -9.005 -699.357 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737495 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.425 -0.425 PHI0 " " -0.425 -0.425 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737495 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906737495 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.248 " "Worst-case hold slack is -0.248" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737527 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737527 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.248 -0.248 PHI0 " " -0.248 -0.248 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737527 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.400 0.000 C25M " " 1.400 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737527 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906737527 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.412 " "Worst-case recovery slack is -4.412" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737542 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737542 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.412 -127.948 C25M " " -4.412 -127.948 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737542 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906737542 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.858 " "Worst-case removal slack is 4.858" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737558 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737558 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.858 0.000 C25M " " 4.858 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737558 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906737558 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737589 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737589 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737589 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618906737589 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618906737589 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618906738136 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618906738246 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618906738246 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "278 " "Peak virtual memory: 278 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618906738496 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 04:18:58 2021 " "Processing ended: Tue Apr 20 04:18:58 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618906738496 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618906738496 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618906738496 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618906738496 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 18 s " "Quartus II Full Compilation was successful. 0 errors, 18 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618906739949 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 4f8958c5ddd834cca686c67381a48073bc179323..07980335125ad6145127f7e690302d17cc53895c 100755 GIT binary patch literal 3256 zcmV;p3`g^<4*>uG0001Zob8=oPvc4w#ozCzu=0M1tMgxikr-g^%?=C<8SOlbR#70H z-3SP55=M8V)&1;i$4p`%`1Gk5w_D;35~tEG*Qu_q{@K~Rx?2p#7r}IN6YbUhIPaeC zpX}U>?uHi^wIF%#M>LyHZl`-S*^yfC-}}*QaX(+b`Z;|e==Xz$`Tb(D2>zMA6-Z+z z+>zk@{p5Nan1%_$c3n)}gwhBG(d@_MG78Q{msf!VgMS2Hql@5ZJXyq_tiAg!2zIZc zpToP`$#gLv&Z9-J_<0wPZx(%zX3_LAss;avvyS-X{`GM?5g2^}@Z*BFVe^2I% zX!dYed|-02?~VuG!hh}7mw%_9udfVjG-!aqv%xo^3^stl{qcDAO*)j#Ca`&a@bQST z*RmR8iyC7a*gX7v@+V`jV>8AcHN-A3`F=FLV(j&Rz5eO>>B-S2#-xPkQXd|EYg1<} z7MkgD7LjPC=SPF@q!0xOXAmtue4VjYk#xSEAF?Kqdg7E}IEzR-%UCC`I?_*a;-pEW zpXuN$m4*ZfXnEdEE}tU-rMZo}Kn6)@uuq#r8j4Ml7LkBbRW>-INIy$=8gkA>3QCe3 zH~SbQp*YEr7LkBH9DF>b!rdVK#ADhmQpEzZ5aoIrfN#e3U zy`)Nx22xOxGr7vGf%G%|dNiX9+SG3GiJwqLttKGy%xwy|c%a9WQ40wPmy8-#lMt6X zns$>AC(9-hQIa(|T{e-5;&tQTF1j2X9aBNtv=I{NQoD(W%OXviiHNH|nn+5^lzeeFL{YoUEoJ}o4l zW46!HLfRR;-)BuC?ZhS5?frr>iDWZ9*dI^^;UXxmvZ-X*LZV5O%{j7-RFkY%xR7ik z*`)cGvuRVreG@JLw{7Mg(xgojfjo7jo^-KBUUsCPB$Bv{-bVU~^9DETv@K>GGBm9! zIL>AVDJZ$*+|}t=^x?R;>{#>=NQ+239}cK&*|DnHgj@A?;LNkn*47=1_5s=8=~y+e zIW=~WcKU==YHV>RB|*xuoAi`bj)V>ZfP7 zSIcdV^^krBhuj74+3nVH>*}7Be8@Ams1?b1xrg*~e!6T>ogY$5t{&3R*`NJzX1I-8 zq@u&mCseOfLL{Q;Ik$F|5cd0y{$Tg3?KDJgv4*g1e$Ex562e{E6YyvSC z4bTQbm!t!)ID3=`6rdc0T@<98U7wefDWqM}`CQ>uxB^x|c#wQiP2MHJj;0FO11&}8gfr)E*#dWf2DH%-w*iBe$48V;@1)V35htc490+AS=&l8Bm z(14ymAciLN1OhS3J|!Ph5Qw4CKY>7uiv1Ia#4ML}3J+N9u#l31NDNIC3PfTwSfM~H zhUW?ef-x*uC=iU%kc9%_7zJ7=kdM)@g<{3Xu=fgBHE+qp2RRuHUMLWi;rT*=w2Trk z6bQ}mgrPucMuQj%1ZQ~0P#`#?gbW43GfVJ{&;F30;ps(z2n`Jz3Iu3q+E5@sv%Jqk z;ZYkBnj9+96p!Bt&Eu;_Pj1 z4po@7Y66l|7G!KRP@+Kcg{Kk)qAxs_D3E;7aESup7amL$2*1!|qS&D?( z2)`^h%6LIR0ER{s1rjhMQ7Djq(IABa8JLtQv2Fd19=2^EFSZ8;(HI31 zDG-l&c}Ec2wgIZid;lpK4X`K>l;Md*ft1Yh{vcWs29h!wY|%hkM#C){NX#rjHiZx1 z_SL~hzR)s|n^91a2BI@O#AqNolis1C@PNe>M&1Gs=^37nG?1Q2XfgimAwi=d8V$r> z6zrveI10;28pwudKuH5B3k4}@AZNj&k_LhnI#$v^)Pjd44FoM{5YgB@OoZ&;8VFfD zVEepOA#A||i3YM37C_=gTxmrnjS^%oWtKJoN{nuKWp#evEF5@)PI>-eRDni>dhm`;#TxnGTX$e!R*#IIqS7O zxbB!#z=P}MfJYu&FE`wKFf-$MnKh5;kq6h^Y4;w?^x8b~;Fi;0u`$^8aZS>#l8QS%#$dBb zGfCUWnWVd&a0lMSux79zk36_$<1667j*lwtRw)nmd{nVis=>NlO4&{EvBl`(I(o44V=`OZ zkFIOM^!{ded3!xirq0!;SANTUM|{xz%``JmS$}={-ula*eSSA{{&_Zk&oTPU#BM!v zUdc$%mgPrR1xhw-PJo>bJE+C^@6#rTKC^)yS(CtK+Gbdrx)p zO04R5Dz}?iil;KDY^6Pw+jA?&Q|bgzuBWtBM}gD1Cuz#LqjGnctK%r=rF7j*DqZ9RluQ*RN{ivn86-X(5#FXS>D4tMpWgdi9X-{Py7Vj*mj32XKE-zQo8qJTOQqMdE#*`JYQiINRQ&8C4m zzogvujIFPPIWJ3JN$%q$a)a;rCf@b!?bZEVE%-ja879w~*{k&j>5ks=*m+zPr2noW z@L{X3k-nVq_v(#@FMi_kApP=RU$PU1>&vha#r!e4zRzB=aJRmE>7_#iA7Y*#Vvli_ zHJ+CU&`oZY4Q>U5>+76`RLrf<$HTbQJaenC(fV`%uA}v}#>2R^vB9lmv=(z3#YO9D zjfZh-^SN84_-I9kl$~2u<6+#|+ThmWqt)UxO3kgR@#MMHEp(%%6N)P=JL-1+8*{bw z<#*rGQum6=c9nCKNOB*T_NJ8Az5LGGPsWoS;*NVfs^=bjSv z9VaEL&$*+-58PbTcRCfQK6OTaYvpdcL~}k%8Bc_g`->jsq!cwDTXODo57Db1uvKzQ zInTYBpR%sBr#LwBl@=a;^pe!;qXJX9ALVq@eN5&4XjOGQrM`irI8WvN%wcsrWt{Ig zNz;#8g4K0jah_`Uv5%7byzP?81V5T?E%z~{zA>`oxvv7A@}r(I?)Q5ee#BG-YIOC* zDp`H*n+dtUC{Z0xN$!u5mNfbK(V=p$K2ILj>wJMMo;(Rky^<&}P5Gfc^;T|N$_nuNe1H9xs<=j!~`zGIz quN@)TB literal 3196 zcmV-?41@Em4*>uG0001Zob8?MPa8WH#=qacVwCTf%yHf&K%#}-n=KSds_lnr6$MCl zBOqk+Qr$|c`?v2*LX!c)Jbn&?J(l>^W+o^0v7h5(`)9LzJ(>5fE~4?^cDPshUN`=`Tb%vkN&xO6iIC- z-jV3tVsvvAnVN~>W>rkp#8QiT!|9LFR*)^PiKXeAD6g;dD5@99E+LjE2+X$z-omU49|^m1uO8l((|}(!WQu z`EYvwTJmCA+5O|*xAY&B?r!L!~sp$yi5!Go)-={IRnHtWFV`TLJY zjJ<|c85>j?o51Gb=aWAfdo7zXcBmq@fysA+@ik+w1MGEA&reT|J~1XGMooQq_^nCR zS}Ziv<}4!7jL(mH-$@|~63*B2L)IkHO(Jf?S+r6P**-`)X~jvCNIc`-S1Mo#lFqW; zb*_*|KC39iHIPC2=^fA}k$w`Bq(wXZ)HtJ71CJp`M>z5%;fNb243bb1j!270Kp)f3KuqNR>>Qu@|x8UBxJIVM3lxiPM39o!8-Tv|s$S`8Uo6saRIr7q<%g}TisM9!#?l9EB4tha@XxGb)YRCLBp zcI!w(U3L_z!#NOJ#)d@($940D#RP~88x16!-n#?VB$7>%#M~|Blu0C;@%w`wWe|xb z&0DFU)sU;G$bO0Rlem(z*h1p@@Scj6Eu@^4 z!nt{53ywSoY!2K)%1MVuPT?)9xeuqt7Sc|)_qW7Zi^+q*d_EmrEat;m|0em)ug|kj z?l(#LAJ4w@yPv-FkB+-1{oa>@Fa65?rw_Z;^^0qx)vZ=x`E7Jx*R(81}w~5n10!o{Q6lDi4l^=2q-mzMt5N=-Gv62tzO^aNS z9G5#tKj){*g{1RCYRc6?8an&48&3^o5{c;W^9i*i*+CK-pK~+U4qWFu`h(r5wOK7^ zXPOct1&zogL>3D-Mz#w9TlP!iwC?b_I4%_27* zW&XP)YnGoq{OJA%=F`D=wjy#ew9WsXp>1NkV7N1z59Y)E#c1At5Hxhz_s6r|QJQ)8 zic7pD#%q+BN=P{?0l|<5l_+2y^zurOo$~wL^mce<(<^O=7E;s@X`wkVfk2Cf1QW=# zXxJ^W<65|^RUp{1T%!3qc^GqcQM6<^E`fN9hU9{LOS=5d*B%ls3PKDbE(%2KRTwb{ zxva)>g;$ZR6!aM+U3$v0!VI3q+92?v;o2bcq5#_<^`ZgMAo!9d-F!Pi^kv1ODGb}q zipf3zDHsivCUzJMiKPhyVR$Z0Y&aO!B$5?PrU}GgmaB=1K81uuBX0spnB_!?FDfKq zXqHYO2}8ql0y!8BO(zhA;c+^FEDX!j31ne3K%GDyMnTjGL}D~Zoj@#xXX*rkF$$ICvJJXR+VkWq4V0x=mLtP@DeXvjLTqGib3#|D>aD1tO(W_V^#ATvWFb^?hR znz0i|%q-6f@E(QO42`h~gl2f?OdvIruH7qYZ?lrCNqitdv)uSp*o9|sSXfRVMZ?o_ z0y&!Ws1RR!2-Bp;0TpKLHt5O2Qg+iRGO$9dhG+2vay2}RCy=Yr(0F1+yO6^l#9e3} zPay1~z~=rz zTUpq)4GKdB7s$dWKtO>w%=24e&^DxEcuG$o6{ErQ1fnrKrzen%S)PjFB?0Le4Xh^+ zkkQb30{NIFzNPR1l2>|(gTk!cu{hEPNMSs>XCN=LI+sCvz(8b%h5`*_W_T*lKxQU| zq!hKc+Yjb;?+oN-6mX!y;0#Fz8pzFP@IV8h7X=?^AcVpLf(Bm}q9Ozh8z2QLCT7)V4W>w&coN%KYcyfdcV`J z=l5@z}T!etUaSvY-)Nm`sOL z6=t`(&0DYS!p#c5e-CEXFaz@7hT|xK2RFOa0eP_gGT{{K!A6K4Y`;u61^2;rfF9gz z9+kj@tq|j2nH(q~cEJozUji4lUpB1yabdGW`Cw*?Cm;`Qn6wgju<^3y^pwq%DWl%d zD*<`1U8XqL2{IonQylCB*#}GHKzUhA_LR+($$>8`jHg_tOta!vh*2#93XZL`z6*n;-T-*%r?fe)`=ZnEjB^obo`iHY56re5hs+j`2@eu8>QZo8}}3^{G`xJ4ed z2kaVF1(4i6npXs_Lp<#c&vu4~t@flNZ0iQkcY?>p9)0;y^Sf<)lQ*!sY5m+Oe{So$ zm5H{P#d#`sd8s^}%AGGb@>Jex@LNQA&DQT|U0+!1XK0R6>m+xH+tJ9Y)`?S3HM~a@ zlZ$$k$WwV4wxigqErR1wD)!!~BzK*(Jgzc%6JIx;%H7o{kEiO|k*7FMHMFCO<38nI zeYG$Kzm|Vbb;3|zQ(Mg9Jk`>!rZ`U0y{8E#mo_Pnr;K)pE-+4op}w|%^>xA+`_%K( z;yh)-m?GEwt1p)hE{~^jnN3HtnJ~t_%w@IyTop#@+4i1#W)3@++Ef0Q@+1uP`43Zp ztPZQ^Tm@=RyE*7MN$|eokhxN>)Sk-4s2ru}VJvy(vObTCxy7bzt9#6Z=q*Ogwu1Wa z{M1a|+E+}yEPbWV{I+Zh-`w3@FD8}f`|P%#9$wz7bbG5k;^n)uy-IWS-*peX-#o6Z zKF;`i{owwGAGthQ{rImR*@@##Gi*hiehh9F*(Qs3tILm`dqwao=IJZ;c$BO1JV#b- zbE|A|DSry5c+w>~Wo<5u&;t-|)!#|7}+TVJa@j9Y74+)DP=VyaPGZ+)%uFmA0s zb*mKLThS}7W2>q>j9VL9+**8ZwWx;E*s3Z|o?E?QTs7}IM45ZqOFdP{J>BDIH9w3U zqE-nOUc;EE=boE&v`qGf(E5tKP5;X@tuS`ybMG5+G)jfBm7`vFE6h_S_c&yEJk|Ez zr!tp@mfBOI-kdAWRT4(0Qs=V81*+UDrpn`~rhnL2O)@!_pZ_VE31ic_<$sFS4g({~ zy?)S*r_=$!_2B1Sewq7qOYN%Mt0&xas#+L3An96R@lMMr~Q7zvd+NEGO) zFhaWe@J4}YLLi>-*nsR9_n8c!uD8w_nsZQMt~0{V$KHTg&mztoO`ELfP=}9;igk?>f$W z{BI4Z54k&5pZ_FLJxO#O(!G01eQS{8#cA)3QZp=$#pit;QF8yzXL&rOK8jGBr_|TL zISy0)SMk-izdH_7{yn9>{oVD@=RZeR^V5!3C;ev$>T9hXuXy`U6V&T|j?)DHfl7T_ ztm8Dnzo&Blwp4jMRr9}=P!GdX>PwgkOg+Q!RPG-Yb+eGJJ~i#wQ{Lm0QKxi{w;cRW zk=3_aJI)fkJ4$^J|7{QR#WOVjGEx8O1;;Y+Kb*J17|zuf#21(%hJhrhuc$6CMGRwk iM1B27ah_7oe4cvh@h7v@tLc~K{i}=p-~JDBA2RY^TvMa~ diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 07cc802..609cd3a 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Tue Apr 20 04:00:20 2021 +Tue Apr 20 04:19:58 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Apr 20 04:00:20 2021 ; +; Assembler Status ; Successful - Tue Apr 20 04:19:58 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+-------------------------------------------------------+ ; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x0016534D ; -; Checksum ; 0x0016564D ; +; JTAG usercode ; 0x001644CE ; +; Checksum ; 0x0016484E ; +----------------+-------------------------------------------------------+ @@ -101,14 +101,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:00:18 2021 + Info: Processing started: Tue Apr 20 04:19:56 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 293 megabytes - Info: Processing ended: Tue Apr 20 04:00:20 2021 - Info: Elapsed time: 00:00:02 + Info: Processing ended: Tue Apr 20 04:19:59 2021 + Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 09d1d95..7c4faa1 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Tue Apr 20 04:00:27 2021 +Tue Apr 20 04:20:06 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index 0973378..b4be352 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Tue Apr 20 04:00:16 2021 +Tue Apr 20 04:19:53 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -30,10 +30,8 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 22. LAB Signals Sourced Out 23. LAB Distinct Inputs 24. Fitter Device Options - 25. Estimated Delay Added for Hold Timing Summary - 26. Estimated Delay Added for Hold Timing Details - 27. Fitter Messages - 28. Fitter Suppressed Messages + 25. Fitter Messages + 26. Fitter Suppressed Messages @@ -59,7 +57,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Tue Apr 20 04:00:16 2021 ; +; Fitter Status ; Successful - Tue Apr 20 04:19:53 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -151,26 +149,26 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; Resource ; Usage ; +---------------------------------------------+--------------------+ ; Total logic elements ; 230 / 240 ( 96 % ) ; -; -- Combinational with no register ; 124 ; +; -- Combinational with no register ; 121 ; ; -- Register only ; 1 ; -; -- Combinational with a register ; 105 ; +; -- Combinational with a register ; 108 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 122 ; -; -- 3 input functions ; 37 ; -; -- 2 input functions ; 68 ; +; -- 4 input functions ; 119 ; +; -- 3 input functions ; 38 ; +; -- 2 input functions ; 69 ; ; -- 1 input functions ; 0 ; -; -- 0 input functions ; 2 ; +; -- 0 input functions ; 3 ; ; ; ; ; Logic elements by mode ; ; ; -- normal mode ; 197 ; ; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 4 ; +; -- qfbk mode ; 6 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 52 ; +; -- synchronous clear/load mode ; 54 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; -; Total registers ; 106 / 240 ( 44 % ) ; +; Total registers ; 109 / 240 ( 45 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 37 ; ; Virtual pins ; 0 ; @@ -181,12 +179,12 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 44% / 48% / 39% ; -; Peak interconnect usage (total/H/V) ; 44% / 48% / 39% ; -; Maximum fan-out ; 103 ; +; Average interconnect usage (total/H/V) ; 44% / 49% / 38% ; +; Peak interconnect usage (total/H/V) ; 44% / 49% / 38% ; +; Maximum fan-out ; 106 ; ; Highest non-global fan-out ; 45 ; -; Total fan-out ; 1053 ; -; Average fan-out ; 3.40 ; +; Total fan-out ; 1050 ; +; Average fan-out ; 3.39 ; +---------------------------------------------+--------------------+ @@ -195,7 +193,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 103 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 106 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; @@ -216,8 +214,8 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; @@ -233,7 +231,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; +; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; no ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -241,7 +239,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; ; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -254,10 +252,10 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; ; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -283,12 +281,12 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -434,7 +432,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 230 (230) ; 106 ; 0 ; 80 ; 0 ; 124 (124) ; 1 (1) ; 105 (105) ; 37 (37) ; 8 (8) ; |GR8RAM ; work ; +; |GR8RAM ; 230 (230) ; 109 ; 0 ; 80 ; 0 ; 121 (121) ; 1 (1) ; 108 (108) ; 37 (37) ; 10 (10) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -464,12 +462,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[9] ; Input ; (1) ; ; RA[10] ; Input ; (1) ; ; nRES ; Input ; (1) ; -; SetFW[1] ; Input ; (1) ; ; RA[11] ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; ; RA[12] ; Input ; (1) ; ; RA[13] ; Input ; (1) ; +; SetFW[1] ; Input ; (1) ; ; SetFW[0] ; Input ; (1) ; ; MISO ; Input ; (1) ; ; nRESout ; Output ; -- ; @@ -527,28 +525,29 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------+----------+---------------+ -+-------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 103 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Decoder1~0 ; LC_X7_Y3_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal0~0 ; LC_X5_Y3_N3 ; 19 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X2_Y1_N0 ; 2 ; Output enable ; no ; -- ; -- ; -; IOROMRES ; LC_X2_Y3_N9 ; 1 ; Async. clear ; no ; -- ; -- ; -; MOSIOE ; LC_X2_Y1_N7 ; 1 ; Output enable ; no ; -- ; -- ; -; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; -; PS[0] ; LC_X5_Y3_N2 ; 44 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X5_Y3_N4 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X7_Y1_N2 ; 8 ; Output enable ; no ; -- ; -- ; -; always5~1 ; LC_X2_Y3_N5 ; 2 ; Clock enable ; no ; -- ; -- ; -; always7~2 ; LC_X5_Y1_N9 ; 8 ; Sync. load ; no ; -- ; -- ; -; always7~3 ; LC_X4_Y1_N4 ; 9 ; Sync. load ; no ; -- ; -- ; -; always7~4 ; LC_X4_Y1_N9 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~1 ; LC_X6_Y4_N9 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X2_Y3_N8 ; 29 ; Async. clear ; yes ; Global Clock ; GCLK2 ; -+------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ++--------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +; C25M ; PIN_64 ; 106 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Decoder1~0 ; LC_X4_Y2_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal2~0 ; LC_X3_Y2_N8 ; 19 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X6_Y4_N4 ; 2 ; Output enable ; no ; -- ; -- ; +; IOROMRES ; LC_X2_Y3_N8 ; 1 ; Async. clear ; no ; -- ; -- ; +; MOSIOE ; LC_X6_Y4_N0 ; 1 ; Output enable ; no ; -- ; -- ; +; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; +; PS[0] ; LC_X4_Y2_N2 ; 44 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X4_Y2_N7 ; 26 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X4_Y1_N6 ; 8 ; Output enable ; no ; -- ; -- ; +; SetFWLoaded ; LC_X4_Y2_N6 ; 2 ; Clock enable ; no ; -- ; -- ; +; always7~1 ; LC_X2_Y3_N1 ; 2 ; Clock enable ; no ; -- ; -- ; +; always9~2 ; LC_X2_Y2_N9 ; 8 ; Sync. load ; no ; -- ; -- ; +; always9~3 ; LC_X2_Y1_N8 ; 9 ; Sync. load ; no ; -- ; -- ; +; always9~4 ; LC_X7_Y2_N8 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X5_Y1_N6 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X2_Y3_N4 ; 29 ; Async. clear ; yes ; Global Clock ; GCLK2 ; ++-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +-------------------------------------------------------------------------+ @@ -556,9 +555,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 103 ; Global Clock ; GCLK3 ; +; C25M ; PIN_64 ; 106 ; Global Clock ; GCLK3 ; ; PHI0 ; PIN_41 ; 5 ; Global Clock ; GCLK1 ; -; nRESr ; LC_X2_Y3_N8 ; 29 ; Global Clock ; GCLK2 ; +; nRESr ; LC_X2_Y3_N4 ; 29 ; Global Clock ; GCLK2 ; +-------+-------------+---------+----------------------+------------------+ @@ -568,10 +567,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Fan-Out ; +---------------------+-----------+ ; PS[0] ; 45 ; -; PS[1] ; 31 ; -; PS[3] ; 29 ; -; PS[2] ; 27 ; -; Equal0~0 ; 19 ; +; PS[1] ; 30 ; +; PS[3] ; 28 ; +; PS[2] ; 26 ; +; Equal2~0 ; 19 ; ; IS.state_bit_0 ; 19 ; ; IS.110~0 ; 17 ; ; RAMSpecSELr ; 16 ; @@ -579,17 +578,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[0] ; 13 ; ; RA[0] ; 9 ; ; RDD[1]~23 ; 9 ; -; always7~4 ; 9 ; -; always7~3 ; 9 ; +; always9~4 ; 9 ; +; always9~3 ; 9 ; ; comb~1 ; 9 ; -; SetFW[1] ; 8 ; ; RA[1] ; 8 ; ; RDD[1]~22 ; 8 ; ; Decoder1~0 ; 8 ; ; SDOE ; 8 ; -; always7~2 ; 8 ; +; always9~2 ; 8 ; ; IS.state_bit_2 ; 8 ; ; LS[2] ; 8 ; +; SetFWr[1] ; 7 ; ; SA[0]~8 ; 7 ; ; RD[7]~7 ; 6 ; ; SA[3]~17 ; 6 ; @@ -614,12 +613,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[2]~2 ; 4 ; ; RD[1]~1 ; 4 ; ; nDEVSEL ; 4 ; -; always7~6 ; 4 ; +; always9~6 ; 4 ; ; RDD[4]~13 ; 4 ; ; LS[13] ; 4 ; -; Equal1~2 ; 4 ; -; nRCS~1 ; 4 ; -; Equal18~0 ; 4 ; +; Equal3~2 ; 4 ; ; Addr[9] ; 4 ; ; Addr[8] ; 4 ; ; Addr[7] ; 4 ; @@ -638,7 +635,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SA[0]~7 ; 4 ; ; Addr[1] ; 4 ; ; Addr[10] ; 4 ; -; always5~0 ; 4 ; +; always7~0 ; 4 ; ; RA[11] ; 3 ; ; RA[10] ; 3 ; ; RA[9] ; 3 ; @@ -652,16 +649,16 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; WRD[2] ; 3 ; ; WRD[1] ; 3 ; ; WRD[0] ; 3 ; -; Equal2~0 ; 3 ; -; Equal3~0 ; 3 ; -; Equal1~3 ; 3 ; -; Equal18~1 ; 3 ; -; IS.111~0 ; 3 ; +; Equal4~0 ; 3 ; +; Equal5~0 ; 3 ; +; Equal3~3 ; 3 ; +; nRCS~1 ; 3 ; ; Addr[22] ; 3 ; ; Addr[21] ; 3 ; ; Addr[20]~41 ; 3 ; ; Addr[20] ; 3 ; ; SA~11 ; 3 ; +; Equal1~0 ; 3 ; ; Addr[19] ; 3 ; ; LS[9] ; 3 ; ; Addr[18] ; 3 ; @@ -678,29 +675,32 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SA[0]~5 ; 3 ; ; LS[10] ; 3 ; ; SA[0]~4 ; 3 ; -; SetFW[0] ; 2 ; ; RA[6] ; 2 ; ; RA[5] ; 2 ; ; RA[4] ; 2 ; ; nIOSEL ; 2 ; ; nIOSTRB ; 2 ; +; PHI0r1 ; 2 ; ; WRD[7] ; 2 ; ; WRD[6] ; 2 ; ; AddrIncL ; 2 ; ; AddrIncM ; 2 ; +; SetFWLoaded ; 2 ; ; RAMRegSpecSEL ; 2 ; -; Equal7~0 ; 2 ; +; Equal9~0 ; 2 ; ; REGSpecSEL~0 ; 2 ; ; IS.state_bit_1~3 ; 2 ; ; IS.state_bit_1~0 ; 2 ; -; Equal3~1 ; 2 ; +; Equal5~1 ; 2 ; ; FCKOE ; 2 ; -; PS~0 ; 2 ; +; PHI0r2 ; 2 ; +; Equal1~1 ; 2 ; ; DQMH~0 ; 2 ; ; Mux12~2 ; 2 ; -; nRCS~3 ; 2 ; +; IS.111~0 ; 2 ; +; nRCS~4 ; 2 ; ; ROMSpecRDr ; 2 ; -; nRCS~2 ; 2 ; +; nRCS~3 ; 2 ; ; nWEr ; 2 ; ; Bank ; 2 ; ; LS[11]~5 ; 2 ; @@ -709,7 +709,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux14~1 ; 2 ; ; Mux14~0 ; 2 ; ; nRESf[1] ; 2 ; -; always5~1 ; 2 ; +; always7~1 ; 2 ; ; nRESf[0] ; 2 ; ; comb~2 ; 2 ; ; IOROMEN ; 2 ; @@ -724,6 +724,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SD[1]~1 ; 1 ; ; SD[0]~0 ; 1 ; ; MISO ; 1 ; +; SetFW[0] ; 1 ; +; SetFW[1] ; 1 ; ; RA[13] ; 1 ; ; RA[12] ; 1 ; ; RA[15] ; 1 ; @@ -731,8 +733,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nRES ; 1 ; ; DMAin ; 1 ; ; INTin ; 1 ; +; Mux11~6 ; 1 ; ; Mux13~2 ; 1 ; ; Mux2~3 ; 1 ; +; SetFWr[0] ; 1 ; ; Mux2~2 ; 1 ; ; Mux2~1 ; 1 ; ; Mux2~0 ; 1 ; @@ -765,24 +769,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; ROMSpecRD~0 ; 1 ; ; IS.state_bit_2~1 ; 1 ; ; IS.state_bit_2~0 ; 1 ; -; Equal1~4 ; 1 ; +; Equal3~4 ; 1 ; ; AddrIncH ; 1 ; ; REGEN ; 1 ; ; IS.state_bit_1~2 ; 1 ; ; IS.state_bit_1~1 ; 1 ; ; IS.state_bit_0~5 ; 1 ; ; IS.state_bit_0~4 ; 1 ; -; Equal4~0 ; 1 ; -; Equal1~1 ; 1 ; -; Equal1~0 ; 1 ; +; Equal6~0 ; 1 ; +; Equal3~1 ; 1 ; +; Equal3~0 ; 1 ; ; FCKout ; 1 ; ; FCS ; 1 ; ; Mux11~4 ; 1 ; ; Mux11~3 ; 1 ; ; Mux11~2 ; 1 ; -; PHI0r1 ; 1 ; -; Mux11~1 ; 1 ; -; Mux11~0 ; 1 ; +; PS~0 ; 1 ; ; Selector2~0 ; 1 ; ; Selector1~0 ; 1 ; ; Addr[0]~47COUT1_92 ; 1 ; @@ -791,7 +793,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux12~3 ; 1 ; ; Mux12~1 ; 1 ; ; Mux12~0 ; 1 ; -; IS.000~0 ; 1 ; +; nRCS~2 ; 1 ; ; nRCS~0 ; 1 ; ; Addr[22]~45COUT1_78 ; 1 ; ; Addr[22]~45 ; 1 ; @@ -906,7 +908,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SA[0]~reg0 ; 1 ; ; SBA[1]~reg0 ; 1 ; ; SBA[0]~reg0 ; 1 ; -; PHI0r2 ; 1 ; ; comb~0 ; 1 ; +---------------------+-----------+ @@ -916,13 +917,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 251 / 784 ( 32 % ) ; -; Direct links ; 45 / 888 ( 5 % ) ; +; C4s ; 234 / 784 ( 30 % ) ; +; Direct links ; 69 / 888 ( 8 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; LAB clocks ; 12 / 32 ( 38 % ) ; -; LUT chains ; 33 / 216 ( 15 % ) ; +; LUT chains ; 39 / 216 ( 18 % ) ; ; Local interconnects ; 436 / 888 ( 49 % ) ; -; R4s ; 284 / 704 ( 40 % ) ; +; R4s ; 282 / 704 ( 40 % ) ; +-----------------------------+--------------------+ @@ -932,54 +933,55 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Number of Logic Elements (Average = 9.58) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; -; 2 ; 1 ; +; 2 ; 0 ; ; 3 ; 0 ; ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 22 ; +; 8 ; 3 ; +; 9 ; 4 ; +; 10 ; 17 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.96) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.83) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ ; 1 Async. clear ; 7 ; ; 1 Clock ; 22 ; ; 1 Clock enable ; 6 ; -; 1 Sync. clear ; 5 ; -; 1 Sync. load ; 5 ; +; 1 Sync. clear ; 4 ; +; 1 Sync. load ; 2 ; +; 2 Clock enables ; 1 ; ; 2 Clocks ; 2 ; +------------------------------------+------------------------------+ -+----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 9.96) ; Number of LABs (Total = 24) ; -+---------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 18 ; -; 11 ; 2 ; -; 12 ; 1 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 1 ; -+---------------------------------------------+------------------------------+ ++-----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 10.04) ; Number of LABs (Total = 24) ; ++----------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 0 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 0 ; +; 6 ; 0 ; +; 7 ; 0 ; +; 8 ; 3 ; +; 9 ; 4 ; +; 10 ; 12 ; +; 11 ; 2 ; +; 12 ; 2 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 1 ; ++----------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ @@ -989,46 +991,46 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 1 ; -; 4 ; 2 ; -; 5 ; 5 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 4 ; +; 5 ; 2 ; ; 6 ; 2 ; -; 7 ; 2 ; -; 8 ; 2 ; -; 9 ; 4 ; +; 7 ; 1 ; +; 8 ; 3 ; +; 9 ; 3 ; ; 10 ; 5 ; +; 11 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 15.38) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 15.54) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 0 ; +; 4 ; 1 ; ; 5 ; 0 ; -; 6 ; 2 ; +; 6 ; 0 ; ; 7 ; 0 ; ; 8 ; 1 ; ; 9 ; 0 ; ; 10 ; 0 ; -; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 4 ; -; 14 ; 1 ; -; 15 ; 4 ; -; 16 ; 1 ; -; 17 ; 1 ; -; 18 ; 3 ; -; 19 ; 1 ; -; 20 ; 2 ; -; 21 ; 0 ; -; 22 ; 3 ; +; 11 ; 0 ; +; 12 ; 3 ; +; 13 ; 2 ; +; 14 ; 2 ; +; 15 ; 3 ; +; 16 ; 2 ; +; 17 ; 2 ; +; 18 ; 1 ; +; 19 ; 2 ; +; 20 ; 1 ; +; 21 ; 4 ; +----------------------------------------------+------------------------------+ @@ -1047,25 +1049,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+--------------------------+ -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+-----------------+----------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. -This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. - - -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+-----------------+----------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -; PHI0 ; PHI0r1 ; 0.186 ; -+-----------------+----------------------+-------------------+ -Note: This table only shows the top 1 path(s) that have the largest delay added for hold. - - +-----------------+ ; Fitter Messages ; +-----------------+ @@ -1102,23 +1085,23 @@ Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:01 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 34% of the available device resources - Info (170196): Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 36% of the available device resources + Info (170196): Router estimated peak interconnect usage is 36% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.45 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.56 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg Info: Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings Info: Peak virtual memory: 382 megabytes - Info: Processing ended: Tue Apr 20 04:00:16 2021 + Info: Processing ended: Tue Apr 20 04:19:53 2021 Info: Elapsed time: 00:00:08 Info: Total CPU time (on all processors): 00:00:08 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index c299461..18cf322 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,4 +1,4 @@ -Fitter Status : Successful - Tue Apr 20 04:00:16 2021 +Fitter Status : Successful - Tue Apr 20 04:19:53 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index e828679..c322ed2 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Tue Apr 20 04:00:26 2021 +Tue Apr 20 04:20:05 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,7 +40,7 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Tue Apr 20 04:00:20 2021 ; +; Flow Status ; Successful - Tue Apr 20 04:19:58 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/20/2021 04:00:02 ; +; Start date & time ; 04/20/2021 04:19:41 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -75,7 +75,7 @@ applicable agreement for further details. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; ; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 44085571633675.161890560102556 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161890678100176 ; -- ; -- ; -- ; ; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; @@ -102,11 +102,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:06 ; 1.0 ; 301 MB ; 00:00:05 ; -; Fitter ; 00:00:08 ; 1.2 ; 382 MB ; 00:00:08 ; +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:04 ; +; Fitter ; 00:00:08 ; 1.2 ; 382 MB ; 00:00:07 ; ; Assembler ; 00:00:02 ; 1.0 ; 292 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 278 MB ; 00:00:04 ; -; Total ; 00:00:20 ; -- ; -- ; 00:00:19 ; +; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 278 MB ; 00:00:04 ; +; Total ; 00:00:20 ; -- ; -- ; 00:00:17 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index e2079b0..5414390 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 5bc7bc2..008860b 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Tue Apr 20 04:00:06 2021 +Tue Apr 20 04:19:44 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Apr 20 04:00:06 2021 ; +; Analysis & Synthesis Status ; Successful - Tue Apr 20 04:19:44 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 239 ; +; Total logic elements ; 241 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -161,33 +161,33 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 239 ; -; -- Combinational with no register ; 133 ; -; -- Register only ; 10 ; -; -- Combinational with a register ; 96 ; +; Total logic elements ; 241 ; +; -- Combinational with no register ; 132 ; +; -- Register only ; 12 ; +; -- Combinational with a register ; 97 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 122 ; -; -- 3 input functions ; 37 ; -; -- 2 input functions ; 68 ; +; -- 4 input functions ; 119 ; +; -- 3 input functions ; 38 ; +; -- 2 input functions ; 69 ; ; -- 1 input functions ; 0 ; -; -- 0 input functions ; 2 ; +; -- 0 input functions ; 3 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 206 ; +; -- normal mode ; 208 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 44 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; -; Total registers ; 106 ; +; Total registers ; 109 ; ; Total logic cells in carry chains ; 37 ; ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 103 ; +; Maximum fan-out ; 106 ; ; Total fan-out ; 1044 ; -; Average fan-out ; 3.27 ; +; Average fan-out ; 3.25 ; +---------------------------------------------+-------+ @@ -196,7 +196,7 @@ applicable agreement for further details. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 239 (239) ; 106 ; 0 ; 80 ; 0 ; 133 (133) ; 10 (10) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 241 (241) ; 109 ; 0 ; 80 ; 0 ; 132 (132) ; 12 (12) ; 97 (97) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -231,12 +231,12 @@ Encoding Type: Minimal Bits +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 106 ; +; Total registers ; 109 ; ; Number of registers using Synchronous Clear ; 11 ; ; Number of registers using Synchronous Load ; 33 ; ; Number of registers using Asynchronous Clear ; 30 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 24 ; +; Number of registers using Clock Enable ; 26 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -262,7 +262,7 @@ Encoding Type: Minimal Bits +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[1] ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; ; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; ; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[3]~reg0 ; ; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[0]~reg0 ; @@ -280,17 +280,17 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:00:00 2021 + Info: Processing started: Tue Apr 20 04:19:39 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(24): truncated value with size 32 to match size of target (14) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(107): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(115): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(122): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(313): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(130): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(145): truncated value with size 32 to match size of target (8) Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "nNMIout" is stuck at VCC @@ -301,17 +301,17 @@ Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "nDMAout" is stuck at VCC Warning (13410): Pin "RAdir" is stuck at VCC Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Info (21057): Implemented 319 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 321 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 239 logic cells + Info (21061): Implemented 241 logic cells Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 13 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Tue Apr 20 04:00:06 2021 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:05 + Info: Processing ended: Tue Apr 20 04:19:44 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:04 +------------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 8d8b43e..ffbb919 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(83): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(263): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(106): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(282): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 1658f02..8eeb674 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Tue Apr 20 04:00:06 2021 +Analysis & Synthesis Status : Successful - Tue Apr 20 04:19:44 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 239 +Total logic elements : 241 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index f206bca598257d6ff0adee62a327ac8ce5215df7..7723661b97170221e80418f88eebc29bce750e2b 100755 GIT binary patch literal 7879 zcmeHMeQ;dWbzjF=K)`nC3|Q)A1~NEKr>&`>vVaauTab4$9$S@{x7XmW0W2+~BP+IedodYHjm5raTi*Ay`*hFg z@7}j^l7ISd|0t5)-o5wS^E>CBdp_>FdgS5z5{bl&#Fh5537>z_{>0`j?N8M`@IYPt zlKD?=+*0?*=C%!+pJ-`Wzq#(w^_!pC*w$LNxPHN+1&izMZr`|RUEPxUC3TB#U$}V5 z!X=9q*Vlb-{pOC1YuDF3^2FL7*DbF5-k;U2S^s3+z3Vn^0cYYXiP;cx?<1?0d}q=3 z7cW|L&uxjBiK{WRx^>IOEt}S_OU%L?^K)I|+OOF!=Hp|dBr&tbv&Xhcw*4_?dS*}F zjN86nZKwOc)s9-ewatNITHZX`HaN-utf#&;0Y1|86TojOXd@48}?7bB11uT+NdF zY{g-PzQNN0<=X_TpgccBD>yAM9z~Ykn>Bo}XT{)!z*hk|5$mTKs{H8+4STAjioWM- z36e@HSvLB`_>hjTE^7{oVx$NY=RzYl#@AY$qL8$Hoc#jEG&c&89=j@{k@UEx}1n2_=6K= zb^#aW=p?0-hBHCatR_z9uw9M3lyji>R+?aE(k-l*4k&h+`#b?X1zY~c_Te_zg^H)R z$LML-W$Zu2mLFdu;A8$6uSjWE`xznyt55s2v~s~03eO+mqV*G{+-W*@wi0)w-TOJe zN9!n6RQn1JpVkWvANd!+BUw%bv3+}&ZMtT(>-^gN@9L%WkMEpKO+LFN_)9uJ`;oEOK1&n#d#lgy-tq3I zS^C*8&px+x#-%xpb0)4|IgfIX-?HKd4Z*xYvHuVykL_sr?sNR>m*(6$i)-JzaqmfE z4{`UEf$(~E9GOaPfAQ3JYbR%HIyV2d^RtBEM#ryu?mb;h#!wd2HU^$9H;f(NDuFv<{ zdCKqbJSu9hO6LM8ii%QaI=JE+zYYRXS&oe{_S1fuy)YGO4}Cu)F|5)(h+vj69j;>e zLC2r3F(DlaUaqCxUl;Q&j?_)m+9I(3f>LBcFy4P96sfRc;@`_gGG+YU7v%EeF+Mop zcT#sj3Xegqdb>a&>j}2>VkAxe$Y~d|Leb|$$%VOA4jq=hl`F`nB-F|(6^tOtD2hDJ zYCVyT@u3GesogR8Vj@>!34g`9!+8H4C`v#p`oIaXKGr&iB#3oce#baB%Z}a*0z)7W zA|6jmeVK07Nr*)a(7=y+N}S^WK^o^H#Lq!?NJcqbQHuC!E=tJ-l_R~dA+yKm!R0Z? z#e8U4@t?*DBE&PaU_JnP??J_$q*75v;a;c*=t9~z>*s}rzp=!EkWKeT#t)3%2Sp)Z zSwh;#S^SYsi4}IfU+Lg+)=sucA4aQ4za2z0{!;QGy81l$Yi`|k_tM$j#G|?G43VD>fghq9Nf9D63Yw=-U zcqmIpjr3rDDn*n5>gtIaIa8&(LnMa7V$OJJx=FLtk17*?QDp23eu-A53PrS3GI)j1 z_<41IFktF;QACPRqe?m%rlpJ=lSjet>LPoBr3;@3cqDQ$SYW|UBrIJE5mD$12R)+B zHXV(38pA@5nC_$C`G_yUKB>NPN;kQC5Iv6R8~tQs2!EBUDV#2@VCL+`47U^nRi?&$C3N&&7ld=i|% z{VjaSZc(fBz9s>?G4&3!Zm8l*AO6k4%_2T?BIQOSI_HO_lp|yT@{79DPg^tPm{Pu< zvicgm561dY+UPG(*O4bwSRy~%D~umg&hkr^z-NtVh@&>FJ1Tfcno~+y|3^+E1-hwc z`=XMIxyaW>{}`XC-K?gY&%vK6sDBgjxSXaxnIfflmD|Jgd9r?}{I?fcB}b_&)(H&c zleg21cps10TRt$@LYi|WoU@+ksm7lRy`nDX_9-2xigv(L$d{)amBu*YcUdCmee}uJ z`HA<#ZzO(DYM*?zG-t-dh1oR;Tfe$*OROBt4_`Seah^aQ(toqf&`a0Xtc>*(S3Dae zZ>_1lVjFK?`ES>xCNGRH*cO#*@2Z)O-)BbU>t|-&b)IVyyRRx$&Wz8Q0ea$A%RhVa z!4rd>ot>-x?u37A-7}p#Ca!Y__bolMeD}O2W4~gmd2stJx4dz-wfO_@#EJ&@^<}&F zyt?ntdmG~TwfhpW&{Bng$)otSb^)(xVP|Rkd&(-Oh1(8aAWxB7&HtKryB;?>DMtRH z5zOD`QMQb1daxRQv7Oz*Nrm&7O0jOET|Ocp9@kn5;7cumTM>x1B;$X!A za3|{=&SRkqziH#QgdoQ+bj1E)_;anH-mG9kM$`>(~sHaDZ`g~+VTsBnv6f-e1|>=IxDSz8oQ9^ zaWM*2PWhoTh4bi`VC_|6|A*}{os2%-I9lc$vBExMKfsGV>U*`HYeW5qKF0nkKTVg^ z9J0H#j~~|=$5j&(0Wmq5q)~$hO#bAYyltEp?(J(r{4QkL`8=mO!m4~DM{tqOsbG-b z-#@A5(1or@k9=V9or5nYM`wOkZrOQZ&0Ax49C?%Odn=f`=={LU8Fqb*^5w(LS3bL~ zcju8m-adB6iLdA^vhNys-Vl2j5ulEg15e5r1#~XMdq#@eg-ovz9+){1gxDHrBxr>I#g56J-%L zjqTfK>@%YA=0_DZi~{yUg1H?5KHyt>D@3h~)PYO{zsq2M`y(yKZTywE%kXDSRMUU> z(U9&Fq$2DiHWU0qcq~pfQaO&y{<#D&H)-O?;xj38uEWM7wK^OX4ycIrYDT>_bcwoX z&s{#r4I%2Yah9^?Y5jFbl93Nc9YAR<5eFTuS;SwQSHLfj?me7)m?koKyV6E(MazQK zD>W=ei2c{zH{)NQ(2&Q|CokZGe!svxmcTXn0{THa$mKFOp%t+`P$MYawTgr3k`Ptm9Ya4#5Ts}4L*b2k% z@=DMTzgG$_&ZTY3`KGCxZkf|_=lSk=2`gXoy{LcpjeU@RKL6ypJL|i{-Q7Q}7r(;! z^M+XeFGjb2{@`Hoi*FAN4z9?$Z)UTFZ2dj|p53jC{32Fr&J&K5W2Rr`Pwn`Cnzo;@ zwB*N1CplfJXxP7r)*yX%#q=`6j}daE48vY+YvxCO*h=G3ITx|Pv-viYn`AX4&OCigsprXDhdNt7C2uj6X&oejbYywDntJ@tD>==8E-|d*MMm) z*mWJW{Ch*3fBl>*m%=N zqNQp7$8u2PY`)xEagF|Xb%^>K8mxXum6GsL8isw5Q;U9RUL!b#2YkRgoJ2kL&xUzX z`D?_#KldUjo^)t~Fm%-4&rsPO*F2?Ky}T;vP(I$ze#+0W!O?O#2XgiW_oFb%DW@Ol zg)cU9=w5fq=*8`o!+$jT*o@Z(@`u8wYs~#iVoT7;x+Rs$nD?(z^6=7gv%mSq(c_1% zskx$h|MI7N;rdy#EPhMn@SUSK%=mA2-jTUA_iw`eOYKWv&EnYD)irGRi^=23(Hov9 z4&88M?pN;pCGKCI+jQ&&zEC@JW_5ihrrsAFq5J7e`Q{C2+`shT{-yr7>$*+nz>hpQ zxa;%g(SgAQ|J3T4`ckV9v@kH zEN9~#Lncwg^mJIUabeGpgw6Z%=SvHGX@UQz7P!j(ulV)we*mMNZ43Ya literal 7879 zcmeHMYj9lEeP7r3!PFMbFc3p(tVxT>ObV7Q9FoYkXc)}UVgn%#NvN#hAyWgAifw6e zykwd0XhYkl{q!3ZYk0WFr0<$DhfXcfHPdu6ZpUhQ6; z^Y8E6yLOp=>BoL39&68@^M9WI``&%%!OgK)Y(Z>E_*sw7hg%-swWnow<^A_pRuX>wU)yD43XN`HKxzQ zy^B>ucvtYtD|3J&>B$|QAhmHvhDPVL&LJeR<`26%xoQm|5WR+35RBMeh2A$sUY+>(qb z)<%+Pe9ceJc&C)B!iTS=`5q}G60FPOM$g%R2qxyaYUFBE=hn`c)U(cbBYpVpA(7>TH@JCSA zuT!tQ(GT$j?A)VDkPqFpe2eHaMUODq3&6lG&~vhJDiJ{%-u+|lrYZ1G`#};$I|916 ziZj^9=zRrn+`pt4{9`H__tS8UD-;Qw3rcJH5(S={OUP#hyiRh2ri>owX67iQuPcyn z#`-Ty7Z=Ualu3`0;tuq1Lwd=1sD}xfad0-k)5&W8420*9ukAxQMXpkZ6yPdtA!WvQe5i|L-OFWq zE#Ej&xZpHrTAC+vl1gdlW+B35+FGxoAiC0x+ z8Y+}|^8LVDSMh%P-WL~lR1ePna={HMw2ylJ@{oVczV>%79Z+k&`5*0b*C$$;${S0m zcN{WgP<1L3kL^1=ID4J?dd2MF;(`?&&4Yk{63VYD%sbEp9cSCWa#hxf;)UZvjK{ArWK1;^9zr9%5kxXa?=z*~7qQ3&8h1RCf_!{JZze4fg|N1JqBg7J0$&45L6x#@y0 zlR*wi{U*REu9}gDeky{yNm8tq3=8?-_puy`%yv!%vl)WGTQVgapRDP?A$y-F%Al4j z8~V%oklyqWM~v(iM%0>8WPt{u2L0xBoxti$E5ARGQ^-H)s5RH;R+dHdlm#B}O?|H6 zh;vPs^&X$3PCc8B)sF6nII@Yqxm0^30EE8-;Uc}QPZNPtd0XQo2^WG=1mN5GHl zFFEX{<=`yclf(*p*plkp5D$9}y9ZhyatsoZ81MxdAPDKWM4hj_VD(e2sy;xC%JQyCwb#W|FvEtp0^1#n3RxhHJAFSvJ^RKoVat_W}RkLG>SC=g{ z=bHa===SxqD+fD5`E`X0i6Lql+TxsaceHLwS$WHH;_-J@PFgJLht4SSZ?wUwc-zUG zJUH^kOD}EyqpyC)JoHlJf5fz%BHt3Jcq`L(h94bA<)l-FiylW%At!RerYzvdlYk)( z3!!`yI~5<(_GW5|0dB?}tEBsFIX;y@9|V8hR|VkkpWlW!(~^iR%WYM{MLkvZX{n=E z`vnmJIa+^CUssMoAkiVUf-873*{Pa|1n7Uvq?O9J!5 zfHah=+%)9WfNuc4yf=iC6(~W}=VtkFZZ&lW)SI@cDD(3XJ>m}96sEz;W?}x2YA&yu zKTk=8UMUR;Jc{E6T&XUy%xu|y+`&1MN@#w`Fh98}&m)>%_lZ&=#}w>45B-pD_G2Vd zEoJ$dZoAt_8J6zTaOneXbC=hx@KD#O*NWz!8 z4&?eMqUX$O?N+^d{_&25_X?B}(%>iUD1K}$kD~fPR_MO~@?@DmG5Cj(M2Sc+=mSYg zaoG0?oS#vCuUptR!&HAjhR8~#SgCJl>dO6c4ki2?pF6PW{x?`HmV#S6I&gG+AN?@k+Yu|M!;*UY{gi-q}9 zHxN6$eJ5fpM3R(H`X26{H;TK%~VZDZ{&pa zi$L2ej34+HcJt#b7v>8tP0aDAjjSX!D;U2~!zY9?db`W^D{yiF!kNQzDM8 z*q?em9X0hnR{{0I&!m#%ODL!9XUXHR1H;^lJ_J5t9Qm%2d_-utQP=BwLPss)AJ(_# zg`c1l`X9;!&H#xcze;_sEtIF{Xs76X~Wr57&X#`SK-wbX85A#41Q5uPtBk>;U2bmPbr%_54oRNHY zgDBy`(zbXlsUicMhxOf}ViNjcUKU5jEtd?`izW(k(%l`(uj>oA!u%2CXX0q13roFo|F zhSr-i34iR};Awn6T3 zPv(M+6h!@xK0E2XTo;VY%jV;$H9YrGKQ#&NTIYI0{<-5ji>f9zwEk-!F8Kxf!Krrn z(?vs{>wgB{xZhh8n_gcz_}=0r*Pd#hYrkgk=lWmn+joBKE%~dD*YEp?IyQWhkIeH` zU8@(lD~HSd@2)%F@|OI=r^bf)v5x!Z{(5Bo#0pRMzsaco8PmgOhgj>($l2H39q~lI z^Wx;eu>V=sL>Qm0?teQ*r?*zTy*0C`#yb33&8Y5w2h060u;ge!c`11ua~9%yUWWY- zWizsGA65ptS}a`(91D?){v{)SYWurKJaLlD6zVo{RBhKpo|0^a-{FG-^};_k@G_Jx z(Fw>w^@qO@a~ghHmXHUGj1<)?GQ~FZSk!Zc{4^r@41401@A5Q4a-(d+Jcj%$s50Do z7V{l5(MKFQ93V|tl$R{zFBuP%-^|Qp;HG`Q4RC!giN_@9rS-R)fiH64@1>3^vn2Vr z=toyfg!INt7x0g^$uyCzgxd{W(p<+`q(4fMkNj77`fwF9aE|_;ogj(@n_ETLC)!Yx#O@B%cB0%L|45alP+jIsjuYPPOngnR=^T|Vy}rw509yV zsYIB6ry)_nLhyso_^?0n9pmcJIL?W-4e0(#-4KTgpzrGnuZa1a1tC42)JVaiveQj& z>Zj%{l**@pXZlz2j}n-F)d%{%h!ylt;3NOQO!F&JR@-l?fXsBLaRzz0Jq@Jd)%+Aj z=D}vNe&9Z~y-<5`C;6`_9+a%xBKjQtu18VVnG!ziPaVAZ+Tz04Cgh*|&ko#I+wqk2?QOApb&oK}n|ubCYGxcoeV` zgy%m!f08q=?MnETEYa-|Hz#*Xbu6 zm!KC&?Z7?G11c7-%!f&i{0ppqnxs)A!X%0a_yaHUFXF8iVb6=eO2d~q%)dHXt*n4Q zwSCffzVw6Xi3tpfuCi2{n@$F@NM-)X66tKSbUaB0e4W2~PP6nYDXci~N&GANPFkRX zkW~Xy0ZL(h7wHT_H6Ax{mN-UYgy>QzHz1uSg!x$W7Z%>nq?zU~cn17o2k43T8gO*} zI-eo1?Rly z(aQ2A<*!q#l8w5^|80v(%8Oc0|I$@>tu=eeO$3XMxh&CBbWfx9-l*?^zcbtl zU0Y5K1~)Da9$dcn;h%N@j(E~~k{o#Nk27M$-0ceo$GR5HakJ2$8le0vp*AFCi)b2Jv!Si4BT{E2r57wX8 z^mzTzaXypD4Og7bY)#j6zVYh8-=tFan5h)*1^GEks@+Wc+aEv-wE({r=g>cbQxmM} z``sMzKbDxXh;yZ+GV*2pVGG0wlg@boM=EXjOPVkKbo>-B%V|H>@hM&!hhI<|b#g@W ziiX?uC34+@4t~aGOrl!N#sE!dA7%(ed`fo~yVq6-L-q_{vS63>!}~q>T&9W6Bez;; zOe*9L_&^=tafZp*HDwxgzIR58#e9Y5KjeGJAK8lc69jx3XTYEL=?uqOe*YqIj(7?0 zQ*wRi8!+TM_F-Sas-qYEjG;J`@o?Y30*^9(U?ASP&}+!&68Y#B<$S@JV*0rnjS@Ks z{;(J3V9-bSXci)*Z2O_y;{{OxI-_EbVzgR=RUA5!?l|5F(mR)o87okf1ix3?9#lJ5s@P!5bpITsP K_*?P0U;Y;#!g}cd diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 59bf5b6..2aa7fff 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for GR8RAM -Tue Apr 20 04:00:26 2021 +Tue Apr 20 04:20:05 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -86,11 +86,11 @@ applicable agreement for further details. ; Maximum allowed ; 2 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 1 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; +; Processor 2 ; < 0.1% ; +----------------------------+-------------+ @@ -109,7 +109,7 @@ applicable agreement for further details. +------------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+------------+------+ -; 101.04 MHz ; 101.04 MHz ; C25M ; ; +; 103.27 MHz ; 103.27 MHz ; C25M ; ; +------------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -119,8 +119,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -9.480 ; -695.573 ; -; PHI0 ; -0.522 ; -0.522 ; +; C25M ; -9.005 ; -699.357 ; +; PHI0 ; -0.425 ; -0.425 ; +-------+--------+---------------+ @@ -129,8 +129,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; PHI0 ; -0.197 ; -0.197 ; -; C25M ; 1.385 ; 0.000 ; +; PHI0 ; -0.248 ; -0.248 ; +; C25M ; 1.400 ; 0.000 ; +-------+--------+---------------+ @@ -139,7 +139,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -4.399 ; -127.571 ; +; C25M ; -4.412 ; -127.948 ; +-------+--------+---------------+ @@ -148,7 +148,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 4.845 ; 0.000 ; +; C25M ; 4.858 ; 0.000 ; +-------+-------+---------------+ @@ -167,106 +167,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; -9.480 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 7.377 ; -; -9.226 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 7.123 ; -; -9.117 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 7.014 ; -; -9.113 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 7.010 ; -; -9.094 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.991 ; -; -8.985 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.882 ; -; -8.897 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.564 ; -; -8.742 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.639 ; -; -8.660 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.557 ; -; -8.653 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.550 ; -; -8.647 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.544 ; -; -8.644 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.541 ; -; -8.619 ; ROMSpecRDr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.516 ; -; -8.573 ; IS.state_bit_1 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.240 ; -; -8.539 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.436 ; -; -8.522 ; PS[1] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; -; -8.522 ; PS[1] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; -; -8.522 ; PS[1] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; -; -8.522 ; PS[1] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; -; -8.522 ; PS[1] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; -; -8.522 ; PS[1] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; -; -8.522 ; PS[1] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; -; -8.522 ; PS[1] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; -; -8.492 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.159 ; -; -8.470 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.367 ; -; -8.366 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.033 ; -; -8.364 ; IS.state_bit_0 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.031 ; -; -8.357 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.024 ; -; -8.319 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.986 ; -; -8.314 ; IS.state_bit_0 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.981 ; -; -8.296 ; nWEr ; SDOE ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.193 ; -; -8.263 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.930 ; -; -8.261 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; -; -8.261 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; -; -8.261 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; -; -8.261 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; -; -8.261 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; -; -8.261 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; -; -8.261 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; -; -8.261 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; -; -8.237 ; RAMSpecSELr ; SA[10]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.134 ; -; -8.231 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.898 ; -; -8.204 ; IS.state_bit_1 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.871 ; -; -8.198 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.865 ; -; -8.178 ; IS.state_bit_2 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.845 ; -; -8.169 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.836 ; -; -8.169 ; RAMSpecSELr ; SBA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.066 ; -; -8.164 ; RAMSpecSELr ; SDOE ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.061 ; -; -8.162 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.829 ; -; -8.159 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.826 ; -; -8.143 ; PS[1] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; -; -8.143 ; PS[1] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; -; -8.143 ; PS[1] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; -; -8.143 ; PS[1] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; -; -8.143 ; PS[1] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; -; -8.143 ; PS[1] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; -; -8.143 ; PS[1] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; -; -8.143 ; PS[1] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; -; -8.136 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.803 ; -; -8.133 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.800 ; -; -8.130 ; LS[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.797 ; -; -8.129 ; LS[11] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.796 ; -; -8.103 ; PS[3] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; -; -8.103 ; PS[3] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; -; -8.103 ; PS[3] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; -; -8.103 ; PS[3] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; -; -8.103 ; PS[3] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; -; -8.103 ; PS[3] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; -; -8.103 ; PS[3] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; -; -8.103 ; PS[3] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; -; -8.081 ; PS[3] ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.748 ; -; -8.080 ; PS[1] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.747 ; -; -8.064 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.731 ; -; -8.061 ; IS.state_bit_0 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.044 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.941 ; -; -8.022 ; LS[8] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.689 ; -; -7.969 ; PS[0] ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.636 ; -; -7.960 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.627 ; -; -7.956 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.623 ; -; -7.953 ; LS[8] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.620 ; -; -7.945 ; IS.state_bit_0 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.612 ; -; -7.905 ; PS[0] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; -; -7.905 ; PS[0] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; -; -7.905 ; PS[0] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; -; -7.905 ; PS[0] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; -; -7.905 ; PS[0] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; -; -7.905 ; PS[0] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; -; -7.905 ; PS[0] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; -; -7.905 ; PS[0] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; -; -7.882 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; -; -7.882 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; -; -7.882 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; -; -7.882 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; -; -7.882 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; -; -7.882 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; -; -7.882 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; -; -7.882 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; -; -7.878 ; RAMSpecSELr ; SBA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.775 ; -; -7.854 ; PS[1] ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.521 ; -; -7.844 ; REGEN ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.511 ; +; -9.005 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.894 ; +; -8.961 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.850 ; +; -8.953 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.842 ; +; -8.919 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.808 ; +; -8.916 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.805 ; +; -8.897 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.786 ; +; -8.683 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.350 ; +; -8.631 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.298 ; +; -8.625 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.292 ; +; -8.571 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.460 ; +; -8.495 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.384 ; +; -8.451 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.118 ; +; -8.428 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.317 ; +; -8.422 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; +; -8.422 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; +; -8.422 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; +; -8.422 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; +; -8.422 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; +; -8.422 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; +; -8.422 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; +; -8.422 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.311 ; +; -8.419 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; +; -8.419 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; +; -8.419 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; +; -8.419 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; +; -8.419 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; +; -8.419 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; +; -8.419 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; +; -8.419 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.308 ; +; -8.387 ; nWEr ; AddrIncH ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.276 ; +; -8.301 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.968 ; +; -8.289 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.956 ; +; -8.284 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.173 ; +; -8.265 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.154 ; +; -8.261 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; +; -8.261 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; +; -8.261 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; +; -8.261 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; +; -8.261 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; +; -8.261 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; +; -8.261 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; +; -8.261 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.150 ; +; -8.245 ; ROMSpecRDr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.134 ; +; -8.237 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.904 ; +; -8.231 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.898 ; +; -8.226 ; RAMSpecSELr ; SA[9]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.115 ; +; -8.222 ; RAMSpecSELr ; SA[11]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.111 ; +; -8.222 ; RAMSpecSELr ; SA[12]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 6.111 ; +; -8.177 ; IS.state_bit_1 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.844 ; +; -8.116 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.115 ; PS[0] ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.782 ; +; -8.106 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.995 ; +; -8.104 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.993 ; +; -7.954 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.621 ; +; -7.928 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.595 ; +; -7.900 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.778 ; 5.789 ; +; -7.896 ; PS[1] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.563 ; +; -7.878 ; PS[1] ; IOROMEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.545 ; +; -7.844 ; LS[1] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.511 ; +; -7.817 ; IS.state_bit_1 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.484 ; +; -7.817 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.484 ; +; -7.804 ; REGEN ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; +; -7.804 ; REGEN ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; +; -7.804 ; REGEN ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; +; -7.804 ; REGEN ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; +; -7.804 ; REGEN ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; +; -7.804 ; REGEN ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; +; -7.804 ; REGEN ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; +; -7.804 ; REGEN ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.471 ; +; -7.801 ; REGEN ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; +; -7.801 ; REGEN ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; +; -7.801 ; REGEN ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; +; -7.801 ; REGEN ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; +; -7.801 ; REGEN ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; +; -7.801 ; REGEN ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; +; -7.801 ; REGEN ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; +; -7.801 ; REGEN ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.468 ; +; -7.785 ; LS[10] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.452 ; +; -7.783 ; IS.state_bit_0 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.450 ; +; -7.775 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.442 ; +; -7.769 ; REGEN ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 8.436 ; +; -7.762 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.429 ; +; -7.760 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.427 ; +; -7.741 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.408 ; +; -7.706 ; PS[3] ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.373 ; +; -7.704 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.371 ; +; -7.680 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.347 ; +; -7.668 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.335 ; +; -7.662 ; PS[3] ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.329 ; +; -7.654 ; PS[3] ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.321 ; +; -7.645 ; PS[1] ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.312 ; +; -7.643 ; REGEN ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; +; -7.643 ; REGEN ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; +; -7.643 ; REGEN ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; +; -7.643 ; REGEN ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; +; -7.643 ; REGEN ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; +; -7.643 ; REGEN ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; +; -7.643 ; REGEN ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; +; -7.643 ; REGEN ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.310 ; +; -7.642 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.309 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -275,9 +275,11 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.522 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.770 ; 3.959 ; -; -0.319 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.770 ; 3.756 ; -; 0.643 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.770 ; 2.794 ; +; -0.425 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.870 ; +; -0.265 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.710 ; +; 0.296 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 3.149 ; +; 0.609 ; SetFWr[0] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 2.836 ; +; 0.694 ; SetFWr[1] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.778 ; 2.751 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -286,9 +288,11 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.197 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.770 ; 2.794 ; -; 0.765 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.770 ; 3.756 ; -; 0.968 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.770 ; 3.959 ; +; -0.248 ; SetFWr[1] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 2.751 ; +; -0.163 ; SetFWr[0] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 2.836 ; +; 0.150 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.149 ; +; 0.711 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.710 ; +; 0.871 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.778 ; 3.870 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -297,106 +301,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.385 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.606 ; -; 1.402 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.623 ; -; 1.402 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.623 ; -; 1.412 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.414 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.635 ; -; 1.421 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.642 ; -; 1.665 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.886 ; -; 1.670 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.349 ; -; 1.738 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 1.959 ; -; 1.849 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.070 ; -; 1.871 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.092 ; -; 1.907 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.128 ; -; 1.918 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.139 ; -; 1.939 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.160 ; -; 1.946 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.167 ; -; 1.961 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.182 ; -; 2.011 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.232 ; -; 2.111 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.332 ; +; 1.400 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.621 ; +; 1.411 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; +; 1.412 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; +; 1.414 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.635 ; +; 1.420 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; +; 1.420 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; +; 1.640 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.861 ; +; 1.782 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.003 ; +; 1.822 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.043 ; +; 1.930 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.151 ; +; 1.933 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.154 ; +; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; +; 2.075 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.296 ; +; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; +; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.118 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.339 ; -; 2.124 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; -; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.117 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.120 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ; +; 2.123 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.344 ; +; 2.124 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; +; 2.125 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.126 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; ; 2.126 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.128 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.349 ; -; 2.134 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; -; 2.140 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.361 ; -; 2.143 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; -; 2.145 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; -; 2.154 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.375 ; -; 2.170 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.349 ; -; 2.183 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.404 ; -; 2.188 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.409 ; -; 2.196 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.417 ; -; 2.221 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.127 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.137 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; +; 2.151 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; +; 2.155 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.376 ; +; 2.162 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.383 ; +; 2.164 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.385 ; +; 2.175 ; PS[3] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.396 ; +; 2.215 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.436 ; ; 2.222 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; +; 2.226 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.905 ; ; 2.228 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.449 ; ; 2.230 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.231 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.232 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.240 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; ; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.248 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; -; 2.249 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.253 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; -; 2.253 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; -; 2.259 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.480 ; -; 2.260 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; -; 2.261 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.261 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.262 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.262 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.298 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.519 ; -; 2.313 ; IS.state_bit_2 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.534 ; -; 2.334 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.555 ; -; 2.337 ; PS[3] ; SA[10]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.558 ; -; 2.342 ; PS[3] ; SBA[0]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.563 ; -; 2.342 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.563 ; -; 2.372 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.593 ; -; 2.410 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.631 ; -; 2.443 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.664 ; -; 2.460 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.681 ; -; 2.636 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.857 ; -; 2.707 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.928 ; -; 2.712 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.933 ; -; 2.817 ; Addr[21] ; SA[11]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.038 ; -; 2.835 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.056 ; -; 2.870 ; Addr[0] ; DQML~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.091 ; -; 2.885 ; PS[2] ; SBA[0]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.106 ; -; 2.915 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.136 ; -; 2.939 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; -; 2.949 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; +; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.250 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.251 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.251 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.252 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; +; 2.260 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; +; 2.262 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; +; 2.263 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.484 ; +; 2.267 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.488 ; +; 2.285 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.506 ; +; 2.297 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.518 ; +; 2.421 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.642 ; +; 2.423 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.644 ; +; 2.532 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.753 ; +; 2.534 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.755 ; +; 2.537 ; PS[0] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.758 ; +; 2.538 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.759 ; +; 2.545 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.766 ; +; 2.559 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.780 ; +; 2.606 ; AddrIncL ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.827 ; +; 2.680 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.901 ; +; 2.699 ; PS[2] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.920 ; +; 2.702 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.923 ; +; 2.703 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.924 ; +; 2.726 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.905 ; +; 2.826 ; PHI0r1 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.047 ; +; 2.860 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.081 ; +; 2.905 ; IS.state_bit_0 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.126 ; +; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; +; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.956 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; -; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.958 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.959 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; -; 2.966 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; -; 2.972 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.193 ; -; 2.975 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.196 ; -; 2.977 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ; +; 2.949 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.956 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; +; 2.957 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.958 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.959 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; +; 3.011 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.232 ; +; 3.014 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.235 ; +; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; +; 3.060 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; ; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[1] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.061 ; IS.state_bit_1 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.282 ; +; 3.060 ; LS[8] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -405,35 +409,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; -4.399 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; -; -4.399 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.412 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +; -4.412 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.079 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -442,35 +446,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 4.845 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; -; 4.845 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.858 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +; 4.858 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.079 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -610,68 +614,65 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; 3.647 ; 3.647 ; Rise ; C25M ; -; MOSI ; C25M ; 3.258 ; 3.258 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.224 ; 2.224 ; Rise ; C25M ; -; RA[*] ; C25M ; 14.550 ; 14.550 ; Rise ; C25M ; -; RA[0] ; C25M ; 9.707 ; 9.707 ; Rise ; C25M ; -; RA[1] ; C25M ; 9.383 ; 9.383 ; Rise ; C25M ; -; RA[2] ; C25M ; 9.220 ; 9.220 ; Rise ; C25M ; -; RA[3] ; C25M ; 9.411 ; 9.411 ; Rise ; C25M ; -; RA[4] ; C25M ; 6.312 ; 6.312 ; Rise ; C25M ; -; RA[5] ; C25M ; 6.723 ; 6.723 ; Rise ; C25M ; -; RA[6] ; C25M ; 6.226 ; 6.226 ; Rise ; C25M ; -; RA[7] ; C25M ; 9.810 ; 9.810 ; Rise ; C25M ; -; RA[8] ; C25M ; 13.947 ; 13.947 ; Rise ; C25M ; -; RA[9] ; C25M ; 14.550 ; 14.550 ; Rise ; C25M ; -; RA[10] ; C25M ; 13.252 ; 13.252 ; Rise ; C25M ; -; RA[11] ; C25M ; 13.106 ; 13.106 ; Rise ; C25M ; -; RA[12] ; C25M ; 12.557 ; 12.557 ; Rise ; C25M ; -; RA[13] ; C25M ; 12.912 ; 12.912 ; Rise ; C25M ; -; RA[14] ; C25M ; 12.536 ; 12.536 ; Rise ; C25M ; -; RA[15] ; C25M ; 12.221 ; 12.221 ; Rise ; C25M ; -; RD[*] ; C25M ; 5.373 ; 5.373 ; Rise ; C25M ; -; RD[0] ; C25M ; 4.708 ; 4.708 ; Rise ; C25M ; -; RD[1] ; C25M ; 4.030 ; 4.030 ; Rise ; C25M ; -; RD[2] ; C25M ; 3.966 ; 3.966 ; Rise ; C25M ; -; RD[3] ; C25M ; 4.000 ; 4.000 ; Rise ; C25M ; -; RD[4] ; C25M ; 4.128 ; 4.128 ; Rise ; C25M ; -; RD[5] ; C25M ; 4.589 ; 4.589 ; Rise ; C25M ; -; RD[6] ; C25M ; 4.796 ; 4.796 ; Rise ; C25M ; -; RD[7] ; C25M ; 5.373 ; 5.373 ; Rise ; C25M ; -; SD[*] ; C25M ; 5.245 ; 5.245 ; Rise ; C25M ; -; SD[0] ; C25M ; 5.245 ; 5.245 ; Rise ; C25M ; -; SD[1] ; C25M ; 3.881 ; 3.881 ; Rise ; C25M ; -; SD[2] ; C25M ; 5.072 ; 5.072 ; Rise ; C25M ; -; SD[3] ; C25M ; 4.744 ; 4.744 ; Rise ; C25M ; -; SD[4] ; C25M ; 3.461 ; 3.461 ; Rise ; C25M ; -; SD[5] ; C25M ; 3.317 ; 3.317 ; Rise ; C25M ; -; SD[6] ; C25M ; 4.210 ; 4.210 ; Rise ; C25M ; -; SD[7] ; C25M ; 3.715 ; 3.715 ; Rise ; C25M ; -; SetFW[*] ; C25M ; 8.003 ; 8.003 ; Rise ; C25M ; -; SetFW[0] ; C25M ; 4.445 ; 4.445 ; Rise ; C25M ; -; SetFW[1] ; C25M ; 8.003 ; 8.003 ; Rise ; C25M ; -; nDEVSEL ; C25M ; 8.537 ; 8.537 ; Rise ; C25M ; -; nIOSEL ; C25M ; 7.483 ; 7.483 ; Rise ; C25M ; -; nRES ; C25M ; 5.821 ; 5.821 ; Rise ; C25M ; -; RA[*] ; PHI0 ; 7.025 ; 7.025 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 3.368 ; 3.368 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; 3.076 ; 3.076 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 3.235 ; 3.235 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 3.426 ; 3.426 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; 2.285 ; 2.285 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; 6.422 ; 6.422 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; 7.025 ; 7.025 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; 5.727 ; 5.727 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; 5.581 ; 5.581 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; 5.032 ; 5.032 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; 5.387 ; 5.387 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; 5.011 ; 5.011 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 4.696 ; 4.696 ; Rise ; PHI0 ; -; SetFW[*] ; PHI0 ; 2.529 ; 2.529 ; Rise ; PHI0 ; -; SetFW[0] ; PHI0 ; 0.787 ; 0.787 ; Rise ; PHI0 ; -; SetFW[1] ; PHI0 ; 2.529 ; 2.529 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 1.133 ; 1.133 ; Rise ; PHI0 ; +; MISO ; C25M ; 4.236 ; 4.236 ; Rise ; C25M ; +; MOSI ; C25M ; 4.174 ; 4.174 ; Rise ; C25M ; +; PHI0 ; C25M ; 2.780 ; 2.780 ; Rise ; C25M ; +; RA[*] ; C25M ; 13.704 ; 13.704 ; Rise ; C25M ; +; RA[0] ; C25M ; 9.040 ; 9.040 ; Rise ; C25M ; +; RA[1] ; C25M ; 10.111 ; 10.111 ; Rise ; C25M ; +; RA[2] ; C25M ; 11.221 ; 11.221 ; Rise ; C25M ; +; RA[3] ; C25M ; 11.322 ; 11.322 ; Rise ; C25M ; +; RA[4] ; C25M ; 6.069 ; 6.069 ; Rise ; C25M ; +; RA[5] ; C25M ; 5.790 ; 5.790 ; Rise ; C25M ; +; RA[6] ; C25M ; 7.139 ; 7.139 ; Rise ; C25M ; +; RA[7] ; C25M ; 10.088 ; 10.088 ; Rise ; C25M ; +; RA[8] ; C25M ; 13.349 ; 13.349 ; Rise ; C25M ; +; RA[9] ; C25M ; 13.704 ; 13.704 ; Rise ; C25M ; +; RA[10] ; C25M ; 12.357 ; 12.357 ; Rise ; C25M ; +; RA[11] ; C25M ; 12.145 ; 12.145 ; Rise ; C25M ; +; RA[12] ; C25M ; 12.246 ; 12.246 ; Rise ; C25M ; +; RA[13] ; C25M ; 11.874 ; 11.874 ; Rise ; C25M ; +; RA[14] ; C25M ; 12.544 ; 12.544 ; Rise ; C25M ; +; RA[15] ; C25M ; 11.995 ; 11.995 ; Rise ; C25M ; +; RD[*] ; C25M ; 6.903 ; 6.903 ; Rise ; C25M ; +; RD[0] ; C25M ; 4.401 ; 4.401 ; Rise ; C25M ; +; RD[1] ; C25M ; 4.653 ; 4.653 ; Rise ; C25M ; +; RD[2] ; C25M ; 3.968 ; 3.968 ; Rise ; C25M ; +; RD[3] ; C25M ; 4.146 ; 4.146 ; Rise ; C25M ; +; RD[4] ; C25M ; 4.101 ; 4.101 ; Rise ; C25M ; +; RD[5] ; C25M ; 4.868 ; 4.868 ; Rise ; C25M ; +; RD[6] ; C25M ; 4.516 ; 4.516 ; Rise ; C25M ; +; RD[7] ; C25M ; 6.903 ; 6.903 ; Rise ; C25M ; +; SD[*] ; C25M ; 5.643 ; 5.643 ; Rise ; C25M ; +; SD[0] ; C25M ; 4.467 ; 4.467 ; Rise ; C25M ; +; SD[1] ; C25M ; 5.643 ; 5.643 ; Rise ; C25M ; +; SD[2] ; C25M ; 3.772 ; 3.772 ; Rise ; C25M ; +; SD[3] ; C25M ; 3.824 ; 3.824 ; Rise ; C25M ; +; SD[4] ; C25M ; 4.593 ; 4.593 ; Rise ; C25M ; +; SD[5] ; C25M ; 4.266 ; 4.266 ; Rise ; C25M ; +; SD[6] ; C25M ; 3.851 ; 3.851 ; Rise ; C25M ; +; SD[7] ; C25M ; 3.789 ; 3.789 ; Rise ; C25M ; +; SetFW[*] ; C25M ; 3.175 ; 3.175 ; Rise ; C25M ; +; SetFW[0] ; C25M ; 2.614 ; 2.614 ; Rise ; C25M ; +; SetFW[1] ; C25M ; 3.175 ; 3.175 ; Rise ; C25M ; +; nDEVSEL ; C25M ; 8.361 ; 8.361 ; Rise ; C25M ; +; nIOSEL ; C25M ; 6.803 ; 6.803 ; Rise ; C25M ; +; nRES ; C25M ; 3.239 ; 3.239 ; Rise ; C25M ; +; RA[*] ; PHI0 ; 6.165 ; 6.165 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; 3.454 ; 3.454 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; 2.966 ; 2.966 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; 4.328 ; 4.328 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; 4.429 ; 4.429 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; 2.549 ; 2.549 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; 5.810 ; 5.810 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; 6.165 ; 6.165 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; 4.818 ; 4.818 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; 4.606 ; 4.606 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; 4.707 ; 4.707 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; 4.335 ; 4.335 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; 5.005 ; 5.005 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; 4.456 ; 4.456 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 1.098 ; 1.098 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -680,68 +681,65 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -3.093 ; -3.093 ; Rise ; C25M ; -; MOSI ; C25M ; -2.704 ; -2.704 ; Rise ; C25M ; -; PHI0 ; C25M ; -1.670 ; -1.670 ; Rise ; C25M ; -; RA[*] ; C25M ; -3.926 ; -3.926 ; Rise ; C25M ; -; RA[0] ; C25M ; -5.435 ; -5.435 ; Rise ; C25M ; -; RA[1] ; C25M ; -4.639 ; -4.639 ; Rise ; C25M ; -; RA[2] ; C25M ; -4.352 ; -4.352 ; Rise ; C25M ; -; RA[3] ; C25M ; -6.076 ; -6.076 ; Rise ; C25M ; -; RA[4] ; C25M ; -5.758 ; -5.758 ; Rise ; C25M ; -; RA[5] ; C25M ; -6.169 ; -6.169 ; Rise ; C25M ; -; RA[6] ; C25M ; -5.672 ; -5.672 ; Rise ; C25M ; -; RA[7] ; C25M ; -5.342 ; -5.342 ; Rise ; C25M ; -; RA[8] ; C25M ; -4.277 ; -4.277 ; Rise ; C25M ; -; RA[9] ; C25M ; -4.193 ; -4.193 ; Rise ; C25M ; -; RA[10] ; C25M ; -4.568 ; -4.568 ; Rise ; C25M ; -; RA[11] ; C25M ; -3.926 ; -3.926 ; Rise ; C25M ; -; RA[12] ; C25M ; -9.369 ; -9.369 ; Rise ; C25M ; -; RA[13] ; C25M ; -9.724 ; -9.724 ; Rise ; C25M ; -; RA[14] ; C25M ; -9.348 ; -9.348 ; Rise ; C25M ; -; RA[15] ; C25M ; -9.033 ; -9.033 ; Rise ; C25M ; -; RD[*] ; C25M ; -2.089 ; -2.089 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.153 ; -2.153 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.194 ; -2.194 ; Rise ; C25M ; -; RD[2] ; C25M ; -2.124 ; -2.124 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.089 ; -2.089 ; Rise ; C25M ; -; RD[4] ; C25M ; -2.109 ; -2.109 ; Rise ; C25M ; -; RD[5] ; C25M ; -2.138 ; -2.138 ; Rise ; C25M ; -; RD[6] ; C25M ; -2.661 ; -2.661 ; Rise ; C25M ; -; RD[7] ; C25M ; -3.452 ; -3.452 ; Rise ; C25M ; -; SD[*] ; C25M ; -2.763 ; -2.763 ; Rise ; C25M ; -; SD[0] ; C25M ; -4.691 ; -4.691 ; Rise ; C25M ; -; SD[1] ; C25M ; -3.327 ; -3.327 ; Rise ; C25M ; -; SD[2] ; C25M ; -4.518 ; -4.518 ; Rise ; C25M ; -; SD[3] ; C25M ; -4.190 ; -4.190 ; Rise ; C25M ; -; SD[4] ; C25M ; -2.907 ; -2.907 ; Rise ; C25M ; -; SD[5] ; C25M ; -2.763 ; -2.763 ; Rise ; C25M ; -; SD[6] ; C25M ; -3.656 ; -3.656 ; Rise ; C25M ; -; SD[7] ; C25M ; -3.161 ; -3.161 ; Rise ; C25M ; -; SetFW[*] ; C25M ; -3.891 ; -3.891 ; Rise ; C25M ; -; SetFW[0] ; C25M ; -3.891 ; -3.891 ; Rise ; C25M ; -; SetFW[1] ; C25M ; -4.150 ; -4.150 ; Rise ; C25M ; -; nDEVSEL ; C25M ; -3.415 ; -3.415 ; Rise ; C25M ; -; nIOSEL ; C25M ; -6.363 ; -6.363 ; Rise ; C25M ; -; nRES ; C25M ; -5.267 ; -5.267 ; Rise ; C25M ; -; RA[*] ; PHI0 ; -0.733 ; -0.733 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; -2.814 ; -2.814 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; -2.522 ; -2.522 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; -2.681 ; -2.681 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; -2.872 ; -2.872 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; -1.731 ; -1.731 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; -2.126 ; -2.126 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; -2.729 ; -2.729 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; -1.431 ; -1.431 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; -0.804 ; -0.804 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; -1.069 ; -1.069 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; -1.424 ; -1.424 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; -1.048 ; -1.048 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; -0.733 ; -0.733 ; Rise ; PHI0 ; -; SetFW[*] ; PHI0 ; -0.233 ; -0.233 ; Rise ; PHI0 ; -; SetFW[0] ; PHI0 ; -0.233 ; -0.233 ; Rise ; PHI0 ; -; SetFW[1] ; PHI0 ; -1.975 ; -1.975 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 0.253 ; 0.253 ; Rise ; PHI0 ; +; MISO ; C25M ; -3.682 ; -3.682 ; Rise ; C25M ; +; MOSI ; C25M ; -3.620 ; -3.620 ; Rise ; C25M ; +; PHI0 ; C25M ; -2.226 ; -2.226 ; Rise ; C25M ; +; RA[*] ; C25M ; -3.852 ; -3.852 ; Rise ; C25M ; +; RA[0] ; C25M ; -4.647 ; -4.647 ; Rise ; C25M ; +; RA[1] ; C25M ; -4.783 ; -4.783 ; Rise ; C25M ; +; RA[2] ; C25M ; -4.332 ; -4.332 ; Rise ; C25M ; +; RA[3] ; C25M ; -3.852 ; -3.852 ; Rise ; C25M ; +; RA[4] ; C25M ; -5.515 ; -5.515 ; Rise ; C25M ; +; RA[5] ; C25M ; -5.236 ; -5.236 ; Rise ; C25M ; +; RA[6] ; C25M ; -6.585 ; -6.585 ; Rise ; C25M ; +; RA[7] ; C25M ; -4.632 ; -4.632 ; Rise ; C25M ; +; RA[8] ; C25M ; -4.901 ; -4.901 ; Rise ; C25M ; +; RA[9] ; C25M ; -5.857 ; -5.857 ; Rise ; C25M ; +; RA[10] ; C25M ; -5.398 ; -5.398 ; Rise ; C25M ; +; RA[11] ; C25M ; -4.066 ; -4.066 ; Rise ; C25M ; +; RA[12] ; C25M ; -9.038 ; -9.038 ; Rise ; C25M ; +; RA[13] ; C25M ; -8.666 ; -8.666 ; Rise ; C25M ; +; RA[14] ; C25M ; -9.336 ; -9.336 ; Rise ; C25M ; +; RA[15] ; C25M ; -8.787 ; -8.787 ; Rise ; C25M ; +; RD[*] ; C25M ; -2.106 ; -2.106 ; Rise ; C25M ; +; RD[0] ; C25M ; -2.689 ; -2.689 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.558 ; -2.558 ; Rise ; C25M ; +; RD[2] ; C25M ; -2.686 ; -2.686 ; Rise ; C25M ; +; RD[3] ; C25M ; -2.620 ; -2.620 ; Rise ; C25M ; +; RD[4] ; C25M ; -2.645 ; -2.645 ; Rise ; C25M ; +; RD[5] ; C25M ; -2.677 ; -2.677 ; Rise ; C25M ; +; RD[6] ; C25M ; -2.106 ; -2.106 ; Rise ; C25M ; +; RD[7] ; C25M ; -2.154 ; -2.154 ; Rise ; C25M ; +; SD[*] ; C25M ; -3.218 ; -3.218 ; Rise ; C25M ; +; SD[0] ; C25M ; -3.913 ; -3.913 ; Rise ; C25M ; +; SD[1] ; C25M ; -5.089 ; -5.089 ; Rise ; C25M ; +; SD[2] ; C25M ; -3.218 ; -3.218 ; Rise ; C25M ; +; SD[3] ; C25M ; -3.270 ; -3.270 ; Rise ; C25M ; +; SD[4] ; C25M ; -4.039 ; -4.039 ; Rise ; C25M ; +; SD[5] ; C25M ; -3.712 ; -3.712 ; Rise ; C25M ; +; SD[6] ; C25M ; -3.297 ; -3.297 ; Rise ; C25M ; +; SD[7] ; C25M ; -3.235 ; -3.235 ; Rise ; C25M ; +; SetFW[*] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; +; SetFW[0] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; +; SetFW[1] ; C25M ; -2.621 ; -2.621 ; Rise ; C25M ; +; nDEVSEL ; C25M ; -2.931 ; -2.931 ; Rise ; C25M ; +; nIOSEL ; C25M ; -6.016 ; -6.016 ; Rise ; C25M ; +; nRES ; C25M ; -2.685 ; -2.685 ; Rise ; C25M ; +; RA[*] ; PHI0 ; -0.955 ; -0.955 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; -2.900 ; -2.900 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; -2.412 ; -2.412 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; -3.774 ; -3.774 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; -3.875 ; -3.875 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; -1.995 ; -1.995 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; -2.159 ; -2.159 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; -2.514 ; -2.514 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; -1.167 ; -1.167 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; -0.955 ; -0.955 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; -3.055 ; -3.055 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; -2.683 ; -2.683 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; -3.353 ; -3.353 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; -2.804 ; -2.804 ; Rise ; PHI0 ; +; nWE ; PHI0 ; -0.009 ; -0.009 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -750,55 +748,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.374 ; 9.374 ; Rise ; C25M ; -; DQML ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; FCK ; C25M ; 8.081 ; 8.081 ; Rise ; C25M ; -; MOSI ; C25M ; 8.903 ; 8.903 ; Rise ; C25M ; -; RCKE ; C25M ; 9.484 ; 9.484 ; Rise ; C25M ; -; RD[*] ; C25M ; 9.917 ; 9.917 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.346 ; 8.346 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.265 ; 8.265 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.346 ; 8.346 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; -; RD[4] ; C25M ; 9.375 ; 9.375 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.984 ; 8.984 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.913 ; 8.913 ; Rise ; C25M ; -; RD[7] ; C25M ; 9.917 ; 9.917 ; Rise ; C25M ; -; RDdir ; C25M ; 16.629 ; 16.629 ; Rise ; C25M ; -; SA[*] ; C25M ; 9.394 ; 9.394 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.606 ; 8.606 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.584 ; 8.584 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.798 ; 8.798 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.651 ; 8.651 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.242 ; 8.242 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.647 ; 8.647 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.669 ; 8.669 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.116 ; 8.116 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.234 ; 8.234 ; Rise ; C25M ; -; SA[9] ; C25M ; 7.567 ; 7.567 ; Rise ; C25M ; -; SA[10] ; C25M ; 9.394 ; 9.394 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.116 ; 8.116 ; Rise ; C25M ; -; SA[12] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.073 ; 8.073 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.113 ; 8.113 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.574 ; 8.574 ; Rise ; C25M ; -; SD[3] ; C25M ; 8.249 ; 8.249 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; -; SD[5] ; C25M ; 8.113 ; 8.113 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; -; SD[7] ; C25M ; 8.241 ; 8.241 ; Rise ; C25M ; -; nCAS ; C25M ; 8.329 ; 8.329 ; Rise ; C25M ; -; nFCS ; C25M ; 6.964 ; 6.964 ; Rise ; C25M ; -; nRAS ; C25M ; 8.349 ; 8.349 ; Rise ; C25M ; -; nRCS ; C25M ; 9.461 ; 9.461 ; Rise ; C25M ; -; nRESout ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; -; nSWE ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; -; RDdir ; PHI0 ; 11.026 ; 11.026 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 11.026 ; 11.026 ; Fall ; PHI0 ; +; DQMH ; C25M ; 9.305 ; 9.305 ; Rise ; C25M ; +; DQML ; C25M ; 9.483 ; 9.483 ; Rise ; C25M ; +; FCK ; C25M ; 9.008 ; 9.008 ; Rise ; C25M ; +; MOSI ; C25M ; 8.844 ; 8.844 ; Rise ; C25M ; +; RCKE ; C25M ; 9.013 ; 9.013 ; Rise ; C25M ; +; RD[*] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; +; RD[0] ; C25M ; 8.456 ; 8.456 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.246 ; 8.246 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.212 ; 8.212 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.920 ; 8.920 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; +; RD[5] ; C25M ; 8.222 ; 8.222 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.841 ; 8.841 ; Rise ; C25M ; +; RD[7] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; +; RDdir ; C25M ; 13.847 ; 13.847 ; Rise ; C25M ; +; SA[*] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.917 ; 8.917 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.665 ; 8.665 ; Rise ; C25M ; +; SA[2] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; +; SA[3] ; C25M ; 8.223 ; 8.223 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.244 ; 8.244 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.115 ; 8.115 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.104 ; 8.104 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.229 ; 8.229 ; Rise ; C25M ; +; SA[9] ; C25M ; 8.975 ; 8.975 ; Rise ; C25M ; +; SA[10] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; +; SA[11] ; C25M ; 8.922 ; 8.922 ; Rise ; C25M ; +; SA[12] ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; +; SBA[1] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; +; SD[*] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; +; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; +; SD[1] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; +; SD[2] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; +; SD[3] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.135 ; 8.135 ; Rise ; C25M ; +; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; +; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; +; SD[7] ; C25M ; 7.556 ; 7.556 ; Rise ; C25M ; +; nCAS ; C25M ; 8.431 ; 8.431 ; Rise ; C25M ; +; nFCS ; C25M ; 8.772 ; 8.772 ; Rise ; C25M ; +; nRAS ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; +; nRCS ; C25M ; 9.195 ; 9.195 ; Rise ; C25M ; +; nRESout ; C25M ; 8.037 ; 8.037 ; Rise ; C25M ; +; nSWE ; C25M ; 8.335 ; 8.335 ; Rise ; C25M ; +; RDdir ; PHI0 ; 11.354 ; 11.354 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 11.354 ; 11.354 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -807,55 +805,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.374 ; 9.374 ; Rise ; C25M ; -; DQML ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; FCK ; C25M ; 8.081 ; 8.081 ; Rise ; C25M ; -; MOSI ; C25M ; 8.903 ; 8.903 ; Rise ; C25M ; -; RCKE ; C25M ; 9.484 ; 9.484 ; Rise ; C25M ; -; RD[*] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.346 ; 8.346 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.265 ; 8.265 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.346 ; 8.346 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; -; RD[4] ; C25M ; 9.375 ; 9.375 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.984 ; 8.984 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.913 ; 8.913 ; Rise ; C25M ; -; RD[7] ; C25M ; 9.917 ; 9.917 ; Rise ; C25M ; -; RDdir ; C25M ; 9.501 ; 9.501 ; Rise ; C25M ; -; SA[*] ; C25M ; 7.567 ; 7.567 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.606 ; 8.606 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.584 ; 8.584 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.798 ; 8.798 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.651 ; 8.651 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.242 ; 8.242 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.647 ; 8.647 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.669 ; 8.669 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.116 ; 8.116 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.234 ; 8.234 ; Rise ; C25M ; -; SA[9] ; C25M ; 7.567 ; 7.567 ; Rise ; C25M ; -; SA[10] ; C25M ; 9.394 ; 9.394 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.116 ; 8.116 ; Rise ; C25M ; -; SA[12] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.073 ; 8.073 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.073 ; 8.073 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.113 ; 8.113 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.574 ; 8.574 ; Rise ; C25M ; -; SD[3] ; C25M ; 8.249 ; 8.249 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; -; SD[5] ; C25M ; 8.113 ; 8.113 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; -; SD[7] ; C25M ; 8.241 ; 8.241 ; Rise ; C25M ; -; nCAS ; C25M ; 8.329 ; 8.329 ; Rise ; C25M ; -; nFCS ; C25M ; 6.964 ; 6.964 ; Rise ; C25M ; -; nRAS ; C25M ; 8.349 ; 8.349 ; Rise ; C25M ; -; nRCS ; C25M ; 9.461 ; 9.461 ; Rise ; C25M ; -; nRESout ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; -; nSWE ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; -; RDdir ; PHI0 ; 11.026 ; 11.026 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 11.026 ; 11.026 ; Fall ; PHI0 ; +; DQMH ; C25M ; 9.305 ; 9.305 ; Rise ; C25M ; +; DQML ; C25M ; 9.483 ; 9.483 ; Rise ; C25M ; +; FCK ; C25M ; 9.008 ; 9.008 ; Rise ; C25M ; +; MOSI ; C25M ; 8.844 ; 8.844 ; Rise ; C25M ; +; RCKE ; C25M ; 9.013 ; 9.013 ; Rise ; C25M ; +; RD[*] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; +; RD[0] ; C25M ; 8.456 ; 8.456 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.246 ; 8.246 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.212 ; 8.212 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.920 ; 8.920 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.200 ; 8.200 ; Rise ; C25M ; +; RD[5] ; C25M ; 8.222 ; 8.222 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.841 ; 8.841 ; Rise ; C25M ; +; RD[7] ; C25M ; 9.287 ; 9.287 ; Rise ; C25M ; +; RDdir ; C25M ; 11.009 ; 11.009 ; Rise ; C25M ; +; SA[*] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.917 ; 8.917 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.665 ; 8.665 ; Rise ; C25M ; +; SA[2] ; C25M ; 9.270 ; 9.270 ; Rise ; C25M ; +; SA[3] ; C25M ; 8.223 ; 8.223 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.244 ; 8.244 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.115 ; 8.115 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.104 ; 8.104 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.229 ; 8.229 ; Rise ; C25M ; +; SA[9] ; C25M ; 8.975 ; 8.975 ; Rise ; C25M ; +; SA[10] ; C25M ; 6.951 ; 6.951 ; Rise ; C25M ; +; SA[11] ; C25M ; 8.922 ; 8.922 ; Rise ; C25M ; +; SA[12] ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; +; SBA[*] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.182 ; 8.182 ; Rise ; C25M ; +; SBA[1] ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; +; SD[*] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; +; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; +; SD[1] ; C25M ; 9.179 ; 9.179 ; Rise ; C25M ; +; SD[2] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; +; SD[3] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.135 ; 8.135 ; Rise ; C25M ; +; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; +; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; +; SD[7] ; C25M ; 7.556 ; 7.556 ; Rise ; C25M ; +; nCAS ; C25M ; 8.431 ; 8.431 ; Rise ; C25M ; +; nFCS ; C25M ; 8.772 ; 8.772 ; Rise ; C25M ; +; nRAS ; C25M ; 8.114 ; 8.114 ; Rise ; C25M ; +; nRCS ; C25M ; 9.195 ; 9.195 ; Rise ; C25M ; +; nRESout ; C25M ; 8.037 ; 8.037 ; Rise ; C25M ; +; nSWE ; C25M ; 8.335 ; 8.335 ; Rise ; C25M ; +; RDdir ; PHI0 ; 11.354 ; 11.354 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 11.354 ; 11.354 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -864,44 +862,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.656 ; ; ; 8.656 ; -; INTin ; INTout ; 8.937 ; ; ; 8.937 ; -; nDEVSEL ; RD[0] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[1] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[2] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[3] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[4] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[5] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[6] ; 14.980 ; ; ; 14.980 ; -; nDEVSEL ; RD[7] ; 14.980 ; ; ; 14.980 ; -; nDEVSEL ; RDdir ; 16.482 ; ; ; 16.482 ; -; nIOSEL ; RD[0] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[1] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[2] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[3] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[4] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[5] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[6] ; 14.426 ; ; ; 14.426 ; -; nIOSEL ; RD[7] ; 14.426 ; ; ; 14.426 ; -; nIOSEL ; RDdir ; 15.928 ; ; ; 15.928 ; -; nIOSTRB ; RD[0] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[1] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[2] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[3] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[4] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[5] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[6] ; 13.946 ; ; ; 13.946 ; -; nIOSTRB ; RD[7] ; 13.946 ; ; ; 13.946 ; -; nIOSTRB ; RDdir ; 15.448 ; ; ; 15.448 ; -; nWE ; RD[0] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[1] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[2] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[3] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[4] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[5] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[6] ; 9.881 ; ; ; 9.881 ; -; nWE ; RD[7] ; 9.881 ; ; ; 9.881 ; -; nWE ; RDdir ; 11.383 ; ; ; 11.383 ; +; DMAin ; DMAout ; 8.420 ; ; ; 8.420 ; +; INTin ; INTout ; 8.852 ; ; ; 8.852 ; +; nDEVSEL ; RD[0] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[1] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[2] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[3] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[4] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[5] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[6] ; 13.954 ; ; ; 13.954 ; +; nDEVSEL ; RD[7] ; 13.954 ; ; ; 13.954 ; +; nDEVSEL ; RDdir ; 15.512 ; ; ; 15.512 ; +; nIOSEL ; RD[0] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[1] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[2] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[3] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[4] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[5] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[6] ; 13.767 ; ; ; 13.767 ; +; nIOSEL ; RD[7] ; 13.767 ; ; ; 13.767 ; +; nIOSEL ; RDdir ; 15.325 ; ; ; 15.325 ; +; nIOSTRB ; RD[0] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[1] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[2] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[3] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[4] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[5] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[6] ; 13.620 ; ; ; 13.620 ; +; nIOSTRB ; RD[7] ; 13.620 ; ; ; 13.620 ; +; nIOSTRB ; RDdir ; 15.178 ; ; ; 15.178 ; +; nWE ; RD[0] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[1] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[2] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[3] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[4] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[5] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[6] ; 10.255 ; ; ; 10.255 ; +; nWE ; RD[7] ; 10.255 ; ; ; 10.255 ; +; nWE ; RDdir ; 11.813 ; ; ; 11.813 ; +------------+-------------+--------+----+----+--------+ @@ -910,44 +908,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.656 ; ; ; 8.656 ; -; INTin ; INTout ; 8.937 ; ; ; 8.937 ; -; nDEVSEL ; RD[0] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[1] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[2] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[3] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[4] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[5] ; 14.969 ; ; ; 14.969 ; -; nDEVSEL ; RD[6] ; 14.980 ; ; ; 14.980 ; -; nDEVSEL ; RD[7] ; 14.980 ; ; ; 14.980 ; -; nDEVSEL ; RDdir ; 16.482 ; ; ; 16.482 ; -; nIOSEL ; RD[0] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[1] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[2] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[3] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[4] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[5] ; 14.415 ; ; ; 14.415 ; -; nIOSEL ; RD[6] ; 14.426 ; ; ; 14.426 ; -; nIOSEL ; RD[7] ; 14.426 ; ; ; 14.426 ; -; nIOSEL ; RDdir ; 15.928 ; ; ; 15.928 ; -; nIOSTRB ; RD[0] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[1] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[2] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[3] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[4] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[5] ; 13.935 ; ; ; 13.935 ; -; nIOSTRB ; RD[6] ; 13.946 ; ; ; 13.946 ; -; nIOSTRB ; RD[7] ; 13.946 ; ; ; 13.946 ; -; nIOSTRB ; RDdir ; 15.448 ; ; ; 15.448 ; -; nWE ; RD[0] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[1] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[2] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[3] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[4] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[5] ; 9.870 ; ; ; 9.870 ; -; nWE ; RD[6] ; 9.881 ; ; ; 9.881 ; -; nWE ; RD[7] ; 9.881 ; ; ; 9.881 ; -; nWE ; RDdir ; 11.383 ; ; ; 11.383 ; +; DMAin ; DMAout ; 8.420 ; ; ; 8.420 ; +; INTin ; INTout ; 8.852 ; ; ; 8.852 ; +; nDEVSEL ; RD[0] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[1] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[2] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[3] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[4] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[5] ; 13.908 ; ; ; 13.908 ; +; nDEVSEL ; RD[6] ; 13.954 ; ; ; 13.954 ; +; nDEVSEL ; RD[7] ; 13.954 ; ; ; 13.954 ; +; nDEVSEL ; RDdir ; 15.512 ; ; ; 15.512 ; +; nIOSEL ; RD[0] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[1] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[2] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[3] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[4] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[5] ; 13.721 ; ; ; 13.721 ; +; nIOSEL ; RD[6] ; 13.767 ; ; ; 13.767 ; +; nIOSEL ; RD[7] ; 13.767 ; ; ; 13.767 ; +; nIOSEL ; RDdir ; 15.325 ; ; ; 15.325 ; +; nIOSTRB ; RD[0] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[1] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[2] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[3] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[4] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[5] ; 13.574 ; ; ; 13.574 ; +; nIOSTRB ; RD[6] ; 13.620 ; ; ; 13.620 ; +; nIOSTRB ; RD[7] ; 13.620 ; ; ; 13.620 ; +; nIOSTRB ; RDdir ; 15.178 ; ; ; 15.178 ; +; nWE ; RD[0] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[1] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[2] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[3] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[4] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[5] ; 10.209 ; ; ; 10.209 ; +; nWE ; RD[6] ; 10.255 ; ; ; 10.255 ; +; nWE ; RD[7] ; 10.255 ; ; ; 10.255 ; +; nWE ; RDdir ; 11.813 ; ; ; 11.813 ; +------------+-------------+--------+----+----+--------+ @@ -956,45 +954,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 8.571 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.845 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 15.127 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 15.127 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.442 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.793 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; +; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 12.289 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 12.289 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +-----------+------------+--------+------+------------+-----------------+ @@ -1003,45 +1001,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+------+------------+-----------------+ -; FCK ; C25M ; 8.571 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.845 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 7.999 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 7.999 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.442 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.793 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; +; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 9.451 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 9.451 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +-----------+------------+-------+------+------------+-----------------+ @@ -1050,45 +1048,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 8.571 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.845 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 15.116 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 15.127 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 15.127 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.442 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.793 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; +; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 12.243 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 12.289 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 12.289 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1097,45 +1095,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 8.571 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.845 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 7.988 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 7.999 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 7.999 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 6.935 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.442 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.442 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.793 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.909 ; ; Rise ; C25M ; +; MOSI ; C25M ; 8.455 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 9.405 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 9.451 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 9.451 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.446 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.014 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.014 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.116 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.750 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.796 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.750 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.796 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1144,9 +1142,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1378 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 84 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 3 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 1374 ; 0 ; 0 ; 0 ; +; PHI0 ; C25M ; 82 ; 1 ; 0 ; 0 ; +; C25M ; PHI0 ; 5 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1156,9 +1154,9 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1378 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 84 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 3 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 1374 ; 0 ; 0 ; 0 ; +; PHI0 ; C25M ; 82 ; 1 ; 0 ; 0 ; +; C25M ; PHI0 ; 5 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1203,7 +1201,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 44 ; 44 ; -; Unconstrained Input Port Paths ; 655 ; 655 ; +; Unconstrained Input Port Paths ; 645 ; 645 ; ; Unconstrained Output Ports ; 45 ; 45 ; ; Unconstrained Output Port Paths ; 118 ; 118 ; +---------------------------------+-------+------+ @@ -1215,7 +1213,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Apr 20 04:00:22 2021 + Info: Processing started: Tue Apr 20 04:20:00 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected @@ -1230,24 +1228,24 @@ Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name PHI0 PHI0 Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -9.480 +Info (332146): Worst-case setup slack is -9.005 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -9.480 -695.573 C25M - Info (332119): -0.522 -0.522 PHI0 -Info (332146): Worst-case hold slack is -0.197 + Info (332119): -9.005 -699.357 C25M + Info (332119): -0.425 -0.425 PHI0 +Info (332146): Worst-case hold slack is -0.248 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -0.197 -0.197 PHI0 - Info (332119): 1.385 0.000 C25M -Info (332146): Worst-case recovery slack is -4.399 + Info (332119): -0.248 -0.248 PHI0 + Info (332119): 1.400 0.000 C25M +Info (332146): Worst-case recovery slack is -4.412 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -4.399 -127.571 C25M -Info (332146): Worst-case removal slack is 4.845 + Info (332119): -4.412 -127.948 C25M +Info (332146): Worst-case removal slack is 4.858 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 4.845 0.000 C25M + Info (332119): 4.858 0.000 C25M Info (332146): Worst-case minimum pulse width slack is -2.289 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== @@ -1258,8 +1256,8 @@ Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings Info: Peak virtual memory: 278 megabytes - Info: Processing ended: Tue Apr 20 04:00:26 2021 - Info: Elapsed time: 00:00:04 + Info: Processing ended: Tue Apr 20 04:20:05 2021 + Info: Elapsed time: 00:00:05 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 3fc566d..c650a04 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,27 +3,27 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : -9.480 -TNS : -695.573 +Slack : -9.005 +TNS : -699.357 Type : Setup 'PHI0' -Slack : -0.522 -TNS : -0.522 +Slack : -0.425 +TNS : -0.425 Type : Hold 'PHI0' -Slack : -0.197 -TNS : -0.197 +Slack : -0.248 +TNS : -0.248 Type : Hold 'C25M' -Slack : 1.385 +Slack : 1.400 TNS : 0.000 Type : Recovery 'C25M' -Slack : -4.399 -TNS : -127.571 +Slack : -4.412 +TNS : -127.948 Type : Removal 'C25M' -Slack : 4.845 +Slack : 4.858 TNS : 0.000 Type : Minimum Pulse Width 'C25M'

Fx)3CQaszWaZr`cGHDGFj}+V6pPA^==U;xurDI+5J?_5z3iCi&DG~ z`vA?borl@BqYN5pLKHtsH-zu!fWU8(y&Ho1LX{r1yne{@T{x$y^>M>qc|8>g0`L+p z;F9fDUk4;_4$;x?YD%4ou52!*$P6eNkx0JBpv>TWsx02FE(U-tlDEqes$~#fl`>mQ z4{Au0193()!hmvcQtw6x$x%uC2eS`#Sm6)KXQzL>&ScZD!=K`fu~xKvdkS7CAdbH` zh|s`}7^xQp_Qcoh3>$A8IT{g)W5)tA`Atbz{!yl+7&Mzpv0ceRK@NAN_%keMD#ksL z(nRDVDIj}G6$oi}F(#rmy{z5}*&f>gwn{E6o(Zeyjp^Q>Yl`Wp1 zTp$ipxBYv#D8Wt};Q8w9VZES&zxwaFo`Jn0xHAu z`X^&QOX3}{VRqUP2u;Krayn!W%s6&t zrB_p93-Dc&XZOdy&?8Dh*RiDskCX!}@LgNQ6j^~poK(RK(^MC%v9SC${H@P7lN_2! zkZ6i98DVAz(J#bVZy zJcJ)cOsF8 zz0(plf@}vF^;5tO`MC!s5y8jKj>pxyx`?|!jJUa`$rmo)Fr!uDV-8kX>Z>$Xw<7Mp zu8*qbtQo3a)GpP$vzl#$&YdX#r1!R53~ z)(siROzU3+&b7F1bA%%{V4FMuuuq;UR`ItQgAH6dsd?=g-5i6IQ!I1SoZzo>5!ES> zDIvLoC|@;+l)2@?1A#zYG7CN0U#I9Ft$9Zqy4P@3olI2pAd{f@nbDhSRFOFDViM^( zxS!mOEa;cB)`$)8k7Zv9~EU1!CCK?_C)n>v!~x1scu6!xGBna0(eP}ozMnE&fpv> zhpfGtb56#pEI;;O#ads0Zmp2gBbs+&KT=K39Zwz0rN^OG4qp*t6{yDMQho|2{6ZJL@?t&gbpw*3zu94U?@;*u2ZDFm#3I& z510;F_x{D3{X8Nm;q@1_1Q{FreNa`mijp9D4Tgz&e%Jz!(t4PH-X0)|EK;lQB zt&^lMpF-NrgvuMLh44_ae>6A*m09P=kz95v`sU0O=`J-zYh${0kKfT7bMlO&a(XLB_rsBX>#f{MOGa=y`hNDxhRBjv&l} zbTkb`oqS0`I*S?#JHK!8$)YJb!(Z&cCBWW9=U#N{NY%m(j9w_UIIb>{vO%>}_j2ql zscu@Li}>Q7-#1B|MRcTv-VWxGSl--u9^4)!YETGlrdEKioMvnfYK$i}9P;EcKf|ok zN$+B9Kp9Z3vGq*4w8`r?-hpQz*_wY^z2sXY&`vMfKxe^WGUHw$b|mMr=@i|=o!eu4 z2{cp-?Z#9mK(!XiP#4gGBK^sLQ2B~;!Rwei4!$~1twpMbTgR<#l0_o_J>~$~HxMo+ zo(`V}_vo-QX~Bw&J5rqq%pS_8*z*&{3V2yyMD=_NVp+k({7C)!#pUe)`-)T5onE4# zW~O_SnbiMP8=2ps`nOb%#PFuV7Z#-w;M;k-Q@Q*umtX_}6vfNF4;k7qhdXE__O=HI zd%<#zmLBc-n?AKxFr_8^me4_H6^Z0UCS}@g+-Q{R$qg9CW^FD!P9QUnIgsd!^E|%& z`1D(_y92x21!i!v_+?F!aUpGN z#*_q;?bpwcZ}Ygy9iX^}QJjOgA5tJSl?Q}CVFVvdCL?gCRy*1?uQL-|l8 zIzHy%^Khxl*oATen2tj_HrT;tP1{d*+1^WQGiGCFGTz+A%L?;=KXSR z085j8ntw2^*Bl<#2>K=kXK&=JgijejWL$Tvs3;{72WQV(k&lYrf*3AL(?WWYn zFwx_#y!h&YFpqciVd1B&y>UyjN+@9TLLso*=G5Fei7adk|HCS3yF;aX3neH^Jr2o1 z!b02R)I7bL?mX3U4?7w~RNgI9$w5xj zp0kZQ!hJuUed4-?aCamwBa&c=83;1D8!(IG*9t2*6g9(@VWT$om_Ax7ruF1_qS;{) zB-zvr5XF3WsyDLa!*?HLCp{K+Hvg+*71GpIiWhVSN7-!oQ5Y=EWFzCqWbMcu!uz|l zQBy7qp})jU8<2+#E+KMPigFn(fQ*!|)9ya?X>*etAw!s|7vk z@Ym)mlEUq`QpPxF(HFLzRs#VW688i)2r%Mw`^Ug@r zTmFU-@K`$}gtCD5Kxrz&;Gjy~5lrgP{X_w*lqjW1K^OW+bXH4acCCK^j_Z)32_q_?Mt~wGlU*&y5%xX1mM^_DUgZ!pSaZ&g`6u)ngQyjAW zaOPgxMgdRABlmJz_&eR|#zwjn%F0X9_E0yOGU&9KCz9>?Vi1KJ``Bw6yGFV65Uow# z3}ULep;q~7>wi=WGYE?2>Z6*zpZ^)Q*1OT*7K}|0YDy*Z^$rkwBf{Lmn!Aw_<|jZ4 z;4NWiW^V^GqFUBvKP0Xr*GWonGK*&l_a0r#a_`yfeT@;9VI`&gVeCS#JzTL;;ndT{ zi`63v3lMr+2)uO;PVf{0YT?uH5OF-nyY{`eH2;8%<=dNmE;S+f=NYFTcS-oKDHU!V zo_C-xKVV)-2)<;-ms}2(MJ*>heVUHTl!8XOnE&`dIxX)g<`g7on%@j3^#loe=J)<( zZud9LrATU|rUZ)gRIiyxMtn#edkBH=vG{AQD2(?QUe>ps(^(Ux=d^+$!>Mk_{`sm7cH6{FOjn)D-ng(FeTZ`ASlw_KVZx?moqX1 zfx);-_(lMmGFirE2rN*Lqr_Fs6y-kqDDx$o1bt z5U%=%H^ILrE#uTW-LvRclzGx8YjWEJgM67RX(>YpROGphjaodMO9V}+d*Fe&lJk#g zR-oT%2i#m`_xnB6W0<+aCZGESW%ZWreA})L{QUP*Si5A;&)ELHY6-HJV#A%9fQyR4 zm;hlk8fYTBoAZ@0XgN%K*``1`N0a}zNK0#E$x_l0p?@ST^a#ZPuAC$`3$B<~D$3-)R5CN|>LnpC)@vr>KUp?UYdVV8uqO`oYf1=z~u}!=A&6cXEdfBgsOPw_JL4k81(Zr~0OO(noFhIG+RlCWA^#hMU(VP?c zTkj8S`|2h#3Ok`5{YB5e^td6_?X&*ar&}QolzWp^3{$M)0*H90wAO|$0dYT-4V9SVC1ibUzXp!2j3dQ zFvcI}I}1LBNuErb!wm$<{%j0sV;^hdaa6#^zAoe=&k6GhNX<*UR&MjTm0cyW|2Hz+ z9F^Obrsenr#ndNuFE|=vB-{5F{0vQR$%%AA2Geou_Pm^V%9R3q8!Z=%tXUXc!z}^o znjIQsqpLXk+<-w?WqH}%_f*J(?F0pupPcL>wCv{DPX%-?Bz2)akp4lRWDJIMo^d4m z1|18?7ap7E;O(Y;$4Us`muQ20La)qD!n}Jkh8J7+U#$(c#s+HkvQjo*n@1)me}gZ(75+`lcl}$-#%3cq3`#5QG|TE8cP3*ULI2T{P0oc_Y1GZbAS&D zDB{RRFF)&fIsPu(17?Dz&Lb&`fp~ zL_t_+<4Y8heUl)(ZrT>CVl!oFpC0Ds2CUO}&XjM%jYUE7I*ro&K#S?$t_I5@m0s~C zkV@WR%Ci+=6TpD0h;44u+Z8IhPaX4PsM=DAWr9>^EM8m^27a?yA6`QpkrP~kY|ASM zU|Wi1^%F5Lx4vT?6r^(NJaU3hh2=uip2?U%c7k2V2G|)QxS5}ms`QZ;pW)w~_w!M$ z4`N^?Z5rH!ANaKRkbaKs*xe=0(^ok-g8fV>H}m%ZTU z3@qjm0Bq&_^m4ajAFzbvrfwU4zA^7)%vK+J_a6;v+c5LsSrP!i%}rZ=5T%{R`!yBt zOO@P5d}q}7bbkw>#TcR#uZBkX*i03Or%E0+QHE!kgoWDf4g=V44ZJV!AOTJ%Z}5lt zKd&$W2FAa4WlMGE8ZFG>TPC3~}!tM9CiR5TpAq!!+vd?AN zbA@+AlP+u%9E35UF|L3LBm6FEfqw%5mwFjsfgD4_@sJuFA~2p6V2Q@x6%7OC)OmKi zB{K)>S;6j08|c+8Oz!Sa^`pbnUL7T#E0SLM9HaN>n3P#Sa~ zu{uY`rq7r|k&B7QU?y{A-Z_+?$47H#oQsFC`kunC!VnMhUgk|K-oh7cA_fn<16?+v zt@teZE;ZUu8w{tv1dGC(?uN&#j#c)%-}>?1bCCZ=qLJ37y{^ zmV*MQD0?o0roB!l5*@=Du(*2hg8WIsOO0#1YEBViM)~1-R#9uiq&h_jkl#$Pa{@2B ztuOkwSIIdVjdQC1hPq09cL~X;uOheDz#@RWn-RA$w9JHCvh1&XSY#o+1BE$g^k7ZZ zG`2V!*(F1|zSTY1_DB&@k;38zj?1@H0MI(?6AR2R#qOD7k(`5dZ@gQ^EG>;y$?bzg zf+Cd+kWT(yW?k2XMN_`rShg&n@A5?JW2<)ojSX?F!4C$N+$%*QS%^TF#nCewX3b2xv9~6Du7OVGLJvib$qJvJ-(%yu@V>M-R35vS4kg;tlfqic_B$PoH1=sU+Ei|i2+AZBXwpf{QU`Ib z5E^1qM%D`tQt6O2ajpdNb6CTelC?JHlZHLTW|lP**}btXP5t3J9I-ZzwuuTVRc)Q0 zslZp(>f$sRZzLXiHe=S~gLhh}cv9tGyFn!4o=(c#PMGZx9 z!XBD-Dy3<8Azt-vH53FDKi<}j^LN{El&7X-JeKOfcyirOT*;Sph4}?-jKA9gGx;ry zeVWwWv5Gbxsu1(_vK-jGKXNBJnXTxa{MU8+;bqxWYjxZY80#o@a|ceQY&-V)8%nxD zL=JIayB(u!`I5tqeB9dW;2xY;9h}ekM|&u_pn)&4`Lz6AV}80VbRz|`UzY9v3z9fE z#XW(y9KCHp(L&v>-o`$s)V{U1upUGrX_wz~H%lF4ioBeNeCk_z6@IUyN4b$dH9+e2jW^WusY9;k)I*iZlAEh<%<$B!z6nwk}syp zhow%-vk?z)Ft*a(*hWw4AA|SE&d3VVwBNso)J7aDF2PWw5hEvaM3nJ&?IOeswl0c+ za~(H$yA{+Uca~M^&l!IW%UG7ZxNN2a)bhK!JPNL?UU+6VBHlof-v_*0kz#4{f@RJi zVj%1&gjzPAk4;?WJh(z=tx6OEmK}Pu?BoK5B~rvNM}6nmDb`@D4bb(g+XOsZIS2hD zERHt7qxtuf_5d7!7K-4W2m@xL9X~B1og$?Qga4Ut4z)0RD=SX$ZHpAVMBaA!JDjv# z1PqXbFce`?vkQjQxfWGSvO0re{A-N~}c4ca%1=J~+C=~Md02~eo?-BO8I@V&dX()Wf~ zSLJ_2Z+^Ha2B`yF4v%U*Q(A_)HdWy>d)CwdwLMv9_{aAr%`)-h1mt#|*?nNNNDh&^ zY4-7~cmd-Zz-Kwv*pL>yD`5!VJ6NXR0bhg^zS)IJR7;dqLnz1X?O_cO^WnOWGXs=p z$VBu|2X2eFezAy%D$c=k>;*uSPdEKfW%fKql&TIk+0c+c^>{(Xz6$nAoz!97jRb`h zG;n~TKZho06;q^u3!ngb%Zo*zm+IB&=Kv8{j8i)PC9yn5*OTy9qdd`i(Qc-Z` zbu$dBe15=QEsI{7wbtbOpri~hMzMs)nt5E`L~i} zDxiz}yhZZw?Fx=30;%vvMOMPw78>3BO~)=(;GT`Y_6J&Mgur;MK>?glpSSNtR)9vD zWw{_+X`8c5NcDqE*2b?8OT>YHB@OaNjO;m0D%|&GKUDuoG2uU%n9#{m7URwS^dB>h zhrvb|evuFBsiW#Kxe+vBv*K1_c!y}a6Ar;6-v+pMe;q1U(IPvuo^5#xt6Y2xrtWd+ z-q^XLMm(foNtjF|zqd+w5$`g#pEV^$aI=>Ac2wL)(Gw2~Lg5iY@P2+Tr6p(*TQAqw zdH*SASnqn#AH+n%xUkfn!RljG;5#_L8w=wSGJ}kF5*=aN9-SA}6zY!Rr+)hE#gG7v z){|c+2z6?}30N;t#T~wlX%)DL#81`Ng=u>gC>2DkEGOH*$CD7C;o1kt62resb%Dp( zCbk|W+X-R8H|$ol^iEK~&0KS-XOxpbz_V@5+5SBD1Ujwa#8S?D4uM_;^8RF~dk(iA zmd#%+%7`8u96i4_i$;%Dibj*i6g^;FPOuJsf0pm}ZKXr+*uMaC@u$mGJ43(pHTEZT z-(%ru>~hCSW!VaXP3@-$(RaXvl01SSX=d+>L;fsYs@SPRQNr!Y9S! zrFQ6&Da-R@X{XuXcQ(*<_dbDs;%%RcwAw_E;N?o?klL$>r|S&;M32DbRpYd@d%1yA ztVh+-j;EzXwm0|n({I!LuP!>wbk8q;$Av_fcK8iimr6#cq%gx zv%SRpuC`tHJa}6M-&GwN3uF8H*t$aLL8(~dod-ITmni2#`RPeIQR?yueiRkZzTrzF ztB0f_#bH`%2={kr+|Gnere}6hB3Dg|Ob6C(bCBsvKm7#i^%Uop=QHi4PmzZq-;j_N z{=`6rFE`clH7{i9Yq6sJ=<9iD?bJ1WI<$Gq)0AtM;mhMq)P1!Q=R{WD<)G|tbCSn< z;UOV+0#IxV`-Qaaor;sSDvQ*E+u6cX*j?qyY?4}5@(}ai_-OnbYw|jos~z>C{|FTB zLw2=HID;rN5B2j3^ApGuWYR5LglAtaH&rZ;mqMGaXZdNK4utxgpM*sJoPd;K%VA1^ zb#jZJe&kY?<)cB2KQ!-}hIdhjAy#%c&G|+ry5&`EaB;J!Pw>{=pm`ZpB zkuP8WYibWhQgfQ&XZ+ASUgNLt%@FRllwwhfT6*42C$IpExJzd>?bEL(@G|s^jA-#*~5YKOEFGgQPanBw?gpG#e zXT*KXJsNi6F@DmqeT`rBY}hHnm^hi%yTDD~>^ZkqSf6TJ!y58)VD^Z#VgAjVp!$hr z?~V}0%&m_~&Vzl7<5iWFDHT>p1uQ~;o5WEX>gqo5SwlVZkW`mcTi1$Wn&PsqO{hW- zTFo_u6opFrlu4cKg`$RJuv;u1wc|rNC z?|(das7<)g8L*MDTBgc~HVvzE%q}U#D@m_o!b?+2 ztP-m}H}|W{sG#ZA&f!-(z zzcSQPAZnC=qbuR5e0Hu{3t_{OMnvSUeSFnG6;XsKi4Sk-s0iZZCy+C;t91}MDC>c% zwHnc42lmcXB(_$i@WG;^-%8U8PePr_&ULa#rT6;DcRd zNqnV8jnCQV@)h?F8-c4vHVSkG$>KuYsb{m8M|A-;jORWTBsukh?prDqQ}$EAJU_&{(+F+PKh6;-Mpva=$tzAo+y4}qo+MRM1r6Z% zxXSxokxk_n@^$E?fOmKVZ|R${)|RGKzb*z_+}_PfL>64~ZYRzEEavQW>HFrNt%t`( zOIqTzu%%L#1iVrqR0gIr6yyBV;1ZAcBFwHfDYALr3KLwz;i9uU0cJ0&E$T$7Ux(^+ zbz_PAqwkz~M~Bh$`WGQ@*^b+ch9s+N54`CfJmy+!&l@o-ZJ}jvV!a zMS0s-jaaL-8?%fC|NNcw{^IxWazJD++#GDafvLJ5*}(b%XkD3WGu=F}ql`MCia`We zty!sAn(bhDaPLe7ve}&oFOw$H1*_Y}b?fQrx_)H& za2;=**GNV*xouK5myr$igMjgurcs((`_)nI(C`~Vpqn(*sfe@J)0Z{o<_mf0B;X{` zd5&x=^Nk!w2E=TJC}<1&d@N!}Hdc`M-9h;;Q^qsBUoR#tG7MW< zhVg_!G9L7VmFjaY0aD272{J}aJL$T0Mj8S#*BQ&%#386waHYr==;N_@13l_&RyU8I zP$zoxe_Jc`p|@}0z&N}1A7>TLXXQ)LRA$^(|IF2*UZ5H@;-G!;QZ()(%>KF8GbZw& zI46HLJPNeY^b8u+?sa&Vh^u_;d$nKY8o&A|!^&C}-B3;!T6Tl*<71!R^5b6MLR6}z zGvV=CUBr`T@jcgZ!L9nEjBWHNLF8Py&}UOow-qe{=IOdLLgfca+qF}x(bM&-;`hvSeykG^0ez~RZya`>CO4J^{wfC$J2fr?G#Q zX=J!%oqTAR5w9vX-1Gi&KQANW15xTR6R(}Pf8C8{BWmBX z19vM~e^0fCeZ~F8MsL57GFPj_%T_{1tIwb+2(!iX%$<)Jmxvb2fVo3UZQfGZuv#+Y zDhp;bubs3+)+sl?S$pRT>~-?|7p|tg=12&$tJBg5BL}}2xCesi5DN)83PPm}NH4?H zi-HyYT<*8tPyLYEDH1J1%+HrByUg@z7ay#r=A3eQUO+?oB=f4U_5RZtU{|D)vKW%c^wO3SL3g|WSq`B*FPv)8S+#fP+*1_mEJkN2~SH;6Gt1|Yxe zRQ$+~Yt%Np*8Qp@5qcjJL{^)W-6)-w<7j_Y^6@Vd)J~@MKh=(vNX*|Ih9=8Lr(Ky% zOxeqLM3Ly}mRX0b>6hZEDnwz@K1+<1XMlKFba~Ua6Tj#D{`8(=-3~{qP|mue@5X__ zH#t`?UN0rvT{_pA39b+?CuS#v^7m6OH{*vzNmKcezXBEt?ZI9t)U3KGyYZ<^4B>QM zn(4Ib;*@TpTz^TAQx%1av~?#h4-;R0M!*6UtKAK~mg_oBf~-S(-eTrDfoj|+K8(;t znRQv2=C-$qf+Od;cEb&!3Be#6K_y z+1wV2Wz!40M^58qLwhw7*amatA08B=%ft!^fb=$fuqVC_lisTY>MJgv7Dx?IA$m|W zyWn0%X1nm%8S#In7b>7u`s_9OGjzsuHE0(5_;YPh(I+A8x_D)lOYdqc!9!0DCRnvV zJu^=1i7LH0-&tLY_tzH7al>owKB&~N6Xu@p9PEeOTa!Pd-8lr#O7%wW-)}Xw$gE78 zJ_@(AT(|xZy|3n#?aFy@THVW6%zj!z{)OuGt;}Q7Gs&w`1K}S{4BQaP+JMe0_+NC#F zq|o^#!%O(2`;@Wk7WOv7W#AN-jcz+)ev?j$+K0u6_RBZD!qYVo7vXBg=lUOJ8|gCs zHH^BYFl2B7%$d3_%P7mDfb%j$l%y>#|Un85Yl#*hq11$mjc z(AC6EdKKeyWSFzBRVCy5GL8Rvu<<`E-ii3-jypJEt*4tgV`}hq@v*YdP-VL@*GpBe zgW2RXqSiVc)|yxc zuh~>Et2y*YuAHC9Z&#?Eh%>Px=4iLB8SJx7@Cf$iKo-Fth&`yve|vP<`}&>Viosph9S&DA zdBw{9)R>E9pY>Q6mK8!0Jf zs`V-``co=jlN?WDUmj)G*MC-N)-+oE@~%W^+#cR;zcqq*=j*=Cv)xG;mZZGGMjup5 z7$#+QH|dLZfj?#@jLb~$b~Ln>^ZF44@K_DbG<$BESH`B35k~%e&2!CNH;T3LlasGi z6M9+HwrM}CwKDjg+P-oH$t6L(nO)9!*8e&+9VVat>owwP=GR(EVW^Soxx*FpoS|5xZG4fjLX?i0}5fo9WmXZG)GPD6Qe8%`)|t^UcHDYC-tMd+@+iY~r>BaAX4V znmyg5t^9DG5;Js0Y38z6VlnP-6g2>icFMV=bc!Ot9yk}w_ifNUx5$6kiG29WyZDAn zG$#Y2;~o0i1b+uP(XoM9gi(Zwu-6PU?K0YML+dIlKMQuE_0Y40>45tE-%-nfZ&Pf| zLcNdNSV=};`<-+oM*a zfuXh929NtNpKb?yUvfr}l1--Q!PCj~!$wtx-$B94L+Ab9t%I#mTK{CyYm-&VqBRY^3Q)9{5T@uRqLYaau+3%(u9G5wN(^ z5nJHzeC}=peDzgoqLc$ZBQ$>?bbeWO$GXRE7Ak1{?_z90o`xQe;rmmZA@7Fq)u*(# z&F3QzSMCDyTMwK*Lo5at(K!xN{n0)~jz$sZKPbBiCfh`hR{kV-fnvcf#~YY9X)~Z# zS#wtxyXD!tYyL$gCmEp=*R;cRGm30VMJs;&D3cDB4r8`kr=}YUf2ZtvE#xSvIm#FB zAV}K^AAl+Qk(zIw(p%D7I1V%mrok^*!P92FaPzzN%as3S3IAz}4X>M@rePL3lGO*b zUEG{kgi!L*6#*nU?_WZ-p^mbdHG&^s{@2m9$20wZ|N8ipN>RC0Zk42R>*f}=RMO=m zp>nscBzHC6Lxek+savh7A(cETsv)gZ<-+%Ahoy(q75JEX0}S5i+QdeP+S#a)`h6A>E5HWCDkAEi1>woV-IQNp_$+w3 z`J)zc4Bp#_wdts_oR~RR{Voc=tXp>3gc8^Gx|9F)$sv5g)A8>aO>vK8TEC8`A#JbY z=eJZvSn-!T^}5p5Npkg{(U;rRS9Rv%Yx>;d!R@{CK3Q!>s(3>V&ed$@#9vd^oa&=`g|>b5>3W8y)qh@*B!D0 z(^=L!uJy=h?7iih1^#PWdJ!pL>avdRQ2VgtS#a#HrnlkJUq3XIx!~+foP%S_zS8q^j&aeCKzw&7cSrwg$EEau7 z_!d8Ms;!t@0AEG@@*&qVuU1nZ%YTk1hu<$ytS!&b5>tiqD&Mu9+E&)+GPs#8gbu>( zP>&d4oZj5je5x(v!A}bRU!Q5u%!;R@^Up_&iHF@F_gBNrwQ8m|vXIJfa!{31OmINE z5$Kg6;Y^+=hF$2>HdA=M8Zg*L0`4CrQ6u0*ly7Zk|75Y`zP#a%X*~C_f<6ap9eRFy z1v;@*})C{+RSoDGtv^UDEnCv2d*y1v)I5FCd|16FrJJin2E z{o)(ulWNa#D0ERt_8Zk1O@WqpXF?wzP($_0H>zO%gE^|8@i9*Q7cE@hAcPBf()t^|vOQau zN7yV#uAW5>8QlzMExSxVY-M7_Mdx*}BaVxD@>I@R1h~8Ne^UQkn}{u*e{Z&u41v}? zdE%i41mmh>-*9HLJF_w7tEBr4vp+H|>z%{J`DwAsmpOVRWDj+49J}x)S$k}5TgX;f zGpH3%*36~9ZMU-_1D&=G5Q#Pxt4{Xhv0<$N{Ma6DY6_9R7NsGn0E>mzq0@I}rf)*P zzMFPxK2Sb;O9nGQ@i1NM709Itb7ZA2 zBcg}a(opC)Io+!^{L$sglpw)9XxANV#OCo3BaLU;^1WQHKMQ(RRThJUT|9XOPg^kM z=8vuVNo~yBHKz9a2QOf@Po7B)!rBeZbBM5XRl0Mh%80gB7;s29z(Ne{bNuwoubL7) zI(M3;2eLmE3Vl%NNG5H)@s^m+6kwp}^`jXq$qUZBaKK!NQBy7Uyp6zU)oE)H}5GNIK*VHQj860PH_*$WVMckeXu+Uazb&WCr0~?kg=yBcXme} zi)o+f-6r|h8ei3*p+S8&K)o+|EsHolt%9Vhl#xHd(PJ~?wc3j`ts;t0F0!wtw3sq8 zoKev5s9syunUpG?(i@dJ*xcx2vn)t!L*K92zy5I(muU)|7n^cFNz4v@_OPEz5o$Cl zC2F`YnqhqzDRj%PQHFLo;MJC7Fi-7@G+46sHaM{IeYUq{ojIIz72lR1D1~)E^~V1j zFyO)4;>ibArl9`DH6b;; zsrxQ?czzmiV={Yp=%=|Fu_fagH7qH(*)bnPzx06lv~sQ{FD7H?AsY$FjpbEB81k9692E_RjuZn>-lmE{1FpNBJI$h7uCtR`Fncq3Tw`^<>=A7bE(AND!|7>9sfRPKAb{@y|qbke=hM|JUoCIc`LVW;vGM zO9xfUbR0t|+*)mY%)AEla5u0Wq7T3siI$2e4 zPv3JI5=4jSJjXU4^i#jp`7xv$BSGpVy94?o1e4&!WDQiHQ>gy81tF{e$wIG-k_H47 zX=72T@tYF$`tO1QUCK$Ri}IN9oslF(Ukd%Sv3m0560QX8KQrwqTm*3=4AhCwK3lT>N znd!~Lq+i0Pk(T1mDPym9W1HZJS9I}Ox{oSO2N@=E6ka_iQOQs!p9eeJU_oiDWD4j8 zJ)ptB@BmP(kPpK5GcC+F@+eq+-NN!;4eJWRqAXaj3(}eyS;X0KeGH-uI+5S19$|fkX0F%go9XE>%v@k~==? z0Lv2^cW@ov>o$jr4Iq42n+jIC4dWHbS>!!z!o*QQtH2fuZ0W=KZi zqV~13(fDr<9SohLA}2qPZtY&1hu;t}e!c`@XD*wDi{d_su-RV_6|5oqV#}&3x#)%J zPON23#Mrr~2ehh7@TMC%_}JivgBz;~iLpUlw2jq+%;qMH|BP>?$Sa>B`Ci2s%m`2Z zZkzUE?Bk@D*@>FZaQJ6%7!oE+GpRq=qFA0gOZ4kD%uD`z)8Y(`jApKTq3O}A*%928+((qa6 zPph*-FdK0@Qj)=07?myHdgv91`HufCdq~7LUTZ7Kph1)1O(WI;2Hv=sOolzFJj;~e zcI4cK|KcwmoAoNju~@|OUY}S)-3~LYp9iZ#1m$80A_Ul?Es2nM*_Z8iMCY3iD3gmhgBHlHOM#V?fnG*Z;C z#pM38oC}is(+IgHw7NZI+<`M+!28J&AApjx$KdaUO{-bWepXLX=in=+n$n%>7g1+P z9w(MB$$%Ga#aDs+l6R4ZNpW7wO85-IVf+2z485%t(3egGFazGNbB1O%jFR#g-ccQ; z$mB9ig&j~OdOP@yKR#O`pKkK4riyZHRU)sl*SDB@HO^~2cyRkU`EdT)#iho!WsRR< zj^)uMjjTkCD2lJ1H&O-vzXU)(ckI5v$DQf!_O+_~Nbq52UoUMhGs+ySorS5vj4;4~ zULKnK#a8WgVz=Cv;W?q>TTW-}Wc5prv9N2`q^p>p**d%FA&&L@NrWh_P{wYa41xd^ zzSHp1n8~&TiG_LtH1IC@nHIqzL`0?b%yIIiI2@n8!|)%lR9y4;i}?ATp$Odx5-XLm z@1>R1LLWJ%fL?FtfZmKgO`V9f{OMiDvhW^}K=w91*n@txRnjwb6rP@m33N9<9EN4D z=FOq{dza`87s7%R8J+tXVz%k-5N>~OfEU5Q!tf6UoCYtbmV?rwcwd|Cs-u-MiMnfw zoYW7x&5clRzrMJ}M)wkh2-aVX9+wf=GLNa`&KVX&%lfE}N23JrKN~;$f z45Mm)-D-F9SKCYzPJ)Y-fr`lQ5G;GiG?CxTD;#&x%7v=Kxt;cAAUsOsz5rQrBOhWv z^t!@*u|Uu0N`|(t90h&;bt@XZ{m56xCYm3z&8>BqT}l9D_(7cJsZ3Oz&s*LQ9w51O zJxKW3(EOfQ;J-}q5Pmn1g7-+zTwpdY=gbcN6KDu8;U%$LEX*o8i8J(upHywto6IMc z+uv%+@m&KJxAFc1a`;``*I)oL+-$?`l2*g)HAaoS2)l3|t@kp`z1XXB?zi>Qbwf9u z!}t(r3VnU>C9<+ED>qH%$s=?W`5N`z!&kWa42A4Y_J~pio0x!h2R<+n-kO?}T8XXA ztCt3iKW_p*jy#pRm5^`*ADbfl_7k$@1=f0~H;X&Max(|zLGA3)s_H$DktegYpx?r+ z-;DhxY;6XHbdE|>UjRVZ!bVcpy<4GiZYdP46R5X4%iu5uDoX-K{|*-sBb(uRD<2S@ z4}f7^3rgi{Rd6r2^CPgg?wFYa{Btw23@G=-y_B==*U7$pW>=!B58eDwk!!!Y4ylNN4AIL4(F2J zfj(Hy)+nVsi-=ITE=sP_j$Xh(B~$0TQsV!!K@Uy6jnX*_JqMs68s5$+!uNsdakeI< zRu>Ob7vU2zcj~oGk*`6UXQf^IOYjlYl!%DI?;ZqoB&5CvCu3t7rREB!Gcqd?^NUwwCt?aP{nl|*6gxvPYw0`8F?IrcPLi(h%6z6qShd~ zFqt0sL@<&&@rv1;ah|gpPvlmgK=BM^(wH z4IwAUrgIV2A;KSF_I(~M&4u-u%LVYR)8kdzcc=h?z`_QC;$~bZ&jTi!PBpq3SH;P|ZRBVYH1`Dxw_#toIGvSBE%aLq=j!SG!5ax~0b% zQ&m}n{bs(uy3nz;_A0dg-RRakHvj#BSx=G7$Ikutw>0M2r&cbIsn z*S!XeHaw(h9*fG{XiRA?Xj?TME4QmgDsyj~JhV!5qJz=gZ+FAZEZpFE5VzrR^;oi6wFP2XxwQKh3g3lH)CUOfz7u(`TeWV)EhQsOu4Qp5uUx^_U;Wz491YiQ700(=&Ws-HB#$yC@?%d67+bb%@)Z|(ssx~;U!3V)+-)?G4Ts#Tr=-^eA=tVWZht; zYT+gtb?HhzW-PDyUcT3{w$3&`>CS=;J;-8t*zCkbEnRFgz2Ld!lk1ze?--h=P;$)h zQsK25Q`?djx%S>JD1Ai;jpbOTG5*Y;a-tdVE!zb9KY7(8fdeL8ifZeC06h;Dbo4Qz zz=&K6vq!8Cq|)gHaKWyK*4mnM?0Hip=N_<9#b-}!1S3}Cj2U{cqOK8F9*I{HwKY?z z+GSMwjztEBXbv> zHFeZtP%*SqKB_>Ed_?+q=Dpn<*$Hbe_tKu4Py+6f*nPG!y}3XG)lD@7mQ%v#5;fq! zGs)VIT^NgRt+$iZr47Fe>r~-n5{pG3Z@r10_1HAMI{)0=b4qV#`t2p91#5V`IBC1t zlaWZuL(nV?PRyXN6D%xT2@Aq2GwzQ00-a=^!n&MBYqQN3uR{yk1lp#y7O!DntvQyA zhq-R{9aX0*o9cv5N#`>OjWcPNB8Kur8#KxUeo1BY1hOc!Q~FPK5tFAOQR6RcOok6h zH)#lkF@3d>p6-?v&}iWLzjKlPxfaKdyH_P}<<`;R<@D8>t*_r87J(He6U?-u`OY4o!7{F`Q5ro#sHi^nK|v$Yr4e^)wdw!9iNi(T3VVzo?cR~P9B z^`kcmwb6J-GHM+S13uW=Ia?`iTzugyMCkHZCvd-C$GS{!n<`EgeF*Dqa^?QEjHb@_nbBAYOh*_P@&%Gv$(2HFj^Pkz_zN4 zzh0Wg5gH7eXmc$Wm`bImn%n@BMPCd8mnxR6zx=)E;y;_U=C$qFQ1U z`wzp{BFBit3bb&-jV$MQyj>0!zoHSPMOCx%Lp~Y9yvH7S>iktl=vk9S=38e?^RdOj zKfX=4&-iY$A&yZUPC0SB@fm{~*5LW0E~8=z@;D(fYizgutx?md9dS*!K|KWmMZ>Yo zw?;I`%Q=x%j4?=zpXlqb`qAl&>pw7fZyq+{Fb5){mX1+OUx{=5-LOy^GsxR?PmAHN z+cj|ggZAREw^bCsmY-Yj`<8VlLIkI+EYHLgu#AJ>``OPK*Jjqa56w({-m(@1ZI5$J zdk1qZnaA3OdD;T(K?YxXSdONfi@&xsA8a{#+y7|@io{l_4&tl;HvtQw6y;G4-zskH z05UGvJ*aleVN{#B`?k`O+V7j|%g-@q46bFxYZsdD@Oj8Uuz20!JwYB?liJIrkk?sM zksWcRkKmEDcHXYz80E}t)CYY)X>2Xl%WW_^gRbyCK-Xx$RS)7SefH2(oV6Kscvyyy zc5(%;{sK?H8$eYDIgRzUvL+)$_>Q)G8%D$*V&<5YS!`H&rB;l(*M6jUgVZOfqm02w z_qMj4uT`E`Y!L|3^Tk!Xhom*_aq-`yYKRjBR_nqyTY{`wAqGj9lM~MUW2~jl8GLY_LuX+V;}mB5-6qqD<6j#ll<_BwM(-hIMj-VW5I5UVpZBB zdQd9M`^R!ZvzRM?GzPm6Ub^_4{|2E>Vt@DT~>$!fi- z)jo6N#1wx6zaqn#(usMTb93|7UM?Dc7LEs0)&~mRyf5dfkP#BhI2>1rq3W&i8UpLzHH@ zvM8|ewvK}({sEvb<|n6wLdls_SwHM7NZacE@!lCwb*?lz%G<~*$gDk_^e4$>XWv0V zKy@Q&o;^Zyv$*)hGcZo;07YAl(!Z5g5Q)AU1-%ZB9H_W{{<)K+^zKw5*2pJh&@XGx z>fwFAn~koVTBTO`slt5A=GtDM7ZRD%33tRl_*T?HQ=jilt<+^;j_pf>tmrlgrUO1> zIE%J33xjQGlp#L*r&$UjX+cXBt+SwFc5U@Z%n$?FIbLo+FY@A}QS+wa>m+!2keMqj z_Vb^i-7>V;VqO%9KF|O=t>7N^Hgm%l89HdzI=FU&m|=;0zhq!*9C{H@ zt6Q@1+bWy(g-L7^qfN^so;qq_F9#p*AW3lgF^o%8&L}VNqko$f|J21I(=l;;<5<5B zZY$F!;Gb1CUTqKNO6D>`cqAE|uo>s(603E5DlC2W2DX&R6x#VHsna zWFDjyq%*f-PrH;-1Ex1HCj3~; zfa>6_DCXihtyTw43;4O{NBp_v7@M5;-Ky%W-NHcD-!Y4^82`A^cl1}X@c#u-*Ezh^ z1IQDBC4$fuk`g1t^sDWtI5^OBJ&MI zhd%W6l)!2dv9Giq+{@*krU@FC32reG%c}+yNXJ>#vjJ|fS1RK7z0g0$_|lHlc_mw*tnP2dnG z$c|N?i*55WW*_p&(%G6ec=>&@G8mOU;wdzdgyjU6KC%id=(*_wLMI4bfD5LLaRxEH z1T-;z2gn{U`YTW2M~)@4#k;c5Zcc8st%K~j&YqvrTW(SMF0teTj=IIlF1Dk@o&8ZS zMb)aSU4Jq9lJT_*@&?a!DcFv)$!bNy4bM|Ck1c8qa53uDjyqfES~J37s`EgJJj@^x31frrfK`Zver&FAx&;?K)<5Ti!_e1)g-fF z_Zs^`0coyncaQy#_2q#h?8ZT%dhp@jL^pkU-ZIvi)IJPQ8>F_lG~@N$S{SoG{^gJ+ zD^=vti?=;ZacI~N8DN+5{h*P~9c?NgX6h3j0L2WM{Y|j=Q!e*3>a9Wyd-0%mKuHGL zITL1n!kQuPxd1Vyz1(5`45JET3FabOVw2`uY?_UC zr@^{b0n=-b=ykIFSKoB_CB{a6YPs9D$ur*5`?L)Va`MQoou~=qo2f!89%h^N{THYd zoVjHEBzZv#kCKP^#rn?W(}=L_%yY{{&%>l4orT`=#T7$|y)vbV{3dJ~KW#9vND?&b zQzYBAZIei%w0aQzD_@`!xUUm-QKnVqy;uFi zViv8J%l14!s+>A!vw!HSwZB4Y+hqLasp4I!DYnXb$FJ>o3rM?=vwSi@#?X?pGGIy> zfL*wm)lj$1XZaIrVOOh*Q9om0Iy+8fw zmF;1kUxY_-^z(P~}&)DCscFw$91igRpk?qjWZL)g36$Z^K+w~5QMn}wz zSzW}&r0)u~(T_YqO?uS&Y)_-?DyQOwkN*|rr<+OgM<%?E*VK(U-mHDnVIACcPO((l z2D5%UHSf6Y^!`)iuF&nvwvoRtCe^DX=USWAtvz#T`x3RH*6N-*bol$rulNfYq;{W z;K$Vnp4FLsm1-_Ky);8{YkKV|e?12Nx?qfe$X(!cwxf+hdq4d6t%|wR+pIa%F>~p% zj>*K;`1nL1@>109D|PG=*AF`%Xxm}j&78?mQ6zpiuH z@x?R0PlqkO-GxcgmSrwtzqwfMu6R_=?g-sEzHOVP8!Aluhk|T_jPi;5QCT~ih8u&6 zr$V%*@4XIBNqYPs(JPGWWOd7^s+$fRK@6=KendwdJRneiySV!xk!hc1rec519(Xuo L+=DOs-+K8!fF=az literal 60790 zcmeEt^;cAH^sb^H@lm9a8oH&s22?=01Svs8N*V;|0i+R-kS=MJ?jEFu9!hD39#Ue4 zn7-Wa{VVQT-*whH`du`zH57Mm&%U?Evs-EA;N$D!>A@~8DIz8! z&i>5L#qB-2gro$!n2e~ngs6m=xFoxYgO9(9y#u?UoxLl&IJ=29`)h}H?CS4bKHlER z>O_3I%$++LhyNq)JSF*m(*NZD$$-1ZJrCNs)#+rEgwuSdyd!u7+2!etxXE5>Wc(-i z@+UHw6^G)5ptF7ByqZ_Uav)5afZl$xoojF0=PEWQ$0F*J@1GkK|41e@1HXR zdz0Y~m!H>Urb99|g?@_uL<9-Cirz|Q6Kh<{@9bF_dJ#os;jWZBCS z)Ya+_YHsaiOUnF>aZQm0@`c#E{`iJ?Avse8q60r%?v+L(Es z*!xK3`%ie+uj7~YHmlc@9Zt-Ou3LgYJAt+Y<=#e>(1?a!^?G$b5?g=aqk$lp<3jJ$ zw(zfOv3uS&>XlZ(MtOhE_X)l>4@K$#ajpaI5D&S;w+V;;X|~CHe!>9hot@mgaJ?{l zB`Xpz<$V?FK~?={NgM){X{2(J?N)C_8#@I&Y-7dDE({>cZEs&;3SXE)hVQ*P_riCJ zDp9YaZ+wqj-Tjq$ArXBu44n=i#||-(2!erFOCee%_At?Q2R%_2Kd^AVYI_~6@kp{2 z=Np3SVG~BL?SFJVs#79}{)YP?I;*C}Gukk%-l2F<`c->o%`mXO14*DjV?mR!QO_kp z08KK?S-5<~FMV6CXRUL@D8!y z381p_RYMxaKDh|%m#A3^KgU$wMGH|L=)IfRl;g$qggD@o!F7tbZ@-y!)X@hNJsvnr z39R=@HaG~?P53qn>7IIqqlx_{5#TBtnoZe6=&~<1C?Y@x@iM$$@r%pb1L7O7Bg~u% z^7_o4TGYk{$aek5rm#0F>#Dh-P^R_#&s=aF2IgYdcW?Gr7mjjcRk2U7Ro;^sS^ zeh^I8?;FBj`g+i|*XD3M;NU!%Akfryo;9wC2@1`+6fJ$toif9&w}rsZF$AMgBHrG; zcE}&6OXr@^Sl=+`lMXQbx$IMPzzx2-O;6^?0JRS`dkI}OhlCW=Rl@wwR~ZP~CR>9; z@6eJ=f!H)azm?+UCa&itASV5W?DQHqhK;Bf?oe3-i{fb&vyj&)&Pv-d7;!jcho`&p z)PZY~Gt9ll;Z}_|aFqNUxQiTjJxx)^daJl$ckwynuZKi8ap=yt_3kG<>l2`#7jM?5 zT(^tx!>Cxg3qx@TK&wb0hWsci!Ybd~25oCW~ng-YN@FQIU&Lts`BHt)Z| z)9nJJFK!2aQ8Gt~fz(BAjBEvNN+X60vCKV!p=Kxw3Vvrho_G2)$a{EyTHc z;H_U}5HwJW1awmhT`MMlD+~w|*pdZ^LU=*xY}Z*ev@_$7M9O>+!|z}~Nk|}G{kj#q znuX)u7G2-!9&1IqS7 z_OoD7j9v_|(jc_^^ai>jP-L5uL1~UyC2W+(X9$&aNsv(dRiAn9GIiX@C_* z3}!CS2yGvRWd$4!7r_7{mzsegVU@}kAp@wmZ{6Ubrlqe}7u#x2x;KSpon*s&g9Z)4 z9u~E%_)&#{L23b_AS&$QFl;dyWWNGpGa*UFwLI7i4U@*@_Q-?tpqrJr4xsx}fAMEkz^)K{FQLhe5g-IcJ=Xl9BBrjbLA(+LT#y89_M8^E5xpia^lEwfe*nxl`CK}WQ zDiQ`h5mmXEa}RruC#^Sk3v*pi$2>vdl|v!eSY+p<0p^(~3~+{V@4$xshA$ZI)U6;K zLVrWf7srpTi-x0sMU*2&Fc^R)1ci5QyR@_2nY@n0hD~Ol!6A2sQ*41|s9&dvwdW|c zvA4e5t(f!A?j4{sCn0%)JiY|)7`(Ob3F^n-97~<#1b|1?NCqG#gTR4l+Tyur+kb3HqHIq6J=r_0O}NUSEen25rJrBzbWVGh6$9@Ie&%9923381^TO z!axH3Kp8X_Aq=0jU8$UKLHyHxbn}4HUeeZy(9i;3+|NS_G<_34hj<`Z0fcNBnZy}a zvyCz<)|I$lR5vri+fQTX`1Z6Ge66HYFY=R(k3;G(u;g%M3^sIR?_Pq zY8jKW-{p;F9NO+J?X23xJ7k)BpoX$+9OE6_xNlTG5W+z=G-HQHT(ptKN8kTGKY8$( z;X!8NhXTsNsUw!x@OFiDh3%Rt^#X+-zUl=+ht}dZ-bV0}-wS~SM~Bw0;hj_MzkH1< z^$)F$;0X$^{|mF8?{Gfzvw?RiEd1%HmHc;TJ^v$J;q`n+$rRmZ0E3?hQ%J!=N9~lK zU+vMCE(Hd^!?GzlzuGF_p$&+7K_ZDQ+^_bR@0)@nh3(q^Rr|k2{@;-L{~&=bIyE-D zL$DyZAfz)M5k!gy_Q?}si~ab8n0WRBoUKG$nn3+a(Dw#WvM4$b~4@M~|KZ zAyrYKw97yD3V{r(OOpj9F zq3!t1am=aD*B_lV_z_PxX!j&b!B)(;eZgDdB5_99(CF>J;1-e&uy!zO)jP#B619q?S1&xDOEOVmuFu@C^bFiSA|+)Q@ta z{YQ3qMOp=VS2#IR9)k)nY3jt=i0c>2a!O-!&LKqlz`NJwNXC ze_Zf+X=(pBhViO|bIc>TZ*8)S5NC1&A-+u1EOOht^1WwD)g(oki4QvM0+zVufcE!{G;IC}2? z-0qbsv9i*2>EA!3#DBk8c&&K5sc8&(yf1DjZ`$y3Qu7&S@sDp}Cn|fMc295^#!B)# zGEqazm`(p2SH=DPK0R#V)!_B`lS<^BD``)$6n1eCTYOiF$9a!?l$G)H#0$!BlOaFJ z-e8r}!uUs%ay$y#f2Y(-l1$PEmwognp-a8?$i&HAR#PKy)2LvBI7C%DK%zvTd%uK; zwM4Xm;^#fWg0GR0)agwT8Y7;Dd*D-jLq;W2k-pkI?Jgo%9Lq>omuT{#o?p;y-H0AG z=Wyz8(8`s#bh^)HN8tQ(ZthVv-`$*}KsA#Q^5yx6o6Hj~_UKIk* zOdW14d9{0KqA6-&I^D5w+qQi+_)%d0yYd1(YM8>F!i9NwQEucc$|Mx_I@K=LX(>F_ zA=N{(VLJ0+^;B}8Onp!?9bh<7@y#pios#}N9snca*4|rg4~VftE;+AE83vLs-Al( z>`TId^M;?WPV>if-?8RC-A!VpB)TyvtLDbsqwuX}-82(!7f?tGFwHP-sD!)KXJ^PW zpPOE~QoZU*`dHOzUym!P{6&1MEuGf|{Yay`^&$6eTq2s04)UViWQ0~*#f;@=RRFZ6 zVkRP$n!=iW+ScYTOQt<>rhWq}8>5sJIhT}Ky~#SjbTc`w<}S?5Qeww}j>(cnrIY*LqCEckIsLQdY$ppk5m5mHIY(+^2%j=Qq$b~=T$6Y#X^Im#L zb$Uw#-@lNoXQhynclfXLCoo@$rsdq~AnM2DwWFZaLm%ldx2Rx!&OM`+o{~f0Sh0is zWQ54iiw2Uaf&R3-_o`xOPUurX%3We|X=~bVo^fGDB$Xdg>wwUSvQ~R;!}C0>EG;s1NV8RM|26o!P^-Q`&nl{}^9$4ssEf&Qu<6O~?sc0-ilYJ7V{}P4*}$obY`r^GP_q z4}Xf*up9>tCf>%olv<(=-i=?77aGyPkXKZErSmowSr!sKUlc)0jkZDZ1{EXQJ6*Hm znsh<(?VS3Ke%@*0);l!SLKn%6|4m}2nH7;pqkn;%tLHpEqJkaQbzd<9HrPEQq) z2sb71H#almM|KmJK89fA#SwLnFnbS+cxF(}%b@Q!Z>zZo)j}YF@h+kFc&UHLRuC9@ z)kWPi$P zR7&)8hg%_sKc#}JEAWBqZ|wS|ga8$z)e98oIk1d_-_FYoR(LI8UEZFFw3~;=@(;?Q z2Ij^G4vM(7g1n8mNAoySgL{)ER>l#rjyN7QIinMW`{6^JkhmtHT2(+oh(2#mXyosV zFbUsA&Fz->wDrtEdciIVt7p*$@0u=!g|hZE?UQff&E8%%8ih$kUsk-lqkd%3N?A8j zkuK=^I1%F6*}=$J|MZ&36|CF&bZ#wS@#njP3dL5wHRZ?Bi&2ixg@-yVUey#uJco8U z#B4{`=*nVV?K`5#*Samg=LrdZY_bM_L{ybE1f-wcrmrWe<*t8X+m0`gx*R(DQLLU% zQy{U;>FkX4sBj3p(1jW#m&(#M!dUa<3~imvL_T3%5k6x2_mhj~sU@o3);P2ivfw;% zKXBte<%|66fjhMNMvmX7LOEplm3wPf0%i5tl358vAC(R=>9XzVQ@pMd%?s&9fHh|# z19Z`a&_GIPgnS%aEJ+Cnjf3)Qp76=E+e-qy`rrQc+F(3R)>(%M-*hVV9k}-`2*P-u zC()s++91ow%#@sY=Ii-ude}TOE`s)L*IpsIjPA)cqr(>^t6ZB*<1>r@#@V9nE6`t~ zli%Spf@R^y0x_BbvNMsA@)X8Q#T2f;l-o82F2e8a-ZjN%UtG1(&UDzoi5!d-bx+iD zvcm$&S}z2+JB%{p4f+fpU_o=J^aTp2yA2dC+^hVjS*7dXWRSE~N$g@!DQmHwN9k>s z$Y75skTENj`ZP%QNcaWteRsjrg6XVm)Z&8=q=d}qV;8}{bsK0Myq6fWA$rS|tntP~ z#41}0kSxJcmYiSokfE}=k@dT1L+aE~GREjI3Vc`Y>l9g~-+!yrDldBM_jLdABgzx1{1l{Zbau;_BDZ zJ5~2QBwOG{vOOgp=2=zG7reeJRlls;kjBm*&=o6l$9|XRfA+a1KLI`W*)}$@$>87b zo{J5r^c0Qb6cHsoMvqeFjn!cA8;tK3qFncMA``COr&FS0QZ&lKmqFjM`9iDlsmL8B zX*K6Xo{h)Dd}J9%9G*rP%5Uy2nlStwDYdD}`5*VuVeiNDC9=!~(SpX%!R0$^dP2EB{qhLKXp%!?u&a?cw= zjcTsE*M$iK*Dn``6VCrUDtO+gv27X>_QSLLj0sE9Usyppc0e%1wfWw!H3VIC)raH% zCaV;J9@)yC-`o@3w=?X(sX(OrdAVo+q zNLa>17tz9JQb%&lZ<8wfc;aGh&~g(+m2wi8`Pff}F0(OYa3ZgF$ty;Vs_6IhB7V5y zV!WjNj$6Av5!~A;C@+IVQ(!JHDRm7wOm+Qx1kOWd0g0133tF-XI(PM=E+XzAi>;3{ zt8fTHSw-7aR8Nl!&j!PgJ}wb9=F(?DIo&TrCACGtzZUauQtp>H5z+ZQNkokcCvg3& ztO~We1mv~|QTGqyW>*s91M+|kA2u#!tm4<*Y6EoXnWjc`lRzp3>dD2kf!~s)5WTVk-vDd0# zc;mk#`Zv$>$rqp*Wb=Wg+U_>T!h=3qv)Z%DPlDJ3z1zofUnlQbn-lHP6WsR(#1e$h zE91-BVg>i8^33+#^T>OiT77SuM3=W+?n-Ujc!GsyR@%zidIY!5G7{vvTc3$CBXvZt zr10$v<3>C|E-ytDO>fd`o{#SxnIdL$Fy&d>lAwnCZHUsZBme3tgV!1R4oJ8F5N8$i zlk87ZNkdBGhFFZh!=#uKcxRn+i|G?atLAcLn8zn2ZFSD1d1IQ0IJMvmHRRZ4&gz{+@OY_)8km7`9w+%4|OioL`Xb!VHHb*^FPQ z*<{%OYDa)|&Vu3}J~r5ZDlpQtRWDCvwPqVpbFb(Sl_+%i0#?!R0^QnC&UhH~b(-}W zWQDkdG^g|{0{J5V$Ujf`{uVeZ7mPg-p^*O`HZk_26`h{Hs9edS;J{kqK*5^*N!a!t zLqHlwceL-i-)@t;aQ8qeZ03b&w%Lzxv7N7)^ojX%vkoEXzBZjUJ9U9^ZsGtj0b;KI z)+pDw^&~2w$n|e<4xalIgYktC*Zf(tJw|9jeod|8b&%&Dxq6)Pr;>~fcKB+( zlD)rFx+rX5tWo-yF2AO&oz`Z;aRR9W|e(kSP7TC&qh7;o-6)CR*igHXpzCX zkkn)S&UKYsQG%iVH-8Urhpyu{=Ftomtxa1sSFAe{qmi{h6D+>X-3Q$ObM) zITtAH7;`+WnXL+iAc_9IfB(OV-a`yl*aT zOCNowc{FqKQd?ME;)KOz6p~G4{+W@qpQA`8Atx zWzDfxlo3FE%H{RxPX*Fghh@ zTUyX;0hB~bmhe6>c7>xOl@LL8&D)QKVcHMf5{Lsz{y59mDyuQ;;+Rc=HY4scSnw2VWa! z9`#g~^)Pn%ZP(Iyf%9)T`LT0P;Dk70nZWF(ACH>UsN~{&$c;_ruV1&<9_43ba|}-G z^jBoMpW+}9$XNI(olKrW-KFb5yc)5H>uA~at3K6m@gVY&E!7LIMr30&EI4SrkWrG* zxC&u}Rg7`2?2M#5Qa^B!)t~hwD?7Dl(TvC%FG|-9b1zdaS6ZJ+wy}_+H$UZ^;H`_@ z?5G@cEqXp1B6B^N4=-^o-COB2V(J=^`LI(|&RpKis>CQ!J{qDQj}5H3@pY9949Uw9 zxQ@GGc_v&G7E|!8oy`S!V$q_WwyOsPk2?6RC9m82v_B|~UY|UEzlak*wfMM}WSpzD z%(Utl91~r$r(>N{@K`?ATrK^yyZb@iUgxgj;nZpN<}SZ-HxhGJ_clGjuk?&8s-a+^ znBI#N7P)ekTV93hpQlBaq#GoSnHUVo@weUu%z zCGdof4N>T@;E+KI{51GE#asU%b;O3O{O%lEyb^VJ!;`U>FJ)MIiQ6dL%0)TI2OO56 z#egLd!4QeF+B<#y)ypZxSK(j%8nTOWit0f|81Qavz8c2AY2!MBe4;a|p*5n>qw}aC zTTWJc)%}UHw!qa>dtb`Yqx_)qbzb9RW4`bt1$8KU;J{Fh{vG<2FIHs6f%|*s6oc#S zU@|t7z~R7HqeebAJts4R+Myc1)1c#FEp4fr+OQq&%0cDMh?4u%#dbo4Kb3k+qk4^e zc44j{^5w0=pz*=5kgoW5G4j$QwRQxNz}yD8hONZjKCG4xGPQ$O>z05q%3w~YZkn!k z)-=80VK!uh)wTOys45XmLwxfEE?Vr%N#+#$Nah!^A#kqGNz(7_G=^xBO@dT%KjR)f zvb)P9{o7YN;(2{SiEiXI)n$lcA``-t`CHsC(ek{ybvCNSTBmOhCaHLOjbHduzH=-- z{-vgx+31-LgfneU%m=h_(mAnf7(aG zB2>DkxY@R#O!jw9LaDLXs*huFj-y)W!zY2_`cU9fv=752sN;RD#h%*EC{l#6(ad5D zsZXg5t1q<4mw2GGr4+YJgg(=0zdK5+)$fM7_v8!PPSbAlNX%l^zQyvBk0NV4?7a({ zcH2!Ehd`6W_BA_M=%v(Vzm`c+2cpCo*FVg@k+ZGG!Q* zg?Qs{(PH$^KZ$A`D>ZeOO}sPc1X3)PO!z!kckFm`lek5uXQ$L4Pk!^;Fr|;VS!4x7 zBxCXVF9C8`gy}j6C20xnp#daTW_=g~b_Mn^HLOtFDk}746N|kaBX7e$1Mv2D%%JLH3Dt1UHYz^bH<-`bAqNTMjO&Vc_?v?EAStYEgLh}`IFl&V zgUX?6Ig@wQWqqC&W!%4QOOTy*$DK~|n(*4F8o{n@&z4Lh&OtQQ#9twnCNIyYhZzsK zz5`hL8$Bj2E;NFRLrv3EpG4!;PmY8VX)3A;8r*M-L~zx6#ZlT8TQUvv3l{H;;pT@9 zzO2+@Doc~6c_;J-i`D`u6Q7D7CYSh)k(k#_Smwa>SZbSQZFNr zy=3>O%U_<(}KgRHwzfXJb0_iqIeOvbi%yZ z)CM`ZrlK%G@&3UZbTk8@EiSLLe@Jb~2 zUmJ^3V6%8Sn7ed<>CSjHar$&w0+E3~6At>VPP2Fo;pyFF&?#;c0D@eQ9=xV&97R2Q z1Zh{6GQ;cEWb7COIBvl=sC(XJhG$*r)_xkWBeVPf5eRctEz82D$d7sH<^tXgc#$F$r;3Fr?9aeqm} zU=wq9v!S`X-Si~dG{G8=ux-CA#6|cyJ{So@19GsRcsUILDxDS#t>K=(UC(pI_&m6S zb(TYIP6}z#gV~h|GZvC|o__LqgsPSM4&vY$EEsoh_@Syg+V2|;#2oXtH!gJ9welX zbIwLfYO|CkB}SCI7;i0nTMk$y&XEslVDrpMhJC6pP=B_fP=MzUiR>wzsUGMRB(Yz3 zld`6CmF>*H91*wYViAkzX2__a9DN5tI&>asuS@%H-8`LZJ2J|Na|q08a{3V|E)pe(v6|=9UZnu@F6pLjECU@Ar`|+hHz_&s%7G z8KC1@*iFFiQ`Un_R~d3BWN|l`L*+;DqdVe6BV~2+>>=9tJ5T!Xrc7R6y)C| z_2SN_X*QKPwy0fROI5^IvEGYIepRjD)M_beUc=ztp!zF@Q6lZyAEm_tdr>f=uhF^q z=-#%^BAei|swbN+ChQZ`FG|H(mw%{zIJ}FrWm+(a2MrJm8&$U}8~c|W&ZXd~##UG%_*x5G`6{*@r<9kNYBdSVg95)Mtx_ZFq~QBl z$7R21($FAR6fHc`Rh4EheM9IBOD)Ur-h+#^^}NeQ?jg`u+^_uI*xN-F8%MI%hU%v} zwsqOX<)WXE0^6naSAvaFPdl>zW;gdSjR?#UIabl`Kcyqv7&sa@97|pkCRQ$<_*FY$ zbHtBXBaTNsl=BIW3r-;?lK(I!tPbn3%1=wHUhro?iMI?Ky5|$vEaSqmv;_SF>i^sP zIcCtM6=dK1SETWYclYja?`__`ie6hPzK~pu+UCrrm>b~h9ros2Am}xl1Vw_s;E|ip z=d)rvW<-DwK^ls7pd4DZBK{?olX*@%u7~+W#Y#|xkmqtAa+kE^fn^2b7s1Sx?f7-g zH~{}= zmQpg=A+}XXxoD!Bz)?KN^vc|328w=|p?nq2P@PlFR5r4=8sjBgk@V3Nx1>jNK-RoY zR4|~MYH$3jB9*<fx+!J93xds!Z` z#$tiz4A@-_m!~*!+D5;f^tNls?vW>|2iDsECkxX2&u>iA-2xw^u3Nav>%_b-+8EWq(!z|&SXwfSoxyUoA2CihUfW4G*yondF@A= z_=_wpX->LEQ^=%}H0~CH6Ia7w-ly^w7HNvdSscF_lN>(vrICag+dmM2K-m z-}1DI(7!o!1qdU`In7I9QE2#0N*2~XcFRMK{9kc{fv}GK?J)nYXy2~I-H;?oKcKtO zh`w!E7!>kgoD>_3v3^K+X4-ylj)Z>Mi3@Jq$6(0HMo;JfnRAO2?OObSbmSD!fJ_hQ zYBwi=FS$JKb&YYfA_T;;skC~!yo9TZM$iE z;ha8yp-7`-Q<-^j5HZ{>@X3 z?2Kp^A7&a!sb>jcqIn;AmzOZRzzPAY!P@!z->=w@ihv14x18WxFrLU%Rn0}v>)rK$@mB&KXmp8D>mL9`aD32BlPE4+hm8t%+MXZ8P@*{d=S2bRq?)+^+k#|p$uj;vI z@}A^6pG+AkzeBEq@%L?M2XA4p7O9|0m&;*o)sLEdg9#En4$^H_@_%D@0s}PGf#kVg zi(ceDpC;iQ&=vW0HzSl>Q`sl&`u2mG{Jw>cUUjw6DRtJ1e_o~Q2XVa&b~ys`MRLhR z1Q{r{2Yt$impk0Z(^OXc5Y|sx`FdjCEKue{fKKSfKEpYPk*riq@#acs*99;#`z2?$ z%yzcL=#x`TQUa19!_L3bHl+yd6r6CZkM3e;u%!%ydX*0AjLcOO0m6YQ_Ym%zm6e|R zjt>`EzT6P1tRDp>rO-?cpR&igv0kO($2Cs)1d*QrXO;qK(0}iD(aOV)bZIGA5D`d@ zsr$R2+Z`1Dk*#&vREq0`eozGvQ;@`o=di4Q$sJntbLrEQ4NX%y)vKYvZIz`gcxwV+ zl!Ep`GvpSWYZFMH;KVhCJvab~H;a$)MfXhgrMhR5h0uScZ0KnGI@y?yT3ZahyIEY$ zcW+mnI(D+4a!F7a7wrFnqnuMm<;NALffH}eav(bUecGESAe8(0djTuvbVyx(`MMzch(L+ywYWKGBlsvz?Dc2?K+A z?r;FBPA!>F2T}XlYjJrI9yQBoHgGy)yz5Va-8CImCUxT*lFwXfLFcyIqpdt?$DDck zyjsppMfV78*u?U-*OsY`=9c|M2xL6Pm;0~xI}nPtXe4okzH>jD?*`rdV;87yAS$91=33P8B34^DD08AjF8Pp$`kn+mSA(rR&U881L#8MCQkJg&0{nGO2u;kjXryAOz-8H6>f_q!Pol zDCEvWtb`|C)CpA@q{KyF_c|Ud2{DqYX;feLKc46qRy!@LguVi<>=0)q*_T z!wZ}*rB<|Rtnz$pHXvJ1T%P>oPWi_ML-G%MrY&&a0kT~LIJ4{+89iViPXbevCL?vCKiv_U$&Vno z7wEs%S4`XFu_#)PzAjKNu#L#6s?h{gpVdC0Q*O?=7CEHj9ss;yGh$tGsV=!3lsbfV zDz1vGs4+sSlDZbdx7>%(5ffa$G|BTI(DzNQeWOFUDW1vjf@#_b$NOEvlXig#q4hJ$ zEZ(z<40FP>TLB_FG(P0@Z^182n=kAzNu>8Om7vw+dgmf5WEUP&J+;r)b6DFuwk)l3 zl`K@$b&BHsXL)SiC*jTcS&GUyA`IvUA-#@V zM&RZPr0Dgk;J?S$DeSmHRnm$mx}HYyxA2i>OK157HC1<1a0;J->ySaogW1Hmr~Q;K zRMMvUAGdMciwM%Se#z{`=KmFD=&61Jro-o}fc`|_Qj8T(Iw>V4ddH$MrL3;gh0+eM z)8zjorFcFE9fR6Q`l#B^ke|NU!j2p-`l#;EkKZSIeUmc`GRuXYW7&twry)7`ys_|c z1st|m$NDjh{K9pt&fN2B%4c@icCnpj4%Z~O)9A-*EP%d;f9*jqGZIX(od3hZdi|%g zl?WI`0PuhcIhI6NxZhZeZAD_16d~)nok{{Fuj1G5W*Bjj_7o$+evOWCt(G5@Qnin3 z%4!SzjSw4qaf*G^Y%os4A@KCeQA>Gf`qJ{W(p!YNQhB6=R9HnSlYy&@gY_G(OGz#1 zq&L40o?Vzs%m$y`H&Iu*QS+j@X3QGus; z-|#=t9Nqq^m|pdWi=C8WflTF*UazS39twH?BZa8IQ~}?AH~H@6Bb#^6C6l5g)oAiY z)4-A3iCaN5ZIFbnMI#f*ejVXb!m@?J0xb_JTFS`rplQC)>I19GY}i1exG$BkvirsK z@Jc=Bd0k~TN3MO^Czkg3!409ebA#if_z7#=VWoi(t)Pc-|$M zDS4YH+Dbw6r)Y!1=<{tZS(zEgm%Xo2ZRB}cX*N;;TI}+61Ei=Oe&?T6IFI|B8wz_N zN6v2bm9icFwEB^Xn1M%?F^#UFSMKQd0(G8!t;~yuRY$F#1 z&{7$<_#%dR-O3)|z>(AV(o*`W72`8O#w-Y^{<7K!&%gWl?(HY8UH8;B7)Q7 z%AP98DgGpvElaK3;Mu%>P=m0V-d4`R-eII%)gNH{@jqph|0TgVvzV`L3!N@oPpdC_ zz5|^u!lW_Bsc;>9V(NM1Te^ULqak{6102;fAo{^J?bcO?q0Z><4DoZ8N1V8Qln_EV z-~Q3}b9QpprI5%imFAto%ux5Flc>?ucRp((J(jBM=fcG6m>OC^jCU54}M?RPO`9BMGrxGOnr4+dmO~sNGU%GZyjcB z`2aVyZtP*Mbm99?&>cMiPrxEJ7_znQvy-|$en{^A$Zc~~*x+=1Xs@|zEy5m|-8Pq* zai4#{EMZ+KsC7ZEHEMhSE~iKR00Y``7VnEyI1}xu}Zy$Ss&+8BbsowHFvekQA|Z-r_quAl1qlIZM(6 zey!Yp-1Eyx#?&Gh|b#B(Uk?5D_1fQIXN|NeuTJ|oi?f15GOOQk7GzsIW-Kl2>0 zB^^o}d)A^cV`CiveE(lP?ykU708e?!ncy7@pMr<)Jt7&xF{WEiW6E!(wY??EHgOzc zkLi6}qdI2r545zk*k71Gqk_g27kWGASu6#wU&O7G-^hPP52_=HFW9!q%gPARj#ID9 zQ+Z*ggS)w_`Zw;cV-eAF5w+?yU{zgaOa$+O+ zTI#}XMrW~?_Tse+0_*p}t8rS)F>^TN9&9PD!_+wTjWmMkuH2s?wz*MilMfG{>#%&r z&r96Z|GS86x4I|tZSQdd&?#PyY_P%a+#5+hUr7I{lkv+JhvxaJHaG7rran zXM~X>(%s9n(l#Ic5nvi}QU4HX7f5t4-o@;eXL?ak_D9NTxUN%4oAD!Az!mA!9|1UX z%=ZsMi5YMmr6GTcy;q7Sl1+}oSu}wQ+ zYx7R_48iQIduh0!84oW0_YbWNi2QCS=~DV%wl?HHnUYueylLir9!@RVQ{ z5_4gpL;;|%|6sWZ?+VHo9mu7-{`j-k%17pQ!+7do0AHLF`jQiCmec4ytRH5)vI>b}1J^&u9%EhdaamCPKx9ePz;#8Y1MryQ^quo%dR0H6OCCIl ztG&$-4}Kf^zlu)p+>e#Fv#2a8$$xtqSHk3jqXw_(jGP32zq&YK%?@{W`+S)@8j!## z-BYeOX)+|K#vJ@XcUAKW5TRf|*mBb?BZ%z&y`d0NIhOBlylK~zmu(}U`U%P7_kTGj z0RcOVA}E`AE4M0;W94@b*@VVgAzV5LxTnO9I@en=&;F6=lQVXF?V(k%HRrO=NdJAy zh@YUwMAKo=pPzDA56TixJuy+h1mn_F2q!f}%^)M}1Rrkqsz?*N#75RBYvXlp?_1%! z7~X#){}_8(dh@$yT`rhliFOFd-`RpY55-QBwX=o2=mk+FGZBc^?}ukFK6Aiu0vJL5Ze-!25Wh&I6!P zSo_p&(Gwa2Dp>t}2FJM92lo)rw^`R!Z~m=>i%OY&BZxj!kWmRKe&ld|*^VvM1qEl^ zKsD2#h4?a%5=G%z^Lt9I!jvmwaTToYMJjOT=i;zG_T4*5-(%Nq)q^3R#@=GGkz!xX zRvmEVQ=c>FFI6UVjl(VAcF07i?;TOu&WY4srdvO@Sp-S5CnXV|AX9PGRGLE{R~IC3 zb=%7nC>p#3&cM8cVEX~PY;uwx&q{%ls7&QPJ7>HFFVR9JK$p=Q^v~nq8f=zar8g?& zbc7cVP{6?`@|Z#=8~at#ppNebOR3=%{ziUfVPj^o7|2vzBK4=e!f%!Jo6xZruLaX` z;(K*x=H#d}o95l$NKlnqTIRmxC3dFt9{4rzdyE^f(LZJ+l8!aZMdo~Ddp~PGG_XFq zLnlJh{ZHcH9a%E;KiNcp7T}d4>KzAjCfV7sA7xJ(7EEKMczVVvfH(Vln4NR>*vVxq zuGY>s>7zrT!h`;Z@`<_L`&@2N$z78YP|o=Oq3EikqWZchA)$y!2+~R;DIg&+ND0#N zqf1JfLAnPd6cA~U96F>!8iwxf9=dx57>0?D@4x%jTkEa+&b{ZnbI;j(zohm!dp?^4 z`a&pUW{}hFjeh6pZ;SvAHRKodrB09^B(QiL0eluBni;-V!PI32k`ZAOzoMX8UD$+fFbt>}jy&f<7Rz~4pbfdCp4bk9)ZWJ~ z=&;Fj2N>BW-K9#8B#a0c6Zj*+S0H^%G-s)wMoOTq`<3vzcOu$eQjkL9+toe7LK3SU zgapP1dM9J|P-AcIQHsd?Ky&v?#~ags)`b?{^Cw~+rI3}+Y9G<<1SUJ6_=MzTuW4-d zF!lse2=BSSPhdwGqy0m8Q^7SJ*>wc<v;hrA`g-`|v)% zJf{)aGv=z8)&}HEkYwmtk;+;B+%(kGg?ih}$oqI~zg5!_>QK)?*-J9cPBXiG`6hpJp ze3Srs6p$fK-5v79$u_)z_{RumdqEhzvPu_8fpEr@zvLqT7j1FEeDIJ7GdOA_}8RM?M7omrm{q+W&T;dCyS(%O%@d+h!oF9hr#a8TlEj zK#$f=$>Z1~;DqAR^zuX#wgFgE%mVfjDEikwtG;p@&!1pDbdKJ2)c2wEm@F;Tu^Cz^ z;O<8Kw@o`Sp(t5T|63Pyrruc+FS8OmySf{nQ0#9$zv?V~El>xKzEap4-Te`d4>YZw zoR)oYD4%LU@~fic3B(Nrd!~63N;vppg)iao@w9}|+h@fkk2CB~9*q+Qfy%j=_i;;} zU|$J-qQydJur~4aQOhu82r~*zDYa;POFPu>Pj>RkG_2y&UI*gLAII3jWsJ`bjKzcI zM%C-gx@bOeJ12(ycN1yDUZE}%7pRmoe++U{Oc*mQ0c@WOFW%5?LN$=v{7BJGtbMJ2 zDD;o4Znap+W?M~YJ}3?jys@~SuVjQiKK-Cutv1m5AAO-rO#AbXoCUrHe+al^1AlCQ zZ`O3Cejj&=FR5eX??b4+iF!+LPb}4Ho|(5t#opQ*BukhE*tOY@ukj@ki;P#4o16b$ z6B;rh@6wj*c^eM-WjilB5nL23JzEmFIx`=}Mx5Z9!dA#0>sq&npV;5sn{Jah`i8s3 zcex4QXupZzVy-3 z@YhWeNsOo`ijf5arUDhzggXL0X4k)^|4+@kE%O66-aw6nw@9_X{fBx?h8G%(C$RFPzV3mu^GzW zp_5wch0}x^RnC>yURGdI>H(?mx&=0MSG`&6CFcCo(+>ImZ83Xi&8RQs!Y>8*(nSJT z-YM9d^>IR(Eik}ls~5Y!Gnd!t2+qjG%;k--uFG`UUxmBQ3RCXZ9W8lc0~2qE{-Ye$ zLS?YEt*gRqXIOTHCjo#v$_#quA+d!-;C0^kEoac4%t`NnobxfpnSt*S{nx~RJNoG# ztYY5=z7qX|rLxEbkIph*x^<}2#d3>sGaoBIHT}K`{Zx~@4Q4(LSH$%$yMTFrs-zCu zLJ(OVKR>u)BDjB1`7BH z8N_m=f_C9G>g2&3Qk>`+2xb@laiu2khW}pF3HnT}dS}UrdlRY%FU1a6W2gdd&4}dmj!7&DN6X13q?WiA6sEOJ>2ePZ$VT ztfaok}6vX z-uMmv4e$;nNT0hvj;L66UBS;7J^0!IZF<{xiN-1YaMNwyFB0 zTH0q-2Yvn`a9oy}2<2rbuWLYl8nEm{Acnv6bm4^LKho}v=M5#3RnjpJgnFf6ug&Mh z(8MI%Sp1lGAHb|9_8Jh?(DfQxvEAZwALk2NE=4?ivxg8+#&Ua6bUmV^t3~6E) z2|XKCK#9Yk{kbRorLQg7jDsHKptl&_yY&RRPK?q2d=DqSO(adKy_HzX;ByCd0=ph+ znhlVNODCycU0+FEX;v>ec3j?Ld8Vtg@|d(G+Ai4g%-@SZ;@;0m>RiWb-I{2GQ-o~_{@-pJx-r-FKNP4xK}>o98{9<) z=A(o-HedsUmu}{xjPv`c?>O$!AnY3HBOKq~is#IaRhykWH4?ZRs@|ZENwO_B4rjh% z=hy(*@iB$U53JLXS&g^RsDYrl*AowCB`DzA`_#QfHgOYFy8v1c#Jh#^mOAxZFPJL% z3CMX2N(Pa0YQ5WKJ$E8n{>trO{t-T+M1rv3Z4M~5lm1fo;;PEL<@W_;6ju6;QrSXQ zP&A^oDoo}tJ~2VtE>}tb0I1nlb;{%hIOo{MPB=TMq4tAshT9sI;;{ ztLh{rPk4R;&h|IaBIVJ$_EX@R?-&a!W$YXt^7uVc^CzbK1)Q@i2UyoBAV%z&t> z-Ce>R;t(;|$PVe6rD(z`KuJt>*G=m%9Pl3oJY#MGKQ$vbTmdHI0Jm}bAc42JG@gp^ ziBwen22}Bs$1zBY8n7J+5yEitZ-R6#L3~tQau}$_`^W{93!z50Os%PYMzr8`w(Q;74QvpmyqE+dYY@owinnz~opG)p0OlVggLuj$AX%k3jKDURaUdiq zKoqoxxRN^BQ{6U$1?~!XT?+gWMVNDJ1f&Oic3F<9&3t{Y69fdT>OQOuz#VVv?%y8D zk>!#sO9{J;uAV4lhDVDmf|OqEdddWKu%oJoK}njJe{XzdOt{nv?oc8Po=+c1^3YE+K^uFGBOy$7qD6|*f#JxybLxEz*6cg!I<{Dk zc`GM&v!(ZCR1$taoq9|qC2 zn!FP@G@kXf8O+pURgKR<5*X8ikk!eLoa`yhHIa zJn*N%aDS}O{ZVsRbx|Ujm2Sb9H``!pclcJ76ADvvq9fWdR(|uaw+ypPu;$8u<48dN z0Mo{~$Dyt5Kgh-wD_e%B7vZm6P8s>G>1ZqqdNVE2U>G53irPoTPzN$Y_Jbj(eNgZm zCSm(LEGdz-eg7SHw}VHlB;Q{ox_?>B6zxUrm5)1Z5 z(B}dOSs_^JK>_4dU+S7>0TyI@^E%{XLRC1;nhTE>!2^si4;`QT0_j^6hRL1AhSv%l zLN<3KKf{3@myOl=*qR-X0sLbyrvUai0Z7;<2BVk7_qFW`+$n(wCqezR_wS7ZQrjUH zPcMPM1{UnH@M}MgpTQH=p`f-5!AQ$k zL8vk)fU6sMa98eQf0~pL?j%F(CuJ+4cG>I{jy*Ns0tI*z-FSpnFxx|-6DAZHXPu8ES`ikva>@ zX?yK*wT2*};`0|K&5EttG z%&ea%dKt)hWBs+s^tg0*m9SRfBV2F+2Y~TZW-Ou4fG;tjT_QxfARTKl=#r_ET_OGm8>9Z%IY;bWGnLD2EvYP%LZCh}F z7QGs+tWLWIP@MjZ$d+FUmP2P%V)Ah~O)TvC90uX3vGc0mMJFSiZ2-ty$_ubSaE9B!M^&JfxSE=?`YlNLE+bbc*%g5{Ypu7f5zGWFZMw##D8uHT08XG zrNPV^3ys9)O@+0fp8u-W3aY|}#-DOVKm-af_0X;+(TBQlHZYp~`ThRQ3MIO^rAaPd z+Cnhg`3At$5=;)VybXz(hd(0rAr;MZCz>(Fev^>w)6 z_5JEkZzh7!Fy}2^vUa3ewGG6R7kL><#JIiOH%gIZj=+h7*ZYCwfgzsDj)2(ZFw~~v zM!;7VDSDdxnC6-(AA;NB%YqM0#Mr|XuAz5T3TWg8DdZ(B1p$I!c&-FO*tDgHLI`dx zHN{YhvbBhBu5wj>y?ohTcTsJC@poPZ_+=lyAaJ1w`&Z!tA) zu+lI4a|(zFffPWc&TNiz1suyD^W=IYG^5^uf%Z}gPl^)%)^dx&Q256G`E{>6^6`{! zr{Gg$&kM|c-=@8C&6m0ek8FAMR;qKQH@#PH3YuKD;AggH6nJeRVixf7#e&iF0&J^A z{?O+JEA~$bTRPUkl8Nh|{~hUv%!0og`)7n5Vp%r8#6Ht9gRq=C0>OIspLeF+%uN~J zfsA28dG8Xl!66D{_20V7r|o$0rF^0!dZQ8X;tT{Df!6`0P>Y?oS(s-G$(EB-- zR2AWX+UsG_dk(TyDinldacGjL_aarqm3af8fbJbb7U0Uj#Qv(Mc(P7V%BEB;A9Xz* zZqx#iAFzx>B;hK53m1)9!_U0w^_+fL+Ne4J#OZAamQE6UExsacsdni}voYb4pIxvm zFbe$X*H%g}Yxp@xlKi6eHH{h0_?djiE}~!We#|nvczPHp&`HxF3sw-b-xl34q(K;e z^Je90a9_VNa0|i_>M8riv=-G0!Y!Ms8?C1MQvVlN&eRO-quKs_G180F3y@wY3b9VT z>zsf&Uk~xiYlDOj^Hde^bhI_m8f1*h+Q5A~!V$95LVcMZ~yV=4U6` zN}BNmGsGMhV_{)Jpr#iLe2>Sy+^5k57h+xf2Y(Y#oA=e@!ogXO11SO$&OUcSf{}+0 zTdqY_3u~BBnBWnBKeg}taIK;Rl0InIN}_7CAC^1hC)DUT$16tI0zLy%&$^2mv5X9t z-k&HN&HMc@&&0BQI3p0wco}7nw9K1h%IqIcH<4Utbj<^LumtfA!*ct0nvd*)>T4%M z2jwy?*jrTSZSjNHH#BS1fDrsYD-uAP*C(4qLFSJh{yE^7XNd6AgP5?jxAUADE*}Fy?Y}*KC>%z{oZlH%*oXUS_ni0Dv!r@iknX#Q z>#BT15)=gei;Pt7j260oFz{O3b7(K|;)|y#OTP)p5YK$Y%SvlRW}2SS`M<0Nd;mWJ z2W%^M!bt0U%=E8StUl%hMX~{R=CJVZp3Fb78|Z^sp6(A8HvQi`*%BiPo?aj{fK5-f#C zHpYP^`zHnd%-1swbDW3kl%^&jqSC%^v`{uWDZX7pkArK(k_yGyuZ0dPaXz*N3j-zl zN3xs^xl|gTxZ!zq_V^uaJ9|@aT&gbNPSiFoovF=Eta2z0%LUN+q%_)?}|kBg3D!*EhJfvOgf!Bws7SUobZIKTN2@aUs-O9_9_f z84+?ut>RxecF*@*GP48>%hBY@;E4c?3=fzG5*n|Jmmp9fo4}7=C#EW(WsnK7Ob%&DPV9p)tvPJihQdvp92f zv=iE!M^(xuSxF3TCa5-GA(mNcC%S0N$HaXN+}aVG?b-cqQS-FNq(ZH%Xs2+rvLM4U zPMs%si?Z{A<)o)Eexv;$*gmx|S#um04GoPw&Embs2}0o+b`b7m;EwD!K6r$UKqoZR z@9e)qWQyMPn$l$^%WvqNaf`Z=Yiu`g^TDO%P?%5KJX%t;agx1s!$L2<3|lupfrB2) zh+=f6<4ALW9~ns)U)LBy5n;$R2==3r=#Um>|LX=sZM#YYjeX-o^?l-b}w|j zUV5r52kM!PvDey5sSO%8yvGl{&4B{&#~g4O?!7+za_O2VxR^{ZwGdgrj9k>H zK>f*$Lnf za?RnO5uEi`Gd1t1Geb`XUIgW36nsUElc1_Kl(!Ku(^}@+9@^L3prVsTPC;Jca=yPq z(Gl{hsdy>t2^)z841F%L(x9|=bDSDpAKTZ9R}G}y65$hK%})t}zPuo{Y%r%+K@L=f z-e%4hf#zKUm$%-;(9N)ROdB}z$!|Rdl}YR}-EglD9sa6fBfn>SY`;#}PDNv`fHfzT z8hy1=cy7Qp=K8~yzi+Ky+a2!TR{ZF?;Z5X=Wqe<1YL$hXJEh*(vf%TYu>Fvpf$dM7 z!eS5M`0bh5k)_UW4d!4NV_s0AIt2ge2-PAS*DgCBs`G!75>n$!IgbS<{s(qy;W}D~ zFr~&n`~R5uD2+^=Y4u%n@jz}6wgFj?W~B{L$RLYLW6bY{Q`oM3OQ5;x zEo|2kCD59OZ`9b5z+En=aB;_YrxKW$F42&zy;QaG6ND#@78BRl)~dax>+EE-_s~@_ zdrwiXL2%;y|Cv`D&h6(ioj-M1u^=fiCu_54(+N!Q)13uQahN8gOFlJzh-sw)@@TQ` z;@j^Wr9(qm&Y!FB{&tN*ajgpTR#qJd28J6CaHpOr zJe$IvaNKfp)@4sjtIdoqsPz2ETL^p)(l&Iux zJ)RL_0GvLv4~HU;g@&nB>FX(|0nC>IyvheO=w5z@ys;J{JxGnWOsn?qg4|YsuQI+n-iejJGDj^QDR`BsU8-|2JfbP*bFF4R>>;mBYo7J;FL#VB^I{`TgzC zBcFJfbu>P%=5g$!%KyOP5;)hZg_^77f zc$^&C!*6Mnj0JlpvB{A*X&!^fwazu`tCuSw5+5=tZM(Cb>S@|MeC;=s7l$p~`8LwZ z#)=~4e_MXU6+|~JCJ8)MrY}1rTJz3Mdn4&V%Rt`Kxe@%=O^&|iO^wyw3Zab zALs{gWafA*<$n7^s^|V0CF;@SmzY@K?$_7h+@k(||4Ck8n<~Y(He(8u>(_X32=jYT zc`0BX&3+W{R=F2F_o#2;^`(c|?1*JZ*+oZ1ug*y|G-4X0Kz%Ppw-EAUtPmUcx_N4A zHNiVj0<^0`POYPwAC&S)U{NdwOtaFYn3*$*?*eu%)BHRNHY9RhZo>y0(Z@6ftNl}oyUjdrr`f`o!UB&(Rl3K@&N zdmZD3FR>cj8+WnqX|s2;@HUn)=460FNIhCTn0gkOcP?-;`4H`6;#ok?Gw(&WtM z&&J(EnAxBnb^BWJ9+6$Jff&!~r0!$ua|?{ad-=(^hW|8JS%w>VSMoBHq`rxwIZ1jQ zALuvz=~^YqYBNNXX2Yyw$u4Na{&J*lo^H%*M53pmDTC-D(-!YJmI3Ph-J_~OB}*?g zzz;FsfP5X?f7GE)A2>!~zZ$|Dunb@z^}iU>X|3yI{57QIExEiGea&44OAUj!QpPu^ z1TxgW4R^|-qX7C@8dXcx*S~#qL52s)%h<5xneFFs{>ZduMjy4vNjIb ztJ3p?<|hg{$CU-H)M`!lU&hfv6-oInsfsVsfVtTdIRy>9E2Et=-**jF=>v=+f;RuX zW!;$gBowhj-zwX3Q=VMkRo-XHxTVIfy;?xFd@yQM;bTtCfTm4AaVvc?V$CG1w3E!Y zuB!#Uy59Y>P=7>8mSHtNV5|L-T}R3MlhIasCBaBtiYUTkj3@1e`jON^F-|Xl)n`5DQz_apk!X+rB;{r45k*5}PF@47=vl@ii5HOHPHba6*? z6BUP-f;s;yt1tK}GEJxQ-p{TzwaE6Kc?d%fAD#LIe+v^f$arQy`GUo5P94B)Mft>iKCb#I@k)%& zr%aCQt53*&DGmhzA8)%PSE?hN74dA(l!HmE0A6T;yX7hlS~HonS(_7EA_3O^Di8GcP%L zs)ObW%KH6l_-y(Fs`Yl2hoB1t(n)OR`z4wSH%mtTM(XbycDCLSdLo#=_XNJQL8REZ zr91f(IrKY@01ul7rYsqW`@yzd$C$}B3ZIF+0KI}| zp2WdH#PCsuk4L4lZ>WL*H;bZK1?Wt4ZsOs}Mj~?uK4KOsV|=&dFkNF)CGs^<)brK8r#bOG#2H4^uk zPxuziv0n~hcq!x9li8o7q75+(0f31>W{?~V;cTN<@=u9xLhKh8e|3#AM+v03DVC5@ zf;pO<+?eRV2p*^xm^=M7j=UA|tMkY|bTLpR(qe7Idxf#LgVSUp?JV|~suF0vI{z2h zJJzPky5U?N7XVi=teAerMe^J$nE6sy?2Kg~vzmRAk@Nz=+qi+JQiNDNxzk7m>_Qfyr zK^C$%Dk|^_%M-4xr64)Et}5- zdl#xjrBrT;Ly8|llU`-h^8i-??th%L%??cTP)XIB<-R3H5w|`|=Qeg)W_)1u!;57z ze?*hVUH&XWm15{O3~O*OXZ%7}Lkz>WIyC02A;qTsnBAV^SKGaRwjujlj;b4Ke)SUt znkL~fD?S>+V8@n=QOS*nzONfUJa@zn1Vi1MgS9j{fbi4m zGFo0UALuFTowFrNOoh((2}%;56{0p%EiLS}3~cp~ehm(x_t{;%k{*DqOs@QJen2$9 zoM}$mNF!O|8|O`5>9I?nFW6?^?+Z(QU&!x*?fu?w?S!Rl+>wt;ToMZI4E4gYO3W2% z142c&Pq{~bfZt5Q?_z74DSvCkiJ2q56n$lOZRxP$U z2JJ@!P7*U*Pd=GN@xAqCLqkH5771u+ea(A{23@0VVn4#!ZE(#hz>)xDDR-N9AO>yGG%nfs!q z@BYLpXC=0+o+;;{%d{woN2ZcO9=EG_D1^MoW((_0Pzxu-q zC7i(Opl=yb$HAJeH->vw@)ncq&(fjQzjSEJ5spuH@ET0#qOLhxi*U)@mLF>@62zISrfKqs8P2W{M6$ zxPw<7AJBrc*y5ZZmDxtui}F!^bfS&b;1#X4;poz?&w+D@k26&w@5C#BY+cB|x`E86 z%(A($>NE9^9TPCn^V)L-sl}0}+~(hI6ONH-ta_Hpb#zK+^ppM23?udX#Ff|n^?*Y- zXi<{VXYFruEZ|l&UgY(4giGTzT#xR*t7#XlHbs0oWtBIipGBhGl|_^z?Vj5w$h}rb zS4@{rBy5b_OPlsshkBzIuuG2TwpIH}x0&t}F$>7d_JttKeIsTDS!Gcr%`me=tCHFJ zA(2xd7Alph;DeG+I{GBnyoXYNW7j_XU8*f-8|N7R=>6_3@>$-*@~cbVOHlI^dpul@ zWvb2A@xESso{#2i*~RA|TIoTgKSJ$X!#4fkzIXj_s(|`;BFBoD!uVxWrs*$!rUKwk zA;nBEiAnCC)7vOvpw&zLgT1-!zPptzSKl!&Hlcm0zc>}Z_NY4lWdF2~k~Q-O>HJoa zI!5HN)uBX>L>bgr0Z`kOvepNpj$v7an&`^FlxIMBFW=8yXz%ICxiLH6r1whp-f1t{ zdFxC~I9Z!@!B}<~<;$S-iu#i@$QKeIv;5$dCb`ts`IWx3Dvk2R!nBxxz;4@L#hmeO z;Rkl&;EP`iE+hZ)j*T0XqbbsiYmZvu;*h**jm#S@$%BSQ9{z;=9vx;L*&lVvVi*v3 zq~>qsp!;}4Go%3F(6o;pLpnmw#3IK{5wcGt^I;%bgIvD$uH_TszL3VqXHTw9WMmdH z<~y!=%{N^5=(5t>gUXH{Z~x*b6!|@jIYm{9b#}-%G-35gGyg?Zc_fQ(#E5x2Ki-kO zwPiV>6^XuqKB>Y=Xh}^v6BG^2MX_T$);@=%P6<$A{5Cm>cp`Pq=%9R26^1NhZNuJ* zFY8hd94r}v1d#Ok`um#V=KPVGL(Fn7ieOSI<`z_rG_Md5BQtND-?`l8PTtkU!}_|I zj6IvhYx)q2e@A*=6aKQipI+gf(>GP%G06SL!}c5rWR?erMTRSmwI+I5VBNdU?60s- z?P=(}+AYkGX>B!m2seG-hMd@?EBC2e{TOrZSTz!1CHg>@z)yi47pWyQNVT}~bS-4z z#b!=x9r5hM*8bYy<_=+0CR(9cJM&tdHF?^g)FWQpgd0h;BQfva{vwmTB~KLo0tqn* zpP8V}QttVLBrMKv9vjNhw%RXc($^ZQ4NP+}S({{nvV#xgtxVOM=$7@Mp&O z_!SfXo7YF_eYN16i0@UeaE_E)&0-&x+57QK#~rzsunwx%=EK*SK{9a(Hx{wRJRf z(1yKN#t#gdVzl=?BsEfA%AgR?3Y(4?Uw1cqXX&D;KrII#@-vRh8tiMwfDVBcm8-y|uU(qY+9bpjjV3>fz90&8R4e?|lXW%3 zD3?5;l)p zpfbcS2*f&64`cvF?K3>`r!AsIn~7U#b%XIKsWJe4)V6Y|&K@kk;12m>Y$9=Q-9P9( zVlr`k7Mkus~hF$#4q2Ji{pgRg6G2Lgld>7^eutyrVlS;I`ETz56@ z{o~jrh$0;{CDZv@BRi~rnYHS@GsdhI7ipyM%_dyFHTEJ0AGD88NAeAtnxMvDZP=h_ zkNZ-?*U^`#)^Lep)h-S$I1s7XSEHM*CmB_Vy~%USc4BJk@Oj_o<}YVFKybVd=e0k^ zlpv&d)_apoj|~`HXzo;hbgzreHpc$wSeqkt2C$5Jw@}rG%Yko5wVnGW>eq}svemet zq$19-2ba#Jr{0Bpns~I}p{+`wQ1k?#@7`xfu*H7l4TBIq*9otN7d1NLMN8k~Z=P8X z(oJC!u-m8H{05DMg5?ayTJ*a)ljoZR{&?do`{+Etk`_(Xi4G0taEq-?)@2&++5A^9 z`FSEc>+>xd?FJg`fi3HRUUkI*XL>}u%Y2{#{6}GIfQi9c?og1aanTbryT#4kOYbs8Q;qB|o;;~W>+__8tXv}WZ z3q5cD2NT7bJhEF%^8`~c2EtYM?Y8Z@UksWgC`0ED|LoD*2Q=sMkWv@j)pnclLgj0$ zGZ$tLTrKbe`KAP%b0F#-`(Jz|n8wKA7j<6jBOqE{$bZAlM1}3BSIDV;$VMYZOrD|G z=X1pEaDbGNYQu`BRj|D+_2sqk*EN#)Y-=;6h4BYohQ?id%XNI}Z9$4l^+AygHKX&} zSEkCX{g-E{TbUgh7kc)CD=&*Z9r+zfQpWZD8p_A)8;@u3tId9t+sLu0?^V~eAk0}Y zm|3ghx_T#UdqMl#SrKUzs$0;=ll6_W2NKc521wS{nn&L`}&DWs;5|vDe(D`64?YzVU?J*Mf>oRZd9)7>$4m|CbY(E{e+1gypX3o%HCf` zv+A?MJ)eDaIFbV-YHcy! zuKmZjm1_8d1*%2w;bEC?S^(H)9WD#jZbE5K?cc=6bB@jE0DExrPlQSN)oke0Sc}+C zCbUV7i|-WHj!vn?urc%Cc;tPXb^8;|yme<~?T6L(vp73^SpD$JGiq&}V`_>(sDU?- zguVRCuY%|JOx*Am@V9lgg`oEbmmXHT=ImYGM}R6x!rU4~9`q|6orD>PkLfyoJlyb> z-S9TlRb}z-aq&k z!%cJ)HhinyE{=Gp?X4pGU_aRX*o+g|USv^_Z&O@XePCXtus2}6<|4;WBR<0^XnvK3 zC9tUI>i_8}qEx<+OeR)VYCd!ptAD_8?3f3t3mFF;OSOeyxTiLairjv2*)!PR*p!O* zBdBh!Phul`9_KB#k@GdY2zMks@hL{qgFa>Mr+3f<(X*PfuYC3#@WY$Em(Q~A_zTyJ z!E^uEvX%~c3}H+Es;szg9wi4JZT~fd>!{fP;ACV<@~k@S zPq;H5ag~>fEy#PDh9#q9hEzFoj;6pA%=5mB8N0k#lQ583q}GdMuMjkrVS5(9Im~5u ziK~53^U-=_IRvuQt)>rA#tnblf)|ll2=;RSTK-UK3O&SpOgeVdsu(Lg+U+;cIKp2UcG~=UxZ@QXKAsg+W0=N0-!J^+xt;o|ZQm+yOHq@R5)CM^NJWGl ztfFJj4hl2>OrHPP)6ww}yQ$wJo&V)w_>1C*?2tpTd|L zU}}mIzyByWK};4=qA5WEwj=#EG@9vVnXncfL``6pv!nYuJUlKeS7byFrKzGSSN+7`kY7q!(YLC zRonwZ+eDv5eD@_B_Rxdp7;&QN7?kGI8Bgc7$ZU2)8ut9_B>bCo&qG1;iH)CJ%5EyC z)uX2@dZFz}maS3Tb;y_KwRf#59#uR%R_Uu;@6EmNfc9>Ki0Rq<7wS#^ZZTXLo@ihL zl;as4Ad1^m{THkvP+Z^HL@V<$=TRNEFT;`m@5XPnWFx;0KhLkz&F{DG(+51Ag^};3 zqxNTp1^Qp2-%LR$EeRMeaIv8i?9(z8*w4I=BSkg2WS|rxqGav@*Y7qPv;R#>R5>c; znfKF?8?!fgzds&1Vovu`eC2@{M!y2MvTqm}awlpuv*uN#7-vojqxw%CWWF(gS{JA? z)=UUFX=S2!*^@3OG$UXe!tu>zDVzfypwHv&pT>z*yHQeQOTI4-Jvpj?PFEs2%x29q zlVmu})yo!b;M~(UR-_)7yl^HN>QVe#;qm8jW0*v(@huSbp~kYr8Hy$e`)Uq~G|h#3kK_ zi)JfBCE#)M!w-GsYoQZUE>Z`f!v22 zmn3;GlbE1B_aF6kxivs- z1*Wjib2S^`o8kR_H8Iz2HDpojlN2}Hspv5)g3vS;cMp_64_Ig(j&*a<=k9_RtaAR# zdd}uLUmyrtujC;(@itagu}$U}LdqAk)#ieHKA*yM^HOhrh5cuwHw~Q+hWv+U)HiyM zrL>Ma{Y9o5`^P28SdIR!SPtkE-;h}8(m;7?d^Hrmbsl)aln3(=DQqr2gD2}2$Nm@4 zC+WvO)zS6UY?ppvB}$mVN2XI^GK(n@2O5>opvYY?B~u_nDYxvu&RkH zTr9d0d-jHI$OF^N)?q}qeE*0Y{jOVo-@i)3FZ2$Nrfh(-FXUM0{_n>xj9w;n%F35V zU%ZFzUQboUb4XEI+RcRVL>GpE@{!IVX}=* z$gLbjTrm|F<aWO(V3B7KDxsSa!e1DG(KLqEHETQ}GPTJpyWq0JNkG8C<>WnmwA+cl zh?`)`ov+NdJ;2zZzJ*7J2L2D}mLTD0QrfUD3KdL#^fPx}eWR7}E)%Qt`zs3A(t}+Z zQVt^A{lATo=FljL!^E=p^tK)pYyrld9>VGvuCj?zcxJz^{AJCp2I=Y4$Pv6bB9`KA zSyneMS=Q2|@NkvpL@ctLDL|FMN&V%?xHQ8jfB>DRzu;{16gXjyxkMrBFd*IXq&>mm zYANG3sD#eobHL=_1DP>WfiVfLL2_G{Lg9Sx5@@Kp)8RbJ=GMe{G+Ia6KjVROcVEdc z{^wbl3Z3J5hu7rc3IC^Y;CM(f4f8Fn;k@I-2O#$cr;~#uhRNuxc?z0gAB_Tnz2P-ezi7&=g z@|-?@NFTS2?D%tFvb#iV?KuWhg(Puk67YSBd|)8WJh45SX--v3IjxCqrDrC+CL43O z;5j1Ns~Hq{I)nv{elsYUR#MxCl*TAL>(8+Y3NnF*^JLPhyJgx|xQb!{0;u^H$emZ4 zY*URDu0>hhcOr)RHw{R@Qk3g5ci*$8`eu6*V+xI)O0l@>KSvMuc>3F>j$ovFoyWb4 zO}vva!ui;k7g;gm>4aDv&8TYbZ^i5EnD&*uYi70oitFEH%RlLCvciD0!t3s^Gh5rR zL{J1m5Iez*N_|6dOOqgT^!8iB3lbP?By5LYliS%%eANiCUw97fOZI#m>nOivsJT_`J4>QB>URf5rc?<;Y94>4iz z`s<2Fi954(&9)|S99S(Awdhf!$uXqj4R)h64)1kJO=A_ zN~e@s^U>?FndVv7mwRO&3h0D^>RU56dfnB^8gvX- zZ^sNCw~}_QTD%OG#+(P8{BYum*u&~{4spPrAoh*IT48{{YcXnXy%LjUcL&~HjlZr~T#oV_jcV!#EV$&;I~Afw_yD$_G*7!5@C9z{g2+itCgZV{GB;!}K3a4|weO zYs?YcuweRTpZgGtgO;87gehD1SyoWh)awcOUW<-)))^6betJU{QI>bdXo)*-YlV!* zha0QBT6AlVe1AjNRbt-9(}m|U8DahCR!_f=bl=@hHOq5jdX4k4LAXwj&~*n_xZiXA zRIflg4cquhnFj*=EMa(EJ{j}MSJCVWk(V4$k#WpDBsh+WN@xGUU2o!aBbDUunOY!AbsS> ztD?H}HBs*r9vyo=#E(<5No5d?F*EL2H0=Br63M~z@5O?If6vN`Ey-BT`u=?1F8CbPrK(wIo3KS$sn)m&yZ6oc%83gxaTfdwr;Vh!V}aS6r0w4vi_gjEV#}Sl48Fd39Ku=w{|pSc zZrHcAz98rm5arQE9mqNgxk#&Hwv6%nQuoi?2$wNyq2tlaF@5>1Xq47I)4#7%D+5O; zoaKX6k^XKC6Saa@kDxvKRUyfX3UY8Zz2FCj>Dfu}Ic#+@4(V^`LiX|di)Or6>{lh}D`>yZ`RnZ1{$Ymi1$kK zI7_u9vs#Y)fxg3$eVrF|7l?9OwFs%&EHziYtvAWs5y(#?yy2^EnUuJbw#Hioo)x(! z&0dE2xfqre_lEfpTt6J|*dMqOW*28`?4l-93$Er&ojR``xu%}Ar;aZ3{u+Etf5s!G z%4(bw?yg)O6MUlKz7Cu}8K+U)yvyTRnyM<6Fl5S&tuC>g}3e)zu`oC;pnWxzXEn_pUXK=$C z`pQ$bcnYep36^Cml|HYF`5Z*a*epR!^`+3hp$jf??mE z&?!s`!twq1P2dN=L>U>^h{Y)vHLJ*`%pVUQv$V(&rp1$Ar_w1y7=m$b(?=LwdYX?b zV>B}&EA!v~bS)KpTNjcfi_`htIyzRfjSE_ESbY@#%-JwbiD$Q9%H*Mohpqf`=0tW= zv;SY8Okyz18t4*eFI{Hrm;q=|_IYjo=hwfV#DtcCVb$)N<{q1cho&v#ju;E_O|11~ z2d{0s2Wsz19P-aa1@prMybdn~ZO+nS51pC5&6IqDc}>6NQ?JzoJ2CMi+zMqJwm?J? zzi?bQtOjKJwK*PR*1s2#!kyLQGov=hKtvQYk_Ctt29m-tD(z;)#A||3TMAktYiogx zZ!|ancEk*2uh*xj{^t0QB)mAm@rFYJVvr8b)hT!pi~Yl<$F~ZJnA9*MTZdoYxw-$U z>!oCX4I;Q1?5e$0c>&z3)N>UZfVx=`MNwz!ucZ@8B^Ab1JcD!JL0XO4OkC-wTz~Le z4!8oQ`h+E7v4Z&$Bce8L8I$9c8zYz|dt2o;-!8g>>`+Ah9%&UR=Tykf9$o#NosFh~ z>i_ywu;y|3)MBwXm5%}aCyj{YPMwLsHnp!lvU2><|&SU`O{40 z^&ho}ao*1HsU)&@es0pjyo`&zpGuPkM*T;Br_16<45+ZRn>jRm*eWnPDX-(Z4Cm2i zv;VqTXm;{UQrf)gKQQ;_kpMaRs-1oAWB{zFf7?i~BO=E1t}r6Dh<`}=SWl^~qS@`4 zY~E!bhq=Qs&)7zU;KY=gIK!i~`A;U9PL)U6ZC~G#AT&=7uTlADMP251f?j-Kg1aI; zrsdu~CkHgYf%?p9@h?Wq5RpkJ~)N)5T6-Fgggsf~4)`mTBnJ6%G+aP>!W z;wahKa?8WS`}k(>gjM72_(kJR+>}VsW;Z}8c@dbb6uQ7nOD)MR17v8?6uVmcbjRpT z*nXT*hx*6Gi>@W#Ka_aD%2r+s+dj(%1d7_XCLgp-X_8%=I{wlVfd9Rv?=+aAd3tCX zRkQjLJaEjS|v#1wL$t<1Wxj;T%#5Xi^TTiFV?RVan?b6lo-K&o~c zocol@5G1_U-*C|7uipUaL)wO|trOnR_c*Vdu{(WMvc|vummIBrEJA*$EK3znoyvGL zlojWWO8z9C;(VYLi*EEn+1qmLol36Eg#hkrtcbj_Zo2p|T9%&@X<>=OxS9MIKi3h~ z`>!d|tk;hy)zyV5i(ud41pEAp1qN+K_^=!Me+VihTrNbzpFTo!Yy5xiDCE=*P?NnX zHHr@e4du=f@Dj1A8y<%9RMy~w&1#eDHOkJBBN6P*&w-T(T)fwq?E%RN9PU~U4zE@ozejAXmqjiZx|EeX(e zA0(Wy_}`ttk3*oO-FQ3lOao0_* zoqLuGr4NW%PALzw?18a+B}{aPP2Ghm?d$mnQ%Bv^B(px=LfLUYAmMftzD)1u%ZQ52QsH>o928f}d3xNEkSdMcKrhp6f%3rTFy7y^vlZSu` zuHR7D#_dsli7h9?DP9`BY;X!*;}PeQ;fd{%wQV(#S`Q{n z6*OzOUAF}OqjV%^Co5d`o-PqG^`XiIh(ofAt$A!;#K^c(1rYd*N~8J)nVZ3qbD>$k zpwaTYF(jTaW8`f6nNjAJVMXN7fx_sJy zOO+v*cfN`MX3=2f{_jb^k8S23qryx0ak5D~;LzYNqvIgK`HXHUDJ!&Pu3E}&-{_bn z0hE0YNMFHqDvVU%75{}h>b~p6PsHQ((Jm1A@xEyMVo~gibU~DV2jOv1m`l`s`sVv?>*;a2I-%CTZEsC1%mf{RIoHT` zheF5w_}#mHftlBt%69kO7lsh1GR2@%iYs|f4+*?fj0{rg!iDnIW_@GQ?Vo=feb}scXc+k z^RoV*q6eY7c>(8&1(d0Ro6%XXTGzwJU@0#ck^jg9acVFjOe$P5cFs%Y{Xzf~&j-#m zTpBQj`HTvn6bh4f6~UV1O~e?dc(te8b>(DOua#h@xV2I{v5V5E{M-hDd48RV5Z0y>ku3!g3^mb8j0`uCMJ1NZyEasc^+ zKpsqz?q@jj2I^rTG8VFBUTM0cH0LACi#?B(huo7iv8f85xTP{IovYTXnSy{)f!JH% zJygo1O@wuL_ov5K#;Ptq_DVtO%ojYXqX~Ep#F~SGi~6FRXcrdMSls%8?wrN<0`xYx zt9oU1(i3E1F4n;61>H$2 z3CsLqpA!3Zuj>s_W#a2N&bctG)NA6foQ=TuYijxc-sI-{bNZQCJ^9->o!uw#u_gbV z*QPrA#SqM}6;v321eH}Yt{8UHg^`m6QWoMn!Q^r?&#jlE2D*J&c>1ilCtBJM8pna9 z>3FFq$e(cjKCic=L&P~griYjhnF78dV=2#6mjW3Nt3q-t0+51w6Xd%b?h?j*YK|#Lc<~3 zRWralvPw=v@pt5h;L}eua&wfs**12#x_u4shEOK8SoB=4ypR2ogM-#_P>H{!t>Bw) zT1mk(mMCakF@betV3DyH=t)~ZQTJ}L4Y5*k~B05pK;Y zSz!4z%ZbwdjK(FX=tzuBB_c3x3GU+d7wdExPB&GEYsyNCekY1DZ6se&3_hSJX$E2|PDP*4BNO)h{Jn$f!00~N5)_oRXaU{VR zl;!9co?Q?3;(c32{+%%bJ(*4FaL(Z!d3XxpULN)H_kEj|`wVax}vFy*X;I0``4-wwv*zxi#avOUD4 z!tM}+ZJ9z)pjEQz#fy4bmdGsIB}a{eXkEJ9$rPU{0CS3^526J*9UYG4JS{m(p$rSj z+-ohGLJZ`jJD8@{0Nqy<{U4uexxpww?V(xRywOAj`;Z_q@Tt(>^&w@e!d^s_FqA!mm6mWfzKKiETIGg=4ZU^y%veN z>V=LYZgGW!)%(;(m6}StQj)$+pA-14@Nw=0g3-4h^KxO(3jWnDq?eT}7X#laf7;5r zKxdxpEB+2&5phc32V_f|Sr?Ge9_Mc45Dv2LPcV{b)m}E2oMGlr4%!?K!v0YTvL4ai zt}HX`YRrl*{tfm@1j{Qv(Q&S0MyCxisqrgsw&HH2ZIe#K=(`19iqvp)xuByLFm&UN6uIAAOXj8+?H1|S(;lN5J$Wl@|cT>@lV)#tHlgW@5 zw*t}`G@blhQhEASZFVPU_mZ{PG@wJcUKI2)szyeKf%@2ZOSk?xC_aWNxJ!v#*F2uh09h*+k)1J1 zf1RsbTD~M?jtj>Ofu>2A6Y}&UWgDxwb^2Ho(bUYo)j0}n&nhr060#JRt1#AQN`l9- zwmU_tgz`L@N}t)OsNY$KhkH%GNSEo8a!+#59seX|GO^^AB$i@Bev@$*?j`k>9@17c zrxr;lLAlW!9v4jC-<)SZPv7184=xn@(Q_PNAyBoLE!Q7vo#X$%8JCtNHN?BlBtX{j z=WIZdFgJ)v^-|Vmp7Tff4V*rPoIZDzbNTJ>S6FCy0s1tfA;|@y0L>*jjm?2}xg-&8 zVxtl&Wosv?b`xEB4y#=K&bUzTN;xjU0&q0F5#iMb`Pce^`6&VO&|*(Q4{GFF9?HY2r!~t}PBfDFj(2+~Q`f_e&}})|QdoVofo+i7TaFZN$*K zD1Tk;YDQ)n$GGL{#UK4t>?1gl=zB+=tsNUQSlX+>c0e2m*3~=%d7EQg4oa?#|5U6D z?*`%NGyzWOs|V8NAoW&jkHS3Dm({jTQX=GEb$HuEBhVlJR`Ta4Ryxv>Bf_2fNsMM- zO-Xmv)M|e@YnrlwgGe~>d9e=C+luqoR%fb#<0A|QbGsj|%n+rF;dK!NO zGTw#CnaP|%;ofUptIAGK+7-t2ILhK{HjILlFPTj#b1T-+C~$`by18NJXIA0jJ%Ee| zvi~sfW~MktbPs@yuTSRa3W~C)LCLiFlc0*v+_@*rpe+cnm=Ua$Fndm~3Q{1x|jeeFQKXw(ET#VadPMcBZ&$vUj^B=D5Cch=?8>A4N zs=dJiGP%EUm^Q#VJ}2!*C1aVn#`ojqs&J@;9?aIlz;KBrJy@P-QKEfUSrlv9Hp-iJ`&7Ppo462r21#E~GaTX43D%_lhl z$Zx$XUDtrjNtf{#N%U?ISyeihet}2pr0d=4e*;N%y!)O*GryUFvQ$I{fl7%*F0vB& zXfym@^4sX>4}E(Fx5Eo9UIvC^Mgv)+3C*;&)1|2(o(TO`gH_9d7YDFYb9N)dqt9W1 z7q17IVq@PFZw%qv2!3$x(p&lm_7O*IvPe^>X<17aFKA^oZQ$RJv z64Cqh93$ShMb<+;m&Ft~YLm=`e{1A;-vBZbOik;Cq+cQDe()1yd=pvd5(exgu`{)lE77y1H6qhi_BIXLkrM zahOMYLM^9`C^o$bg#Zl_2!1Cjx_qoPt`>OCtTRmDa__4YM)Pp`BI~WdM7!gu{?+mX z-bh4foxsIrDe(uJg>6(eSN#e?C;Wj@&1-wZ=9(daTx57cMMmS@;#B@sF(e`P=gxr? z#{yOYUp3|3)AyR0HjvoQAr3>diXJCE4osJ{QM2Vm_3u>>z@Lgl@bxeiPq>U{I_k}V zr#3mAgVQ1E{-gRk@)qOQ#2i3iEpC*i8Q8f5{=2~4@!-N4mx=+rm!-;{guV&`>W zmMI2wj)9`k;=ytDRAkjcj0sDy)er51Z-!Ll`PnqoRQmhO&BgDDS)0iv zz6jPbnvVJ3$TGEY_JO-y#<&Xz4p3InbC0j*h&hu&yU|by2`con!*QVX^!jU&1>g0n zJjN_e6(E0<&rDTaUB^uK)s2qHM^%k%0wFO_0 zj2$U1SvnU6-lOQvuLpb8-qe6iSnW!cqOBuCy~cw6L!)DPM{m`oTYQ5kuq%{oNpQ;9 z$&Eo@abWv0l3$3uM@-6@r*g8*$ytewW`0@osDJBwY2#3Me*#rzQBdRP-~$Kj3ROsj zfz}yp^-3|lkDO!+1X#BxHIdEaz_8qj@c2AxR&ciFG_Cq#*?Qz+&geTb z``Rqu`vN39!+h3YWLp3U)jYVup`6zk2QuPDjVBXSI((HvFi4Q)5IRFxlES30>an}* z{NBWzUEm~r55fw!+3j$?w!FlF$R~z|%ce_PC$mo@8Z5MdlU8({ta{pAeSiy z558^cpqk=;-J%&a-r!sXw}yCvpeNU{9t+;;@sES=3d_TI5Ra6ln0lo95W(HUBt!mkC!I{ z-njOvBLcZ^!w7ymi3brRFimm1LN3*JJ$Of4NEn=4y3X?Is*p$h6stP|nb@e*AMpPx z8D_#Vkqt^ccGvNHi?hr~i(J(vXV^9Byo%|hAMOP{I#jN6z1e#W%^`gE z;}?c+)~9`!-xq60s)-@VBHZ5Q_xG|2I{X4}t90c;0GM^o3Fv3dOi}ZQf-CP#i9GNA zxg5CDCU&}Pvr6NEo0W8h?dOa}HO=Ad+Hea`!I!w zKL@DDZDX@FOD}HJYWZDz)dKKREzqiEE%^d_m2jweBpRqbg`-ck_if7ObR@qE>fwo6 zz?&K`^Qvxl^e+ty`Fqad`TPL?URMWv98u4N9F&)&%b}qs&aZD8@`EYL=*?}3liVRr zUjQ+hy{0lVlW%`=W(f-!dW)~3+7y)-tF*V)j=!$R&p0j-HzEt z(><7a!$_({S<-;f=WEoD7#UPZiCPFdWGd1R7MDdt`QS83)=SyX{5i}Ca^99}d`=D2 zZh7ul6}!l*-k1G;)|p`JE+L+(j65~8^jYpgLVpze0#Z7%<(O2_3)JS~3!giVueG zkekXsvK6IfQ$0W*?h0a@AzZ!~4Hwvpg0w%IH#pkoF>y|Tltk(bZnY7uMLAyj;Fn`& zO6VY4zRZ|VL0nPrMz|^LhumLoB&p;S&r4QF&=xujjsHfVP8k{`;;0P!1wbB-xe8dN zn}f2i_^(x(fMvPIgJ2w)KQnsP|B_;n=5kfO4iI4ekF(Q5EyjURSmXEZhPfycOeiTP zg2io**djQPed2u@B0gO7T31;(ldfcLxPtX~zce&^b|d+PtaZXz%>TB>^8)I8n$pOHOpqe@0(?RxqKpN`r&&dqh{S>4ovuN-l6e*rch ze38`e{OwZxK1o8>Jip)&_{ z#08JqZ08Ep85+F!FTo;9)EcuY8b?;#6~O#})Vas0E>MDaspK#F0tXZnqn-7 z<^P`V0@<#%cK!MFV#W=^`?iCcja?n`28T2(_sb(?{H7}A-?4vF#CfKr*LKBy&AgtS z>jU0!vpcFrD2g^mX~l7HSM$Ciwr8_K7H!$Q!w^(iHjj3F9sTMhZjw_EN;ewMG(ai- z&CH(@)B`GGMi&rNIc_WPA1sLP_h7=fv+?muHni&D@q08`YBV_W&%bo>;)iRTzlumr zj1UG=Jzr{eZ~lvGb;&Q7bQ1y}gH)~G!=m0wbe_s07Ozpyir)r( z)ghp7G9U?ivo=Q-o+03;Zlo&kPnu=!Et3kq#D4@Dh~DiRO=`)5nh?a0W7sZA4jaj2 zQ+>o>Rc}oEC4i!cR7|+fuz0>bnc`PTu+J)`rzyKoqaC*{32b`S=e5`8vdC;hO0IYu8J>UceM9 z3Pa}ya5=Q?O)eH(DO(FTOIWV}Y&iBZUoiD|WBkY@QD2gE!iXEpdsTa-+*P9{g_4yI zL6OC=~+5@n&0sTh}jCE(J!l`^_Rspn-4b%hSz7J|+FB6OBfk#)OfR(j8 z3AkQFZ{6U0{j3Cgi_c_KP%DpQ)Okel_uniK&&?qKU2@%+WV`Jh7ZYEjr6iD$x|%O| zH>dwN4w(h`x3Xx*dI;Yj55Gl`mIVhiyc;X_4jCR5;=Dsu$y6Xvw#IrEtaw`T^eDVE z@z`0*1eJymq{PoHC(&JE`%3=q0FdYr5-WxF0u!xyb2IH4C@bl;ni2z_MmQ14xHK60uSRF+ZI5z`a`7Lh~ZSE zh0|_VvIh|T%tjU}i>CRq0QF7wsmJO7w&rL>?l_LHaLdztFK3tbl5Tub8|>JGas1yfs7G zGD^5;6gbZB7CeoDoF9;;*8I3_`k>Aw5o#{nc`ra0^TH`!`ekgxBT6WUdF+wYt8;rQuCqh}#H8dn z`=6?CiQNaQB5Nh)R*7%TrirsMxI@wCS~ zXOw|m%3L!et9}-V=Sf~;L0DW0$dIqW33YM?NV?Noq-;U;ktTlAI2{<^mhXx>u>9TQ6w{xTF1-_j4Jk1k_-(yhana1DZPXWDJ#8;+X;|OXB@SG&61>w|GjhT zxOxag9F}J@saGVkOHY4=ZDiHPOV!OrIEw^iNZ)SF={*@p^?6(Xw{|cc->p(2gy(tN z)Q`&K>X%Pi&!+KV;_X~x;`3Iy{x1+!v8vTr@9fE~3@uPFN5-^eBpijVJ%j1Wp;*P2 zqfi)&5FzhfZ9}JmS5(nUUbo;zUmf~+q*}VKfYjs6+Bz2AUxn*R*mkJ1{hy&y!G0v9 zLvOxAQI%N!lfvQQPUh2;3k<~zRfnP^Kt`;X&xSXj-7IM{a0vra=kX8m{S zY1XP^iK}AzR(O#`i~5^*gdszB*+Y2D4$Gnrlz`X3qbV*B&H9{WmuE9v`urqi%jk zFy10dnIysRgUpAEAd71RHg%6GP_#c!c?f>(e<0oC${WUuZ;eZOVo<44vL&;HIuh3= z_q(e5zS|dtxU~A~I}MhGFSuQDrQK{RjplXuv*pSoDifXBPFo`G@SwA9skVV$g< zC59Vdm!F&!JAAgE36Z~Y5e^h6zf%KvFVw)M^q`l6o*J}! z?in*3DrszA|C?twJJJxB_;q012f)wT+QkZ~?9(4ljRgPw-+l1ROP&EhcJhDs{-D~D zMNl%~SmW}n0+xo(^IZd%Nxb}Q1xNs3_I|aAPgN~3pr~LiVuJr5qu(aIX?j5{0zf)3 z!1Wy(Xigax@lvRYOaZzt9ExpOL_nPMKHmu?lszwqpyVi%;IjeRo^rS-rmw_Bw_-Hv z;ucGm_|Ycj>oI|s7==wAmDg!lvBZjw>ea*Fg)t{H_@nxPz25Dpusv%Q)W<{PM*dQd zzK>H!PmMo6ypS7t^e+MN3{Fo>olPC!^d$5~wb_(s-Y zh<)vA?NU5Bfy=2g_<9~IxlbQB-f!fCLn^I}cqhP^5ovEsN_J`PYgUaJ1N;m_3KW4*3ejvY^bJ2>{mnI=ULjlsxy_nffF%BTj9_Dyx6Fpa|)^vM|R zT{gyl>Ql$3^7KaptG~b{r3x`e4eLPYvHhvzMo>AC6c<(%zcE zd1h^@)xcG;!MSjC>fY!2d~}iPk073+hYE=dJ(Q?+?G@*XYOd z@_e79tr7q9cS1v9M{@r1EGZFDLeP1z-kj&*=Q2mVL{gGKCZ07d? z0!nu|47oh}%%-j+eOKEl<`05U(0&cRP;bjr5Tx#eBV`D!hYB=l;XV) z{T6gjYX^V!56J`?n{oH8RJO&6*+Kh7Wr?*DW-u1uRux3C*b`TXXRWwrHe)j~4mn@j z+7BZa9~&I0jy!AHQVX2pS390Re{`NfWX~nfBsl+wLQnK%GcA#PYqqjG38rSWnm9=A z=(Hq}Ak4|LMTu!sGfY5L5fK-0ZH$o{ChMD3uWpljmoqs1*{W>#V#MhYRmHnh+rWb}#y~G!u{TT*3 z+-b&T97R2YBB0sEBJ;2Z5b1We#2u;5nUgfW{6A_V0-7lU$P-=yK3qjsr4y9m1g>uU zFrVy7hou@JcJgO7ac)W#qDA{95|2TRL?w}L*+x(SaUE4I6LGPa#YFV(;?Pt_CAd#B z5%&yW?-&b@Egmk?w$n&5yZS8hxs013L))B=cpS-!Wz63X zp1wBH%76kFY+rw<^>Nck{ONL`&ICVWLw*ka0>62wIA&`moaFk@(^n5t3YYR2=G-r> zk*;GA=q=S}dtw#IKE8Z#(Te){I5?(uUCgEtcOa4^WlThRF{7a-iF4VZrPbm=I3arn z7}>bS<4N_eo|+76z*q z{80{3AC2+8V$8zZ?mVbwzqPtw>pMedpqLmlJkiSz+F=}}{iUH~gW6;ukKK!-MGaBK zFT&Zfaww~0w{16}wW%RULX#}L7Ddch?`eCX%ZrWdg?-gwpEk@8ol{Yki}P9)|H2Vj0lDE^^lRkm_vN!l!vleV2FIm<$& zZmu?WwJPz#W{$n+vO~tP{XBR;XzZ$vs8ct&(xjhmvlOz4MHsCiSF=(M$0&{E!O2=) z5k&d0K@RCcSk0_kE!nRcm3Fc({jSq*Y5a%l9@GjWa_|6+I6;8xa zqr7FwKFvfrvWmAc|MZs@s}V#%g!6Fr94Pl3BwwPb53##Eyp^HzM+kL1=SNE2y&Urz z*GgW6Ok``v-y|Bx<1eaD1%=rf`n@n*pQ_wjcT|UIhAJ_yxR1Rng zZ?Kb@Mp+Hxp49JK9(ejVPW-fUoH%v6h1zd@zk1Divg4WH0Vj@s9K0S25f-5@%q~v< zs0)==&%o7K{q~UVbGx&O>6xPsVKbmiJQ(bgRB{v+1M}!QQ(TCBbLZ*ZrX{og#>8cLfwnU({X2?AG}5;V z{xrCzpCSz8qtyL78t1dEMkKTME3R$YhcqX^sHN{^i2o#0dr8~G+ZJx63r%UL4B#mp zROAFqvCU(Nb7`S(`3j5r{e(^FWR_{Xg= z5#X?@2sAWvo=zLB%ElB|p6s^$*(FP!V5oeXP9#lF9O*TOk~2a^e)4xZvjhx2!${-0 z?x0!>EFx+9zAm;?PXAeFe=ewZ9w?{6k&ZApQAm0Et0=9IvAG=bijCE3s@RJooeA!E zV4tz1v&2L!Qs_n@#~PQ^_fUf)Y%v926qi{Uy122`KglB$V}1Ot-{+rKS(GV#uM@vt z3aK=jsDpKACR4{nh!HreSrmVpF)!+`v-TENDsn0@sB`FnmQ~Yw=L~4Jt(WtdDtH_V z>h--3$a|jYg@=?JEZ>7>1rZDKLg}`OL)xWhsNdtC7LcBi9D5S}IiEctJ6NGKQMbxj zNgwYg4Q;RbUl@hTb~Ng#D1Dd~?8&>bhbHI`^s~_^sYj7lHpAZ)x)-5jui8}}l{e(? zd2f$q)J5p%pDbO>-PaGdDA7M2X~8!W%ddUQWD7d0qPOd)s22ZB-0m=P~D|I^j`v@$8UN(UcYy7VsFo6V2JaCk`(Wg0rg>aC#gL^7yHcUw>?MCTZ6=q9Rwd@`nKMfFh#-nZXnZ%8=SA8fbg7Ic*~|Hv@sj5c%isidv%qFMRKU! zB9i9eStf_E;PoE5irEd61>^!Gc>^f7jH!sjLmb;Z-ZtNF`u zRKd+ShB$Y-ai`d`;9sUe7x{byH=b2TPb#sXR~}DFZgoylGBTg_RZIUghs6CbPy(WPq(!b- z47(Zj)aF**E7VFK{yuz4BK%Qm&?hEEus}d;5r^`mKue)Nc%jG3x7zNK&Eoj;ky9Td zqjf&}!90y&L#6#Ef1M_$$`e!`=ho_NG%rD&6vA~oHTK3_!TXqRF`m&MdK=uNwF(+U7^ zF+R|kR%dHysGPDIv~k3#S*S3?o;?c-o~j2x4B0VHZh-Gr0Pp>1h zUOMiTLZ&+sA{Y9?;4mWK`1Xwm&4l*>Wv8CO*?Fs0(9IYkVJ(W|GGjR*n^LRE0oh%b zxqiD?-lktANWJ_nZ6;wY+>9OexjWPFQ5p7NOfdc2LJ)EAG^7$oI6Rv&-gsn3ahcnlFAN1k*Bz2Oidl<{E@GP{^5cwq3 z_{ULCN8$IT|#D*eq0w;C7&qX>#E>Q?V|nP`9Z*XYPWNyQ$}-tq(L~f_nXJHKA)e!j zRkD#QvMabW6O9qqvCvCr)uhJkir-;N@l3UpYd>{5IO9AmGBH~GCKEK~$x| zH*5LL^RMsL(jRm*fsBEx88`i+!RpBw#G6ggL1oK=4s^iZh+vZvJnhr#@ULskR{N`d zD$D*7O)K@je4Fx}1(ZS|ouCcPeB%^Mv$p@nG6TIDr_X|=j%u7mRgLUzVs*apUxmB)Z79F+p*|A4RWeycqfYcy0c#*Vx3K2JhL1dVU9~i8>A}_=^#X{XO-5De&CyEoelt z>trM@Jk(F~=k*UGMc0Sf%jp)SefB>`XQAoY)$_XoM7YvBseapkE4BzZL4ArGM1!Nu z>74G5dH>Au&1E@=>esUF`NSr((*HhmJ!VF+uX0R2=TIjo2e?-n9n&hZ36WsfFI7z} zWm&k;H;X+e(#6ikZY{D?E;sznh4;jEC3t%LPa?h| zPaCXuzFPaZdCjwM7WH!LFktI%=VM=NBhKTyd5>u9nT54HxWKar$a`^v&lEkteSwRVT^!Zry6{fnHIWDF~PeHh`_3F8y~ zgKc2Nwwsi(C3?}YrAEe1^5+3R!}nOn5bm%2?qjuAPdcSKFHc;ablR0W6u<+%sV0kR z?aC>gkrtYr2N{4*cBLhc||r z5lk$^%m{5BX+g6SMIP*|V&V3P!67H@LWz6dpZ&zFw4nlTc|QF5lj+oRIOVjfwJx8Y z^+&G}<1#UD?}3daONblp?u83!7YG#&Q{blD`|B%v`L*;Se=Gp%=jQ$YTKcZACYSGP z{dp9HBUq?XqM!mIAWCmhX(9qg0YO?sL_nkqp$4T$?_iV`5ouDT*MuH==%GpI0Rn`O zgpkUY|MPrz@5Nlq%zpP?d+nLoixT6*Y>{!UN~Wxu{bHz^yyy&OD?{15IW>xGe8jl2 zv~tf`eyw@6#KlqmK0200uBH5s5b~dJ_}?(4(C~Jy7|Re7jri^*yC_G|A^>|2J}}?l zoqIh~+DXNvPzW=%Rsi$oc0N%L$gGYC#QwmQm`MN{C9yytKClu3FxLd#XpY#mQ%zda zP9B+CP-cl>X&bxC5K7h#n2eG}7D50i?R63$1h|=e)cR?cui~3br89QdT_db-2|100 z=r+8r9rB|)iqR0q_H1oWuX>-k09M)Vw9YS`1ayWsdx_gH$_zhjWU#WTSMprzYAy)+ znxiu*<7+oHDA&4s^NmQ8&DXHK^Koz{ZU3=G;6Ls-9D~W@6x|%zGakbG}m@RX=z=I8Z&yZ&)3O zme_RAe7ujZf8^#C&3>(k+6##PX#3`qXhNB!;bzcfXqifYkLRAs84^*|5V0L0TW@|3 z`MD3uH?T0DUDl}8>nzs0JuseB6ZR!og|7!Q)5RSp)5nt+dQA8P$#EMx$+X2cX6<%> z>2a3VitRngP2xrT)0@|z(kznJ^P2@`T z07`v4Vu&mpJ@}!LL#)JpJa z{i+1Vmh1FNDB=MQuDAUD{it2Bxt(b}Rab%IYU)2vb0X^NfR9t>_ntz@2{r(YiT} z1ud}7U!~jHNuvS+@hAZa(*x9w8c$-aMEYcxx;#BDqpko_y&d{Vk*^YVwG`B#_&KnC zy|R#nTHCofS4PmKXMrNyb*zw?jNcFf_K_y*sqLNS5HXMRSq|luv1Et~tm*6dXxqiG zXI5|g0yma@ygP#8wDYgrTTWTvr7UL8*?8j_9mcu{`u%NW(5`TSX!7sd@2hfu`gbyR z-mQF1Xv#TBy7DEMi`L=)sMBX@$p)b*@8xD1W?g|9NNc=7POX!8i+*uBNofmB>MXVG zWPT^sHMcj@M6d7*K>!+-2)qD$yq;!@Qav$u%qSa(|LTUFJq`SL3*e>^ZICrJIz1D- zKt&aZCRqr>6u8FQisYHD2fm5+IpUC>si&z`JFVR>jm|o1_Ak8#qFxzBBA7Nte-_oC6^!$>8Fj!UtmI(p#Oxi zL)IC?)Ic5wC~qH~o&&@3?l-Pdk6gg%wy_u7rd*#zxb1+2fYnYzkr-W4>#-Bq9TCIC zbRX7kzMHqC51Wfn|Zd&W}e8-qK|X2X}sKJQ(t%))u%LJx8q^dz(eETemg3DaKvy_qKb zIarO6bz>hrZmcSP8}?hF0^tHO{EOWt%S(qZ4yccOz0F{lZ2Q5LL6WPqO8-QI)Nty> z(6N;>q_rh^6jz8<0O#iJfj`%msYo3}dvEelk$1@{D3NIS5t6koCb)K8#lBL;{($O3 z;_}Jv8?t+g6Z){EP-5^&e2Az*`Ej7L{c>u@iCOc?n` zuJvDVdMKa}<2Mb~qJ1tHsL zAzK`@6H)QCD`YD^c4V~bi>#HI$M(Uzv$A2gEHJixt5 z^>?o=Go?RShq`%!uD%l@5Xsdwq2_C(l76JSocA;V`bP&q-GgKQTW$MQueY;`?pm6D zun$!gjXL4_pA(3``cOTXEI$!NYDkopvIq%jdE`bQ@l>FS}Wu1#|qYXbQ+ zN+g$EYd@|zX6pK&V?wfbES)$(lvf(7Ie-Aunu;cyHpnk`V$5WlG9xTAD2LTJ3X;n~ zTBL)_6+^;;YTj%Xq=LAys0%k+{sGZFeMk$B?x*5#v1-6DgIVHD@L1EyJHjer^h3%p zD622DG97=Jt=J>l41s!|*sN|h#qNMr(uSujcWn9;_kAyVMJZE!D=}KqG#zc36c`R{ znMf;SmIlaJENmPd5?8uBG&)dm3#&bBLqLxFGJmxAJCccVH7nqGMj$K)CUhp1IwA(N zWP)=>VMVHb%)z6Vd+0Vqlk%aAxBdO~Ub6a$)d%7Kd{pOeVz+_)Ox9y{ld=!qr5|mq zva3KF$GO+YG=p_0JL@YPpx0*;zV~$_p2(ReA*N{?w6zOl%dIDOs1`UVWaLtVytj0{ z8ADGM@p9$aRc@vg$C}s*>RbZi(Cp8v0*FO(dA_O9#}8>`SF}LS-*@E$(9%3}4+-zufNEXyTOq|I$w)dh|!iJQdJ?j+G~X=NY;B+SIhi@5_OLSU+vh_>XoU7lM$ z*)y)@@G*5C0hJk=)1DY}*{ITg$@k<6Vr=w8s+{Hev}0p)4tl<}dX@UE-!w$yLwyDu z@WM;Z5+D!4o{&4(983D`{#=Hd``>#D3 zmp9(iQIDcS7U?*2)n^;?g|CKmmsJCLlUW~m2 zlH@lGs~_`JTj^@lqi z_j|rhYir*HwX}HuXUj{ycnxSTY0rBQkdJZ60(_8R3JEL;Q8(vP2L%>Q{THnkF0Uc0 zu0V{}qRr(PLBj0#wO18TNqjjljS!SxhGqj8&%Q-iAcS=hiqqL~(S$8*tf;Z-4wMi> zvr{@8>ctSca$r5Rm9JJMJZ#77WwNkwzIT)v`(Ps7jwMJK*-6eZ2sc_V^Ybg4Z$MYakpG%@8PwkaOE_5-#LuO?R7lw^ z{}TDN}G>QW5%%gnurHCL6{BHC;SNfAQ%EH&q4eu)abPyN0^NC zZjV0)!UV9S;Fo@#S?Lt6<*dG_WFt9L&5|Jfr>&+V7qQ;2AkQ(@Ie=h$6IUFq2dM#H zQAWQYh&nW+Ec*RK_#VNHv8b#5?b@pA99S1^X$t7l|L$nGT36a zA3(|t|CWqk@y)b$r9+`A7Q5GFtG$mMupYr}BOB$-b*&{$g^bDxP7nB7G=fbR3scJL z6;0(KN*+5Qg>tbhs^uHzQ+-Ec=S!r0^p8vFH z8@7Yu`Fta>9=Jh^RKP{K7*qm#m!O6S9!XYrbUp7rWf}2kFGBsDea*`Zt}1oly7xuuuzRiflCH^emAGVe>C#xi_}lXywqI8rucZDb|4wjs10O3?D?BgC$ZD?HLj{eE^msl(|DPu zsI*;SA7MSq6i3(wr-QgEF-P%?zKNw6=wwek!N+=CrEEU6A?VK5NfQNoB6&$8Yc~qL zSmRjHFCQ&@If+#T0+!Y|gw~9ZsXEWa(7{r$cxM&{fI2PmZ8$!ACjy(gBz@QWBEmNG z#~NFF`MQj8^O3ht-Q*v~y%YFt2x$$c7wpT#Xse?%opFN2amfF(GFYhzwoA+}QuV@k z+Yyqa*nZ)FXO|{dKe3Xr%CEwNrcSiPHe9uSKcv{UP3=xRI2l1PYvF@_bEB!CQTa9u z(bzpKE$Lu%8Xti2^q3-*!r-QB@d zPdV-}!nN(Krr5YMn=PG(O~P&>AtsEaqCK(#w-0`D0DiEDt7YSC5ItiQC3zw%L`NK| zt4+6Ad#X-k=q@KTjt+ zuX&g1ai92`Y#|VhJknn@#RMa#kBU|^*C8gcBnwRx`RUwYoR#E8Fj9H~4((*>Bjnj) z2^Qj-xX|Jcf$>QzDcz!csE8X%D`PxBkPM`}_w!IaQLXUAIr7%a&K2hd8kvgg#eO8v z?bgvZEqgiLGuKhm#kwRLn>OtNW8cMaUu$_zVWi<5K}-{RyXkiGfn$4aaIB0jL@gL| zdGDjp(kSl8=kJ+!(EcjJN|R`8LWhZ`ymhz7iDxOi;7y z0})@-<6+YPN^j|h0DyyJ@6eg?X?Laa@;J^E4!pb`~2rx)dl5sQ&*oCJ6t})9XTNUbAjiL(??e|}X1)eC3-sAQV z^LC;anyc{PkhCzzVc$KdLe>k7UQeeD5-|yPf#=p;Cmk#F6Dj3%DLc|5-hZXR0MlEWHoYvs-pURLXku zB|dI_tF?eu$@1EwymH8!=nJ|c;=^tl+tbBU0LnTp4d|qZ1>k$wwX{XBn&GUxZnLo} zi5`7V;JssB6r>sQ#6qn{t2UWu8Jux%I2+FEUD)1Eegq)Ki9y=Qog&2o(G7ojcOn93 zZ)-7H1ZhPFEVVUKnYf&@JoA$Ov7h5;*sz`0*e1#ldi2%D&wLrUUq7OA|gI#QVV z+xv%y_+nM)&Dh}9q~Cpyq_TF|L@!tF$`e%*>sH|!wA~4b-GHj}-EYQ8%@lZ`l}i9X zn~+K)29(I9pl&oG|9UbKLO+jO>|g_Z(@(_DP?9i)EhWZB$pDNi)cDY-e!_w;*j#C= z%Ibl#IUKa`QuWQ;0-L!Lq?$~Y=dp;4?g!Bt&#;sAwYP1@Oj{Jf2rM-L3gGJIodG-9 zL2}@mP|a|xXH>9JkJ3Od_a;XIeN;ZWyH8Q6_rd+f@?y9)#?N}e7K<0EO(<&ASt^l{FULB))SW>Vu4|4G#6TrQ}q<^9js=r4I$v)g2e23L+{B z?}0a)Q=QUSbxAt6Lx)axv!ViM_VJKJYM;4#rRgYlWxjS%1%R8`^s-5+bAz-N zw1D^Sd>|>ntuA0iSqgH28hjiU0`n_uk^31`Ny)hp-szSy|@Z_GcZ^@{s=t~|az{^Q2an{OW7 zwdA~+cDteBvEkT1ZVOX=93aIjfQRpOpY^=16FAKmF8^=Lba<&gDLvV$o)zZ%E?3ES z)IJ#YOU3x34|a5}_9VFd-AM4Lb_3!)`ql{jaROQpmZD-Q4l7H+aCt+VfCU_IPBTPf zXr{-NdLHv=Ti{MYzg+obyIm^N@Bn`D9H?cDZ!D2ciD;$@pSF#FFQ38V~%q1JCD*`5{m*Z^}iysE6YyL|<9eeIgmdP5Lr(0dsd) zHm(73ZJQoC?x^V+(n1<*{{@k+y0!P5Q@Lwe8?aK%rL6DlHY7ov;fw%MsIcQ-9PzdZ zL<#uvFs$QJ3+W-8OV=5;`Z!;B09>_SC9f$FG>GzhvGJX@qo%r}_ZO~vr~rKgH}2|I zNyBS(g%}XJ!f`)2Kp)>#;MUGG;divxOcbU;AAmdOh`#eaUa1%}j0^fhu1s1o?da_^ zz;9)21bg119>0rsAKE(|>F+Yx}oYixUNl zkkb0u^xw?40qD~~%kZ0vy=J8M>*vAg)g-$`Z!PP^W^Iq}9nE4v;uHf-syUC=x=jjP zo*!dz77OW^Tubq|OR18D^Cg-RfO&6ZlN2YqH<2^)x~f$D@T92o&J@|i&A^45=xB3x zJBD8@IoKn;7cS70>IZ8#HgBw}VP-}d9zv=G*ru;y&Y&L;&^o55O(m`ms)~@$o{90t zt6`)E?>vz=MpS(J9GPJgS)Fz84-+?6=xiL%1=-kpyl| zTM4Q=41G+2rOP$Q@l%PDKgoIydz$rhUl{<-Q{{YPcE~A@-jg#y`RIoTHNr|d<2Wt@Yq1nqg%%uk9~3m}F-@jc1Nn6YV8eqc)p74 zDat%U_BXaJ)rb!!71V@j48i=Shk!xNe28NUqAr4a2|H>qV27OTC}0nQlZ3SYpjQ%AMoU z$MlWcpugPP-+O}fz<26d-FvoXQ?EV_9F++%Sm{44fUY4p&CpRuVZ=l>_}>g0XOvI#9qCM9lPse-S=){%qKsTuY5Xc-UKkzDs56^2z_sI^~aj=D$&csrU)@ zu@JlLq1$_6;7^S?wimbKACd#dv#$xYwr&Z}MfqLA$u+_V0LXCxf?#wTd& z`BNK@?Mu{~t^C!bf|NWPjTVwTpTC+?MK`)5!LduG?+zl|;_U1}Tk7puMnmZusdiS; zPMUBl|7L5SKOXN$i*gkq!I9L%e<26agSY?l3boM)`=GC(@?uN8hAVVOFMdlt2Z5-a zP^lTRctB%*724~f3m*gvM>jLAPqL@wj=9_nU&0OM_bXvijs0>t^(9UpwXA`!!(c?) zFMhUVcu-_;NuBF!QD@UlMJ3CY7{=MwetyRgm|c&XCMKD-jnu^hGeP)M7~SW)Pv(vdNhX<6_@nL-DNK-92)yckgw^Jx~+fy)YL9ik)3JVi8Yw* zGe^OR0W~k(4`shF--jlDWiCmFs!2G^?9^h4-&F&c@(8@o%qOP3Y~BGA)Ch3)-SO|< zL(`@WoCGw?K2`iZnGZ6=5EACG#G+m2TN#sen^G%{wc!g@^~BrbMu$M= zf!+VOJf;x!{_l)=PHG85Z>B(fI-4kiG8WuTpS~jBwopDJkSqBZ5;jX&5BrKgs?EvS z#CPpy3#y0?9KVLX&4$VuEI{yi2B!V5mdKFjC&9S^VrduAQsKm-8Y27pYO)rAP9(y7^2mIN47( zSz-0u9KJz}9_wqb@%Ppu?X-yFUy5|rRB8w%rpV!mL|EzrEYrH%e|)l?k=lrydzlp1nUvUAR=7=1)%mqc(r-S=z9~pUrlQIyq^Q zIQ0i5Q)ssMl}~40#oeH#GS!MI+~<(pk!qAH-Z^I1AdITw0OdaB!|DeVhkI|=K5%Cl1#R8H zK*obgf9`?w5AEdCImUEQ9l@17hOM(pRnw->Xr)xi zH9mn0a3U$6Mr9R+r~}Sq#06@w3s=`l#A56gA+!N&o@{TwWAjV?aF1_)H7`h7nHq{l zo#!$QC%P}l%*45#A)xuOdvZs!zmK1e2RAH>hgRLC?+Q5SGeA!HoWOQ#Wm-ica$4&8iO+yR_B-ZdMU%IC zq!|;f1Zxu_U32Drd9eKoK1h`tp4Ixce7doJ^!Wb$`AIv{M|m`!`80aoZ09)ZbWp#+ zU%USl;i)0MU9({APW?j#z~T>@14&$@ZrAS7Wv%svvg2PQRU_%9Cvc7)qEjxdfd$S9 z*}0gjN&)^cM4zuMKSaoBrFa&58@mPVEIw?v9(8}hOh>B!k5b8I(6#gB;a(Uxv|UD=a(Q0 zMfDX$Cr2pjf{S;QqJEpdzxcQ&a1P!wXY8+1q1LbC;u*B{Xt$6v{5oHpc%JUTfB((v z^xE$|(tLXPAHAM}IKIo`=PT-ZzZ?3e*PRv+qx)}Wd%lBzzu7XU;1-@xF1?aKvB zo=!$buNC#WOFpC2#pG)9-LXYUq~%@PjG$O~O?03xi74@B?!-KK8sOXg=YAUKW0RBa zN1^1Kk$I_(;mJm+Pj&>m?krDTiC0$Ai2Ztax9b>S#J6BB$c3V2r51{g?pr^dn5n&S z%EF_H6{$Lt$@3)1X5z9sR2eMd;POJy`)WwDGfyThNPlKoU&!PxIEfZ~yS@ z0tc8r(sMyEKdv=Mha>R=Fcm$AE4^u@zH(i8_1lFBBX$4zPu@nU=^p2KJ>Gy%9Tlj1 z@#|Q}>kR(Lo&!HDx3fVIE-uOTZY8`kwA*N@+;tLNp0y58Nxu5AzPd@Vseo(YwB!Ev zj~V!3qAbJTW+;;@_^clC@X5LU>x*>kSM2C1H;KhRO4p}#6Vz|Mb=PVT{S@px@{-e7 z%3dtCCk_^{+dD8*c&G2weo(4G$x{J6VBmi7RHax-bYE50mre@F7SSHW_6usM0w-Zlly|pkDa_VxIb&{jSx?GDr$j0srpWk&%{?QbB5b z0IBsnx8bc4o0jrWuvhz2S(Iq>Li^lyp~YCqKTqylKCo4Cj24W;hkXx?ye%LYFX@oE zTW3Eza!UAAha+ys?jPF95C0$GzXrJ{m+c!XzHRw07^v_SiR9;hx5%H)h5R>*Pqm$<4;W#z`URVf({^f{UArf`gBp zlZ%~;gOi&=!_xJqt+^$Is;Rj>1t*1u0)@7v8HJ36t@}qyL{gZKZlIv@Wd93Ls967l zUzPp`y`WG1k+Vo;n%d(A~g6AoV2V&wg$;@Lg-y!yC8m>U_|5mNG^ znf*Lju2Gs=U46dV;Bm58g}GxxaT;QNK0KbVkxx%`57jzBHeXL3%P4Rj{#u;(pnLW; ziio}Cb@~{S#Gxu++Cuyh@$zBk56Zzh>?o`nH)xj|w7%tfKO!hkqhfP9o0kO_$S010 zEWDbact#W?SQjGUC`sc+)*pe6{FFRk(3bA*g2>BGBo^S+n`mnG(;>dsS#<)Yw$S<}}12az*dN z9HioEA#Y<{J>{V$wh54n84W8B4ZT1+d z`fysL_iK)7uY5-Gmq#l604=42Nk!)A$tNaYD!zjS(? z>>BVddX*hL*_}lCRxb2C+$oAy9lK(^gH%L4G8^x_y`cXv&fb9_jcf8qo}X15gsq~) zfH3+8U*{7mpCsXbF++Sr0GNxo^6(M6(2)lyi10@6Vg$?TZ`;|WU@z^66hPzlA@ia) z(7oU-(UrcURM{x4S0K3BE&GR{ipAKqtgTO?$V603)Q`3`SG`+gvE-j4Y2HGt&wiTb zg!IZ@da^e(Vq_I}8XMmSy>17G59{fOYM7l0+V!tlF@LCF${VM0(TZX#7z}! zD_mua7_RcA+#{lk#$1JR?+^VF(is+n2=VA+2}YPp$FBEyuG%MP zj7q4q_tWOoySB_3EcNpDf*kgPhKx8vU!ba{uO-G{SusV{M0@O+PA=t(I8Q=H`b5@V zzKp&7|MdD_1^ZwEkApxWOJ1kAP(t6D>KMAdW6Io=NVSH58TV-^~r#dMlDh2-niA1U-|8|^> zJg!Ir?S<^>$p#b!-3b%az!>5myhn9gjY&FK38`(~{9QUrwq>gat-Ula+I`08c zBR|WSee}1B^xKI(`%uCwoX0G_`RwVnyO{N7>q%HHl zPBNz@?&~eMnQC=IxH)rE)w%z}&mLlacHmbmIr0!QxETdri8haFF~(n&L8jJAV7I#9 zc+xNO7rnQ9@)?9()a`bC0chWmx2jA<;l1CurHmq!zG(&Q2c-hIw0Cb*2Cr|YNCm|q z^?83D;~Sm4UpMjwot~aK%^eG`jB8WD&#;5Xn4k6mJ5G;0L+)Q^7de7nKabS8S16K! z$TP=WE6W7=S!*l^v(cU^t$6QM^dK!k5T&Q??*YBAlW&Dskw>`3<1gYbPV0|M6K1mA ze*5#YL-Vni-}wraU)-POpVn2}{H>d~RSs6gl)iiakee!PvgLRKoovqfFH3QC|8~i% zy5_cC$}v8F_ZEt?qyk^&b~=sm{CP9gXapo?8GBkx1-^Ma zy#~4(ig`3$H}Hlu_(|MV?7My0EvZ`iYSBG)@N=J#<~bpS zaWwtPR3{owHfjc$?w9^SlZrj?UvbiLC2REehdj3Rmb$dzr_~ZB?H0MD%^Uoaw9-n} zN+qI7<8OW0r6&}Ud9rNH=X$Y5Yrmk+ZVplbJ6*~Psg1k|2abpp9wX_UaeU7ioJlae zl-^t;O8W2fHXK&!bg-oZ$=16fdyqs(r5(K>sQKw7gtdp2e*R~B=jMCSA>(^Kae1H6YJ@y(06)gaT+OGEVf9enLx4$)F`1bv&S^K#- z5(7#-V{KtQ)-HA9I=1%sYvTt;A$C!hD`UZgifk?uBeOn!kOIA< z&(0}Ur_Fwijguq&CP1gqj2uqD9ZO#FL_0{$2~L0M1V(b%#FvL2MRVg<`s<6F=DJ?7{sVFSR*g z(pU5?q%?$n|3KXv?G!Hj=v@%B{!zpuO^T5tr3I3Ax{a>)4xVpxn7S>Qs2aP+hPhKh z;p$kEw_HA9ga=ShLHbHF{8~irx@V|W*8OrChLeq(;z*jO5bbx}%0&>oB(e3R&lRKJ ze*34(NkMA*S#zHb2w7O>tZ*WoUH@JaT>o);m0NpQdyawpc>nV2+o-gcg7cs2C%);E z5kk4AMurxqxS;GU4%&Ku<+~+0xaxeOp2^$nu>Ldv(xS%gcXzXZ5U)B&SW zPicO}864gwBXcy(>&{S#d3{P7>Qa5@AZjzKyb<3l&y09~`Uys8fmj&_zuTR9^a#9K zzq(Pl9-qD}z@7D58Jicbih;>vbEn#Fl-@0#$li%xFfo?iZ;)4}`01uxR;^F1-@8|` zoldQvJnqSfYTt+AH=(|H_$_&Qx)9IkIE=DC0AAwQyaAm5EWSUtTy0{c(Xr?%#|9ab z6jnd0Q6D&U9h{CG+^r_%E`yZszQ0>O(fN`*HOAa0r9RB{a)94$q@SZ9DfgUv0DNx{ z47irPVYn+v=c;Ce{s9p4X4lmSK`G4r*gY&N0)BZq4)3q)*S^s@GTn9mb=LgNMTUd@ zIv&7SPQ;(Py1M^JMn{G;X{aM4?H#O%AV_P9>C>cDG{%jXzl5Euc9p2$U^i1TMOHKXg(=+mgyS1+QY z5pY7@Q;d5GsxwwEe?8mxgen1aZ`Ly1FPwzG+X$Z==x?t0@Dq(i2YfBwE4{(g_zBUj zXE*4M2SocDkk`iyZEps?G44Ohk}ti))kE%|?Vf8~SQB*Rm)b4dAod3>74M7*ihG>3 zxFmG!A3HeH=^dT7ZHijQR+5S`oOZu>|D&od&Y zg2BB~CFTq$-m$c+eTq8IuKB+8$n~t|*DkKX2tXAycxOeF^(Cr=le5b8FI{JyvOnp@ z`7!;mykGr?*1zl}6q&rFeZe01OS=P#Pkww`P}`4E@cn zYXNw68-9wJ0!X>)-W0PCJ|Iu{Vrn2LV6*barsXQk{0L7oHXxNmGiA=r{l^=rd!@#JuDdiH zi=iW4_GxX`KF7Oex{syXhbqb@K7c&=+Ks$x8dg{1j zz|JQ<4xy#S>2^W?LN&>5rN6Q_KH60)@x-A`mFqO7+BCkaBwqL~4HZABTsWhf{)p>w zj2W#Og+=3U+f1_L;UayNrzwci*I}7d<~<4AEy-eKlbw)fujA`UH)z_11qoFCzM3vG zdqMrTg0J;X`0qLe>gUxlwz?u_^litjYx?BQqd<}-`3p7a&wHC0MU^5|Fu+-J!u@9H zOG*6}9QH?`E;7^&omu`@uOnG_l`GJ9&0;yf7nDX7JnAuVBT%xuvN16>e0Ut=mZ~~{ z;Erd%#44YO-as=!IkKb9DR#<5^jiv4AwZgbur>V8-9U5tm6p1~)j9l(FWHi%CO&pZ z({X*c=TH$P#oYF>TH=en?wr!{j~_kzu|ACe+o7*92oOUgWR0w_Vj(|28`1hkQkX?t zxMIqL2&7u<&#^?#j^zk*Lpa}}?%1=TCmcDH7=wH>pgs9vb2iXg{}MWv;{Qm)X#QQ! ze`wvpe<*at4L-Ey?E)J%oi-ET z)N#5m;M}nq8tX(de#IN3v8dG8ZG9srHTWV>S3G?lAl0hR#I`-juT7;W3^w=!24{Vg zwLf6Uy*`V%=C78$)CFV*1DarO3p9g>X&r3I%DzjlV`b$)a7jK zB>yPaWg@c|tj8Zb#OsI89YDR5>LV_R-wF4;jm0Clt@p@Y#o^d zPvv_9xNql6n}M$-hewh8rdIZo3(c=j8D93tHYN z;N5T%hfsTQcQ3M<>*^viF4ZhUS9>acZP=v8n7HFs`056UR)+yiG0-~ye;6tgH*<^6 zlU*;L&xF4WHRb1liPWZDsu&Jitv)q&lU^y?m^SZ}4Y{!xdV}kwN$53*zq4oYFLmW} ze-?G#UmgSfe5~#*$W8X#UI(l(Vw6*#{ps{0&0!pP z>bJ=u3ofw|3yJByjzl7(ACf5liG&$qUh57&UoGxyj$Ss0S4;_LTS8pkg$c-dIbJ_0=!hAb)}KuEP0_2w}n=;&xT4g+IaEvOwbeO z^V7I*k*AHt9b_50h&0t~r|taKVPh-?+9ntej&bOOU>@u}@6+#vXP7uS)D>*@@L2Y+ic1knt+v&ezcQLNE z+Eb*Ib`JiHyC{f1E4LEYR{uc~Fz7eoo0H$X>XD7bF|mK>I+Q*#E5VE&nD|Zd>m<-Y z-P_4w6Hlu0dV?Q>0sI2c-}I4zbC2DO?@386>J*RG4hlcZui zlQhfx9?M2%EtotNr8Oyrf$v&^`k)UjCzw?w*zR+7oI(t8>LK%5Ln1nh>kzQpQ!B9# zVz?=;Hso}~cb>-yH!K3&jo$s$atb`!=EK`lJAs0hGX%ktc#z^;j$8eR&3Mq5$ljo* zC6VOvgIkL}5z4f^nzYgba##GDsF%@_AvTlMYlexuj?7yv%<5wnyRaHp=M-UiveHF~ z%lY1l!MTcd17bIB^7;NP&XjcAK|_;NAoIcFryMJ8MDacW^Z56^O7PC$-qz(35pbIT zA(M`XEXp9KA?X40ZtyRHfO?ZHWIKO}7#^0KL@=N5j2c4E_rd1iBbVySrb_>c*}DqZ zme9d(5#g}9t=0=pAaV49J&%KHNUJ0^!18u7w-=~wB@{@Giy4pQ%#sRXHv*YLa|SK- z&M39=oTj*PC0f++B%0aRpixO3d~uRHTVf5ir^kZ6X~t;rvUV`&a=EL=v*%-rVk)5G z?W(t9mouY$fqC%Z>#b)FVdP1Om_|EmlK1?6PjX{Mn9{)?PU0*wXfG>F_Qi+!csdrb zV1u~^Hg>3C!~O%p|83kQ!N$sgHt>>RV-b%wc#|PAg%0%3q!-|wpyHN}l%`COCm4d^ z#T|eMB_|npH zW5;u$H(OO8FxM35S$T}JMD6{~FRBc{a8egGILfhZ(`HUYVmmy#b!=e+0>oWN?GpL0cStm z7Iql;ax0;l1CxN&*iD%&h_5;G7efbwUiw=0rP>Y}>9$7JgdkdxH0CH!%e4B2?FLc_ zeTMn=2ea{;|PQtm8ammyYup@3U$2V<2Wc)udg=yaNx!^|MM8q z*M^`8fzBQDt3>Vf4M=QeXo6a<(nGO?c5Fz;Y^h$b+(^BufR?yqegk?TD- zi}I3zTmY7L?3`}k&Nt9;gKa##S81;+?CQ+UI`dA+uMl9T&aal2RrWYN%5q6Lx3`PS zmt0R;9QAOsVsK53$yaVnwLW1uk~z#{Vh>{J;4Rhmp$O*rOpmZ`fLx4bH)- zDEX#h=!_0k&*m56z!o2zS%jXInN3nS#SC638llcKb;wx8TEGQbDXRQ7X~WXy?XHDH zWEfXY|3_6d5pB`JzZKA??}zwze4Ol!EA_66EheYbZmB~U*OyN2fpe7H2XdlaQm9v8 zf&5ow?o6T{Qf>$&{G%ntzxAMQ{*%?q2;LuxQ$WqV2%@1FVxPOwLaJRNn|%uY)ylX3RQ_`|p%3Q7bmB zC|PQ9zI6f~M; zqLEesZdclj^qo%FMflpG3rhz;d;&61T&$Y%O!3I*qrJJ~081JH8k2;*) zHGGX~tdp^7$M}{0(3Y&K=tVXfh6Cb2=yB(zR#rT`8yfazB8=QzrLr4h%zm2G41StZ zT3#2gB`5LQ6Ey46^cCr@O>gT73?d|vrz`1(3HVoN#tntv?X^1qg{&0eQIbne7~;}R z-BN57+x*IMFHMxY;|C*gMA#JNxA zOUf~t?2E@Vj2gxq%)|tT;i=2^Q}t|O0?0btAh}fV%=%(|cFeT24)$_q!x5q7Wv48g z1z!%BEf_}|lC2saQ6c+<%5In;GQZUapC+TpZn(4EX)acBP3-n!lSj0>1S6Fq)}Dzq z3BO+_?U=%mnK8lD=h~HNLcQ}fa(>*PV%D%i-JoU5x?t&71s+)P3-M(BpmCb3Ju<5T zYiRr@b$J`;0~1Hs;ee8v-&SFaPTK8mO8&_=87}>gKoH@5PEM4d{FxS$XWwClr2L=J z%>H(K&-{c2bW!VRwSEdIIrMR~vma6`Rl${*9c$ny)i{0Nl+&$*{Q5AuCd)|^ow;;h zgE?$dA@%uHd{>s&*^Iy9v%tB{$)*y|{&o>O5M$wPrs0HXJ{p1s#_O&bnJczGAJqi~jHvC# zxP>jtNpjSr$I>Cx%%JsX<3~8bJL?t@`^xXefJcL&5?xzwqwd2tM|RCsu@^4=I;}?f zir;Nz%L|PK+y!>&Q5k1ln)CW<>n^Tp4VbCFl^>cS@73s5Z27{}XUZJoqcYG7H0Mv~ zAcwXpSGCK+O^Muvz~rwPqW?6xM!%*PdE-*1+&;^9@TY~uSrsK3;R|-I_KxHUCHTzx>NJw=IXVk=TB@OVm9*?4;e-#Eza8-Qf93*&x@h#tkwKBT+1 zRo+IHw8AT$7_*^PUA$8P((@;j5UdDKXFqEn+)XAP_8Gg(`1Hvsy40MXu!bNfBhHC` zjiLx?MTgOsM+N?IO@xdZfBe5R5pr(4Y)!0NNgtwg;gBWHVC*FS00_mArM69hV$&4N8_LVb)r9%ilS)q=xgZo0j%UoX*rgUuf(t# zj#X|T)xdrI*vjW9p+z=BAbV>lizJa8=T|s4^ig#6_v{`FHcN~jY_I}-h$JojXWMs0UrkzW(9}BEvvXC5SZHR^8S&;KZGEq{7 zb1{s)lDF3z-a>X+ek4x-QWL^LS~&LV{eP09>#|kNaxl8RJ}CTj zomlFHiIp~eDWVPHu{>0Z|33DxtIoinU7zAu&E4|4aE(8-UD!$f+QVsTx>`HqwGT&E zY5gVDbHP>~LvnX!|ByVDgn3c`txN4i5gF3h>34&>6k@!qS-mCKLw7z`)a&iij$PlH zLEAmZw1o!sW&9*|uS!G>-U8FTW@yNrgRY zCYfdGdONj{2s^A0yqr6NvsRlJpT)vCz3Z<^f3&L^$!T4oe7OF&Q&|a^OF#5%Pvo~> zxR_r-;TCinrr}l-HZDH-B_SWx3{hkDYVM@>~ zT)rzFif+euS|8MFF9-`gD6EUINn^$#xaK$RdZ>nwBsF9$Skp|%cVMtz+ABa4Tm{9i zfmQyzuGdsXtArByu6V{J7|O@mL&g{Bd3@J<;l79R9m^@h&dtQ4t0a1t?s=%@pPKr# z76Gkqxr^4RQvn~dX7Z=-bb!t0PoGSVaON1k-Gjs)tLD+XM@X`7{L98z;*o6C<+G?5 z9kEu2ROcqY@I1lubQ2_yZ!f{8SbY&WmjxV<)Q0bLVgYdzj6%)%q9LS#;xez^5u1^Z zE!g6pUEa8-PF%(%vxF`}*1Gb@`)ANMdh^KpW+DZoFn92`{{*|k_=v@d4FJ%y#pSa2 z13inLbECXqfmPE_G}9_z6G0XDP8>PR9W*)WH#?FkIiJsmRwQoN7qFuEa^gEud9S*L z>^tUpFb1b2FlC=zhBtBB9br{ol1WX(Nj70~JzDW@NAl)x_y;_tUN7=)H>bW_8GQrm z+X}9EZ6g0%LlmxtiAw@a2q+`G6RUENTDq=7kf&<`18{C(R9|`7BwzO;YC+r+;FPUS zGVDPWDTBu%ZE7Xqr;G3!D08%p&ET$@UeQNnd~AgO1ccN$f$ z7+i-7)wL6hBRLJ$1jhZ|JG7J+GXv^|eotQIv8p&iNv}f?iJl0$H<7kh+qdJq{sDfn>!*C851VA6zvkfrv^Vb2h#`pkWO{` zoqP6UnC)(ySJtg=qFa#^0Of3E!e{?PcAv(#p+Z~$3g2{>l=|;?m$|LzH`-?OouNJ} zWb&`x{aX+*OC!XbM&g2fP;im!H`se&)kcgvf9^w{Y5t&k$R?5x-663Af1s;K7W}i& zbWC0iyeQ7zD?UbQ3xBfu#YKM7&yB#>rydB-5Lc0SBu|A?fN^9Q;Ylvy-aU+eoVbQ| ztl>U4#9Er5n`kH!g?i~~7K6ZtG2|srdz1nQJe-c}{mqIKi*O-ttYa zO9&d}!i_%ZIIBoJI~HBQ_|r2S#Cithz&w*p^D?-3PNPr1V+fclt?-}A8QSMOv zeitPkAZ(3&hr52dsJsHTVzeJ|40<~o`QeWeDth}#FnWtar(Ok+bW0BjKuvaG?Ho`~ zTm#@Ydbif$M%%Ktg-DW3ue&v}3*ycuo@(%uLGqKR2b642MKwxu_|yRI<5$-C^w)!( zM<}nOq%*j$2sb9+V9bp7tZb;Xco0i56^Ou(Xnq!5Wk9 z0rMk8sG?1RuEO2!svT#w675bB^U&FbuBKUI+v!Jf22pjof6JEAghNGevGkGlD8?4h zhSaF1xQWv~JjT~2Wz9;fd1DLL88s&bPd@xg05BAtHJ?3j|D>MBc(wdVsM^C$l*Aav zoitRg0#b5k1M%^weu-Sfo%^iU-K_MYv0CmhUEgjn0qUB)oAqNoiL%dLL5Kknd^{&{ zkU}#WJ8qpqi1DWRx6psx2h4v#J|25o7`G2M_kE)ih!i0S?P&uek*p0Y;ScqqD_lt^ z!IGsDJEl)2x32RNf}Ems;#G#H28 z+7-18c;k7U((MIHU19BtlHKBvL}>L19ZIBf1F83}*U`_-oilcNU1``pRYvpYyb7x# zcziYS`y}!l?4qvRFU0z4#mIhi%gA`=kKRa}>|)f{s`N~)X@<}7hVd*tEi93X_N=YS z#@YtAihHXy-TCDmuATjmHIF@91Q9dzam9 zYj^&Mz@gV?4C&78r{O0Wj?Da5Q0;-BJb;(g_wx=jb~lN;j07eH-7CpKshpWc0?PIV z&&ytisfIYi%iU1Vi|8r%6VvMOEw7F)M4;`AEU@q8#lJeVD_Cb1*{mB3|0y3AqZLedUJU7$uR5qzB*H8w z^$t3de4Jgyw`ylWZnG|)wnB8dfjkE=n==|oa_M5X?|TB1N_W5hoP0W}+e(TpGT&7g zsFC%4lTV8BC6fslV6jpq*Sr3!&qFA>O z#$0=%=bRJz9fbG*^h3cs3agx5-Y`(Rl0ZayI8Te7KrR{DsL-TLmY66$BIgh_1Yw$6 zA{Y9Z?jxhUdgoPg*9fj{yfGYdJT`o3YZ40)h*;)pr;C}AdFGBVhWR(oIux`8`E2X> za@5pcpoyUylLWQT#{urxA^4JUf^T#nhP1|2v>AS@lF$WhI|Gp{hSddQL{TydeQgW< zYRNv(+m)5h&pa8dn<8y=0#uZ^w~XDB%0Mh($e!iVJ$lJ`*Rn%s?f zl-=`=cnFqg6Yb(Y6wMoUB#C_-%O|E`KDml;6G^DY3_!i@`_$lOhp6*^pB*)d{P+D4 zEv7->txT(yBlJ3q4>rStqS1#%rvcES#J3)KYr?+NAK|_wuo~W<{*W<`TcV9l2;r7F zZwkH>g9p0vvpZDs$*Ff3RIW#88uKel!MOb86x5S&n+}Hn(#4b`izOG{>m^AeyRu~X2G_AOlrfCLO1ui? zI+DaxqN1c{qK0SLW7?HD8w=~5K;ON}`}8qwwhM!enFd#HkX>)J$W6*cD4vz=Anj2F zo?PjucC~=#N?U1-j6N8-u?LpNbOM0kaVWkvvQ~M?6jvsD?L6_4UQTXIeYs$Ife7&y zmR%RLJJSQ|A?kM<*LvgR%;v3J9GzlDT5wG2>9!dsW?v>F(0aRg&%Uds2vlv5S51Lv+`;b<3@YX42 zWjJW?r1JLoxgz!;nvwR=>jL@7G5>^ac>DDaNY{v2Vj~N#HKSOYnSNDSvhH}z3ULzo zF|)^0esi5z^~!g8xy+MMv^~(#hL(5N{n!{d$B=rP5xpdLS*)&kwmP{1e0UZ@FH%ft z3enABy=naYgEKed_x0}M3N1}X)s3xwHv3SfHDtWWVF6#9@8-}OPki<>v-aJ`>2g!9 zEwwt;{=_x5VeM+ML3vU;&0+6GNT00AJO7G1p}F>K4Cl8$Ysq+fms*I^V3sdkHp z<{#HzzCH7?H6EC;{w?NC3D+$gRG^hzZ?$XG)vStH%L5Ay5g){TGC=>IUb+n5w;b4buW zXt+w%E^SC@0Y5}WHVJQ{-%KRzPgH~i@|4+kud;)iEX`b7pS@31(?EWt$AiI!nC<`7 z>G?#Ow)NQkllLvYBnn{qbx{;yqe9TchkhDBt@$=2KZQ|sDmGbUsEqM7T zr=hte+rz>BWvl>!rRs5RziksxeqtS$1>`&McQJ(gSY>m6o@)+%5i$hHY8?a~9f%Zv zsZv`5_a5&uuXQz7o#Z-ZH=k$PzxG+L{=3#OUokstYuX{+Z-{NqnL-{0z#mq;8N7*= z`sHkr57#^w+2ji6KHPV`_>P8OyS=PE(`V$q09FsD&R%r#{KHxz-*2v4lpxKy@69m~ z+&h)Bw<^E%&9yW93-X7KjyB-S`nm|9U@uN3pa8-o@bzS$?er zz74dHce|*zi22;{l>==223MyR)UzSyPmG{$F^cyR3CQ1zjoAX#chm(y!IL*+@E|uH z(iYGHXlZ(tz6(Ef+8cwUbofiXAvaC!?%j0oEnMZEyyv;S?mwMBhh9tF<=VVS8NT(e zzs0}PWr(Z2hwQq&Ja$f*y<5dKy)aGX_H>Q11^MGWv?499kJjY!FFQ7$z17TC1Jw_n z9N!O4ez6?@QxC#-*Pr68~hJ?MwcdTDo#2@YT7}gA}a%c zmLQv7QomN%=_=ZQpoehRl44>`;PiA zZI4f5MA2I^?*>QqTipcbxUx@G#Bw1h0>zxkm5@uA3F*dHA*M{nr(`D2{nAYUEq(TP zgdY;Ul;$xGU*)f@v^d(2llp`LwlJDoR)lT2K}j-shs7|#j$}WN?h&KZw=hz5NMv%~ z#ix(kf5{A8yK}v{ESlml3#4*~!T3>2v;e{cN^=sEIl)4zdL;t&wDDMQ;Tgw%sf`pD zso7ENuQGzqR^P&ow$)#ejz$RkfIm_Ga)k*jPi>`1{b%H=2m&isGZHG(V%#s9B_>ZO z+W%&wP0tZjtp<@gRIzaDG~IdBPAwYW1b(m2NWU71$Lk^mbXm=Mg>%`Z zvm=TLWKZ!L3i33Jd@#7|GT0$S-QZRbgAn@p*dw>lJJY9my(4V+DgaZq42^cGpe{OT zH(W2@ss@A!fe$HOV?Dlr$Op5_x6T1!WT2GdU5_}aG6vgK0P;qMV*Ovrmt&j@ysgfP z0bz0=sv=NntFvK17#`S?;#C^-kc#8P>gB|AwHWjehI4^-X{5d50CiE1<3#OM!+13b zeUXTBVQ|@;?4{qcs<~r`w4oFEKzSLI??p|!5#B4DKhS{#45i(W>(N); zF@)c6j(kwN6w}z5fWK&<-5|L(Nz9h`y4kQaQ&j6H*99DNLe!=C6k2+$)DIzR&C@0B z2#$_YJzE($8Y$z~jbQ+OoxHBy_+vaI*WjyN(vymI=!qSyjAaVu%JoR@B`Fi=L!F z#l`cjg7&Mm;!MPDrU|6*K|#|$9EMDN_Aop%KfQ#z8a9u3mGk`Ei_LxC`<)+2#)FaD zEd5(~gU;|cVRu(y2wl6nehTO3b*9o^5f(6-|s(?2QllC1T7X~=r7c3Y^J2F(hWwKzLg7XNf&dqApMB&zLWY< z)8$A`3Q@}&9eW;vIEyzg_q#dza5UHxb5)l&K~ zNY^HY_vXL2KWK{mZ%Fz?&E_w+oNY6HWul+&#93uOIR;fmg9%&JHSQsZ^L8$RstS z+qw#T5wFD|XI{LabMf*;(Bu{nbNmBbkr)B`*3wV+AK{GSeYbQ6lYUKbU9Gens8uE4^Q2o^nK>J8h(sN)Qx`=}kwRYi3dOHb zW&SFbN8Sc4rSfr^kI6Y1+#w8+>a<@BB0^Y&kG`{Z`h+3#tU|!0vMvojdqbP+E9~|h zdk|Y{LqbBP-+veqz^a*! zq4poblwV0+saZ?qQuuyktXHA%KCAxyIW4tfw!2X?<*m55;+CEDd$BR0-KkE25FBCQ z9s)w)e9!LgU;}QvMq^Iauc)3fir;sz1v=s!A5i$eu%v0St7Q2t+pwHMfL4F3ig(3W zCfQEIku~DKltQ6trs^LiYE1QpfOX`tfFOHITBn1d@dZN!VdHCRZ~I%&ze3pMaTDE? z5sUS#E;Yhu1TFy)%a!Hv+{%z)ObaB%5(+?6V_xi&zV<5 zW&vh07I|=l1guiBX%^sU+%2I@3wQ95HA(MrO5f*{0RElI{l4C9OVMTf?JSlS5%zG~ zdH1K~_$!ZCTOOVYYLo?0sa@Ry3_Z}e<4C!b$FRyb`?Cx&W?5}(U$lxsdK5fM$Zf2U z;i>A6{MdVeeiU@Z-(Q>bJ9bpn_C00V3YaU}Ittn+D+M$iBC}497WL$i%9?}VG*=Pf z=V@7YjwfL%JjwzK?C|%>V#{1+H0)Exsky$CuBEjdS`u)qrO`ozF6{-c3%DRjHKT08y@m89Fz=1D0ekd~v?l6A#PvY5z)7LV z4DLd=mGo1Wa79z8oOgln=)=02f0OX!GXuawuIZ=ehv@GLaAld$z4U^Mk0z?e;&CFg zT(WMqTFg4$0&=Mwuc~!&^&|u6K{{m#_xsRfS-z-f1=wAN*WA+}asy*RrT&u6{4_)m z)w1&nyX(TW${!RA>F+mds6NlLjuH>j zrdEfyojOi82SX3uxa4D_94$7c-7)I>x2ES zdDs!`m?p9a*Y_i`h*?)Hf_m)uXOwJWtv*1EX3cJ2ZK7hZ!lSQintgAoGdUIX@Hs&( zhB>a~jXTFC0ad$UaMkF-b*Rs;r#O1RAC^?@cIKMEwJm1PQOYLiII++TsRQ z*lTVFiRDPk8q)XimUSe{6VITYPm9BB%CbbEez{59eGgDexb9xP!>Sjr&0X+PsE7v- zvFOkA>8q63hj^Y|6r;5r&@Qd%bYBD4gIr5OC=pBIpHNdHHn>EQuzq%r-c|;xz=kRM zpU|ZQwTRzn>g(w!83Tkq)L@~#XRtDeadW8Jm3b7z@Vx=5-1CCdly(Z*0+YdzM`(Ic zc!iIP!*k|!BcZu>N9J3K4-4G=1pMb%mOsL?!aO_zA}#2|z z7W>7@q)a@W4WJrx2CF$OTt+ur7D~B9i#RKqHzUQJc3;z%fun7+MfjAgJ+;JLYS%)< z0$K2Kuj?ce*0=WSw<=lQl}Ga=#}D3^A< z5M(<1#dLVCFTR;$cZ*{;o@4jObeOv@UaCL7zc0SOKi)cwQV!}@_cfn+B0sfQ5ZN)$ zqiZ{EBC{M3Yxt#niM6s8lw*QA7g*%{C0AC zc~Ab~V6<5z%GDovr8syDK*|u5!h(2lXv#fn5=p&=&Iou-Ay2AV(G#JstySyI8;F^- zI_o6~X9YqU$ED^_`Q0NT2-A>>S(pVB=HT?Vi4)42ZLua*e)6jk%DR5p=-IBBP0~zw zp~zito=-}~d8^ngmj0516LyLpCc=xhOpc{2{ZF5LFC7-j#N!n-T?&2mjiSO=uCw1E zDohR^;}(6AkmS&bm^4eD+ojn%S}9Km9kI0e(a^V5F0x`8n=IlJjE@Du_3!!QSJ6+j zP6Y?05wFlbgf3sOTx^%(|MtgEc~g9KoIVhZCz*MaBye>gxR#M1DU@oON)u5?ZUT8z zjIOYUQ-Z=NjiHM+R%(2b8SI^)Liiw0K#Z(*jQabzKbj0$CO2V zLvh%o0cZr)D|^;X2FBqXq6Rwwtp#Ur-$}FQ+A&!_72v4EK%Zg8_irjpKugsA>_=VM zN1G&96%0KL3hccc6{(U4M;H@gsocHf*wqc3-l@aI$GCq2#mK==q^D8|bvm_>kV-eg zVqYq%sE!c#DQx_aT!HxdT)^#0Jcg>AT@@8MS(w_xD?Pai;)U&QBPQpFAy+HGK?-F4+TICIp%nLSHcwW1*ANc%Ga@GFED1U)V2a^gR` z(|I2+*P;XbQfyr&KSH7}-Kam2PB7}q=lj?RVuyaIc|H+WQW8C$dA{b_O;^-eraI`^ zptlgf&cc>BMo$C!jn`-J&u_L2(#C{-6#!>FlhST;Qk*a#0v6xbi&xrC`qdiNn>tG$v)p&}hc9`g==qHRhKN?Gh(~8E7g{XnTtnh8Kfw=w_{f~^ zA1SwlV^`aBm^E-ZIdCc_TIbM^vFNo-;rh!3hSWK_)Ejn~ z2$X#11Nkj;f&*5U(C0(uSHr9h5;ZQF5~FT#2~1yG&8$cPm{VCI(P>G9k9A<%XXeTa zQ+h&4kTDjP^C%hC~c%wh#w&lHHi>1XYAS0-TSQ0oBj53{FcWG>ljjT zMZzz+V9kcb(|lgs^`#}1M*GH(p9+y@9})X?SE8q(pDd!{3IaPgg;0XLMblnWeefDcMe`7c#IX)wwQ{(w?P!NJ_Sh5t# zOj6&m5lI*es+2N@R4QzrKO9S-Px#9#J9|~cKvncW)$f6-*nw;q5`NBF!=svk@`TYv zC~E|0G9P?BWm@H^9v}})@KjGLf<_{~M;LLwRXDeX^qc+DNABke46O4X#2fWW#miF_)AMqRu~(!j zN*mFtkn`LKrRID$LYZ-a8=*?sU=o=o0s?c{l5LM9k0pWUi{=nja`NgrW6#WP&)@j<&idoon|Cy&Kh5tDq zq2B$=6jNE;Cb^=Ue3%^4_*H67O*{QIg*a|WMA6NF)HmfHJW5nM-2zCc+P8Yts9OIe zLd|W!IP6u%{1phZi8|0fxX8OOy3<#dvgclRS>ifSetj!25AB32jKg51WM?2;N)%+F z2a@EN6FiU{(YPuM`DhsONkAMGQZ4W)j}m3=r#%p5?PtP}s{!EylO==wWAm03R|3kO zYr>j72S}&~f5C@Q^}5zml(OcF5o*2!OudhLXF8tD;aJjY54a3iWY6N_qVgew1?jGtox^-DDH;p{wQ{ zq0K?WmLTF1AoxgeXb?Z6NBI_aue~@N?4v|F7464P1RQ{3eL_Z4mK0AYgIby9mDzLv9Y1^M@ef zmLTGfLByYeh(8Arw+0b^2_kL_B5n^N{u)I5Er_@Sh(PP!8C3H3AmXkd;_k2^_XL&v zBZ#;!h`2w9cmRli&yK@hT>a2I9z%+QyrXMcd)w&3;$sl?Fq=0E{Ap`P*D=#Oj+x%x z);82T(l@WIqx;!yo$dMehX5%A{+!#ZUm?#%oEx|HiSn|StXaGIES248Bzfxhh;rsC zE^71q#?6Sc+WAK$Ri=r)L~e0vwidtXxq(r-jtfJM2gK0?>Pq(pAh>?0e~scJZavDF z$GH(n4tXvRbh3Fd1&`Wi4~}^svwt2Q=8xVCcJPIGj9%j^$6XC~ffF1O91yxi2L}WO z7Xl$gZyr;~Z!~!KR7Bu~AM=q70Xpul%9d1>lnrqxDO<7$1@fJs>C@Y1c6ZO{=;`X} znbAG1qo-r$toEK6GqE|*F(dn`)itp4rjn&%v5)?NcuoP4!QdmYggsL5X3N98H#U(N zyTILBw{G4WlyP*lt_DgpJ5jqR4~oZkRHho>C`xh3-!r{ z@_lkXKK1FmP+DVS;uEzu?Z*WhW6BL-u1EjddurZS#LjI^KmOoW{wh4{qmQQe&mYL^ zj+Z+p-3M1I{rI*{@`W_r+eBGcKn7nrNrd{^=fkQj!CV%K)OnTjcO?Iz>n2e#{I1cySMCGg8f7&;k?NlY9@F9{@dvKwhX2VFC;87$`5#O9c)QHt zW~cPCDPQV?e`j0p@0=7T`e-l9zciR%~eT04Gpog%}@V-#&2=#NFulxM}2LJ&7|9AnUS6gfpRTw@aP+H2Z+^@ADRtiN5 zBBd8Dv$M0iQ+6)Q%pxVmQ7KT+6bLp#f)YZIU`zys7~&6g8_{G-#>F^yDiWXCOLC<&iT%F{`)!Wi3df0A;hL%w|+^TsG*igCW5qX z1-g|pgH%>cH)^I6c)sfAJRYoWZ`-_XZQJUWigj(zEW$E=W*0Ecr?H+)7)k2O4Nb4F z2legvY6|!oZpr7_Iakwzl#%TN>6`-6CB2bqw&~cGZuz~7JGX$j{+7(D=NW0s>=Wlh z1)Q}vk4s*q*{N$-AY!Hd5?Ygt-3g+@Giulc)i%@@9eK2Zlv)W|21y)5+3o_&h8Y(2rpA z6#g7RZ>+LZlW9#)r_F^q zd=94uyy0mX-Bb&h`-?|u_O*+D3;|L~p?YbnPzva|KvdBC_dypqtE3cptmnIdpU7)i zEd~?*%Jug;s1swUv0Y+r;FGGaVpgoAb`OhsbXEfbO$?)k1-1jP11Gv9&SEPrVpNebK=io%ocNqbM}|X0`~U z@KVHK+WgG=i8^GMNDacGs`T_c@S>7x(seW1X~!>afURCV9Gxla28ZVl0Qt*W0Yk7?L6t zCK6I~i5NxicMn{?2Aov0&=e}GSF-3Ork9>8!0=fO27bnHbYK5u`{!`U1ZvPT?b^9e zWUjJdl~YEGYMP(@{Fxdgl++Ez$U7v0COCq`-c2kAd+?u!9Oh()TD zuqn?XM3NC#j#qOU$aHa3mnxU9yNb?gwq5%Qy2MpKT0D*;uG88IKjinP)802yMsx## zBl_{)%JJ_%22jco-FM-D@tWdFYDb8-43QZ_k-Iv zKiu^`lQ@T8`E=Lev!oepWP0hq*H`#Kd`N_cD>N79sd;SHb8`;HB>+php_Otj6k}Tc VWQ!uHfiemUBb{dAe*gdg|Npmpk*xp# literal 20241 zcmYg%19T>F&u_c6ZQHh<+T7ZCuU(|WM*U`7ICw(H6>Rf+jqM=nfiN z%WkV`8t8XD38LZ`41mJPmZ@x0eK^xKGyGUBZJtGJrRD=uJc`HpYa+mFSB7de611(4e?1x7t83dc& z!6bDmiP$fpzCnOD++~AaNW{m1C7Cw&nHT;_JRgVXru*d*I+BOUAan0jB*|0mCCVKV zh6?l~O1Nm_NmR^} z41uJ4IXNx2Pe#2g#qwr)`Bf`pa;ExtMMu<+TkUYePz~e4aO3e&V&9~+HaVt~NE6E< zMN><{#6qi}WY$OsGOrPM5iEc~jw8j+0G%^??Hu`vS&~AD98l*_njV#c2o)}V$c%7- zV>%2iCMEU34Ct(XP+BFI+*O;y4cQ+$1S5ITc@&rirA=t*Rd5tI+6v`4QvyX8?KI|X zsAA+Ea~(qE;pcha?VZfmH;-0)id66gcw&~Wt~(PD`aTcFb?srSuD7jB7*q>J-ev$5 z{UEa98~RVsuCN5;-?H|pipr;oALL{U7?SL9;c9CEq#Cy*Uior~6x@ktQ4r-Ou^1iE zlt*ZhG%62~i1OJ{O{Z(!E-p_ z_%Isx3|*v>4{-9vY4bI#l!@%^4h+dwiRxvmN1>AYtZAu zHXel$b8BTg>lnS!w6q}?QK>~2{j;@69Sq2L5$>LoL~`9l-skVH*)wn(M~wZqF(2`FD5)r{@Y#;>Qk4j?cE-dI zLo%318ocQgQ0zijs1--B?6~}NTJ9W_nN-3U1yRi|uKiCHe-14HLK{>WPvtP)vQh%I zMC5ed_4(~KN=~F5*oT#oOi}#?LjLMJyLH`847bLjn5M4*O)=Led(_AlBV2EB65|?r zvs!A;i>VMfW8{`U#9N6dwjNu<@6u?>icY!lkDOH!(%8N%nH0}WdQ4W{3#cUVN`U9F zrr;UQlqH`%`+wO?7-&v@N0Zw3|54i0Fp~qEPrUhasX z?q|t6w6pw%PXX%h)RzVm?vz9xPqI7bRQ7-O&keMFdzfG+npHjv5DpC;#l^*hl(Cdt#ALGTHhZNH7Cci+r8`cQ*&WQ1z9ekRgG zGhhpl)13S)eSCAsmuz@WbuvZnFH#-AHbY)7{w}$iuitKj_FOytGoZA1p@+Zs{5^Fe zeN~lK&g-LLcGL7T`;l`K;~f?+~M$GiQRs9Ir#EAlJb7`Sx*aFRYXpGQO$hVcWGh z>@hwwA6L`R@s;qoUjGM)mMcQ8gd(GZtg&D1_#Teqv?E$*NI$^7uTO5qNG-sgnMzNl zb;&4eYesbfGF=W@R79nvD_dEQ!iSdW7pVQ$E`XMrr*a&4{3HBM!yV7KJ83|YaGaCr z=hsxqBV4HRw5DH5+5_YBhx}J15aqiN+wZtuYv#Q-I@YR!Xd_j!p-5UgQz|6GV4tYW z?vvyw1Bw&igZgQkjis27F92{*^Z7o}&Ht=ci38V>OD#!={`M=O2t+@)eX{@)kon+4 z5OmRsNL(idUa%iV`47&1bqoc#`%eTE2j`=D2_8rl_mb}Xoqd+Rw)s2hVx1Q>hAk-; zEhW%DR{PgtBEpBNw;^YI=#vsE-kt9oa^g5~>R9bd84+5f)Hhi3QLodtIQvS__!YvA zm8B=vA5i}QDf(yP<&+EaNY@K?pL*xi;v?s^=l2p* z<+n%_7fR`gcjNP ziKsW{2M?FaCu_=!TnO2VOrD5Vj0xC9JXCv8b6Ea=@*#1cySMW4XhhK0D18!OJ=J_n zlVE}`0nmtX1D-5~Cf^C>3-K@7_wuyf)qdzJn#j$NzhXQ@KkXn$=KA$!mH7RIj+t@) zEBS>lXyBN#SiE#|+gl%iWO#o0eMEkBq7r+;ds+N-`JLaGo(&ZJLj2%L$T#Vo0cQ7q zGZ?xmic*^A0sGCwnu6yIt^>xuP4D0LjSOuc1fL!@b;`fXcfWDg)D$HtN9qF)TmtZY zaK4tGuxOS7jW=F7p1x5g0B|wGfMS+fwY(qPlu$G&(-xt2&oHARK@880InP^$CtUbB z0qzt&sXI!Vl5s{F?ePY-O}7q)TJl~)E^w>yF_S)5C37voj#He^YU`t8y)b60C{^qv zXf{y}esVfYa@a7a|D=^RSqxsDY>E5 zt0n>XSA&@{=LF)D2wKjRXb(Exj~rnJ&R#qm$J!6*V=O;=oVADEGVQv~zWAp9DiW|& zKU1Apys!HE=b3*_K0UY&8dU83r}{$pLw)76{k)3uGfclrCk$?SO@S20?~!X1k;-&xh=J7-(3jGiAKy0MPhD7$>ohX=V zoX>X&^DA>MI+JPSw4(m0zz?2Q;R3tVy=adFpS%-Zv!V!*%fwd&#WJlTa1<5UV9F2S zS&mzkD0TA2P^_}`du9Roz<8YRjw{i6H-D74@iZ62$!@);ctya+uIa1az28y1?1#ga zXLQqdHR3nb7wg)fW2{31CATo1k(0tpx=Xx}Qkc#((bP$=qO7d!k=p|nPtvo;MtaWL z))4?W6mJhK8PdC6yg5)@L9%}X0LRZ?#sgxlI9vTreAahPb}H=iTRks(Eq*-r@?NA% zcs{G$qQ1XHRgo*!^3r#`jA6gi(<*|mQ;|_|Nz$L}?FC=Ld z43S?LW?;r3aF}Zgln2m9oV7GrFDa_x zJzD399!~>zO&zM+NX#Fx9<_ogre{F?%NZ@1YKGk^ot+Fq3XImXW3hf$v{(GL*idBkfTr!ZtQf=3%Fi>SqI)!JrbC-eTCzqujlw+^wP9 zouS-~quh0;%4iiSrDBfFGsL%hAzE<(YW?+NdBvWj0NuvlC&@yf|Yz)+FyV-8HP8 zc{H4dWi-5Bycju79~V+2M%%4NF~7k0HV zU+2d+u%2##xF|T7#T6XhY!8WKvk6bQ(t^WZWyR*HIca`d7dE|Xbd}XVn8gv?sgs(H z&U)cUdl-Uwj4d4gCO&6mkK&r40ev#$tH@5j2 zwa7}JWGE@QY)?TcNWazm$mxp2C6C&%RUkD5qp7z@9rOwrvls-!k*~a{ufPW5R7(>* zglDM@8kYCm#!zLhPh4r&DN((TkY%NHNI=#a=`Io#ti}!bX6*uV_m|toERN7z1V-%G-1vVCBvRF!i7IhF@k#dA;ZOA6MCVi@uSnnwp~`Z!RJx4?>f;Iw9^6u?ytb0 zR^Rp7$`AM1Pt3ZHoYH=N@y4H<2g70RNcwoZRVc%#9(2ZNd>3+EAZ&OR<}39c06W<@ zq(*_!aIO4Dj8x0*-Av!Oi3?A(jVKgMwT1%QQJf8L9p!dz!#4 zK)qBAQ6|Os6Imnpe;CKFG5Wv@Npl!oK~{*VJ7#P3%9?11D?Z`y=E8zO+@Ets)zqhT z=IBkG1YYsV`54^it8Ka>ComaBSTlIm2Ehi2RvIX1!^e0AxB{N*IFky_`q_!aLD!DC zZ|qsCr;X{=BRyR^h{j$9EZ~#4xY@zhW1X;V`M9JR67UCcme6SuLYFiayXu9#-jOK% z&BZ8ikfPugJ*Tk34-HWbVAV4r9^ud@dQ~K<^Zx20Mwq83N98%bauKS)JR8^k+rJfb z>G6mm%%&1AE19GMS#($P{q5+2oZNz900-pwXN33~ent}+d)+`rlC+TDg+E12pxj*z zchR>^L=C5yAcY^Qc$+|)M>1+FLyQKnvfb9CEg1MtT=~6DZeq-<7zp9B}p{yG|?4bgNqj=-VlTe0s?kj9oH!bZq;pz-CXiw!}_cXFS5%)ws3 zV&|X?d*om*M@$bY4GfN%Rs!bNmIb~zY|EUN%V)l%W;>hz6V zbc76G(&P^R&BNz01)n%e64)~kIWI^Kj=$7s`Sy1rS)I|qX9Bm%{&QgsV-#{=rc>K< z&RB{KJd?={fwvxx`&4Y2kfYA|jfPIWCh!c%NIVd%!1yC?Ud(yUlragJ(lZ ztlZp{(@D=F8S}<=VLw-x!CsxGAwnCC)yNDkjW{2=Ltu=CrlXsn;j5f;hN|loMz`@E zrxu-%-)x@PWgOiUP#y`K$t!?>s87LPfQu|WBGGgoC``Ols}$`U*&#a!L@YTC-0N|i z2Z4&>l;>f^_kJ_l6)g_uN(AsanxehVjdcleZ?Sf8Biqs=y}&8TSGFfWXGcqZs83dy1iPhs4LvAhP6$2F|jr8iBF|{w=I`v3OOPnC_=YIe6uh=N@ma$U}g91?kk&@ZSB zHcN;5xvzS)k6Vk{(9gK zAC0;1FS8N48{hVFO3nmub*^^M39n_wJV6XhU+Vx1c^x%#B$HT|ayh;$z`mIU5u=#CjRMk(Nw~7$}?8m%w+~%gS_QlKC}Hx z2#B2m+JUYRyYrgvzV}Q4FzM{-8pVMNYe#@U8yn}dnU>{X9y^^Jj~ut@PI7 zg!3c14F8hLL;^&qa_HFN*q>DRoj9yjgF(gI59isZvq| zQK@mAJwawUUJ>{+B!-#(N;?IU#JJ$$3j#B4#nqvFn*>rjAd`5%FdXApdQwIIFd)ob zifyf3+k@zzOH1K_*hqSKAk!aIf%f$a2!H%q?fpG|mcqXO=fYCFNM3fK<`|AhhT$VV(xmVL_dxDdx4>5N18 zyin^lp|Qsp=3q+npU(5?{d&HrZPX1Upfi5mvK=-@dGx)G z_E*BvER|Zh1Tag3#VlC^DfRD3M=m;`Qi_VnCntDMp;SDpV|2^iH@G}R7fgM0%M#G} z^L>HJqCctz?`w8u;AJQZQ(^2FlFwStEvbNfW^M*XKOtuABZ*4!5}~{vHa?rp=yfVf zf~v7^*oG26Zxz5PF>1D0YM0I6#%G<@p)JZNFox|Oe0j~LSFzeGVL#=dS82Q3$Yrv- z!H4)Rzv9%C)b~WKXMKG#NM4;YB3A#VZs5HM<;G=~Xk{7@fH|54%O0qMV6C#kHa`3` zQ19kT;d$QDEeox>!GV-1Zi|*2)FGj7bfTZYnMlU%C6>Hp38gpWs!M75+DBM;s~*2H zhJ^bd1K2dVS<;paL)tV{dL{m74Q?2?N-y*Ovj*0-k1C0b<{ny z_87HU-C(*jFPzD;?bcf$KrGor$bcCSp?+o1b%p8&RyPF!0SRye(;F5kC@hzmrxabvdom#Oef zx2LizA;)X3(k}ElGelfLg^Ck=_;P38{L&wzk0()`<5KeeW&!`6&Kf?!a>P{PG#6Ti z$EQhl{*l$^-oq3InReI^}V<@Ko zD5J>4--!EqjoLNFl==ATNK#o*hI(gkOu& z{62N8JrTZv+wW^WNwarhwU4#!s_?aT@EF}fT1}*1QE#vQXZ8$B!0Rf)nyPhj>e_CA zm+W^GPvsi4yrxgEb!KB0!9dEg*3T^`XZ1$6vlPh)|X&M_Pt}(6YH06nN z*W@0Z=3vjiwyl&oY{6YAPFZ$iDQsiXIOSfRBuB3AQk`5UA@K{DhGmQ5>NoC=tf$hc zym}?6=G#}ytp%tnS_(v3-8SyF$}_}7c1%}6QDrMLu+Be6GUA)H6p+rW&3j6NJCt>D z5gXoDHuq(?`Ce~ZAlInsrdp5P6YF>dN^bD(l49buY7Y*Sj@xxQd5gKf_H&01aaM9< zKl=Li(R0K%NSnR;_$Svh7`)1wVel@7B?q!^Z+}1|L4RmA1#?qS^)^W&P3l^Dgz1|c z`JOHk0vH(Hw8-^c_DmKglqq{D^%_%PzIxlePCp1KyX#~)3U9nK=;Qjd#s^D?bfh?p ze%v!_u^XxW6S@tY^$nWNhp-8QQ6i2Urhft$zY1`|p#mWG4)zifh_gO(6<^5q?q6WG z%t9x3zHB?PIOy3zSpO6wn;ys z1{;$icJ5exOEo&2=s2%d??o5li9GyYInD(h`eQG8pF*hBv7}g_q7a8JQ_+mC>E+xn zg~X;-Z6|+Y+%a1TV8vQR@MLA558z{8h!a>`^@k4TZe1N{3O}2ymZKUgkAdkO6YWg6 z&qL`pl#(1jOR9A0zT)i#oHJfrhRo!Ok)6A)Q!bq~l!`k{N1mF;cedAA^`3YRKbxBY z&-*iu0Cu>>_A;EQSAzkgECx-YT;DCE2rR2XW?GD$oqdx}nz)?f_}|@0xw@MkO8tRK z&r_;sMG|4|zcd&I4`hi8bjQ_uI3nu}n%{;0{VP3#60DQc{L2|rgr#6Szzf~g#j7Ct zsY_1iK=2SwF&f489PZFIpeQOQXveto3C?N6RpksR2*T-vM2@hMycpjf-Kh0RSM?(f z9650fCbCum%{0zKrBmw;OmnN8wAhnK8l_C>C;uPk`UnbMfJF3);8n!3H(6AEiP86x zcV3O`^)dx5!LUjOkBkm;s5hZakzffedZnwQNw*TqGW0G)Sx5%VSqx?Hh%E0LnQ}wU zIm&N-pof1siFp?Uj*JVdC|rx4IcHk*dfYeX&QDapQor(;ZbPX#JY+qy*;d zBs@6wjVZ+mIfV4MxHgCf1{?O)q#<}6Mnh7Q4i&|s+xYyLDSzO?wrNv|H|%y`9sQ6N zY$W%a%WDXFuK~s|Z8t?sm5>YLVrA@3nF5u=B_^>yXPpS$t%Z%UHa;taRVc{=xr~%( z{X--<<_K)+@7&VD0e+`ZDh^HGK5qF+(ZVUqQtk0Qr(BlP7H3qYOuB=(@3`K$jp zvq?P0xKu&jfdWjl{}}BrxQcrn%U+lU;1J}R4F&JA*=vB!=7rayGDk1gDRba%#$DEiJk^clLvUm}ST zz+b%sTT)>Gvwy_>o&bOX@ZgOSSk`aVxji%QQxTVa3xfNk^%2%Jo*%zZ_KNPa5nsXs z`A`JWSSCH|<~{oF{N_BszFs0cq$Y19yd_I+#6N9^K7_9;`0^=FQ_%Jc{L__V;YR2kwxl=m5ib$Qy}(8CWnCf|_Bbtd1IN=V zG1WqRg(TI;z$lsJXGO6t`^Wf1=OP5iYZ)r;?|K?;xw$B6#iOG#)1VbZOqC*CMLbcu8jzWFWk-z|NZ%(*kn`$Md+6iDkud{9}w z+WI1VKq`nLnfNupyY|3q4E!|Ig!%S`b$wn7PXN-wu7U=j`1%0$SBZN-?kd%~2;blm z(_i@ynI!c0S@rKpHC`uN(*y|!-W%%zpSHMSv52Ra!9T#ym;!TAYvioR3Y_qneG%BL zxX7m!VR0s%i0gKO3)prGWKr@XUlAf^MLxZUEXaDm?|32%!e4cVYQ=pC_oGpIk$C|T z!SCEqKdkI-8;9WGW{w{4{RrL=J>)r{lv56BkKW!;2U(0o6bf4M!7?b@Z#J*J0l#L z6`HzxXCDd>pW-+}7uv%!kA-KjSe6sFunWh8 z@U?j2e)cH&jW}U_!3BOUXT)8vz$51_7I~Md+}MsUWH*yFS*K4dOC}?zh@!u~WXD^l zlSG^WG6Z0f-UphKL3$Tdq@u3LT&Wf_Fc!HD$716d!@vTDod8Ydm&+6L!7wW&{$?>B>b$Joe-Iloh@?Z}@Ze^GUC@ zDKn-%!Vd;VR4Z=Sz>~-?N5>aq3w$4Q3&@lq;tYPDv8K}ZsAP)jIxT^|V}7{Nd2a65 z`gW;?>`aI5_rCL0Bx&C)MIHP{M4_~=@Ly``!ES10I& zoGeeJ^b!h4nqv8q99jN}q`pT7@?cK~{P2GD_h7RaudEfXLfKERlv&UkL^93186FBY zN?>ussIq=fS@>kpcX*TlLTG^SC;U)=L>IxnAjvl9onC~8h%eQCF3LCI9b<%pq%Y$u z&lorU(@#kqm~Mw3f`GNV!KEHItE$PYl5aTH4-ykWe5ou)>Yh&Gko4Wj1OWCZm$Cqp zNLK6AcD!fizHkfYX3&BF?ylWH98@CS+&An-7_vdkL1V!eq`UK<6bfiR7-e;$IFfET zKFGJ1(xU+3ETA_WVjP{mxgPirE8mpdyWxUvveG+eP;v`ye2T+8ns|5Jic3em?3wR4oZ3wJ6aJo?b z_b2pZv$l^P#ZHbo@y=eixUG0Mpm~T9Sm+`Mu1-)=Ab`-f#J5U~=#(6{=iQ9xMOo2#3Q&%S* z$#J5$0tI$L{s6=t&_EmPJf;9x0w@ysx1kx4HSq{0p{YsBJU;0Fs(asb(3>i!9?C1j ze+!i_qcNbwizg0?ES9RQ4#EVBt5Wh<`G|MST1jLEV81Eo-4y#!5dQQXdVJ$AF^FnI7$xBDiQo-@yz`y2 zAOjGL8cC5wK|1QDmaWAZh-K+`r+n$$I#myN`6~{!{u;?J;~z<6j(@4Jk55Mue?w|MDevWHbnHE|O1p39^AHC+QUQY@gY%1`63=j!hY`M!> zDUaOC%C_8+6aeOG#Md-XTKBjy*p0oqXsWqB*f(;TWFtiPSDN5UPQmdnHRNpkbXAN_0Pl$-#>6$8(Kv^k%o}UeGgCE50ZsXTx2$DdWr5-PFO^bA;4yfk_NJNu^z+tx##e>b^{cEZWp)&f6mxi_sb61RvwoVff0u>Jn$v~P7#6K42 z^vq_ho$zQnv&!Yy*FTU}Qz)12rs!9wA3FC6LpbQ-WrxWtmnx=Y8O~P!bsOHV%%A%HA^Sp`&52W$^S%$y6@O1w=GE6$IW06SjB7o9# z*9wLI3W*D#S$&O(6U1(vf7{tbeCNl@4d68>!@Gc+8CkBu!Kpk!ua@k@!`cnFo)pns zJ9H3891E^M|0Y#zrHC>iET51zdcRmDKoAil>5@r<63i!(->~)`G8zyS!9yLbI^}g= zyRR`xf0;SIy0W^_TkEd!)ADgRX_zrdZ}qtI%a!(gjnU{P(w=%^ec@5ZcTuACePgj8 z@_Zhr5+HJ5_ib~G!cdpRZ(QLYHWBXIkiWo?NX6M1ieG8S&wRhbp0Au{}3zrKs5Xp8w1+uu^jKB>WJihmccI9`Jx0XX#xiczv$Am4}M>qBvt~ zO{6&1z}+`~a}oG_ekX6pPQ`dq_u>-gN$=xN3bkn7wk6ZxlUEnCQ9Vf?sxhd;G4?MN zZa&&hZ7GmT?@p$vY-Brg5iIfgkJ)#V<|`@bbB}^%M7Q^(cfWA!|D60*`lt4<`lzZa z=A_@}v$KIg1zq`2hr6t=?y}D4DDU#wW9sU7-XGS0&Ib|hsJB$e#p}o$g+EPnS90%b zozU@bsbLvUTL5rt73u2G-Qi&B(cKe#JHPR+9Ql z%V$;VDe={*82V`xE;|S-^@%AcYD<|9Ym=0#CvEkb%O~mMmFu+mHo(#&H#4{Q&#R>= zCR>hkmw(=?=;X>v3wZ5mJ}DMGqS!D%nQky>)&Y4EQs_Tu9 z6IMJeW5ZWGcWH~<>^FT~gq`J$SGndJOs7|M&HwQymC0Hf>Kfs^J&|b?t8~x2OR4gz z29fcK#kHfz%B!paIbkfrU8VF|je^<}6DQ#%*E1o7GHOX-_Y9Vhj)AhNtRvm+I*qv7+?6$l9`lmt?DE(NE=w&TM+E1 zrYyhN7QLUFwoJ5q0$AGJPX>Exc#`60+b1slGk-HAA7tyBSmW=D0bj&E*0`BYt0K0KwVs;?rtnRL|H%KkAhj`n(GKQ_&PbgMScr)ufq zwFU59taF|*MU!fxMYEyc1yGtjpBS9KubGrrO?&I)kSwVexb=1V7W(M2l z{U`hVwgH5wnZ6;N6DPI7dgcSIJtIuVCqJF6i|40t7t@CFI%#{1crG%Q9)?pd+DX5; zV&wcwNf__H7bo&3iEdLgM$LZqbmy!-*IfAAe@wW1T;5aZWlf_#&>@sQ z<7A?KpS+is`Df1tsF-}4ejQW>RO%jc`Fwqr*g)h z=$nQR*y??5;P;${`SzY)3ruF1{o-Qze!ZMM+W6vI{!C82Y3x;FT-A7fetH{S1!UKJ zLSMX29~sya^m?^-`}a5-d{OBDr7i-RHf|nvOed)>h=>C0i3|ue_2y=G< zrjfs51qz0&q9~21I#v%!Gzpoi=4%vF82rzQ=$$&){W&pnQq58q24g6=0~k_7xi{9u zBcuSN}O@_ zXa?d@$qsnTL_*DEpq107>IQ?Zh?y1UDJM)LN=rt{sK2~JkTIJ5e;~xERLZLwk#KN| zpJ_m_;0!!AC_Vh8i9iTp{JAnrtC!k8;4LOzoo{B1l1ihgzpPQuPL@##x}rLg^QaYB8b)x{Hpm37=UIu*JSe+TK7EQRyOG@p@7Kxs`XG~Y8QU!fAZ-+NUF zeRc#n?LWUBsr_d}@m9eI$^r5IVC(i2>vjcSV53-R`vl)@c(#BmH~Y6_qV$n&T}KOP4R)8>P3F|P4lQ%uycq^^8nEG0n{s*Im{Hxd~mxGu@7`h z1KRoHU(ZLVcF0Y=X_gzmS{y1IKGFRSyeQV0ggYySe2_g~sQ2ta?ekHu%;%oz=7CsE zTe3|CfzO+$SE~hEItw4Jr(Y_OEd-W!6x%xeowAYce3pD^mI2^bJxN{VBHItLZ3ZCa zvuzgTR56g{hQJLp(Gg{>A+nBPZ#0u<+UDH_j}zuG;WT!bg(id9KCGX-7sv_)dG8_jP&iW^u?EY_5fv7zN{ z_&^uwG}=^(p5vVL%1padaXX!dXM1B!Ea!qLcNZ~b=f_nRN(p5ZYFu>#j%YvV{i%AV znp&dM&MBP>Q-JL}QHCCO~`^lYYdrog27 zeNH^*do5PBm$EY+#HcUkRPi0RaZ$(*4VmA+z&3Rq4B9Aa+Dt-ZP zTcZsm;O3Vu{NtO~%@#kFZk{mr6K^}JoPYCiLY5e;@vq<_jF4pt5*mCxp8ZiAqW!Yu zzj2@u@RB?ljeJ*afu^2d6kSQPA38o8ckaGv5zX^(BI67#^$&Puc-pA z-Q*?hlz`5DLdk`Rz8da~=#?x5|(#KSW7%oBU^NK8S@z_k|5z;VuT_EgY+Y~d7E>bB=v zMbslk5@i}hH}9trC6U~EK7(Cs^J)Vup90m1{+CF45beHq{hR#w?|e)e)Ft67@R6)c zQt=Ql7-fQ}?f&jmSuWlHXN0{Ua>aqfl15bZiHs#vZL$w2AZiB{RebSyhG&}&?&Jd- z^s7`S;{4t_fHkSSr^yKH@F|8OOMLJ_2t z#Lds%BBc4-$g}@1a?#XS=tJ1L_>WlpE1d-(ET|GWG=Z9oB*datp{pA87KV(Xgmmgj zkctQ+7sa9RKj5HH4Vj^~Ib%RGPlIWc3BjmbiO@J5`PcLUN#W3hNZnc*qO1m)mhy+S zu0%C8kfQVtJUxw?nW1zAEtsr~8jeyJE+1wkqVRxbd!R5i2Qy9k-6ePldNoz)E-5l? zgz?ue>J_9A<1eBA1x^fTFBKdW3k_Omnei28n^*)@l+yJS9XR^`(gr3c7Jo6qDe!se}aDLf+sQcV3&P zxu6Dor4dOBtR{q5!W!O06b@GY5~-1q`OOjX9fKw>*WEGLNkbS`ZuPJjhM5r@s=j6E zwDD%D{0^c@4Ol!?V7F9b7F@VQ+RPXs+=*%XUMY`UamSH`=*TJ$Wfc1#GU(++y3eKG z!D$?gk}~H?1ovo*+MOL1p=0N^NWyMY&>|38J6k`gq6wlHmgWh~H490^nN6-%YM50M z-t>fPPGPODYYJ-E=-|~V6O#qDv@f7RmpK!DS$`D#>L?Mn-O>_jM#NB3x(dQyMqy_( zU(%*{7@J~Nt-i~wBEGPI)Don#yq)@EXIXEfZYOK;o4AuVh<{#f!%ob^Vb1XiAsOSa z7RQJI{ct%`SU*>bPoGUO9n}(c%Rx~cy)M8!uS%t`h$C*~4aV)H`;F!f#i3-8P&Grm zIt#tPP4+u3S6H-@@ArW#1c!-YhJ;19UcR6WPqi(G!Dl>Ft9<7CLBR5;R zMwm<$|5~$YoO$ID-TMlfG6|YG2%Xcp{+RmldttND#YNFo$XU!a39M){paHC?B((VubZE909V~jrcE3>yi-P1rjkWpJ1YUy-q^2@s~^J(&G>JkcKBp zV&+iswefLB(Q)~l929@(KEwz~%oxO%X@?5~<}OD||DD$apQLsu{5<_TEGRJ?cR^xI zu0?W~x{YFsETRS-*vwwT`Z0#lS%@KC0lMAmKF5muHffCAvswPtG}_9ui&-%6d}=DzR1UZV@0Xr2ro-W1wn&B1Baj>KBkK}SCyZG;TIe`@DD+h=@O{2M2oU z>kG*sH%1^O!{2jUW^D`JWS4t@PJ(T{iKl^-MNoK=c?XiC?H(uI2tNrN^9)=Wd2p>m z08J=a9yum>sH&+^$~$0g867rid4-9%Qz zF~;3KX1zK~-@T%{mcaQ!GVa^sI7Ur&)&w}ZGE5$^%8?Oyn_1Nc>*myU1M6SFasnc3%oE#UYW$=?(cy4kk1e5)9pIwgp zZTwq6aKS?{q4U`gDlZhOkL9XoSe`8s@)^lPZ78wW5w z#=yO7cCTgL7>q&}LVhTr7M0P!8S&}%Uz)fiGaPwPVvTO6Zx!qJ#Z*3 z?S=E+f~H`^amz)hu7w73cpxlvNLIl;Zx8XRq_EOmsjFQ2w?QsM;5W`M!oc0|&9m^` z(0+ip#~Voi#Lz-xiV5d?s8Wzc*%~f#+@I%`=)k5FqAh38r>jZHtdk(Dce1&*_FQ?rbO@w&qq4rrUx5h=dUEPZHkpN8Nv8Kx_zls z9MUz6IEBh@sRY{xaEJN!ZUM7`h-&At`!@`gMxil1i7WzsPcUvj zfsWFZvIh_2pb9Hg!mh-xa@tSXTReA^*>v44;5}!sR*j$nm+>Zbd{wmvqyG7wL`!$2 zGs0s^*PB4K7Ah2Gn-0K%zS!4(JE38evzL8b0-53j%_xU3%p?5eB>`ftgC)V^#b+q; zKKJa#P2mvEn{)pb=f-{XlKX40d?7hy!lEc=t7R!U1sV8fhf+*9?_YHH!}&Lm)-aG2 z#D-;=jxtX``p(;C3p>H;nUI&ei+d7V#K}zHpgzKn;SmsNmk!URzZz%2>$r>oFf-iePx0DBOOG}-9+~YLg&?AWug%c&yYf%pec5@G;CyF zzXoFwwP3&f1P{x9-NI}xDr(n1zOu#roC-ah{^eAr=RUHoa_;!@%5fdUwyuu6Jnv;V zs}Dgz`*4XoR|BH;Sv|xL1-)W#D!h?X_!j)9Bw-VQ8CyTI9K({Swx;__pn=5olIgR0%pJLqYX|RN~IjNSxyWg(k-$#1+vQBo|h=Qu^uektvQmg*=~iy`C$| ztF$^@A)bzf$F(8;$m=1-sgucTjWQWTh}hA#e8{3?jIkFlEGs z8;n4Xjyg>)t>rU9Vz5je&?A!LVBhG9*Qrky2zU8`&z3F+X9NPlNw z-8nJ+p8!4%!SUh>FX9tGczkxPAM$lSJfJWLBDn8zm^haiSJ7x!)_t64Z=sqJbi_~i?izy zA=6~)bvtf4Ae{Ru%_B0NU6-w1f_(&6hMosm8jT` z$aO`1KzLeoHXxk-dfMF$)}M;$bYE8wcx@T9BUFv&*b%BebAh0-b$8i@>{8-f%%OHf z4v6M^5q#x<$Fn02h^p}d2Sk~&&<{D>4;cl-=eRLoEFDhSGVWJ&gdeiltLJ52#F1Xa zQGP>~q>%ZGoJ-%A1HzGwhaLwO_2Y4%!O>ymkV5hmesQn#Lzem>NBbem0O39Y7C?O? z{qBdCjtRsq55%nq#H|d(9S0mYF|b|fuEg@kG@SI;X zREan{6_NiS-iTKs&Phdd=X#5&b5jwejcBFhJUc>(Ip2;@W?W!LC@t&k2&LsxFXD|} z#GAZ`%Yg7r`fu?{c^eS6Go5nD+wDS>OWxrSqI~jB2Sn-ls8`SBej%T5K$NwgaX^$Q zpLIY~g+Awpd_INL{CMtbfYhIrzva-QDs-a*qAK)l2Sioq2M&l*^dmsHUY0z=IUAu<8B_hbo2tB_O`ueM^d|EN7e`9Yrh7rz8P-w zB7WmV{1ymUT=y)(@BEP4y?XBOB7W~h{K1R3(~J0{7jc&takm%oCoke2FXGQ$#Jyg` zUx4tm?q9t^{^mv8=SAG_H{=1Ykbig)|MVgr^dcSt!sD|;uoqW9G!Mg&;vnznI;>h`O20iv|9)wWI4r-5oFLZf|QF?i=l&*VfVV!nV%#{QX0K6as(F?Ub*OXCTdu z+vJY&(qmVhwDNRS97S=S`yG;;xr&S0yga%cX;wRbiKHqt$z$abr)F#MJB|w&CF>AB z<0{Kl4R?WK91<)LxjrszS!RLsD<>I4{lt~ANw20AoTMdo@%qYLd@efW=`vqlV?)xDwKwg@1sg;3`Y_j{|LrtA z?<->GRL7rrcq4xmp7qhkQ~H+=<#oryotxYTUsm$*Zk_m=G~d@i*T=zwH=V>1yV~ap zrAsha>Y6&?uT80XFc{yV80 zocjv&PVvC%oIW2`sU%UM0QL;eqmJj$5x!L<-B1FihLJPeV|$B9F5EsWjITZ&)!G-aqQm`^h- z?`HYIi4shZB@zQJKQ#OxQG*LAG4Y3CiJtGw+-E+@=?#qfw(N74m z>9?(4(T8S2)F%@`TDJn-%9=qcqo(UL(+NCZ^|Kx?Rq%Qgpo;Vs+$Z<)l$>C3!3Od;{mZ>5#q*obAG0em`DR~l@ALXKQJtc zGmE@wm`;YM;Zr5%(~n^DWS)+oH+EU7NjNKI2WC1v0p$6@q4)lV*Y;yJng*~44hlR+ zx01T08M+4|Kh@0pjJY6->2Mmr8=h9Ln`$2Ceqtq2U%&L{5Fn)#s+YF%rGTC_qLki$ z47$WwC8fw=J>L!dL{7s;#bCl;z43lKeZ&|VY?qif@JZEIK@}@#^kGqt&a6eCiD5Lb z!FJ%aV41FARqUZLg(aE3y5p2WGs)Sjw_QK9Ahhjl>{(kM^R|_jcgG{;iZVfboklz6LiQhfd+&{Rq5_|;6)kLr17Gee!a81;ygA% z7~WKGI7$h7V%jg?o&%{UB87EDSs*HD_2`Tilh$K}yC0-1WY4Gm%f132bio0U{23$R zs%~T8s75Zf7O|I}T(Rw4>=+vD&@#5RB+%@fHw(mGqP6bY_&I%I%XF$!SQh&Tl}k-kXkC^bRWGJIvE zm`0RI|Gs^4ARQ$m(rZNUMmYx;E5pT9!r5yYYd6qW#*1aUW*6WHX6UA_ooFTCI2tgY zshbJi?E)=k=s)fK4?)YjWIaouic&)LJ+Tk|&qa%EX|C=g<2#ExjH7kf`Q{@4%cRT; zZxFcnOl9opw5x1 zkE=d*2K%H%REI?llg-mzG(W~eh_M_|-)yrsV@ZmXpKI`Xf-0vF?;W^u9XP3Gz9}?T zuW;eZ%wBpf55w&o9QYZ-32@`n?Oz}z$J2nGY1ht%B6A@Q3!ySvR1kgE3ui0Ol5$cv z7$fJ92%6*w5_>nX9FQAqV>u$!AeOeI?E4L!jdeRC6NB&>Al{@O#qGr}!F00MblsxN z6s1Hi+WLvzsfZDM2_g9*+&R7?)FUyfqZ1##`$dSd7*R(E8GF=`3@a_q znjT{yjl?AFY=Zi3#AjNJLC=y_Gw9Y50UnM4M~I75DPdEdMTit5t{$)8G?3}ys4i75 zUw4%>tJ!k>Yv>X;hG_FRj<^nMEBuf{^vW0r>m}3A1u*x#cMEgG**>gfCr^2e4k7v zz<{42Vk3RmlyvOGB}n>EbzQZQ@16`oD;>%$x^CCpl><8gGj`h07^o~^)17Q?y@fq6 zJ4}c3lnfs~v}ofdkOoC0SGCe%;l!%RnBC=7^D#@(Sn5-lXn~iZ`dSBGaTTp_*eU7o zhOEkOEQa1n2MN{F7nbJ!%2-?(%Xe&91MWzC=ALMvYjL2dbT_=;6YMRo%avfx^XFqi5T=(t=zjuDP z?{g+`lE3=duEVEEGuX)V(t)op_k*~LgxwdKiwiWeY}WI$55_eDTe_f?2f31rZu*NY TimV69$giB#?!^B900960ynFcf diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index faf24da8cefd392683974b8c95c0ff579d2d3c9b..0379a24d59abcb6233bc757997a29061bf38daad 100755 GIT binary patch literal 17733 zcmZ^}1yo#1voM;300{(lC%6;b1`F=N2^uW84z5Y?;DfunyF+kyGPt|TAT!wfob#>w z{`=m&Z|z!LRbAb^_o}YmRbAa!uU@^XKz;pE0$-lbFIdam8EEBTPszc>_KA&y^0TXz ztr;aJ7boQ>UUm*ncFs>6T$JkO&Tdww=9FKIO>HPSDAnaDwarZ^rOd2cUV65`{u%Do zt1F3r(JRU~|G`pH|G^%wPco2o@MIotvw+nECuq#PC%-y2Uz6M}n4TL1tXkSK4B&g8 zo1C*Qkc2K^_ODfc!}yI@^U8SwGTvcv`_?4Pvy0C&?$M&k20G5=dzO)rVK68>HimwE zY>d^I@o95x#v%S$@>f`p1aSZ*y0EZqzKkxZ7ysisnYDaQQse4aq`udp#Yq_c*qhgm z!t66ezX>uG@3Ark4LzJ}o_-VpYW;dg zUrG946!E|$83ix7s032_LskL9E1BW$NTrFBqf1Js85R2IDbFd(sdJUS<2f7-lAwK% zM~@|C5s-Dx-g!0r3}Q4B>P#Y~6_BpY-_aOm1c}P=;vkUTN5|Ys4)1aL%qUD^ig8{;-UD*e* z(-_I$CO-Mnp2`l-gH%DjX03cPe#|iC+NuZ&#$#WWUJBXUxOR3}h9X>YiPo&skMog$ zNaU+?%m<95TTCmAgmm`a5QT@vdKvkL%z7CG?}#09A`#^!=KQC;dV1N3xXp9gbJ=H_ z?IL;QxOS>-3wiIB`h9u2*ryKWQ$)_y&%;t6ZSzMpEB3fs6syVDTPCZj#P-7dxE0D? zK6!gkI_q|mym#7;D^aK9jCSxO=$72-JfZz#FM$F%XfuS?V#LwkiXx$%v6nzDGiH-3 z-&c1@9F)W9lVcY8A&c!ae5RAeQz4i=rk$;~NKQyYh2B%fxiq4S{xmCJSgN)e;ICr- z_=)IQap~CHC$K(C;WlZLJ^xAVD#0v&$7a|aBvSjRW91(c5mzspYAzVid}->(CBGzB z2)b#LFN_~!*{+lQo-js7L^nGA@iZOOLIYITi*<<~V@^@w>@s^j{0mf5`-lOW262F- z%v()B6Sb@T1qPpI#DfQieI_^`Q|d#8JqU;lEHx7 z>bM)*MYs}x2mT=tw{*MjQF%jo-hhp(#aA9`$OF(!lo6Tbw@9hcZ8*zkT}&!oksSW> z>H#FMlPoe7xg?;c`WC6i4e|eh0NP2p%%paPP}fbQO)zScap=Mjgx~jdy!{9C zF-$6vIR*ogg}Uc@el{1*zt1(G>=CO+m2CU}D}==A5hR~7f;AL~y0!9)zlrjHA$e$>?2WhoukeGj z@{x2Ky>AKSL)@iK-Jhs9UNBWPhfzRsmH3!HWhKFsQK1oYi?nmY4%2V~2#1zl)?`gu zKu7P#cEKsDfUJ0;m$0I}~O8PAfVNi*NK2lx<=peLXO8PmL(tv}jRYgKw*v$nUb6$*yEYyW^CWl2| zn{oicyg69+Db%7u)+)fm&5P9zb5dG$2I+DP!DRe5=a(~*O-G}*0W>uOzRbPifYBfC z{ONnUIjBe_`A5V&J)V)mQA`X2DCUJjo$kc=$ABAN!>6F+5X>mgcYT1jCgg88&VRJ_ zaseJa++N1~pd8az$9_@(@<0lTwD|H#1A80s7FjNWWI<_#^2g6D7Eq5*$wn z4t;t%?T2}a{8Ctnt*$2dT_YGL6Lf!^8F)gzl^yoxB!80R&wLUGv;{P){3Ilxyhk4DzeBvU%bhm1G;a5BeH`7J=r8#wkk@a27{-7PnzIyb9_B5&DM?!VsLE z#nMGFw=sMuNkbcdw)T1k!V_t(1JRzu4iIy@DDT4o%_3rBZIpX*n8zwA;`%a_dk*>i z{I8vajah%YqI#$-5mHoNDkH5hg}KvJKha*=Bk-<${b9)$>W;plK1=}ekon=LPoM2( z80a74Xo)bP=Wi8oC+R(+?#*!ovPe;atpoKzS2g{2j^|jFk zni{@dmos?|ii9AUJR@GBzwdiQ-MWA6wE832UA!DwEJ73$f)slBo;91EbOHwqYMr%f z=aL{?6aLA7agA$V9@<9bsd<%30}crt^ujbZCfEI#t_Q@A3`c&yDERU1v|GkSZ$)CCP|Yrb z_JV<=h+|N-_IqUegca&A80lv6r1;qW2J7aO`|3y0$0=mnkIia<;z?S$GTB|Ry(+)$C^a?w|fSN=r9KIuSnqRWPm*&_C1(0SLK0zA4r zl>=EZp9iRfL%EBQU0>#t{xNx;?H!!ETkxfh->6#wRIKh!ICzm~pdCoD|Ci?KXR(62 zEV3w==$mi14V7)@1s~pv=EQ}IfkiZrj%7h@j9W!0V4OqomC*;eHEh3y%${HhSa$`; zqsm2QVHq)2+xM|3-Dh9)t0b_1ee$$^}mIw3nvsd#ts8v{X zK}Aw99v&%+9E|!<;^;vi?)onDhNmZUls~V&=9!%CN*^D6jtu<%!nEU!NyeKkKjf|T zY_XL)F&ViP__ytK7qQ1QgatWFbLn?YhI1v5jQUFvaSKv z(larYQG{&Q=Y>;jz4xav=Mn%a|MYtCg(-X*RiuH8ug!Z@A0*qR=>XB2={a4F)j34B zzs0yR7Px*{yvDSV)n})iU=Q`g>RWmVBPjZwNZaW=4X%P|_L%xiD_=R;y@UYYlFdXm zLn8MvG54`p=))kP0vN|=`pcB0XY`Ztb_r=;n5MDfqFwG@7+BrA|z0Vtfd44I@K8O%&q(D9M z(}O%3=d}CrwaMCBj?8XPkWOCDKq`(R6@>LA1kinF#1z(mbV3ViF6uR83G=46U0MD^ z1VW{CIv3;LbHfDkhPL4K`Mfs~dFxA)Lu6Y{Ew&;mevOlwoke+stjZpGFE)qpvdV9M z6z``v>a1^P)GDt~WOKM6I#+n_8#@G%HM-zk>7_R|GccP}~jq+mD+WR-${*5&|hE^!Qi8G0|@mNT~#L zoMU#xhF^o85C>61e6r65-iwY%3%pwebyFv z=K`8~89~eF2COTdco^~fN_;mQ@e|fpmn&}?WBR-ZdBGX70axPj7`Z-}yVUGku>_Yx z;T}6ukKEHqm%mN}(Ug`@-7wE`-u2!i_uV7)d2>0&OpoM%bhti}x>B)^95O7(@mq%b zTu`^yZFpgUr5x*rkd+hk85T4bLZkp5U!1FU?B$H)%AeZ8T#z<{!)wQ>NP+BoqL@I0 zP#Z!PTGBl;|5)_Evkl_mzaajmcY`gjo$STZNyc_Vk+CqS$mh0m5Ns_mK6_&>1x%59 z+o0t>#Va-M1+=1#sdpJADF=ApK*rQNvi7sP5rl58NCB1$NmSvxP86KYM&!|*PlE18 zX+>65@P*rH2Eq96+61{hnvkQyKymE zzCd7)A2(5nl#V2)ts0KHx+g-x>U>oDOeFy?uc^)Zo<7WBuBFe?>;ve|tS5Z_eyrPk zSQIJtxth;eED{P{%GYS)T7yM+P%q!d{zER`))@AXOwmdGnUpiBmK5iX#fEhN-)=+r zD%#V7a9#p(qciwOsb`QhGE;Evi*c!V+R1epWVT?-=eONsR@7tMXO4C*w>Ax46DN-L zMd-~RV3uI~8~N7-Kh!qXD_&_~ZQ(=b*F2wBL8WVqh%b_#@US;JZS={Lvr$;^Puu) z&@R^NyXPRj?5g>F_yu*b*9>kRoWd<1@6;FX{Ff3z)f3eD*Ynk@=OK^7E!qc(wH>OP z2l!_J|6;XR!Yb6u1jKf_J_V5sFX(>gd<>K`KzYmsD&Om1C#)|ScQ!vusd(Nrp+R*L z$*|v~$l8-9+1#;VBmPr#S4^1y`YtM$3EWki)Ajc6b_{wQU~6;ohv=0DTd&K*UGird zv6joxhYkQd-osb%P_oU*NXp1{03!gd5F(n?P!Cb+v~E{Lp^tiGQgHJ?U@$7j1AAT++YNgr0JkJj{Aj*=A%Vm z3H^B07uWpfD!5Us7A?%1@k;?DwiJE)`&8kH=!1WyIa$-5dzqj^M-60iW&w0Pty=9I zrF+}7Z9<&koZs?f?==6^GMrrZani6Y(%LqxZUxX9#YWD)16Lhje;cuK*Uh~~Jxwvf z<(}Wfm?vSSd%*RRK(`WdjVWVD>V0KNzP?;oeee0CLag4R$t?rx;K#F+H|nhIG7`~_ zd#HE?|7f+B>gV-$(BHabps?Gb38sC%wD5ERvXimLus_H=FUpYdTORB5U2dDqsGGs} z`#b$tc==)}(Ph=|!a=|N*TZc%y`59n_=Cj~m&^*6YkB9SbG3_o?XuqDRKAovoV*3B zNis!4fmRBM7;G4qF6cE7@kEEmxvlw7x4Ovw7o^m1il#mLuEqN5`aspG}@)U+H=sXEeg_#-42R!WzPNr>=;uyEb zH$OxPj<>)UGirLv5~JUF@!p}_&ChCljRUh*k1OZ_dS>tZ%)B@z#TC@Knxl`qXMhOA zSqIy#9b5Vp{^W>l+N=Ji)2ba2lTO;`?CMX*rjPDqBS%_Y_i=As`zGr?HWrtdZ;k5u zUiQL^Md=Z>z5ir&cA|Eyn=lc?qh5P{boPhw6^<$)O@VP8S}rPh z;K^?A#n$30_%ll2?a=P+EfmYGGkG4~wWymFDVmz79kHEx>_SYWr1?Kc| zx6DhOW@R6Jjzk(DB!nXVB$9ZWeqd^6M^_O)E+htwaa>v{s2l7Miwi))MX zw4cHxt>?0;%bc#(?5w^cL%+`)PE{-qeUB+Pc`hm{gVw9a%}cSr=RV|gzpuQj?iT-h z|3JG{M%KBX=6kDm+#)hiUtoZ3jnAz=s&JLOa2{+09s8xba9!6~`0UG8dui6gy=t>o z!OK(Uaok83?Z&RFD>^a6LzV6aD zs$F&-*iy{6(#ggXm0G??6P?Pv?h;esOW`rIs!=kdWdGfBBdd4t;I=y8EAx4}zqdN$ z?8sZ#^`l^~XzI0}A9Kd{-MDIbDLdQy1 zyRM^REW5c*lY~{c8=39HC)_lG?WV$BJ9RyZW5SA?;@^5Hr?Kg)RSY+awP3Aa!#n>T zZl3Sj9gaR>AFa)_TR-hs*xR^&Vdgtu!ME4*rS|-DEJVt&h2@>(-H-aaWwr(TV|xJ& zaIYmArZ%Q#ztlxTo4WPgMs(?m_pG;i_ugc)^*;T8!RYF^2)US`$$mZ_M zg1PA4bLnyo!TA^Le*po@ElOB^>J~1}BrEUZsqFlRa{+F|jogx*{Z?iUn>f4tbg z{wgiu6QsXlt_!e4wuZGDwpgXn`UaWp|#$&#H0SF0DGM9%p%2Q zVw`QXKxPl7z^9iwab4e5^AN06q`vxV=_W9uczZmj0Km6O-1ZB{WZNFy-=+RM9IH~| z^f-+DlO`@C7%C{sIbXU~Br_FBb$jAMi!HvR)&`P({8~fv-kjJ^rc4NOFmvr<`-#1M zI>CrQx1V{0xYOe-bDl-sNr{tqx={dc;OiHMQnkYmSj^5=yIE|h!&)j+wS2l{9qNU& z>t8n;VL36-tShvncAgc0yXNuwjb{Om-ejnV{aV~;^_>$pyFDlYyFl0>%=_!iryFJ6 z?cbD9s1TIgL@5@14|&h+R$AEj(*Rwr#a)#(al_=|O8e0>u3hFY3iHa=uHl98o0!u_ zS4(r5w&>>`K9aLIU<35Gd2(6KVzu%>KvFqyQiZ|<_5<=g?F>ywD9zsx-^6zLzEx`? z)p@sXzn==tpjX%Oc4j3R(i4^4S!pa$c1H2#S5GDXOb{JLat6iHeH3(^ydZ6y)9q|p zlDSA8!PlYzx+O16QSpr6tDL4j@qS;NgZ5BkCS5lfl8Wr)C9uD|L1MuF#s9^Q5+l8? zb4K}*ZR`FzYm}kmg#MAKQvUk+p`06VBKT*a(b^BPB4c$p^~=cCu41MZ z*T4#nAmEC!K{9GkB9S8&CA_|(n+`qBC$)!bHx{%HT<#U=LgH;){`{tXsl^faZpGbG&BUTA;3a!uBZ?;KPEM~3 z*im6UbP*X?c@u;k?+cYehqM|m9nRNwu%@Q+UT4^}H+qG%I4(OxTrP8oSouSS4v2K0 zWirlE>ewgE_0KiIE7oIGM*jQ<*E1(OSExz8DG5Dyg<;xBuIYL_vri^fUK;>y4N?Qo z-v+gRJ~l!SNH*b5ZlP5Z=4)o;LRF|Kb%rLE9UjwEz`L{6NJwi2=iOKRHJv_>88`B2 zBvS2hya*n@B@;ux#&^Ced^V{P0TjvF8pGl?B-TbXHAo{}tb71Y2PiMth=S{#o59tP zPkC7Yhr+4$Uhh*xqDg}~{bwRm5!{?@E-Ez6GPEqFZCl6cmMKUD;C%JV%wW~_?L5U9 z@BQS+Z#U2MZxdo)&5`N3>{}Ct7O2*dxk8aLe7tActskrnr-;}2pP>w*uuPcC%+`_* zf#~h^m++QHv&)>cuebPPL7@*CIwPw%Tk;JZ;)90epDN3&X9lE>^PZh2u)El}h0_F- zE{G})j19wWA<>5Oj_dv9gWCGX*$qw|Q}WTsdI@ZHs77@RSy}^UQdTF1bc%&PY|5&%87L_y+t9O@;iXtAQEl&6)<9i*viz4(Ar%9M zA~|}Go-z^9*kSwy-iBV@J8o|V*fE@1D~fyt*;sw!)_xYwW%erDq*+e$5#M3~nb42* zG1d=-C$~oChb@z+2FBaXbQymiE=Hg~&V6B?)Tm&+DdimpOGl5Dj6L+`1y-!H_e7m2 z=^E%!&V_Xb!QIS+*fJcs3938>n|oR;^owHdC?ZX5K2u;tJ^$Jo4Hwn?G?}hGqz_rU z@_1muIr^CC@MvTN(EHoz!MI4Em*D?%Uwc)=;H!*6y?I!n0cXGsG0a8q60t2g$!Rt& zzaesWvOoelaI?0z5vNNn*78zfEv%D3h@-teyWv4%fd~1SKK*8h3>2oXloE+NtMzFRrjjd2 zt4NUmJJCtjx@)o4wwq6vQMA)*72s=3eK-@a;J7}={_^RY;ci46RmA*diJ%j1>bL2u zzNWlB+-mD=p3W!k%O&^9MXkyP%`L>rrvrGm&b*Db#{c!$|Lmy#n*$ePyqHvb<-OkL z*Brf8)@}GTB@a;>hR5@dke7m+7G;|u=_*sPH@+OP4I-vmY zpAf*I>W5wq7aJLV@a4CnYYi3&6k-ud+VQT77}KPk905D>tELKwn#iTYWgWYaYKQ(j z?C-N}{Je$h?eAEtT!NU39CJFbEE*nkmxjq0e24JYTzV5Sg(oD&2`V>ggi)p^7Px#&n#nRq z^gxO8;akr&v4H!`pmSSUDep3kjk2mF;KE{gFiMkQ4IB*6{hDIk@wdJ+ogXU!Q%`YE zU+FW2JiNzdkHeiQDWR}}XavpLoiaLi((Z099Z!7*Vu(J+L};{%({-e7!RSpUWF9{M zW;bi*YXyAm(ylY4JBA)QIqTaje(vtA|#F z+LpEu8B}eW9vAoVrv>hiGM0!pbodW9ZpGxhD;D%Me6{r; z79_##E~5_t=Afi7=}*(mVSt`J@5jTJlmk=9vH1&bQE~2r$vjE$DC?id{0(*Msov)=|YPQ z08b;M9WUBh)x07;fq;_w3es7xW5OzueQ~$K8lUpNT+ePp{FmWjgRtj1=9LX=KGq6{ z&HhHGta$-OG*+!(uS)ctKWw`UmqA}XmM^dX{pb^Sbz3<^g5(|tjZs=1Lq`*e?aNf| z0OvlxgvjJRTaeDG3RqBIg5RN+J_S28iu6A4^3BBgg3utDo70`s?IQjLrF@$x?&uGH zau`|Dnd9OT{XE<+MO}6_-4|L_P6t9y>bDP_^eB+n+Iy?)*Y^%dLaZ4F(U!{>KLwuw z20hslvm0^dXJ>W^_|3)i*F5kgIVp-&NJQg=TOIEBUdG#d^Q7> zOENu>=-(w+Z=hBE`6{Y1xQ#cdNjQ*ROONMU=7~~VbnUy=U9@Lek~U zAkD$sOXkQkz9~dq;nHMbkEpfB_}Y1mVsx?|pIMYKR(8&l{e2>&WhWjRJkq~@!e{Sv zTp3_l4I@+Gp(`>@$pnVjQq&(~%^Pi^f{&e7Q>9i~6tGYjle6$u*2kmhZB%QtC7`we zdoG1u^pzaB9Op}42Bz-JFa#4ksgN==4H%~b%f{btEiaa-$APQrp|@Pbt+tcvS;Ea6c@ca$5C+ZXwUzG~4Gxw` z#_rC}%343jD^_i6BL(GHc+JeU}qWv5P)H4>6dp` zx$D}*JUlC_wefWsqcRGEt6N9-;fYO8D%uUjj9Cgf##^oY$6~81NwXm841RkF4qZW54t}(s#-v){@52m{n2L%{>p8~fKL8TQbMhx}~D##8E+oqA{N84SM5ILW!)loyp z!z=>Tj`~En*=;cIJk=6YKQR8GkLD@7xSxb3EeSIouOYTqYX~Zd>X`;2`!YYRVscKl zPgL{^_Re~Mwf6qZYg$dr6lhGklkFNfhce$R=k%Wn)z7y0C0)${s>u2~ZIoM-NjHeh ziV{{KC#d`Jdb+9)_1gmO35~eRF1&ZlJD-*6RPq&rRO+mtHPItOf7?k~ST6SxEy69$ z0hU*hqfzda+-6Wi!)sIBqiXlK#Qm?)0TY>g8CD*rc(`r*uag8gXSKAxB{;dX-HKFp zjfK;JGscsuVzlrFzQTcVhki%f>43R_Bl;Rc(?kX23~D|k^~RZAsN995Jl~mjMY-Ky zwYCL}A*F({`fd8VaI1N|y_$#3nw0}3iF-#&(pAiD2Dr{KG$e7#e}CK!sm-SjHdlVQ zZcdp+9 z?`%`jN7g*M=UQ0l)66;sbtc%RMlxUkgj0hPxpgyP3r6*mR4u8Cg3q=iesPrzDaDKI zb&r2sb3>+)qIcUZG}4vMuL`PwO{O^fu$yh&R$jK8^v=H$x}8l0T5fqsuiLK;yvO~U zU$WeRppa&mJ&tc1E2?k``M_Tafm!2_n74B%Ab-rF<$W!Gg@PsfjrUPoF#1De@>*dI z*WzBE=O?8JS5MR|bhq4nhw!WH7VtyrBxX(1`X;MN7^^H}hx}AH#%(=tS+1g8Q02Gk zTFf%OaK&n8EO-B1Wr6qos7B+EE-xLs(hd4{0q*n=O;Nqbh9HA}6SnZ7NVI&q$hgpP z&{bb1yJgEPPUiv*-p$cf;vnt&&8>l6K*1h<=Ys-0O66+Oa>UI}tf=qQ-e}xT#ou$U zjt_rDYQh_Z{k!!52v8?m0b>3x7I!1>a;M|>Srt;cE1yZ8#QZlJG%J)|~&S zW3xth{Eio*?0pPpUW6O?T_uxQ+o&veWDL9CxK;vKVl0F^dLr@LH&^mTXJT@+1w7U` z{F?mUqK#R~e9Tk1`gLS7LB5=_{OIu$9Bxy#N#)R4zsE4oqLqMdC^)kAy3++vN)}nc z-!tRw{avD^A&9|In|{DGwz7h+LthvKtRz?bimrEe8ltUW0y(}%tV%90kf^rN49k;u zM}SI_Ye&9Q;G@>p8#HrtZzv(l)dMb3o*WWT|d}ikI zUl5uAKLI2pR*bGatsyo>c5KtwC8GZZ?EiBIki_t#gX_L4$*1MBf9%-xE=^XHu)pEs zXav`4xHH$TSDj6lr~QZxK^w{aowqbIhV5?o-?YGJ0b*g-_M9FKgiUzgqHil9(S6s# zU|@y};>*-3Vtkk=DU#}BIuq1W)h$lUrqsPLeZbp{!UQ8 zwECBs`=8{!1)#S?i|N18jsNY_fBJ{NvEHB7eo1sf4wxm?WC0*$~Wp9f}JW3#~Dw&2rVkUgzQo9_eKr&^GTEXZ^6*Iic;geW+KX^Q3U;B>k5Yz zZHmUK_TRM~P~<|d}prQ8YOH~#vt z9GxGZo!58>;Nnl!ddP*ZEbiQ2$%j(fTtxx*>W9bQbI;#ZTQlg`@A#YL%I<*vZ07Qc zBtmT4c6i8N)H8dx*Ubu;A!V_!Lc6602Aj*Gnh2kJj`DV{9HZ(S67+=&zOe~XK5m4f z^~R(#^yXpIH+dd`^O6(rBVJhB-F9RHZ|(7A%Nzs|0zvCcf^vQ0Z*Cnij_$T;lsB=u zZ`f15rb`^D%_kh$K1bbO33b*qeLP=ki8EAc8{MotUno(;@_KOlO?#Nu6I|j)(9+*P z0XwL@^I?k><^fEFpj#+QwuB4JhO=q6m=hg0eX~V_Mq1d1A=UegeU2LQN}n&9a0nmQ zsbZj)Pneu4b7u0|a|~^oXONPSPMm&Tf4q@#wOt2TtL@UGcxuGyx%mw&@Aq3?`l&Ft zkdk0mJ{kGr@@H?6Aq-$W5-MbSf~fu1y+5!jyZs;`!11+C4!>VflEAUZr;C_>PkUQy zafntwX<92tt$n|PcQ#}{&6}dSrlVgR!a32iJamq{bwn3Y4F-!e|6sF}i9UbBVqSVC~7WolhksXg5BOuGA;<|~IDd}5a~u{DJu{y1M& zde}a3_bP~a3I+r_aP>P3E;uWE;Mk&0NwHdCQ3&?Z>fKs%jpOFuJlbD@6e^SR0-;!H82z?%*XYmn{HAeEndOq zLwER+rYoXXoDk;s$g&sqmsaMg6hw`49kA9lb2Qp&Om1|;Vp>+En=73vI=yM0zNX)g z@8>z})9Kb;^ieZ)mXv-emOhisOK7bkMSeEKBDZXjxh`&#4(dfuU9=MEl#! z*5iz18C9FAb5K~WWA$XOJx(hbv(GI*Ken;)bGf>oGC zR1GS&FI=i9lgvp#(<3Qd9t-Jyjh7EpH{$yPd|X6Jg2bXuXg8$Ide@@g#PYe;j_170bpz`HT1kpFSn;6&NV* z>UQjYK371=r#?uyD|Us}ss^dPuY`PE zc{VbNpL{>XTeu&ZDT&}$D&JO=w2_FF8F-o4eaiSSHzA9~cv`$y`T$^5&=PbQlf+08 zP~FJw;~q2(*j3&as&BMqY(1IPYjYsg-5NC@Y5j6j!bfB?PQ8ZgA^tO;yTIIeg0wMu zg@pKEsG5-N#3M8d$)ND-B*9gLW>||y$07G-P0;EGiDu383}cNEGF?w?31#P;nq5or znM44~jn}DH@j`Q>!mK?t)K!tRN|6%}dn%dj`&q+8K%t&BVMuFVs<$hdRahrnHcbyz zML**kn#)c%8zg)bU)g9*?6L%p22QCgin8L8J@qCU+8ruMbM_&9XfZkt{U)0!t?IHg z(YHYme0S870%6Tte7(F#C=d|b-&vq^3IP`V$=qowrRz_y?5*m1+PB+n9o_2mgKiSlPyB=JxDJf%G3DD}=ZauU zt7|_vZTao4Ly-u%(K_29Y%bN%BG=pIQNN9xTSx`RkL9{wj7+eyUbX&uE!+Uavezyn_X>Bz2$?{m>rOJ_mV$8D!`SD%cHJhgUn4Lu?Z{G*KV}eF|pj%(#kaqdc zdkOC!@b$h2+p%UR6--aOHp`>;*!_o%M# zZ#`RjJT?yA9q&?}*l^Fy!g=i;IpJr|{t^_=XT)W%ORm&``Ue{LE1BF;G!mAw2OWWw%Gxedbnk^cV6_sVK^Wfb}eDLuKR zz6frXt&W;z|I<|czXVI7+eFF@YX9HV`afEK)We0|cW_w;On2?3m-+qYL$|Em zrx|v{7p%RNCH^nlBdbXgF^5F`FQfK9$%CxR4@J5q|J%$yv!WEENgFxQbs6SYF(}fi z{+sak$3diPWIezJP5iVL!0cZcm(3hq2W}O=b7M`Dv@yOa#?^3t7Gm>1LGa#JlGGz8 z%rzLygp@c6T^*1Nwoso~&4RS``MI(B|Av_Y6YA@`%xI!3#9aKJkSDNWf*T`IH=ia^ zKr#a)CtTS8v^IhvhR4fo-TEh&cPjT2l6$MehZ@1BCmG2HXR$^e)*9G?E|u7LnV7=_ z%qcMCmcIIOS7opInGkPD=*4*0>-eK(i<6B7N#?Stj#FK4qjM_jnt>h?H@*6cD<^Ge zy#dzM@!4R=D%W&w&tlQ(}3IhkIr_i2=zsq6Umb$tKy zKGoeBO$4eySb<{9YS(?k$CDXJn<_e94ok9)-ux^euSUM#PKxiWH|lK_BVK=seSRD> zVr{{om`9iDsX0g}^29Bivgf`reTbx%CKEQy2%{M{>M(!L`e?~cF{0_oR&{X9q$kOJ z*3VtRw)4|yvaKk9Yq)R7c*#MIeQGZvd5Baaqf<}dN4*dBZhg;`}B2pb|v4XzRPwVw>ee@ zteX~_Jx|Yw`j#s+`!9w3u*{aBxJpUqB3?X3nXc27_I2Ca>oF;!iSdSpygxT-KBa#Z z!M!fa$?e=^#}>Jbkri_DwsnRft&vm2)F!s3P&FALR^wvz*Ir+(1oYMrflaBthf`1* zm!=bLJ=mnd{lZl`scM+Di;aQ<@MbIiZclyh;)`FZK$o;lg=E#~m9^nR^K$va4Sxn; zQIL<@mwZrfb~)DA^7T@KE35Y|dnG-LCj7>CVm6o8$}U{lVeTk09e4~h4Pqz^L0hm7@EeGrYpu>0;* z$mPo|`uw(1R$leB8?O3YK*hw*Mbz0t5d(oMIW1O$ODfT+S?VZ2e;48ABf)rA#E7)* zrbb>lP`zOl+0*84Q{o?*7PO%+v~**jvUP9P^HxMEy^7xK{hWQrD&8&yI zwHCgjH9@)X+f|oka3O6_oYNLjj#*PZ?k>ysy&y>H%qTk7!jNwy3g%BNjdO3 zMPfyICc$WTBP$es&PPFZu^#$hw=-8-ZOwYe(G}R6R(ok+Pk%6W@V!LS6Iqx}k-4?6K{iZ`)GW-!_;`F`WnrdoW z+u*Bg_1`<{wstNZ`f<`|ci%^Ok3FPe)NfW^3yN%KYSAryIrVwpTSKkKf4o_*n_lT% zo3VpEl_M-AdOlv^+kRj?X!Jm^T{{AUR{zxClM4h#CHF>_e7_0NP3f{5JTYD@nM$b8 z|NCVE?2v^cg+?@r>|A&sH8(~$;+4@#C+$$U6rE?cPrn{5H$<&OV`TXyu4gf=Zc5gf zlXU7xFO#}uG!rJ*aM@4qm%Zj71lgWpl$$4dTEL0>sc&%)Oii)Bt{hWmr4W4JUKT$k zy*8Xn-*;cnzoGEwB(L_;Td^BRyRvNz>K%Z!B;=8=I+2fu$D!r%Oo!mqBU~0#rVKfl z(yu8O;M8xsMcd*E^8I*#X%(Q3R(R!1HuI|Op355uX71-=$bTu?kzsW;XqxICptYKS z;Kwg5{EW-ADLS;UiMYsia6N8Qv(KX-NK1)qy~dbd$~_KsUaqo{U#9E70v!&D{zmoM zR79IxZ8%&n)eQv7qT{d{)}*6;D3fq2n+JA%W}9ohdF2&rLg8*{^_R^Lwq8{!F@Ie3 z&A=fZE3=u$F0{389E144lG8>shiAn3R3JV5x555V5Q2#H-Lzq*Ouw6X z=XtwKPQ)y-lGn*II zxQ(nz@3ty@_Oa=y(Rw#`4ZCf~v4&jln&bCnn3E69_*>S~iSo1-vnY9&17!vZluz_i zLVg(+{&zi}@xD)hN9?)4vtYOFL>)ml-La>;)uE081T;aXaEXiw;Ll@PMv?8L5dJ4w z*eTGj?W6Fi?Del@ZsQ4###c&g_@xQ{+r?D_!mpL=Zj4uoiW3u*k%d(6`4;O<060~% zTkCh_O1>mTiZS?}u`)%54v(BzZsQ_}C^B^5na=j<0BZmTWXfFC_MMzWZ%1zf=YBrd z#kCAay!rH-U?fKQ{x2k##hG+FPQAlkG-^q7L3WJnd>cT<2C%RZLEmvOK$q>Go=D7Z+B~r6ys{f812eM1rFo_&Vy?w6G+}y)|E-4 z21O+2StYfaBlSKYv%^}3Ta|s%%4rIG{0*7coigLVE2L|S{IH?grB<_nGjiQz_j`5S zRMpARxHFl)J}+9v?{oZicDU1iI91G=hNJE!^U}A#(rnoFlHC@pKop$iUkgUsUeGk@3r;58M;4;Nf2oWFGTj{oJm^JT5C$4oDJ@bT8RCySRI zEm&u}F>2N+@#Yjl$v}lrpP-fW|VUz1_&FVN+ z^<=qKsC?$f>i(|jLXUn-T6_E^m-swU=lHB*&p!rF!?7;OXtWP+Tz}ts@xFvF&*yQ5 z?t(N;yF~-Qt-;z8D|y{^3H|3;#p`zM^Aa-&AUCUd;;n=w=Wl`OmW>U)rVv)I+pjwe zKy@Fl{`mYSt2s~)*q~e^oqUyTvHg3iU{!5wL#q3mnSkxo@HwsP#b0W31%rlEf&GK~ zF3{F$`y!xC+8@s^xRsD%>kqLQ?1o=!)`DpXZlK^-Z`D`aAZPt*5(MIjb?qVX2ERf% zp9W+1@&7ia`2FQ>wFiMqLAPr;gWJ%5az&s%HUN47zmFF{oZ!FoWij)LeF-A*z4qtV z?@L$$M0HAU&+pzBauVCH_k;IK8g3-vA_lzdY1a^rLZOP21N zkFS2^!qUw4kDeQE@UV>aF-4y$^F*MOdXsL@t0(UmowvRbh^M7mK zSgGNdlwIF+UM9lfjbicNE?zPBJs+KpJrHR3-hRo}XIg&MwwK2P#f(_7CiwRG-|e z?aZjSxVfl4@N#f+ad3U$=k@2og-ONHZM zJP&9Ta!0dhxhDE{M%Hyo()Ej{7i3dTo?}22ybR8#@0W{7BI6K{aLz9wbe~bAND*e( zhxFEL3!HM!she*GUV#(n85NYl<*X=7x7<>p z{qmrsOFnsrIALmHI%29lMlY$exXqUXeqbLu4~3(+MTTbS3Hiou%?X3rS~G)zTksaW zwtQtW*?VGkct@Ns^Nm7n-PBqGMrV9fTvXyA4Wd11%>#T)-#U1nTf5xP1!~wN84w?E z;CjL(9^n|eh&xp=zkfZj1NJk!I45I1|GWV>&z)o5-2G5w^%MoA3 z8v}_c*aYPD2cf^mH?{HcA)+7h!xK_vpn!$YLx37o|-*-ov zdnRLWB(0f)o6PQM2Bx_{(VMyhX4~6CUQ*^qkf$InG zr1?EHvFLj*?Y_|zjJVTDGk&?ySjFXpQv_X*`Mn0QC}Z~y{qfLsGg#Z~9*?+#y_=5? zD6gEn=V9&%#!yLwGWNr9(?sR^#FEx{xE|6l!sJC$4rwR5&96f?6$iAz@nFTm2W&1f z`Ww}=x=rl?D|r>2Se?+CH@_j>n8cr%CKVMK;y$xYPRbP}eP$$PSx8U~<(9WdhSH>I za%Gsg%lizFfd%MYQlNeOa{_m-z;#Nyv0axz;F1+E* z$maxhG~qrk!<&hE^k1zne#Gw~!!|iW=1lp{Z#n#$(=sSkPXfwDHDc}}0Ue;)Rs*eG`Y( z<%Qzd&+9$Hhh*J}WAaRX-7w_Ckv!EA`M>UMcYupfxBz)i=JW8sc2Y8J|KT1Gjx^2= zfWP{0;siwgZ)O||0Dqw>1{)}$>`6s-ijl}P1?&*@2%tE{{COdtX&U^0vHp*@|0Z2i zdIV&M{!>9>7c~#KYHAJqXY0%B`~SVta1Nw%l@;C=I|+E+jtAgWvG^D-07iTpb+gnv z1l5GjS?;EiJTynH-}lWjxTh`R!?wgpBi*)QFz0cA2OXyhO_Ud98*79YjxiLmDk8r~ z2_>zWgi(lX$Zwy^{ zW4esOi5&A8>g{r86GNq@DMZ$7K%oLG?Zd^#@z<0!9i#$+Il zYsYr@-Pqt9`Wo0LL6{cRe{Kw_qa}{l#;9a05od4mg~QLN27{Qe*R!A009z8mJ^^15 z0oB+vE36WmNIP;glwHqDi1KW;!urdTcJt|G6h9JPdQT8^N0@3qTOwLn2#jzqlpbLulkAsnVU}*!r*;_LitA}a#=;AM=ya!15g)QS{~Umc7~jaso3v3y zS>gdk8K|$k7QN5v(k(DMud7l9?% zQifkVO0YrD1FGft?~vHQAbQRm+9u1h5=*(l z_Yd^_XiqL{)$A{u08=DimW^@Q;Bgti&dZ()%yr-IGxSamG*KRE zRF$S5)ZQTkyZC`r+irjvzVI8e9$&2WTNEdQz|{WdAq8v@^|+y#WJ==hqJ<$scuJ1W z9aE$FTUiSJP=tG+(MplP3aMaORIs#Fd--x&LDifOR9j_#`206!vD{Ay6)i za>J(WL<|q~K?7h-B6n2WGtx}a^0THbb~>1=m4n&w=V3aPZI`-dUR)O z@7A{S$RJ;XQ_xTy;?9$L{9c|)fFT@IzLNas1|Cem0{u}$@Ea;!rc5j7#n;-pBemDHX`HRyLr}psf>k^JzBpPg(4=Me)>{71b zbuQ`~5#C6MP}lME$+TN`6h{f#efjCBf(A>l9`8nCQ^K!A&x3#TWJ3VEM@Y2?PKag8 z3~<}S68s%|+N5_)`9_S0#!LGwd(%&1szN9+j1vAjcgPSY3^Ao!k1Itnh3+92T-@(W z6#M4*-ONXNWioB2W-fBjAt>24{c`%?v(c>?w z7>x9r+n*sCEZf-q=RGQ1g6ejZ7)Z@YgG#SF2t4^PV`k2 z)iQTrOSkWf&J3n2!_6z4$I$h*?_+?iJQJKPvB3`|VVp-ldAf{{ojO)egzev9;9ZK> zlX`Xj_;Amky0-IN^205{P&sfdH1y;R%0 zC)sqB=D|)!f4<23tjQ9Ma!`%1e${`sgYQBIV@yRC=U?;}j}iiGVD|6_*)Oeukj46# zQw;!4OsIKe;m*|&zEpjj;ir-OQ9OXH)iDPET*h!Vgl!Y);={z6EC`}WL+(U$waXeV z=$f~ZBPE1D2PH>=@0lV#Mi~H(hpS=IL{dbft0WqfJ!HNWr-x;8eoS@P9-nd-y4q$uJgsd`~*QakBpVhz62%LV1&l zzz?wJPkz1nN`BD?r>`BSM+A_`7~zB61>yUiiuebc>Hu7r!fgqHL#bfYR7agux0un- zQ*#kiLMY`&wf|BTJKS4*3duef`;CG4?#sNV=K^`prI7L{spdmmko+We(b$uNL2o4a z0Nw#(Z=c29IeOz5_O&YZF5QHq(l_BQWBmgcJ7%0d&me+oq?QPXbC)suA2K^OvhCle zT@~++5*=AX&#BOFD;odkm!oMgDbriA%qB;OEHwog)tpgn zj+xPbD7c;8=WPrD)|U8E^g9r6?HlS;R>}!2rn|&aG#;oRm*R^DZ7`*E3E@;$*fSgc zVhs>>`Q4E>PizcxzV*${`?q2vI3FvSGF$2H`L(}BO4zosj=demF=TH3%%HIbZ^ zU;5m<{u??@C;=O?o_yGc?Nr_g>P4+oDD3$GlClKbJJiDg{BZbl7r735&E5 z4I<2kszp`* zDi{AvMfDDhN{%?hR=67R;!f93sPSrdxhdAKx5E#`8^da)J+}WhwV1+tyJOzJ`Bi?? zhJHfz$L1^5J~N`PE>O_!M<$iq%hA5FV#9KRt-F2lgNJ9u@QYvs zRpSU{QfcwmoSW~1$~*JdxM+1x<|y;$AuhacvHB!L{y33k3n z3#F6}nK#*fcWzk23l^O*`=63m$de~>1@yY_00BxHKexnJlO1nBIo}9EYYLS<+nMlK zHFfet*DOF8>=ive?o5WlMh>t6Q*ys=@Y;?vQ}w|jP~Y?sZG{efeWGJpkkCnDF;?MsHF4&jc-K43-|?lO z;O(3lzG>Qjm?!?yFUzMlpj$Z11Iz4z3-&f+o*PBKmH2o=^%(iSoC|FWbV5kH+b=Wz zWI`y3d;H}e)k)TB_D9L_r7o#7-cggJs&SxmUvrFmU+47X%z*SGyJyRiY<5= zh>N>?-0dwqxDOJ+UUy}4Wx#bh4#`^KZVo4`6DkJyvND|j1-=o6J$9(35>$!!Ptv%P z++X)0Ppqj#`){5q^z9jE$%im16wIOZv6mG;sh7l(XYCR?1n$<2%sQPs?T+_524|FdetfxXFP@0xr;-+Re?KYyUD|1cENO&p>8mwanKlf8rCXkPq_$e znQwPdRFCOv4!lWiP{NgzTPx0Crx3I@h34cg&K%~z80a$GUqV6bCA*bhH#Z;gD2_}L zc-yT8DW@KQN?IzZV$3@)-uF+R#;UD;YJRVYLa{{b_c5N9m)Xz7tSqijp#|D#)CFu? zyZ33 z3QJk>?0eV_d3PGqp3{)K6A5)5qK9A3!xU*|9QCe%_9&VZTe@pMn+hMiP%%B4Ma(k` zEBt~+Tkmxz#^1rmdgAf3K7WpritLKbD^mLH8IQM> zr-|jYIk%;}4{6>{>Rw@(B3oPwrlMXGB9{vI=S|jE{tDRUS5;NwEzl5yZc3w@rdZ7b z9a;S!SIvI~CM)vl0}{NH16UqKigp~+#8x{xD;2(`N3#n+K<`gfe`>K8tI#*ykz?x|Wq6Bb-8jHpBK1}0$ar&G#n#hvO12w24n^6X z;(p+aBw!U|Jmda$4|dF)<}aPth7USo-_4)Yt5M5Ka z8=D5RR0+0C6)NwY@b#nwDRnYF)x`=rx|ZJm_R|$SO}3A2cNg1te#jcCO=4#9&Kpp} zx?ve+-}Z)F39wau-SS{Z%7J_tvUOVEHTTBn8-2-XpZ-mAghzh+Vf!(6U}H*~SAw-E z!N?SbKfNsG+MISM7?xUgyEA_eyD`j9ZKF8IfPA)X9H+bh9iLSA4AC23T3upbuYaNy zQrBdZZ2_NikNSEJb1bC3szhXKR`X>Y^6kvhQ!+7MI4w#87k$ zg)O9Q)EC;j>&1%rY6hO}fcr3&}T1jW%c z*8xQ}cn9D5?6sfK8OK{UYm0$_I| zWY^Qq#SuYoleeiDS$|GB^ zei@JO4xg~3$js?zCyVUsD+3#({}>`EVe$jA(h;nu%R!P(LsCi=Kd~bP47Za zV)OZ#bZEQRBY60Wm(-NKxzEGkPk#OyU!TR)7c?g1#8(OdPZ?;wckDAn@mcIf)xfrn zrD!E3ibaAa>)DLM(Aj8WlTKdm-3%d61?^vd0ZSZb5dwdMnEdpn>zvzu%N+y1_W_9P zS`H8YnyPcJg`}ur=gjmJ*4_*rYy+&(zs{wqv$Ec{w*?3V%2{yY#q-O;+r@)SUy%Pbz(myznj^C`|lm^s= zR&DJFz)dYLtC=k|Ef7SLr7{%_e1a8Rt^BRorJo<^v1~kKuKfaQ)s8@-c5SUOag;ot z?>rX^W;Trzn5k6G^>&0{((AJ}Y^Ia~N%?KGSoTu{LVPP;$dc-2G z?Nu5OSLC!BoUY}X7GbnSm94xr+OQDa47;)@K0p2spAp&}UgNGzIYHBrW5q@FI@~r+ zI)OvBa9{m*MznY<-UEi?o5apv5wwS{oEdwmlHYk#aOYZc?UgRcU1jErY?92|uKU(Y zrbjf}WWRYdb;=lYDm|1+D_Q~aAB{083nL)4GF`aAeecJXZtL4-|DbxuKB=m% z>RzYYv{_RsT?{2yurg1pwEbL6&^WbT1P9^5RVS5O6^;$<@Uj++>)c`)cREK#H&cHO z^|<_askEO4JRJyZ4viyv&1R5dB(<8DSw zVo4_GGAu(BxyL-tP1S4r6dyH&D5gX#=gAG@)tWlH#sn`Gl8Uqk7QSY&`Y&q;{~iC8 zy9TX1%(;Ei7o#1ssLkz0KI~`_Sel}(o5pY-xN*-Y_)CzHCAaj`B zg#r;!Xg1G4vyGtlhnA|Om9t)k&Yq-tc5Nu{iZdon-qIsfmm=fvssS@om-;m zS|L+3L#qb%?@-5sOWd6VxsdXeXDys!Ql9Hk=Jcj?-{AwDYnTJQ2 z*D1x&kf~UJKVVX}BvvWx4Rq{m&|vlXcnrM4*!-hvxo^*AUo4x=e*q(3H9pG{)r>^{ zwT^4B_M$^Sg!Ym<__|Y4QQ;)6D%MLa5Q>+<>1FyToeq);3Ga+EKNe@3S)M-EQ4_To z4m`&*9gVnkq^$M0+g;KgIxub){@e_vVerNF?~$qjQ3NxkJ4HFoe(;=2h{}l5(D9)vG>>(1;-&b zRZO3y7?3Z7q5~R);kIWuO)SRsj+ITOb0xOsE3t`}>CS`pJwK3XW+c;H8Uz}5ub!S6 z#Qpph8>?Ynr#Xz7#u~3_u49qKb(d%eBDp05GmoA|IelnAwP$?Dr{e7KUo=&bhoe9$p`1HQ1KEN$#iBlc+KZ`6ROxjOf!NHlV86&kLvEQ z4(k1R;+oFTLUsUIe5D87pr9}w3K4PpN7DaG!TOhe`X9mn->k37%R@ihyR{Clsxy1g zL+6cu#+gyH(*vbn&Ye$I4{4pJ2fj^b$9cONi{XFjKf0sopS!yAD#JW{@8RiHB<25U z_LS*6&)uj9=3WRqgmIHGJNx_|>}m6R6tK1vzS?x3t0%%E7lQYUJ6;z47Ly9#Eam3+ zZufP6q?{n1#{5qQ0ca(~C_EVd6nfSPSIWf8(i@+(lTK|F%qK&gB%Xik)MA>eotIN5 zh)%ULv1v)o%71$BnE0ycSj5?`ew9S!&>)qU4SjQnzD_+Fg|hiCWMPq$iV?p?Sy>e+ z57N6|OqMEyL;p7}evl7)E2VpK;)b}i1emR|_;`loFp1{F-@9T~=excR3@6Z8*@jx8 z$7QLjapC#@tAnY%*;t>_+w076Ehn+?>c|^!W2w@O@E8?U{jB205ea0OHghv9qmwc~c|5W7|Uy&85IqReQr4`25fLW^&P;2Sij zWjf3dLxXIVstfYPu3Nbte;V+gYQrtb`7%MjH`EI9H|(}Y>=Ej<=oXjqwu-QZ4(H<} z4}lht)_nS`c;Ds~WSM|9eab}4l36&VFJ)m+Q-yqsOvRV!zL)ptOSHh9TP|=9dS7D9 zCHci&PtYF!H_i@kbIkUy03ZtP4asYG%` zGQGmQD>lFVP1w%$w>^)+o;_>nvOmey$?^|~6xCH@w*0lI%b)@&`d)1O6fwd35Y z5$%2#&m$Dt#K(m1q-$>zLOw*IuTqvduzZq@9%@^v1=l^Fwa;t zGxyGx*QH%Sg3r02Xb(N->~|$G^Fw{lN466RZ6(WsxfBk^P@goW_dB?Tx4SGtb|jLQ zq4$eT{US$xkIdTc1LDn-Q@O1izH<(L9CblXRj?chJuX`(tCiKb$8)Dq{AEsNdLHb* zpF*F5S+ao89huAN&Ms0K|2r&r=DTTY*bMC=M}W`|HB!-G(X^to`F1#S|A2nIVPdQP zH3c+(e9VuuwJr2r75|<(-r_B6lzYhf$(Hy+udp66X$yQJ*7o(aNC5>y< zcdm&l`xUddM=Ho%s1@gta~Rp$2X>75(m=WbZOq)x5(_)u4#_w=-lg~oA|x;08V)c! zU*v(5LrN0zaZ}ihLIx>rlJ-GaBcmBHu=G~P2LOp0E2{vsvtRLHLamPK9^wi$=6nA( z2~N@5r>s8Q>R?~Ayre$aHTY5=F|An9Q9VPxo7hi|MLd<296SG;a;J5?Zk{veVZ#19 zu}5E2ZkPwxNyGe~dPI+IrODUAxi=~wlkILXHptzT@wPm`yaH{!9KXCn=0RB{?HXtI z^kuYm5McTc-w-T+?BvzQrxC#8=JMIAby+VxAw#Fg8--|1vv&?3{#sdqDhR+u6Z__ zS9hK&LqvBT$`rJrRHi#+noLMZ*_h<*OJ3DWtN!z*{*;03C$=inTHkS9rLm?+Z{YN0?KuBB9RWU91hWpKKM%ifnd+n z9MDp*|C1!T+SS#8R{$KibD|o0muX|kWO-E~i+y0XBkE_9Z~-RQ^>f?(HGZrhW^?03 zn}IPh<$7YPtT&!QE*xolF?aogd9D<@J+&*!=YE^mhwVXJPv%n5c(#S*2Wg}7Cq=Hl zsE3f;tLu{_6uhiQVa7Ku1t$fgHBoCU@mc()-x))OvlLwPV$2Ux;MTj}iPJ^GnzUkO zeh6=s&TxPE9bTj1zN(>YMmX@Wbt55!)<7-}nnY^wYFdb~*A=LzUV{p0v%JBOzmy{<1xcr&d zU6eP#xanz@LBr(>n>WssiXv$?>*80JO7!8hcYpaj+u5E4{Q`-3m(BGglL#1#KyO>DZ+_k^A6l1A!h&7P4!#XGIf4uQkcTv!^`|}P z4OSA99D z>BRFLrS%i~B7gk8{{6|TJD(=Tsz}P~pVsYKC~8N0#AbHB)yQeZ!kZ?vtP>H6VfFQX zO^QOWPSB5xMQiag6o>J3hwS&;vo5llBs{t7DW1f24q?$QyqAgm#~5e#i|Gm87mQb` zy#S?$d?2DxUwLZPfr`)iCK2^ci>e9g=Vg*xsO!BxxxjyydD}MS#)iq6I@@R_El2_R z&S=zDY0#?6aH=whe8BIsq3jC@i(ET`7P#_Ct2cTH87Ycx)_{S-&>?dy`=XfqQO=Qe z!y|{nM3jXPtVH`_Y;CwkRCON@OsxFE{K?p8V;<3Ly_F&2JMDqWQ-w$cRtOC6eCi^0 zs&;Bwamv#o=RaLW*_;4TQexcwMzC%dn0d5$sRVp$F{t<`;@6%QyX`p|(nP#x^MLMD z(u5?HPC=nw$Lj@O9w;Wj&LhZMz9e6^J^&UJbJ~6IxY=Sc78SZm$7n=yccxCM++4UN zQPIYO|a@b|;&7jV_lZO;acMrD8^=?|vx#$1}2`$XwWi0p$W!Pptbk45Q-&j!dGIc10 z<8!_qe2IT}I#nQVdR3|3Ld~?Y#qA?@W@~zzKe>b^n0_YPW6*)J zEP-9N*Pk}!&nR`dL2UY*6s(k`1w1yXWHSow3{KcA7v2qz&VQXOwJ^GI7RS^*p^u8L z%<-Bk_;Fr-k0;9WP{%9V(jizbBE-Pvq;(+c_=T87^Rb{%Wk|uT2-zUE&Zr7(X7GWr zK};+~i0{2JH@79t=$%f3n@#d)F~qipEV$ul&id|Vs)f6){;Np(neDq4O%QpkqFT0$ z6!PijoL|Z68KW>l>BH!A=%n`w_I58})ybccq*8+vc-x)J%5@7c0zDE`5#2P=3v@0j zt*bx4h=A;TFP+5PcI|7#WB(`t`D)}rQtQsIV#68pA*E#nB8L>?|1sZJua#t;C*t5* zvBGpSGcN*`0U%FrT*MxXrxz}!}60ngpNh-d-#=ylu6)WL4y8Crup#m{g1C~2Pl+dXw$_I>`Mz+I%&K*hi6 zKpXC@)|x$4$wFz?U5M`ifA>wKZd2~>nWxk^YGi>c;%p9aHo+qiQHU@dG}4$@ZMZzoLjNu z43=qoJeE3pry*mGrnUf?Pm2e4&7Z;_l#@R0kSk9WJaK`@d9#h|SUwBrw(YPrgvD)a zjkj&vznbT3!u1m-95$p)A1}wy+O%kcN?Mo|X}PI5{tbuXtu|y44vTg*cfOv0J3(m; z`)0kF?aT=q8`1Q7gDa+M>>3uw^=;=%n_6!Is-2>IPQULX`J42f$cm`#J-tPC_c4#U z@r3$!UHi{j1Sqg?b1aEEcUm&ox9I@+;!3mUxGs_Q1f9mE4AjIZN>ryjvdQ}L7R=XUqbQOUvl|JhS&*Cf)2tuMF3xHL zG6H|UL55ro7>g|~S&95w-syq`;UnS|&R!Yn3EMw+(K;7PU2BBu~vhn7Z+E zq=PP77czne_`{(n)EaL3c-S8;RP`G|(#a_s$qS z??Z*_jWvk~b&0#+Vnlx}O1a@#HPP_itH?@?m7!)Dg2^q>^*eIhyDz|)^`geYW{r%= zM21aF4%`)$k_1M|-t2>o1IgJ)ukjIE5)zd6B11mdSX=2~<$rBHu?RXWWurMX=6;Sb zFBMqX*~qEw*Is<*VeL-N((ccIDmp)e$(}s1o{{u0sA8kFMRqqqQdF*_NU?R_{OPXk zoSLfmvLfD48Mgr_QrO%W%^iA_S8qz_*r`y#UvHue*WMlh(id-q^m|@F@ zaSIu6cUz0k>y!?vdJSLXSwldnKm0X7Xwg{^s-5`!3#{W-4kj>a~vVC(e7*x+JLF$sh zB2^fZ!|N)h_TAedXTS)}3(-YyTKWgJ?&>P_wnBwKBDi?mKq&usyDBtni@o-)N}5@A zN2IOlM`UtUrjM@aj9Sed=&FA1 z)Eu_+wQLp%Q(J@@@)(@_k7Zt*nfNiO%2zFg^lPw2!ojfU5Xc@9bfG zM2m*-&q7PYuYE{}Vfy5juENZa4k<+=t3tqdsprEfp|1DiMsM`hDtEh4M<^6l&OrY=af{k#3}UHMSzsR2P! zb3|n?=_NrCNl=kB{DlAXkJG{W>+}1~FCDv&dP0j2OVQbSftZmkIUALTNL6Lg{!Z6U zd-u;R$@_XLR0|K*CCXXn`n(T9!!d>NU6aWj{1&?RNtVMW>xwmY%Ma;&;Wg>`T~3md zrWVQ?C9gFz5SL_wd0C=imJ{U@JiA*-@6S(V94f*0xfVYDRhzx+zTN{d5$d+^U06w( zSM;<=cYRNzhPft1WVF2PC`lP~PVTtuk`8f+G3CBbi;(mhZai;+f4$amZ<&BF-^HZa z5O?nGK+%RUSV!r9%)o-i{q}rA>+2cbPnjH8uNufE2(Y$8UHM1aa&42Tu2E!Dpg?Qw zK^amq@^={PZL%|wz$C1n5y;stvs&AI9N-AxtHz@00{dP25$#NWS7t?GD`!u$SN;3) z_85LyIWC26du-N3J8YqC>)Y*Wdh5URSq_NSCczGT%%ikL^WfpHNcJR&;rsUNlH^7d zdCmfgUpN;cgm1PObiPQ1!OW*tqe_ytjIc%yMFZ}z+Rm>TMJ?u|Bh5|f;t(G5MYpBH2jP(1 zMPdu=N#>5LvFuh?{m+-CLe?vq(w#Az!&VUIRfxq9|2!9^>(XkA=-?i zaFQ3BkH^QF$;Eu8PXm}(zHT1=EP^-0*w@$S#g#gL0oA`4fO^Z&>XO>3n@?aYJ565d z3-%7`5yAbfU${jD))a;) zm1kgNLkApNNpmyd!1JV?Zj-r_TuT#Yo^W7|yO#{`tkHbs{NgW*y+;N49LvJQO-C{F zQZ-(E-HqRfi`^4;j*=R$4<7uZxu}ZZs-&bIEHC*u#?g2Mo4;x$aUs$FYdzvj6{SXN zVuC9PZsFxV!E>#G_)_T9)ZOkpJR?9QlK-Zrr>7{mL zA?&^7*0ESdiR_`@kE{nFM!Q@d;nt=v7;BsE9A_5I_&Q^f^|8$L1Q^+K&GiE^>-B{H z6fOCPl8DqKpv_CacNY=_CMZ00NjZHE3l}m7avleB2OPHQ2bZny+ zHXeUrgF}G8)DVenpLwq+xbALrPsSL<`LXTK>q*QF5;oDbVtU^__B#8QjPsN=y4;iN zk7~rq*2Q~RO@Pr{R+7Z^;+Or9B@86w#`k2hLSbR88&fGD=fz{+H8zi- zm4@bip&a0mK(a!T?EhrYenwB1Xe9#IRTirQ-P@yDl5jUWxvXH?mb$CTs? zM036Ixf&Hycw!waY!Yx^SU{WC9iX)QaXc{e?=<t7;`&aw_Wu3*} zwhLbPxc#5ZVo()($8_DlUz|px;}`#bAUMXqAb8CD;`u25#xKHupf6SOD;t-D`NaZW zzTOt9M-;<`W_f!DwTz6ZZH8y^-fo#)$n=qH8uQ9~blb#d-m32E*?2RrG7gR6;$N=+ zB`sp%b$V*7SK2>wnw(Se_G1q3asl!=wGDFX=v#{vTTLdDUPU$$6I=Rz<+r2hVWNu) zTXm301k!ZL)52bxohAsrgZN^3N^KZAzHx`RS+BEReDrIpl>D6a;9lhN{$o9rn}26E z;Vf_6q7sEUWVF;h)Y*N-`@DL@{b;h7SBGORcUn8FlH{TCJU=;yuXbSdvl43Oc0In| z+@Cs#R!%gBz=-?4BuCK)qkGQ`zR_9RJ=A%wS|hY>n5ODtwc9gLJT1JO6hW6fK3!wQ zWDDG}}`Y$_<@O+%(HueOhOMyCu(8MIvqW6;EfB*Q1AQa?3?B|J zDHnomuzpE%1m0R(ce{j;+K#y83aG!j*{;NTp1#syrGI7;&&P25;oox_i!A*=JC~kg zA%F7nJ!}d*c;$j7ehwD>+ez~Z?Xz9djFV(x;?$jv8k(1Lw4#jQL{&?C+;gFVVGb3!ODUxeruyBxPHU9N;u;Ek5E4;mRz(kP}duMM8< zVt?nO&ngLG5t~bXg`2$*ta4`E-tIIO(}ATZ(SZa!d1)&oxRVm=jREQ-btz_SHIi(O zLb#0xabt=wTPyN)1Ur`)*a*&#|0wy%L++1=8Fd#OooQm`toBeFz< zSLW{}Y@oxj&Bj?5_X3VJj|AeqOtZ+QrmjT8I($cBtDZiwwtMSnbbfRY*hxjihZTPQ zRH#^75Lb14t#qw1jKW1;TVXPQK3Z6e;+;Ae1}t)rOaYVZZp;ZMmT{@JpuVm5O(1uu z@ekAAY&mD=Ah-MJtyf<1*N5-O^8!o6-Zes982CHKkh~xOXbNS>$;$bWI0DsH7GXGE zn-O?wr%SwtR{55cVe>4wDA~%gQX(PqJ!4#6qv@`bSZjy%r3>H*WX*`^#u#o8A<8M! z+j(gW!vl-zD&BE7x*{?8vpEMzqly@wR^|Q<{LKASO+AiDWw~vOMAoSx zG{x&FnI`$XNt9t3=QRAF5NZ;U;e1P!@-_K80Zd+oTWg!4iVGcb(ih?iza(o!s3;{w z!#<9V|J=H=zK&11R}20Hy6r)I$5>=%UtZ!`5TR0Boj1CKxj9dbe8UmF88Er*0vg3j zWSu0h#GR79&lSti0u4uIPq7T^i|Uzu&@{R=B=zTp%STFv=9zGRdK-(Xdp}otNgy_{ zi0U8CZHQ=Hm>8zbqmUp&lzrgH5KNoQ-jffl(Z$mwUkKV!CX?S|=eNRXfroU{LV~m6 zM>?8+do&(T9$Fksa4TngYwFpOciNY_yA%5+lW}qG>gJ6T`%ST9_94O=SrrvqW#X>x z4u)Ep#8;a9`sp$-qU5e;5l`zbouIR90CnVREg+hKvQlKWd~SHQnhbsbm{e&WT^*N~ zf9nVGEQ&PLZ1XjG;%RkIlC>fo{JH#-&IsaRfkPdW8%uctk+3e=Yje4;*`p1TDV zq*1$h{_r>M;-7W0rH3;$1bEQ16eW52WR%>_*}3{VkO*>JacLjkEO@eg9f7*&>TkV_ zG)(pJt>rqr^c~Huv%7v_KRM`rq@HCHai?OCF}zqO!^6UrS55HL?oR?g=ss`Zb~|v8 zJVuLyjcm^7AWRc_4-Dz8cZh(7oz&mww@wAHg-Eq^gaR|*V1&aYsh}7O?iSbQ&7K`S zTT+2!X)@mVqp0RsDwRqdSg8JhY2RIY6E>S}ZdRdS?3xJnt-8`3ai&PgYp6~C#zoe% ze=F4og9hf-4rC5M4Dk zbnn?)kXj3GwDFsGbw+8YPfTP0{or$n(t$_eqFiZ&HGW2oITs@>W7V9cRxq_6?6(nS zhxfq^<9K6BY$kVq-naU+15Wq=Wo^Jzz_AB5mWY z!IS9v5HdLXbWNk{P8E&~6CK{R?~Jnz($^IdH1KeYf{>bs3OkVck*v$8tPtdtu_f!y zM}Sgnw#`m-TN7ra%=1NU5o42Cs5}dnWyNm34p51qp+(*%u{1^t6TAy@9sh`((d(YH z_PVh%7d^;ckm~t+SttYjtcyL=we8TL^2U~0VeImarQqGN8s)7jt$`*ApcvSO4mwc|IGkj70vLRShkNgxl9UH&n)_==igaVRa1L1 z%8}hqv8^K;x4tm0I2wH08F1(ZFS5*mt?-# z+|W&s_l}rQKrJms&|tGWfPt-|`A*SvEV8Kna^15su9%Z2jo3Og`tpGV96Nj6PQ$D9;-pwg$Uu;h8tV&cF+%lU29sz4=veUTFRHt5qKv zqHgU-l8HX<^?hOW?^U0^*<`P{*~UE0=%kmpX^w^9fvK~fE8Gp698}i#Owh3S{K4vr zCGUTQy^Gsyc>Tq{&Us5rKUb_j-(xuUh`#95ZFZ|}I^DhS`p}7#Huc4FnaMu(=Hm0G zl;{+z&6sW?l>J@*`_@0YpG1pg#3%F^g}*;BUGK)?9c6Fc$Fys;PFwUS@$AnFiv+hU ztkKim7kMG}v}s4j1xL;m$t~jLd$z@RzxPkCe3Yhb7oxd1@$J;_Jw+P9J5S%RcsgO{ z(-(G8c0aV`tFrv8)_0Wk`s_AoGW_FGuyjsekGmR`|IE7`{z36 z@jvEmw`+g#F>I0Kg)Lj|I%M5mvgK&qqCZnLX1@2*y}f&v=gTiG1$8&}TBOdeH{&a{ zR=D(KLvOX{uaYMW&HL@SmQ^h1t>5CBfBo2(sVi#_YVX;-X0qz<M$^j{kk($+3IKK;YloEO9GZBk9jjuXG-?H;kOzVy;l>U#Ure&N1~`gz;mf4y|gQ`%hQ(j_yokJo=) z{IZGrWu3~NlNM86t(RH&)bvTN>;7*t|9k&me9O1wcDKI&{hf!m6qRIX?_56nobYDT z2bVJKFTE^~&V94gJ7Q*-jOW4XSt|X?VaJ|5f0PluCQi;<;`O}y^1o)i`2Mk~B6aPb zwr#ufj$HKf&;FzKY@v9z!kyP)p@QeXZ0wu6f6wD+JBYaiqQZh(L34f+)kID2-9 z>c3ebvuB^!BbxA$gOZi6`M4{w(oC%_Xqy6>K(Da$O7pQ#ODdge-4h%yOhTJ^{E;L zTDF5b^Xt=Px=Z%m5h?dsF#$)Xr Ph0C(r+xp=*}Sf55xCX41YzOcWz0z3kJ@YS zZU|D3WWV;Y05JDaABSiVWU(}2%@kzqbp0ftnV03~pZ(9y!0`Wn1!GOXZZBp>k-f=} zk{2sP{m2RGh*%;#TYxQXvZBtpv`6nGSPmu%2TliWHD5C|;pyY#HETrvA3DXqapPs{ zY=cOaZtL1S!B_W9l3V@xRHQziP?tbMtLf~C zYaTvXzeM)9tykKC1@GTHJFRnH%CL3H?{?p{Gjw-^-N^;!$ff6`Z#@omJz27CjK>y{;cxQC+&S{bc6OJA7@4?CYBitk#$4H92ZB&+hbNbDY5wJY`zUyG5Q0X9{dO zb>Z##Js#ato*3(eyYD~2ChW$ky7S77%W?5DRqBPO1icXZbCBVn>9kGLr0vWg z0|5b5!vg=4PXCI~Kdoxw=wxAMOUT4R&q&WiDCA;cZA{3_!c54>&cMXXz|6?RLa1co z=xSkPLMU%wWJSnCs3b|KW@1PvVr=33uV+@;f98OI(D?q30vZPSU){z3zuF!6(#I;= zSVC2|>8hz~z{<^3W1C#x)zx#g{Cs?};=Dr8Dj_FjD~IPPbF$*vV!3Q|yj>+nTGiBs zzrA$$V5qA8mw329Y9B@--A9<8KP~JV*(Cu6N}>sEd;(Jca3TTb0YS4y=dpqM@D3mj z=VTk_t*oNvGK0Lfo%>*z&tZQs0+m8G+r8^$+w10w>AO3+hl_WmW{NK^Px0iiajoJd zE2p_av^2-|XNK@O=03{=Wb9;JZSN{B{vGk|ff)H(y^)_C z@Myh=otV?sZAGmd-@nLvY!BKWIRZmMxw$S`BZwd8o@eMOT3QoRCjcjK73-%$Tb&ec z9W?ES-8RS^K{a^KgmYYQ{+>;xFojx=P1P^i(j_mIChn1`P;Tr-oI`y%J~can3fUin zMU}2;g&&7594b)_dx)&BTx#3v%d!Q}mK}&ceRtZ?hblP*+x$v7f0P0uZ*5xvSkalC#_lnbG+C7smEizstuygkuwKv zp0z6$tQTLW@_4S;K}ls>9Q*#xQ|yjhOtrLtQkj@KWU_53%_YHvYZroS)h@_On*bS*4nex&jf)@Ks*+i4c(EY^#XdV%*ma4qDsblN`F8C#Zy$3^kVXKPT?Y7a^812&(9dje`>x| zgnwdYvuou%e|kDA6Ewb`%T_x1 z`1w@CW~BdnNV!MbreJQZGQiOG-is$H9-h61%I^5^GZtxXQoCS1IW!`7Ydg z`bHD`O$qzJ26f(Vy&~%3aCo3Z7g_o`!xPEDJwnd@_S1A?@aSs`bYMTbAyA%I@%Cn` zEU^b^tZ1iY!l? zSJ|A`?)UW0!WRf(01t4Wf{yr>OX4ntW?YDHUrLnD7HY$VSfo!{InLX2PFlSZ3K~&LB0TTew{kl;J#~e zp2mX>gU_?>En4%l6WFF&4j6Yl`0f^fTLL9?UJxw#ev_CeLe@!-q>U!{Pr^^ER_7| zP$;h5Wh;wq-gD!1UI^GOSn#mFA03C*mv zp2(wHk89AvY8OsPSl1k<4c<26L)ox{sQ_&@`-b1N(+LUGaA6QOIXhDp4=KQAlCyTE zsHYLncWM=0Pij8~Uy~!LsZp5GgO^lb%Sq0{b(%%kd?J_+RllRoY*$BmgJtJ5if1w~ z1CHXY7qVfJh(Wsat46o(kCD=kg$exAw~lt*Ex`z;^?~R~OS+Y49$5wHgA2x;ue{%y zX4cX~mF^@lmaVg7 z(e&>YZ-Ck^#_;1SuE6DC&D?BCa~1uEQVgj|$shxvdQ9wD;2AXrUcRZ(t9&+Z{MNM} z^ZM68`1T-Xx5s+qwyb`C*d(Yd4sFlb2gC-|i0Q9>iBWCPFSMMTu>ipWt@N%=#AOt| zwRfh1!4gTDqWNPGzSWX}Dh|(xnz@5STi>Ma8I<30a^tksE2f*dOxD@GlbTCaDltpc zEJR$T@vq$pe<5#|qt9>!`lb_N%N?ekI(N*(&3O4rFJn>bGsLHaEy)!RG{-!;XEYb9 zM5Z(sJv1jdS2dzCo5~P{qV5mC-e+yu5VN)v_*t)&x2R5)Mo*=t``)$Nl*_}9Hh7+fwKj+1Grc|i zXH55a_;-~9YBVMnl{iU3u3Oc%@=?^${d!|x(7R?Xfx_T-cVS2wr4qSI)q!fS~B{`oPHu@yS8THSr4L&X&*9os3QXoXRy<>oSNG$Cjc1L~^ z0W6|e-R=^fmv;}P8Mj>-$QHm9_&K=D^P16cJ36o^uhh`z@79Bk*HQ4TYKqA<{mQ0as3}xy*qa4 zL06`3Mbp>fDytVP9VBc{V&_d<($9{~dmD4no6yO8DlxKk;`{Q|i0$pr?H@zZS~A}= zYx`9yuLH!jqC6NhF)^QAyl7si?S~Z_d;H$4rGO>=@Cvw!2R9JWEmSU~$N# zaX-YPsT2YGzh->hdaXQMe1<8aD=x+ucofoF@WjG8nMoh)OWSkt9hz|7bAZ#|lIp#I zSR68|9vOC_LvB4;CI3in;&&np~eDt2`GRF1*WrLz9BIcP4Xa*l*%T5$j zkt{#y7k#2~DDWpQNu>fJWPtPdv(#TI3jlgN1dXzR37G-xw=q=g6Be08s%vkZbRHO~ z$58w5G|r)9C6I$O3k;)vX{BP9hPr8%f{j*R-Mk*2D)2-O2mYIv#NlB6CQbX!v&^WI*IgKW)pE{5rT!8e}^ zXD_yP6+x<{cc~I`TSa*ZXoPoOdZg<9Afh*@A5bt*PFyhG9E{prh~meaxM6n3x@zfk zpabMS1BN!U=DX}`g@Uk-W_CZ`q>xamjuIn-o>l=qjT# z1iL7^UahYPEwPyKBA^SFcop76wHRu)hxZ)!QEh=0S7q51KB8N32+D7D zV;7Rr{k6k#=wc8^DeDy-UPW!&!?>Duz3%QawkOWr=zRSlsU}X4+xGV4Jvssw&C}A3 z-F6r|U{Bv$n>mKt<@4h_S#QNdTbuia7Hflv?AkUmg?6Z6fJWLEPV?@q!9-%vp$qj; z$&XFg*GvZ53LKcxC6}h=0$s&req+cyOJL1wRp{8C8XBa7z6eY4!t?`SlnWrl`jYjK z?)n&Ur(P>4-W6}Ze#o49dFbTvC0JJdI}%9b4;8$2B!O^{k0ZZ9_iWh&PKt$+3C>@eI|X-9mW zHdG_qw9P(FNBz?CWbG{7A+jT0hcB+#r zhb*B5*n)zWl&$${@-%=yk#-=zV&5dWh1Sk+5;@p?*m;KLFD6U8Kb~D(R!GUI>7SVF z+B1a1rurg02qHY~+`RHACoUW?ntWK9SBBMF-en_>dF1I^12%WFqurZdh@Hw(tv0-t z6tQ?|R0X>zF10%;y62ydWlcaoYgDeS(cNAuj=sj*`$mT3q@W0s-K86&xW6K zBrSTBw^!>j)$TKSu__NF9MKzxukN95Ga@(lqdTIX_>^@dPLse~k|MzKEsyTGeQ%Kj zyY-KB8cj5am?smLU86coPQ4#hw2pj~Rm!z1ha@volDd@5nb%u=wO%@pG>e<_ikQ6p}2dVERS?OCLYfRtC7$8mA7%E#ds#GjS9dyKb1%F1BJr;H%B{lPSo1JKx ztlJx4>`BcrU*wEEyZXE6<7Y{PB%5Jyhb6ZN79lgkXtUFk902pRynEPNjyXM_;bt9w zeE=l#2sOJ-aeVBN6xx+$W0+hs^}aEc)CbMxby9zU4JLiLF^}&a6o?mlp;`B|{!C#V zCx4L&+zx1N<%>S${vAHX#uDXdU$WnyDP7*6%gmQ>GoTI$`#LoDM&}#ZLDYp zOos?nmJIpH-uY0WTYXvg)cF&yW9o(v`c?+(dcFAJx+s}kB;2=ChyK*Iuz4twlCN+Z z`Wv+v(oCXiC`h-I&EIXns3>#G9N+n(22irkeq9zK27%mB{C8AUK*kS6jBhh|w4&nz z2h%#h9aNfz)Vdml(Xj4CrC==p>az= z$IGptuj*qXuo}(OXkmDvC8rrT`N{BIP<1g8J<-%>NMW(P*3nXaWM`%y8 ztKk9L(k-k<3#|lHmPOlk6<~qJW7K;)gS;VK|vzZS2T&HdJz!Y$)H96aa&*97t?7XJ3#mKxej@9& z?>Dnj9rt$hDWEz=^d^BSddN&aoVw+7RZO|YY0MuT<9>o1xr2LeMa3-(^V}H+ab{6f znxq4cB$bSLkkojjQ9)6*c2)hk=23U&{x5guT53y6l(TJ7a&(AL`c9~;A`Ths2d`o~ zoMIkjrMUmZ_SICq12INt(ZvMrC6eDPp8$f4s2b{s4_t2xBxX#6WKcbfr7W(?3kzf=2>?L0q;7vMNR%maYqtP?L>&#x_dDZOO{q936AJAoq{h& z65GM*2nHjEm`J6MR9(7Mj%^XY(T7_TPR?oJ2;`RdZ%LY+N~fG{%^{=t3v#oR9I@Vj zP1(xrSsHicHz?Si_i=jcAP82Ci`d0Bg{HAR-PHxBHWce&r&1F?Ac1J==MPGnX_G*+ zo@dpE#Y3t_5T{^4oBi^#Xmx5=Hrv!*@1np^JJ;{r_12b-4g+Ez1B;$>EV-ko$#y=A z?!p*}N*fIq$J|IZ-1G&;)nDF#N(M%BxQ&6rY7tw^!VG}DI82w3x>;FqjY~-2l}#8B zK{M$qCc^WRv3S+%3S=qWWZNs3#WAiIX!#@5kICosln<_7-J{A)a8g0c^q9q8Hd+&q zsS9y++m*dD#ZnjAZEFK;o@bvkvW?$es^_z`ypxBbW6mtV%+hO(9!xTL6WZ-_RN#$r zAh+mpf$f76Eqj$IG8Y0#F4gRu;I#^;?A^uHBexyTuBQ=F(rXtDSr;{S@UgLVp1q`! zhdJ)~e>SQ}IQ#1=dS{L19j0pN)Mk(f#mUEP*tF5h;|(}vs5Qh5=l{8O4Ev{Avx zbuHSv0zPZH;>KCnpW2tMQ$+X8SOUIByccEszQmzBogDO;D~z=TlXw z+L~pJA&flUmn|1+!-JH>bI*G~=PAp3>rzU;y)}L2DOiYVGAr0s?ovhE#C|+>iK1ug zh!OmIgG&efItVM{QQb0>VU^0!&@_7MO&Nh9>edb&rYK7GmLN>LGAIT8dWmh<^ngUa z3DHh}(_f5hKuXF>YdSgLo)x_?|0|Fpfb&kMLWe$|UlOp3``S$sTpOv-nTyRu;lKcE zEW%aB9%bc_HfgbF+01kX@{2bjkxUn@8zSAjI^W5e8MpeikE{YUmh<^Yec7~t<*Vaj zJ^@MYo(_Y>3qdyGKpvD*L@HFT-;6GUT|(QU9JEZ-h-&xYO18yd5!x9vS?W%q6k3iV zJF=9M18Q7=o%3d;!}wnP>jk|R`@@*7aoO&M!K^8Zvk>`Y6A-kTFO$R`C&0T+{*(_u z`eDsp^0=uYms&e>Stn(#i;s<2ui#Iv(=<$aj zqCNcN@cGZwI{=?)9epUb!~48$!+S7e>%i7*mY=ok?6g}Y%mINT(=`!q4s_;oFP`gs zU%-ni1y~M=uNh=*PFKItvcWL!Os#gGR>$?;S2ZnTVyNaj$8xx4Z>ds4DdI3u6-Avp z2(6E>d{fD7dr_jv4#&IcBaN6tIA%Nc%C3Aou|1x))C#hEaxf)pJ7THBwpRY-kzmJ? zTg)2;c8csT8A513ktHld!_s6w4rRIcBHY)^{N@l)&t2WtXSUWuISWqxXO!YzyHZCa z>d>FJlIj|$985W8Tg@ZRWCVo5sO&7Irm(3({&B;v&@6?Q^a6D|XCA)vkL8~==V2-< zrP#=%&3yCKJ#)DmDPYgnHJu->WL%p)uKBsd!!oY6U&j-pdve3QPpFh;&;>vNI&laP zBkh`}A=egJvzcoYqh#l}_5g0S|DQU$G!R9DUP z>R-k9{51m#=y&qg)d1iq3Zlyh0K(K}u%Tf9q*l&XUEavKasiXoUg)4BH*-M1_r6#7 z)=YIZy0hg~u&hXzKSR?yGFp=|2n4U7#eT@a{r$!l{T4!ME@>bW@8ULWT5d9hBSBKS zZ`U}JX=4GWT^Yrdk){5VH?JzS5b zR<2OJWEc1vgC&I)r@*~^qafIwTk*HlJ;1HaNL$0cLcA<9^VITlP5S_QJIg6wc2CG# z@|?wK>!L0q0^17DRoX{Ia40J`vRScp>A|EQTz&O8{s1aAqiU$+kmVDwYoqjGjiDTR zX=O^}#gT+k18N=BjkW&U({K^A%M+GEt?iE5i4^U4TOV6(lIb{1{o-=7-3@t?1ayLd zCHMg=RfCM$E-6nabiV?^adqcaym@#8U>t`Ue}IsO4$u_0g@SbKyuglz6)WaMya(1) z%VT_g^Y&zm_qS!6i!h3G00!(-nd$&2jkc8uhwzrv>*o*$?*Kaj-APXyaek_I!!+hl~*ee3{+7?hP%2M#42?FF`UfkH14-Xaa z4bH=4WWeXaC>=9pq^t`<;HVq>cuDBm! z1I1h7m3D47e@NVY>k#YjUH|B5W6LYi=K=xYRhpT zPxoG67KB6Fk>ttpMiH6mo^Nnju`+!yh|N4ZI7p}sR=dnKbu4A$075{O_H(-B7h2m` z(UdgG4KaVC48aE1U@&qgj9^ts0fC~Tz1 zpCek~9@a&ox?!F~5U#!P&zL^$Z$ft1kgFIx#96U+pB`W9A=SRWT98h`{R^D~!;!C$ zDMA_lr-tPy6+C_~?G~#rJwq4%x;&7WIUK)M_&R;dH+ZR2<$rk$% zKOanw_X%7OPAKPFaC(-5rNbyE+gRge){f0Yy;*TH&+D277u$3rUi6CpuXo zJa7GybeEw(NRR_a6erw}I;=kGU_T9i$igtRFaj}Unbc7{YMwA-*78yOgs-H688CnJ zerqg>Ag^{Q%bn&d0EpKbl(_?_1C4>pfyvOKYy)k&#BO1j_&6;zvY;*iZicjrd9e)8 z@jUzC?i1c%Bg*}^I6s1{+L((6nKxI9e{fDB&<>!Bo#{m57BRnkNtPB`6Mx>urHP7R zu;Q{7L(#_SoMB3pE4MqNK)>`o%T^4~#2zn6)6$N5`DUQ|nEK34XOd!cV3k2+a5uj` zOk;KTyy?N`KU?_PG{8PkOR?locz=a!!;Q=&Yr9NFi{|v{ZJ30Uo85Rq+r9G!^DFYA zl3_Pd+(V3mLfM>7ss9++qK!m?7>;CoW7(sEc=XnC_Cy(SOzM*DLvc~E&s~3DSuM2e zOXs#{?Vkvz=V$JCW;Bjyw_lu+)CFDteh4r&Dh||bH8K=;?R*xz z%6VVCEZ}~Q$9%(PATI5U-S~63J6S~3^Vx2*JRYecYfa?=I{4=b7OT-FNDwxw%y*b! z9})53;EGCk`{Pxp4xGE|6|6fr@0 zYD#^&p@Hz^I{;B@LsJ&vY9!4WvhXIWh@=9QrC_fvQQzTs!vRyJxC8f*9;LPap} zUi5_yrxs#I`;U9Y&n6g(Aw5B3jNNhJR?W}L{TECGmbk&)U-t4~;10y9;)(kHR@#cT zCa;~$(k9@MPO--O_P6mi|H01nQvI-VjtoftXILep+@^1>o-?lppu#O^huqge9FzU#i zLXKnG7Tjb4fuGG&4W1|7tW^ap?HH@^Ltug{Bwst)0Y#56q(QI-fO~o(^78= zgjDc#+DI4JRz9DDQ5BqBg|!vUWR4fgo8)1&LoajRQ}DRc2IizyB1UO!8Q?6(7bDDi zH~JC>>chfdkW7M=h%G)xSqO&c)1G#d;Ex=Xh5BSiz~e3GfwBM=RKZEq3!*BN$Gm0_duJc{ZGf zj3chJ?2dtJeSefczMxKZ6IQ4u>kev)FmQ($6m!{qDwTa?=H(GLafpPGTWW|01HJ0M zxG%m@`WV(+l$4n&c+cJb1uc0{y=Q!VQ03n{n0^3h&ZX&jv}(117iz|WOWSEMRQH(1 z@!P(a`b?wj&PGs228UPciASu&CB;gEmTP-m{7Ck_;2}M#6xxcIkLte7u=r?xY`9hv zNf2Swem5()-s()O@ycHrPTgh?oDlDj{%|JUnNmzZaXXNdybAH^`j3*G_K&hSyxavI z|Kyf&yHM`M5ma)ayVyY46^^cXlR?>)6}M1~_~O1dFMxWrJ#~FHAJ&w+8}V;FS{=R5JwFeOXnqu`wn5LivQiDanm$}+H$L(?1mRHpm zW|Q;VeI|=}YTj2~ASNay`;4dxPZY_hWZAH1{gdOZDiOo=rj6bqtP8I#Q(Kb5f`Sr_+*dl89_%8H>Uvt>;#*aXSbx(C zuo$L=MhI^(Jt7+71pY{VBeofksu#g#ng|3gxPw|CpYTTu%SCS%M>W+jkE^#v#zpBf z3!$6$*T6VJ6`X6dE~g?Urqs{-$9OQ&2oHw}#Ytd1-WIU-aO76gWSHoe#hL&Be|+yv zpf0q{yE;GuHzY)xL;<;{t_scI$k9E7HOx_vAYdH%4tN!#&}($ASUfoZv25Sn!Zms= z-Cr43ohIZ${xVP@2u$Ngiq9dJ#p(zn;H_Hss6U!w&OA%I=aoc!0nlX69LCAu=H?bV zbRZ)S4$vj@wBl`MuT>R-K+ZXsk;_#SIz5L{@;YhSxdNoQQ@OnQam-jzsvKyy_;{Ko z-=Th)^F}1~!HWjUIyPtT(yTR6ua}vmJr|)1TyR5ovv0JnjUA$9&pnR>CQ1~`TG`J( ztNk!dK$16EdqbP4SL_+{U`wSe%$*cQMpy4w;MHx;GEqqc@)VS>{~;SIAE8Ph<;Kx6 zD3GL+n7++nBaBEwg>Fe~V9eudTHTkDo|yZr8rwor|gUwpGR6OlC6?x5;TuILtmS3!E;u&7MljA!J4<`C&G zQk`+Ni8TT^LF$N>0)mTkW}e++>(1UWscymZ=W=pkl#hlsqto`&XHP<`8N_497FbFt zjINaxrn)X~2h*GPN$05)P1lO3CSv8ySKlT`OT<&_+CX2>%tObNL`uao>V|S;QTt~S zEW9yK(8^IPd*W3-szm*Y&d|mhBzN4V0#&SIm6*^|X@B#g^9$>0SC{XquAX9~;&mnf z)&ILgBz2_H7t(IA2`UywtrHRL8xnQve!*c_Xe3JVZd@fGIrz;!o;uQuvc_nb;X)%@ zO}}#IcsfVPvFfbJ&difl2UE?FDZeJ3K3LDrlf?v&ofUiwyl9hR9j5An2iiEwRHF1b1CumlS%6qmWhaTOg5HyOb{d6&_<6WDQ4gZC82@q9qvyzFhTl}Y7B+{{=$Ap^ zyx4KDIycs6Hn%nL@~|-i2wbER$i!&$i!@|k?Q7$;Z?Ke#rZWD`fhTMXGD+3qXJ@Mj z&bhJJLuU!(tAl@m-GDE0IW_eVjHPb)1Yj zD3MSfzJ6}*U()KV#PZT&4AGvI{Z%<8KAoceb-ijzH{Co1&24?r^9Q6SnzI8nh0S0M ze+I=>@L^Xm7@Dn{7&on;M8B02avE*OLxuW2zbn^CMmmYHeBv)&-V>PhufaR%B>Fve z2MATk=StrWS~6S5ma$?|Y4iJD!7NfR=QegOk@jHX9*ZHq35FHYQt`C9HBdK3bziQ& zJbayNAjpckECWdL^SKNdr6MWys{k4v+MaBPEMlF0Yd2F>)$VL?7Lj&QcWv>ZCC}mI zszdh;;ag)%*Z$1eJ&wO!_$}cX6B@;unVUOKST1KZ{!3G|8#f;Fo8|#7>}2yrJHc^!H+N8%sV-QuV@Gw#vNbtyey#WVKWZb^or^w%{Q1??TsDlf3OWh+S82oj5HwmVn#R3_zIj<5=t5`xx{WkzXbkT6#d47=^~Pl$zdtA1JTHqRA?F= zNHXoIb?ulsFuIJcex0gFLQhU_WjYa?4U^*|y>3Rnp4&t&oM2b71;A zr9idPEPcXroihJ8PT@geWms|VdTU#`MKfnui#@g==xd00tIM=SpsYoi+11F-&9`HV zTj~FKxA?~|UtIsal=$bwZi|&~{yE^z4DdEGjjW4oO1Ir}~NZEm=A!{hm70(F_rB;nP$19um$NrepnUeZoHJY8x~dKXJ17 zpR>!H>sPSP5>ixUxVm9iGTfj%m zhV`FKzU`Yb#Znw9DOxHiI%wp3siHrcdyt3*N)wu){SCa@446AQ)hzdPBoP@HlAbPm z=8N~&cL`+~3XbTy*mngqdZu3**v!XZ3FKzg^M9BWQNpgZGSMwoF{?vTbj~@|Eij^k zQi8G^>KuES5L6LBhWjK3ym6~8`$zh?S02PQ>ONigzY^-uB-*qCG?)jNDuhCut;3@VnS3zaZoWxKS)`x z;fAUOk+<|CfSOD#DgLcK(APOIS~sX81?JbJNI~__1+BDlMb&qSu?3MYB_{`Rku>}V z8YqBVu)Y3)x_{u^6OFRRfvT5u=}4cVoB@(YA|yk%cPo@JQWNxyJ_ZvuLw$i&T9<8l zu%S^QUR{O4`^<>&Ca}$YcrHV`kr~G9o;TGXzHtP_j1LZ!b)DF@!n^Az4wKL*QPg^z zi63Ek>5J4|$0`SGnQcWvU&re|u=)=~;lXBU=J6$(m9xDa=u;ds+{#Ys_5Oc#P*2kQ zJ~%p`mc-mKJ&l(%8t?wf(+gh)oQStd-2o1B<2Fb2qN{lHF%E7Ulp&Sd-wQ}?GR#g`k-G&Csv2MXj@9sg%Yce{*D4ip4Kbo5) zPAxz>(hqR*n_*GZ;%BP(3-axYjtSOgD_OYouB_||PrmVPa||%QowTRte$(|LZA%{) zIQ{!oz{&N|?@n6x`@or;<^wVHxuxOXmuESSjN5hMXRWlv{RbkUdA9y@s~l_+kVyJ=4(P zGnzNbn&g?M$`bFxrc|X;3%nVMsAdZ$5>Gu#Thtj)3+&qku=CmHHaN;d_^0ECmf==# zN|KcFCPzE3VhBox`n7H+)t4;^&}7II03X(TK>p4K$ zW&FP6@yqz9#}U6z%|KY~$O$JW1Q2?=@clnZfm9ct!GCd#(gV@_#i=g*+Y-jJyp?oR||9=jF+W?QUstd>_~l zME~~t7w_d*Xob;Hb(eZmKTFO0g-nP&L40M{ zCZHFq0N&27y$R%f6%zlOFwnP9b6=kL=P(e9-}XfBzWn|(?aw|$NbiQ9$L06=uSW6| z{B*4z#+Otq`8Uvm;Hw-X^%n2YDM2JtkEnqkLfB9@`Hf| z{Q9-t!)maOG?m}#hcikdyi*IYtD6~*;cv1o6rPHN=*u5}V-?{2;{$X(1f)$Fhflol z+!qJ-{_2PCPXnnYdx-%WymYMdp4bOa6x_@Nt;EU0Qh;z2kAh_s7{{i`y!b4 zwfxtYBQ4gAp~c9CwC?QO6TYh&o=dyBrPI8}Vd}0u*6-qH54W?V-0Yjj_w%yt>sA$B zZQr_$Go!Sawl6uCSU41GKZmua#nP0V-olZNF)a>Aizt~@pKQV*1 z4s!|qdXG%6cf(NT8Nii8bp1=StMzwZz49N=r6vO;wDJ`3voV46;KeK*PKTca@7jYz zYWFe+gSfRmpAwTYx}#8{yYfv;kWev735}P7i`lLXx5P+yEW~&wLtd0mf{hYb5XkIS|5#FmU#cG7Ey6!hvFoP{;3$nm~YXvD>QyLdin)FMNB61PO@ zH-eHtFH3^{aNu!t0{LpLsW4lsX9cPD{FhwensswIj-8sPrnqf~|4cv}9X9o#+68E8 z&8%LHIp~ZoDU1}yy3q82H-*`feFDCUd zHAovvk*U7Tv}wrxtEUJ#)lHUr<)UJf9M(hsUOV_m*zkw8aEtQ!T9$5`Q##!wLyJFU zBXVS9@?mU+>?d>d%7~bQzIWOuu1vkAaFk(OT1~iw_FhIowEIMa-&+skCc;~Uv zPzy81hA73xUVp;{F{od93tu1 zt^lLl2=BK?cNLOGKiR#utcs!UT2yF>;3BpKQr=pUjms?oJ~ecjNpVTxaW|I0##flU zmP(oPd73+X%}%RCwjnUX84cZ9$+T!Z1MQyWURI@4s}|;ms-9vkAh{|3*N*n{F71ry9foS$dsm-u?t#b% zxUO?FO_Grz>*IEfr9EmbS#FBItNZVxIeL4B2B6Y^(FMmC&H~LO9Nbsz<3uoB%IXml zb&balL~a$(*HwwRSy7~Z680xbK#!pzYlu3wA`}W8J4)xC$X^Wf;h`c;&2^X0r@m6m zGItn6GG$(u5aO!>wV#N1*L)r3dDoaR@s6t5 zjb3TnDPFsg`@by0RKJcrkOj&v-bSVd#FsKht~_bRNH1F%R`=zGNhMVf+{8cF8p$aH zvh%Bxf;6yd@yt*j(g%kh6Ro=Zc$zn;Cb(?!$Wu8!1d%3=fj%2#esYc-whwIq23mU$ zD&rZJu!cPz?Br9bBTSo|AxBSP(0Ai>^t9Y#8PP6P999<|o>&$k2t*%@_d(>&Rjv=_ z3XLZBhWSP;A@lXkR30U#tI}Hk5YrnriC7oE_zGrKvv74aZPn2X*-gQGCzwvzym+@q z`ey2MT2Ml>eJ*k|ZZTCNX$1HvKS?E(G}zCIHJTB^gUHCbv6K2qS!0Z?#LcD* zRpL>|5iCcTF;lLz6OX0T9s7k2|n(B9GL7JlcqyrC#$=bKmLE_zM$AGvkvSB7A?&WNgu*x&r4C+}j=GHu6QNgP$eX0R}M$ zTSkTKJ<|e7BHvU+vjCi@IOG@F^&S7(8fG2ZoCH;ZX#_j2YIq-R_esqSK4WiDT0(@N zbcKA1WwRCTlfmf$ooS6DQM8dPgbEq;gz|AWwEJ(9mZWzm{cQWPe&RWJx%z%eQu)re z&MQ0EAMn+$WVQlDJ76mR))c0ku2t@lXorzZGt6uNF~-W7?-yL1Ek9G16{e>KO`AE2 zp}Es-XG>gPPI3(Q7p$PWAf21{;VE*_*uY%? literal 16410 zcmV+#K;^#?000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DT00RR910041500000 z0071U00000000_500000004La?0svDWJz{bHDJuPU%NBA>o?r>?9BFfr>ZM6tE#I8 zE#B;`>h9dF*JM_APkUvGTUocN(vy{0n~(0P@%o7|@WVm^BVjN|`~iVv^Aa-r09nGa zkt~ETGO{f5G&V*^_S#r}V6TVoi^q*~?~Tm7^~#>^&8x1?xN%RMIC0{{i4!MY@4ox) zyMKtkFW_$m&$VkaFW{%rIX-E&!hW;U4ryJ8vZZPOYLFd5p`D49cKk79P+d;q6 zY4u(UTAjmYBRCke8{}XwxE{9mgHHb_>IS{eLH|kEjRHm)a0Z+GUQpiH-VRQ}Zodsc zjpKTZkQ&D)x$U>yXu!Qt!m!CtS? zZJsFMD<5{Fh?&1;`M!awMb$*X9m8IiQUHTW&F}E!q}6PMd#xzIugW2GIAadI7F>VQ z>>q)(06T8#vc85gg#F;uR(=!g24b?g*8#$l0VG5V#dXPAU3Hr0z2G=(4-Udcf6xUl z_fBm&Sn0r`qOKcWkhhS7Z~#iW&3DNAX0P9E?hX2#Zf`CKwp&q%47Fe{)0SY~PDlPO;pJL+`7N$W)s+KHaqRv)#xA`wEDr_R%Z`AQhh|u>1niiBiOuCnXR! zJQUjx;8(SdwN`l2L)SyAOnpuLN4x92DUO;@7UruERE629kLu#c$ER8pNAaJq6t8y< zb!_tgYcIg){p4UHyLOj|`aud}~ zV~ArGj!*t?>MuQJ`QLi1Ldn0=?8B&4SnpJKGFabduv&~9@z@;rYezxkUqnnGR@+ zNCBn(J4bGmT|CT<5e&82dELwyzhd4_Kg7qSN!zJVAx{!3NlsCLX;&(mpN zI1Tch8l<9Tr@>qt_*LEd*>0>wI8;xuu~3`*FP(;ZZaeITtya{6iz0T@`0}NSyRe8~ z7=fmY%Hb6R{Y@JqY`7=xD33-B-P$m2a5#GQ4%<=i@nICyqMoMVKGm?*HN(iDj=C^k zrU-Jo6kG+C##dm!*=oL{om23(thM{i{;90URkSF02BX)5J9OqK*AN^`-6p(g^Z^C^ zC!L@@INpo8Jvf7UaDmYggdW$#p|6FL4oP5OAWajFtp*%r0*1~wc*K-M^{i*^u=zNG zhw$_yq9;-LeTcPp5;d9!a2En0C=J3^ryb1&J0e3(1pR)!=DzYl4$Z&c>0_9A?!4iX zf@UGb^h3d$H>;>3Yfx&Ed(?z)wA(m3ozs;vGo#uh4H-E&%Nthi_v zI1I71yQDY*Hhws4(Kh-VO#Hde9`_D~C3gc~z4X?zmfab0U@rvDP(hQG+Q79M5D}T@ zJ?R*n+F_Y`nnvW{0L5N;3)HwScF?Kz;~C(6Gf-Zmev!H;*^_6!P9u`sn)2hlzz^Lv zDE`m#kb;xF+s*du7AEdEe4uQ3n(b?d{?^xTaw@xbQ}?hp8z-&(n|dx)JI~CAxszu5 zOC5LKk6=7HsAF;yGrB`^QO1n*{N(+m?eO#3Zuk(1*LrAGPuS8D!36S<{8Z09p&2^I zke3l$!@L_NfMLb1My(KYn)~|*b8_?^r!@66zD|<-U#UvVn*XGWE!1zqK=Rb1Fp%J~ zOdCjFhrD9*CFATGrqVq)$yh#U92`T#7TfKrRS+sR^`9ydkbjb^Abw$t1vqZFf19~x z6l9`~Ghe3>?*)CDt+i>rOzTCjoS?DzUXc$d7>p^|?^9hS2F}53@HyQ%ey&=l^kNWA zSJzs*(NW8YcHq2tJqR|MZD{{ia2rkne2yRXn%AgirE#pv{!}ALl`ip%2-c!Q`gFPh zi;hsUF??)K9@x%`zyDcl_YWg_15SbFNh^9gfe9Zn4>+x{{F3V%OfMDN6$!5+bM^Fn z^qwY18i{UU`tlxFpme$QqeY2Ll~zc#%~gLec88gRbUR(63n$(D^1e?KqmAcfmeOle zZciCDPGF|3YTByCYL%&woKZ+z-^l3*xPQiUjvr;`NKe0U#o>6wkiP%)6 zrXn>Jsd263EZ?UsYTBZvE$S>SW*qFaMNM1Ov(Td4IDtO2+s*xEca#r}o1d@IzdKI; z&-;Cf+&dPYvSrGa{I0~Qv`nSti$Pjk=f#PJ>dmd-cG!L^P_u zXJbs;!?Zn2+rzXyoXs&b)f!W+G1VGVtufUaUVoKT4pUVrxiKs}<?IvcOMi*Nx*XcS~k=d-#qh5saOlP%`{jUg`&hCIE8NY!)^=0}pGc)DEln30cKb388_ z5bq6b1pRKBh;o{;O;Q-ZcS$N{jR44FWQ$I?o?B-Nv)n zJS;Hd@Yg)1w>p3Itm;cYZS}alI*J+k+KWz`ceWmNp7a!L{mw~Hz}*)8qZ>UikawRR zg^%^c7Ok+0>#6#f#pijyVSL^%Ya%9|quC7cnFs)3>XW6JUe!Cjs+SF8dR1@cs@`k1 zjeHZDi#@Zn7u2F-EUUq>+k-CEM|{nlZRYZq>kG2(csmD!=BH<}bP_g4HA4~M@@bsy zYO~C7OzP7)hMWh}R@+!b%6KjXKL@jSJ2N8M}JQUl(|s5V5;%zPey zzrp~!Kn;caTY8L{`EwAaZ^z#ZRipm)xQPptVcx_r{}|u-r_$fQvk~mII*qq+|!kBT$?#7BmjtJMf0S$vUW3gHmyJ57$pWfHMGh-Dr?`{1i%a z^K*sZ_MnNeez8;x=9g|3iZ_e%g;G%8#z3|Hso-I>7p(0!c}b2=_?7sn;amx#3N}M~ zgE@N$eY1fE;|WhYos-#C^cdHuuIZZ>hl4Zuo$$EXI@QVfzEOS?)Vp_mV~>94ZHQ~z z8^wkBox=QlWl_hHHZ$zszzG*E!#z4>?lj?1&``VtXsEQ$yoj&G(tL0;0P^5Qu(A}q z92k?3eR`?|D7jlEIR3j4fEWi(R6`oY3_C2=0UlyawF`AmAdwb;Q(z=p=%TTeK|9EJ zWe~!{R)A|4x^N@#zQ$MR!r}QIc-X||7f`$SS1cH@nJ=nus%34^6VwlTZ|NxZ8ky^F zbJjjh3tUodb}I-mVO2cfP6V9?(b>%qBAQueM22iK-nXE-uha9);qvN(-OaU~^=f@* zcWZlRxBh5z=ictaNA>E%M|XE?Ya8Wl4b0wgtF6Oa^tE+x;6BXK?}LXxHq81dudi=C z+^yfM-q``JyU1j%w!5)byIbA7yIZc*w(9lWd)2jCxmLkXohW8zSWV^Dlkn6C;Fs`y z(C_eDG7FiVx|e%>!)3Ewu6&x=tlhb@Rol^tiHZxx^qXoX(SCDqtluR%8CIz8?`-W7 z<=SeTMST~I%(gLBhPrs9`3{szHwrb*e8jW8mzhItt-f`?R#^kj$~92$2s;z+iLbJ? zv0YsUzw6bzo8_JRwYA;qs>a79bPEgf%ZrP*3QMKZ(yhh0!ct**WqxsKzA#^0TwHSb z+Uk@HkzwBIaHqOiUfgG^*m@HtxU42C!4RU!k}n z1`mO(?5ncp(gaQxwY=C_H>A*cVBa48H=P?X8Ct!8f5N z?{9CfKiYk;wZ2onySA&E?%n(A<((~)0cjmCMKS|UnQYg#KDAas3%b9veSc?nwOYg5 zR*l|qJQOs{q~Po-eIuZXOekH6PlhR5Q07|?*1+M_`=kXkMnU zye3b^>Ufe|1FdnpyaYZ|+Zvp4m$7;uRaX)EBtcz1u^?1^ZEY1lc!;1_GV(6kvSgYJ zv!wN%^3ED`KKiQ7wOv$IWvyP1l{70Sd-GI2+P;d|+4HW|tM%O^m4#vnU-9Ii@=pRx z_uy0@==@e|)dy>vx>qM|g-8{CY~9Mz)1-h+IA}$}8exIgTHy(gOXIwf#?29B8E#K? z9$U9Vqq~EP%CQA=UjxvR+K$4vf(K@-vjLxR_f&s;2|wECt8Cv_Sc4m|lzHhlroap` zV^7i&Rr6Cl%$~iH_BB&8!m{T-)0?r%<5tcNsWe{*Hf|$;M}QllAcUUgQvecTS;uIE zMtduS%S9hT1J3am%)00pvy9ygPJ<>xWO2od(|~iVLf*fD-Kl-@OHSHl@>tL@**tD7 z`{*{{v^rItr12Gv`jnC)!;Ytg6`j^AM|44%tI=^iXRqImheb5dx9URVXvHETF&1On z*|xHw?ypA#p3&mL{^p&q9nChD_x28!!|1?plvzA%w)Z*d_~tedX=MQuNx!f~Hgil4^qYg@J*&nzF{j@#|K7(bn_Sq?4vxddQL}CO z1@>O1iBY;X!nd2pgJWv;&J)`;2$(<@4lU3V4(`KSwaZe!JhLrF@od?nb7`aF(6f1t`xD0mH~j#7iOB-Zj?uhSa9 zyWucW&8|21x}oibskpT}YVNT{#4h7BGSyGhpTtoQHzBgYz14LLwm`fFrWNA7%{qzG z)dcgicTH*(>~mC&=+`r}5Sr<%n%UU^{+B&CuITWAOI5AXX?KFIxnNUcR()Zq}L=5-V#uvkZghm$Vy(&2z!kX(}oVMNbr(N&^v86j=G(8XV428 zttjl?Z1Kz!myFab7&GmoE?t-_VaZg32qE@SinnVG+ z-P54K(V#i6xYxu`r`tF>4K`yM*=1<4tdJpi!^4hg zy%JcxVq)35(tCZ|&FZKQZ98Th)7gIBN>=Loy z6;)sQ^p6;u>iKc9HoBTP?t=inGr(v}lsh)pRS|VRB5Zc{F6P>1gz&JRDwbA)8~E>4 z6=SN)Xh_i(C^go!5z)j@D3yX6_|ITqE(OdX6y`+)W*=^>EQyHHt>8xKmWf~=gA_7B zf=)BK7&*5@`SDEFjAI{Lz|0I4t@16w zVyU{b^*YtU!nK*T>(i^LIOnTMi7FDh@4gm*p+pVyn7VQLU2UzRX z56n6-Xh03FI#TOKRVIghVNcH$O0XHvoR<9o{4hZoZSVL5hj+oVUw^B4qVp8RWsWeY zbvzZ77R!KLjh!XXAE}_>o1J|StUuVQ7)ya7(*05(z zLt|5lquRz)Fl-NpwjSAGjAEY0Au05ZW}T*%y4Ds7wznAqyw8x}VmR1WlOeI>H)>cc|PeQEMY+)KZ z_I;@>0Ykl3!MqN2lYQ zU3E*1+VtXos}FXzS9R>!;Aoe|xv7>s3(bJVwTWC41SVc+58cHoi4X(SNVPEzD0{)Z z5G(S(HR^WaB18ce>Z_wfbOxGES%Wf6y0+mG=%SHeFwT(OS0NssN=HhmxO1T{e zN*(x+^A+2upV|8-FyS%b(C>&&FV>!kf>ZSxJ#c%_n^i5k((E<{&AxTCB;XS-H071v z76!?V65N*L`KIUGu9mE=YeiChye72q?=x`XYbQ|t;t*A=hmSBNnZ5*`*k z=AnFeM}%L%R#kXT#E~bPNG(m zyTSm7CsDG*OMtH)CK_w#44n)IFK%#&>VzYXo*pb~)6tp!-FE3nh$s0Li;*3U|bzcl(hc->xBL46=o79C~MEuimRu`SKnk;2E(`l2) znHg%+#6mhYYNPs4uI_AaZ~~(fj)Wdp*Ub)2;Ld`e1ve_|pT1wOnE`pC!F<%A&=+y( zmGUC z@C8qTPFih{{DbDZ zj`kd*Rh<=kOt*R!yFA#*yIEakE*HDHcd8k)hF7g-O(l{`T1jB#6jB6(r1~JZ`=&~& zyw%{V*|HSbA!_t?Y=28tmehr0IfhiQ#O!ReQ6;f@SM3$*p8n(8P3wqHVxhUDcfr9> z*hg)_KsK6LcmpLB32~zT*;sH|ns%bbQM>tByXI46He&e~rNVZnW)&x9sc60_t1Ch$ zhHbtuU;u|_C>mxs+Ee>dfF6E!+kXxvM_G85bhIQnYECYY`2up7nfYQExKcw_APNxJ)o5>UsH%lt z=$^8fs@uv{G`7#AnYvB2N?mjialA!$yJ~wLDUnq~O^badYN)$)61A0UX}g8l3RLR; zlMs%oh$p9ufm=e@6E7T^*$KtO0uQ!2^I^9*KW}DQN5pbEGJ%2q;s=P`Ev&4R5XR$) zdJ)m}@BoThDggzZ)Sd~lIWAv&8|MYU?O@4IAQ;0#<-M@!N%>h4)2~-O#Ee!H=MD9w zd`vvHK&e5Ke}d@53kQu=G;OVKT570AJ6U0%_Oiulbvh>o8(a0_yq$DUzTF&xuZ=@H zN8uz<#YN-4OT65`m-0R*!F#>g9n6RgaOS7Bc40PF+wiV%j=Wah7{hGJx&l|Q!9llk zjN#%FjSJuKNcooG7x8V|y0YR?kV-N#&F0olaF=a#d(b3{Pml7#XURYqdw%(UQwu#hxloSFe;;*Yc*MayBXN zu4DQ2dftSp`n0&$wjKs&ODxK{&NZ)jGi8MsYU^8fc9O}YgvcXo!gy${Q*k!yq#)7qt)V5)kHe%QO@jjz@DMVUF5Y9WwRz>}of}?5%SS z$Rf#b00LC1lyQKYIP91W5O|WkfAWovafL(;Is;>JXHdv|Wth6pSSVte9HzKDDw{M6 zZ_^*cH$4cYlN)O+(5~YN_X;Q6?Jin{W<)Qr0081pN@<-#8xR8h3wC10!g%svm};9x zuh{omJ+g!x1RBXx=h49R9_@Ax4wU~qHsn-{x!m}%wZamjlz3qq0*~n)r#i#>26XuL zY#LomNrMcFq>|oiR_ngT; zQPji>4P#rq&3ANPXEmg!iHSkD2wtson$4Hm;ut3G zWi$fhF0 z>_F7|4w&g|E?gTCxBa9_Ouli=hU2W9{Y%0n-#)=q$uXPIsc;i&rCEjo@#;EVZdm{s zei@%JTA1AkPvCbrM12E~9#JOz_!>(>pb{@H0if(#8EnKeJ@2+5_UTdh>@dX2 zXFN_V4;iN>nU;NXyKOo4tk&mx92M+hEAXyqgPC|UGRednQ6kyE6I;#HE9R3w7$-1@ z{ZNcG046~!yyBBTZJa>lAcBIrR1S6>WD0FIt<9m3{gk540K5;yu^lCXc(_g{_gYYz zO~W=IvkNdw@sbl4BbJ61*|Xze(>G~j5pzpJMN!y5hG&vq8LHLGB6IM2dnwy`q%&O_ znpo0GmYas?eB&;}(Mf`D=Uy6GSm9JR9-=fgd%>llMy6;@7=&HhWj8K!Y3QP1&_`WH zp;Y@r*OZ=XX(*ds0b4cf-v5OKx0#<4GkOUoj;1lD#|wxnE-3Ky-hVZGN*ty!epRV* z2&IqDkeeQ;S(3|6Nx_KI2Ta}Q;R$-XUI2JJ@5dkMUPzNonGEoUM+6CO=E~Up;{u<6 z5E_Pd5FYX;=P3sCd>eFX62)+ny-vvxb!*Xap4#(M*rRH|nA&qR!p9I@eyn%xVaO77 z;i#dNZWf~7=<|G&oA+)$FcT(<1q&}Tzh(YkTA|*{v zS~YmAq}>kN#@j>Td=nnEPIsR>5+Vhr)&xJw#C|zGa;m_r{nw!MnItNMn+Ter1woxKXqGgDASe|Su!dqu*s$<<2(E7KBnajx4iD)O zM3^2eMP*TereUv;VDfvTTHn(7k_`pHN+xMy>hXs+b2pWZx;_pa+ zD-+>b`7`t1ra8VY&g-qsvzl|gQ6uFvS zQM-a(p{4yq3fL>8m-@T?a7~aaYFUurCs)9V;1!Zf_D<3%`F`ZLrI*!P=c8s7ozZpA zhAbxVx*qBO<_e@htw7R9l)JW8b<3A^^u@fC9{`fd0LuljuCd<7PrRs#(>rRHqA8JG zr(!obd4HnEd$xNx?-T9b?h|9}`xzi&4YTrybsiYlz4JZH;)FO~jbqS@Qy z=u*vIRJhUff)T??bJqB$Q1^8=K}BkBi5^WzzK{q3@RYke$=!4Gc+~kQePXifmZ)Y? ze9`c;BPL14MZy!Vd|Q0!*Rb0fglIG9FTUZ#MT#ubKBeNz=>U6<;_%`N@i3ZE7U3e{ z2^XJgpTci^hopR2=z1ahqAPrEn!YK$tovnAWD)e2{<)}oJFlebUZ}OPrGNIjd`P~X zrDExCiCPw=_jh{FMcvyuFID$Ksfp4Ho``mD0GQ7D87>ljI$F=ppsBhSwJb_6VxPCt z+xa+EdeOCyE&X%R?(OWJD!pIp37!amXWQ5{J)WH_RHYXcE`t8j8vv$z2HU-|b#Es} zI!nUA;V-_>i_doD+u}=W@0Q=%^Vj~f-SRm$Y*)&4{NSMoFO4m{kcely!`G9+sVNP6 z*(L`%f))PYb5QwrDyH&TV9}rZ3oMlWIm=G7CU(ZBb*4Q&g~2J?E>JSnnHK^(ubwP)G}7ja?!1LM4jeC3J!m|JWS9 zvbMlcE=#|)h5#OFIkUt`PjV-g9os7;MOVk5;Fkyrc8J(Z;EYrxSIU?M&IJD8zLzB)aQ~hiOC}|pz;fZV2rRZo=e8ad8HLm z*s+Wejq|x=jGS^>5rrMg7(c-CqhsW3)QTvq2nucTMbwn!MAeF4#|Rz?#t7*WzK-Wa zV(P02JH{T_n;O%JPFyGqy*?j&PHhx7sfO3OR~Z#jJ&Agu+QG4=pIc<5-E6&v0R zqnUo8$bo3_xp)+!8JyL<~dnT@RjUZ7H!n9OZm~<+DX1P+qlTk^#E3vJEJ>1GOgJHuj0eCe6xODph_a*l4?*DxZ?8o>9+zf#ui#*0 zUy%;mqqiNj3y}|ZH&?4hk*KHWN*3w zGG556;W;ymcMxAbWC?XO8<_jGNVxxecA3@5fz_H zIw?LGc~&$T?g;%tDr#<*>?KM!GxV;GQj{1uQen~fgiOiPDkesjkt9V{wp&-sD#vm( zDXQ##eMOaMi-K8li~q#PGWjdAL~AtBY)0*;QHez(Pl~Q=AJ10!(#}R5KX_8D&?!V0 zA|9&_{jm~);i3^8MM1Vd(G;v!ng3N$V8ldGkk#3m0(&UN)$qVbgrdN&pkLZqH5Nr$ zWF4Dbh=|Tu4MH8;HY8M^5>UB@G)={re&W2tJ{H6-RaRlsVA7;j#q#D!k|tEMZAxj< zf}wljb!RegmTswDKc)mACFAz^k7 zZi0kKt)A`LEXU604!Vd=F9}P#nCIHpZoaSrJODKl(Rlx0UKH0<0}5)&j#*xa653KYZSnW7VFkn5-NPbgxUH{(9k7~g#2_-|DSm-k_@s-FBG#x zcb6ne&PGmxD51O;#8@v$l$>gt1X03Xw>Q&et4~_RlORgi>Q<{~iIQW8Nf0IMbgS$I zQS5u&QNir=u3+w#W=DJssuFZzqgzd%BB~wl`kNS0MxDFvSCAB&148V*b5LaHMW&yY zjPiC=xDd6{)tUqLL=B~ZME7P&tt_?p2#!fr%#z7nNsj2-BvJA1@QD#+^s}oS7qY@K znF&jK2c%+;=wbzX+@+Beq5PK8xqGsujA@DYERrs!FcJK-P$u<(Bnd zPK+$!Ch?r~Z@D#bvJ6`kx5OCEL@OJ+{29CaXkyF~E|SG;7ia6x-kmuyvV@s!Z2+Mi z472R=v58S7>}+crWT`qQuP)*ruxEWzwyb@SCCrX1&&aL|5hk?!(vUG+`7?G5H%Y>T zrne?TmSc7tH%Y=WcDJ(?uHNEZ&e+?6Ddd830t%INC04&Zv{{L>X>)=O)B4Y;z%L@s z_B>@pShmMAgq_noxDa7NjV}qurKl+wF4loFL2+J|ihO9VXI&GMBuwh^Y{zFj0qYQX zJd>nLxJ|5qm6H9M+bm0o%kMV1kc0_M?m1?Ygn%yFCMQUjP~^9axM%rgo8$!P5;nW% z0tUk`EBKS6%O2lA6?V(-z(hS-Q(=O139H?6Ux(qB)nF5(%gB`CmtW z5NT4AXL~*pg4I1zV@`-Jp~t!rbxTlMyigMo(H(?kdZ##@kNRJ#MzcezVgKYEiG*>xb<|gb#SUE89rExayI6 zYd1Unp!_&&w!*!ZNy_Xo-!S_iSbtE#CJ?;h$(NQ;*e?@2P-)JPs4%yDoFYrj zr&5A?W1CM(P^;R*%!6B z-Z^YGf=bkC^)z!?PwN%b{2^*S>Y7+8-1~Png4?Z5<1G_M(ZT*=k?ujW+aH9jAQ`)U ze`j{9-8v1{D+cxjq_i2NK7D}M-`}}uKf6Tl$Cpaj?VbjeqY&GgV{D{tJ8Zla?Yp=S zBJR$h)e1zpu?-rrcvKOad*BDS5yx^4;d6Iu%eC@`f|^mgv`X{Ajbds3RUM@px3D#2 zJ2zpra}y+nLUAFuf&UB!B?pKwuL@#*5fSr?CW>^FZUr|=w?qjP!5H_`_Whg95!_|WE zz11os*DjKnX`iIm7LG(L(xOOfP~ z>Rc5^c}T7h97-=+X4V8A3U0~BB@-4nSLIpYT(xI`bBUV;&LwY-AGtZbk=V64lyyo4 zrFVJgLw`{Rcxa{BJxruIy=`w4YrQ z;t(*l;k&KQUfANtnVApaPruXY(;0LdQGnCE_SMH3j>^r~^=3N~qvj{EpP3l9tDC!a z&R&m|OBw-luh4g(U->OQV}-+UgIs_x#ofyKrwxMt5a&Z5)Ouz2&0D*VO1qn4EN+~X zM5pisQQNtnXye&)FQmOE!$0%xyYB)FkIiFIRQvCxg@`qDG4$Gw}emGOzN;8<=$z#F&8viQP{;Ro;=+8@4h@x5aI1Nd0e0% zh8~b)#cRPJrJKb}GMrzy46aXQilQstR0O$UcNDyWu#~RXg1Td#eQ9+<({S=I@_!7; z-Av>Vh284=H3(lgzkdNF`mth;ri6+!r6prcQ@TLKeMP3dY6&z|CY<5tZ%RLD%OTq< z3L>Q36of89&9}2vOK`znR~$Vc5&Ysi<#9y-Y$;i8rzLIfwH(f`fM~NqqDv*Q?0sz z4dM83%Dn8%m=FIp&Tckl$1lZkzZr)h2c{2oAy;S@*J0aH&+o=5{z@!F_S?+Nzl(z| z?Z1rSkksV%9Wk5>{A>*Og&1xSfBu6w?wy#H_~VST1zeoV&Bc9FjK#(MaGbA8;mKm= zZBOJcd+>cQ#^>^I_K=Hv;(;r9@co;(LL7ZR5yQE-FOA_`9+eo*#kmwNuH>QRJ7at< z#ozS6xvvgi!^5jz%t=cR)$cIr&{uo#IT?4*zvao}!ycOdqo-`Xa$I)Yf``6eh-q=< za2BD9`>P(lEO_|x?>%|^h9~Y{d+>Qk5yxXXT6}Q7^)X6fg4Is)(iJZIWZdeZ)Q z&a`>y^TGYPr@&wDkt<)?pYx>s!8k35H`4Axu8lx zkzJ?dW0GflJoqm$*Bsohd*c3X&a%}#wEUPS?WPCrpLyVR99ePbM?Lh_;;%07k34YO z?sFXaCmy)X_^S*2w5LLrV)=7$oYF}@KjumMh9~Xc@W35<;QqP?t{=mpK&CCwph-XO ztNY@M`(gJv4tc6==KsX`#$YqPe1F`1jzhl}QyNFj{HiDJL!OL%H2=OQtuGH>+Hdfb z3_f9lgB%rJif&P_wycnKj2C0g_3`C9JZZn*lhz0K zy&kxq^x#`})gOm`Ee<)VTqyqN)oV`+?j|yJlEpAX<~dEk6``0Bjt$@d3y;`>fd+VAtE{dNyrUcUHfKK0=H0Z+a@ z9-Mg6<}I&}mhbW4`|g~y`0)Kb557kpe7<(%!-u39eek26JZhdizRv^qIS-tV&pulI zfd}7%ocR8dC#}z?m6w)(>cO|+q2*tB;6CYr^X2Jg z%ZAHKi<|g%mEfc04fi_8S2E1c6_(Aa7EZ`o%t1Te zsq&(V4tv<3bf^Z)xE*bjMSILVXD){mQ06L_Ar#p2xkncvfx(eL zk)FpNo{!;gI0brL$y#dFQqe3n_{hk#+uf)a^_jw+4PSS-Gv~hafh_6_lI^rvqqz?beWvC;+-W&dv%T4`X$!;x|?ZNRL zHsnFjo0c0+06!6!HA`$*8-rHAdD4pBw)^*bh{Qwg@K(!*&Het7ikV^aydCzU7MH}8 zyHTj4scrZcG})|r{qCUAA9U?fI&QJ7hmR@p-tSyUQZuc{rs1~0wYS4#kQGS!^y)Bt z#KWdmdfikO^~yr9*X$eO*6+eQvuRpCUn+MVVVMmD`fT z$IOe#*9G^Q$nAq7H?HC?e4D6Ps?OrP%yda)$|BzqW#l`y-o<6+`=WSH>sV?f^$;4II2`8JlIy6we8Uf^BHpaaA#JLC%b z{!Y-~K43#UE1^WxMYMw(K|vs=QsLt07IZ&$G&ef!Hp(Fiy--?;%doKG@*#I>3GRR| z;LbeBUzm4*9|xf8*7cU^wN`W-wfnswxKT#`i#w)aQLW4^+HJhwm`qc?O-S8YeIkgr z3yTiejc6EI#2+4K1v9dw;>0`Kkh`MpsONd2X&pv7HM?t-L*bZAsCKcvZmWwgZ zWao@*VeJ_^&W%bLX^aGf%)`iMO`zD|^`pehl*!eaiZz9DRi$Dx%|yj!+KGxa4aG@1 zIqKSay24Ey- zn4n^fd^j#J*4_h|EJe|jEd^`J#&rK500960cmY(BJ4?e*6vt0$U)tJ7u)c5>1P6OEZXE?8cnn#x3|c80CVK%No&Y@KJ=d&G^`Va8VNk8EX~bBu zOd_e&DoYDA@1!&kh`=r0M_X$@EJbuv6jE1c&1Hos%;08Gd@jd}}60mSW$$;{ctDP|12);z=Hyf9#Mt@g*)FV@W`=5RIBDC$ZNO+plH_8xb3 zQF@bdP`7^}2u~Qx9v(FPs91-+0G%#*`x&&c#b8dGKqTp6j+L_?!4LQN93$j}EtVrH zRfx*R7qXGg_b0&??LOGHO}cDy0#}Nm%y_lLO!ykqqF%C$N{{lv14j4Hr>o3|&x#dY oD629xxLerfX4S$f`+oeZco`Ae7(0ILuvzuKsoT>Z00030|Bg0W2><{9 diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 94966808ef9b0a8fe2bf98acc621ca2219ecbe95..443cfd1c34047a9c86a7be582021324bc8adfdd0 100755 GIT binary patch literal 105582 zcmV)2K+L}p000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Gfu3jhEB006$30RR91 z003(R00000003^B0RR91004La%zbrq6iXBD3OD1kp8{)A`$ zzx?Glftz7uFz~^Y9RCf2kq5xziRWj}lAh21U+Vt5{Qtc*RbG|x#7cescU|@5&;J$w zf8*f!#Iw!+)r%^BUwTRF!KEkiB#p-tRr0AFg}Is94|L=fhx?S%4Y>tIH+7b z{~>j~rHuLzXWmR6c3?2R| z&lNtgrQ~~glF*};;(0825>Ikf>z$NTlzPc?)xPRW@iT@zS8c|Z&kCw&R~ROf=O3gs zPpPTaKFV`NQBoMRE`$?WF`6_zV=LFKG;-11YOiD(V=Rf7M zq9utuQSB=pDlRF^Rr#1wM$xF+RD4q$Q+O)66vxza#U<63!d&Hw+lo@vdlbThbJBm_;q3TQF_D5_yg%OQy_N7Q!aYNNrG^xu;Ck zXVtRmMYXR=DqT`?Q7tIhr4l|XucbWpbLm&;=GerTbFCybKb4+3K2B@Bg-b?Sz+l19_>!(Ht+?8So}}6Am?_~6gO4%6 zW<5|HyKqs;8!JfqF80axj}6qSFdfD`WZ&l$@H^Hbr+~BCw*MEP_L4u?*Ew?$HfU3Q zi!lp`j@ox)7y(A1D4dn~-JeP&A0xHF8U`B1tMB~bG%$ZXsXzJIqXnFs>HdkvGk9@! z3)pMAS4JJ=n58k_gJyQ}H+!mY1?rYA8)cue(<-XL30bnnZx*X@^Wiq4dbjCyZ+|PX z2G<4x$C2&b6hcQ2UnXnn1S|I!+g~ux4#-uAZQg`w^y1uGL5=08Q0oTNL=WQZT2IgF zji&D{4>^u&o~J{%*N~ms+McFoC`@0EoUqz!a9J>nq5j77ds}J!oG+BMdu-n*td3~a zxCVwDV;&m0KSp=fdREA3&8}?q*YX~Ra;t&Bu4fIY&e(aBf23jg3P${}D+CIyHhk(h zX?W-|!Q3U#ORTs`$(LNr;5RarQ^31o8UZ3uAFgOW0P7Z~j^oVqzOWP8a$aNp4T`dj z5iqm;Q;upKn<%v>u(rg7ygx&hAza4x1p9E~pL`gc0$9P2A~ar6i=^;R=1A2aoO#xE zHNGvn5deW05x^h#sWko!n7@n4TuYZ^D~A%s0s z4H`xzNTd57&X!NfN%Pw%O?CCnCdi76%oE%3Idb2w+QPm5TqXi)F2ZgyN9<1jlPycZkyVk|vYu^B1iFv8YTo0_<@PFNTV z1-cKN$3pgcOf&lSJ~wqvl-)WGW+AO%uG*70GjnvRL!XOI5-af&j2S!?jaOo>*;O;< zrT)DmS;=8Ek(g^6>lPRAOZXzc==pR;O{`=sH)GFY3pTa+SIOVZkvR@(aVo?-H{O8w zXkUxbj)Li=c8!nWKD86`T;UNlK@M7!*Ut}?xQuH28rUsKyS<$E_Bw(!-7i?Oc2m}K zbI_j-RQGog+PHh0^+toA!gj$HJ6)$xvb{f1;KhyBehp5@4V(8Eb7M=gGt}_mFBuK0 zX}07*zp;>IPnVyzj2b1FyPOByiam%~Y&ITSz;APBH5ydan7;+)ZCTfB&6YMLn>sG* zzD@Mf6I`n~sTg(5o{nnwU27H5;PvQs8G6WCX*>NH^n`k}9b_%k;4knkMbY=uXQOF$ zm0g1QA&ohFnmL@Ehh6-8W}16gc_tU;4nWX>(Y;1?zXoHJK+9;%1tOWoJWk0;jzU_Q z+~DH5s#0io2LlD0@O$&Rfp;FqyhDM2llMy&)SRvSFjsKwfQ%rRS|)&&x4 z0LL|DV<+m~r!Xy;9AItHs5L;}?Y&sf+YA~lp>ay=8^;S9hXw-JWxwe5m_VjH?E0g9TBhf%>nMQ33 zyA|^Y%>P}jVEW|T5mBIf0TBc`Zp=($_D9lGH)@NsWcM4^aTmNE?d;(|N9S@>}D>evfF7q#lc7r%G(^F-t*b8R5l@*1_*?+>PSB^1}{X@R=gQuJwNn*QW}a;;Rl?0&1ds<0+lqt+PRb_N0( zwd+eJIW}w5&Z3tz_%@+VRmwWcLHDhW)(97B5Op6eV{b_iM7Hysj3vo6YWQzPgRXhS z_oH^@B8?@wm|=v>D&SYYY#HGiELwD}Dca2i{It6tlxUEnm+pHDEMP9E&zGtKIVc?(I8Q*G4^+F26IJu@dKZB38_(QSwK(HJM39m%>fx z%*y2vJg+w z;u-~mF#=2VATOd(L24BIrP+d@!xpvHjZYqU#IaCIY&=lA&OMlu&UK_4CkoM{ZiX)h zJK;ko&|3SId6O&sZ_0i!yEFZveAY!>Td@TB#rSQgZ}J5q&=i_2Zt%@rxv5a1NV?V) zG<|~|mhY9xuj1Go!nJQ2^JzdWCJaquUMKqs$0FVL4Cb2AoeP_G=LYn-gp)Go`D5kQ znD>CL<$!~^`1lvy%;In&tU0A?hoRlJi0MAnYD{OU4;9R_{~Pn7jq}j_sY@dY)J<58 zi3R+&_Ef64Qe%DrG}VvBna2ECn&yrZTA7^ado_ae)@O>*$K~m$%Fj$zaouP_RQ$@L zf%$ktS&DI{EnU5u)M~D4e??$241|^KUz{3xkEf6Se6w6(O)&I)JS4Uu(GPlg(IJ}3 zb3v6}K^pvuu-?R%VFzKNQ`^OHd?b_wo#Se1OuHsoIG0z!@X1a(Si~`Q|&SYkAj4yO=WBQ$uT0>W4XnYwI-T zWq{y4u5GMp{DanSPbFOA(O;#uP(@y$@HdJkDnJ8Mj*p01cq#t3VP7V{hSwF>rfJmN zV66_R{^I$DRrk!TBa-$scbl+29W9N{q9#VzMB=V(hUVANn z4bIOWOo<%V9t@>JsXx-Pp3!`<9wX@#LaIkl*U{-?Iji$jG5!1KRuWyi8@incTgVWj zF4Y{>OU6)MBVoMldF3?WOr?_TJ&5=S8)|q|8vRZGXO&i^BRv3Pi&j;eWNa z7w~i3R?4(f6XphMiD5Bku*Up6movt&=9zji=hlfumW%FC5O$bZY`5yQp7OT5M-`Sw z@tvS+V;*Oxfy7Q<{z*UXC|%2@F&_hxLy*n>yAX}rIXR-Pxlywx6!42NTMcup1Zs`z zCpfYJ_-V|`Pd?$8s{6i=4`tESv3=cW$H?MTw)=Ifs9vq%fxxye@t^Ub?oL{q)Z4i> z&qym7vs#w^c5=Gho!dWiqXh5ZJAtl0X1%SNWfZ;jRr7- zeejCi{nn6uK!HIHdNpdYGMPtEx@N((bi1Z%TWwp{w-N|E#!t+$?Y2>qFI7LZQ(VDKA%Atd8r-DdM)GI>)d1h0WUX848 zym%K4bf*mCZhcYzz`&m5{pqO?_JjRF7`P^W{VO=@YCOvkYrQ7CEk4}lKjU|!9W*Q+|ZW3j`Ts)2@4 ze!)&Uxyai&U`?>K`g6FhaW4S|w-sQldq0&NejkJ_z*j0J$E<1g2h)nJsqO&<24n&j>Vk+H#dOQ7({N+6M zcS9>NV=gw<3-g^6VEmRY{VCsuXTr7OY`4PmgX~+33!qN3WkuV`mdiUJoD*#^yDyth zbDv+N1zDe2*h}F~4WlBv9wU^|H?}rBPJQ-yJJ)2`Z`~KF7|bidhEa~`w6{%NO-9+@ zRNVf@ilRkQ52%fV#OCzBODVggbq1ZAZcWtQp#o6b0n{#s&ZkkWqebL-5A>ZH`nbE` zCi0%3z%TDSBr|-suo=jp~5q3@w=4t zgaW&G-i)pq%B!JlCUOhCEXp zX=8??oB+)4gN2W*pK8-{2gj)&@>{F5+uIJh|A8$edp(ryc336a!fQRM@zUI_@c{_v z+NY8{w$f{X?t=*?VsBt(G2hYXOUax;?HgICv?|ueIQE;r*;jnWP~4iQr1AOOrif(S zH%2`H8rMTjx9zt&%Uv36NowBxGEi*;W>4>Z|m zX{BS#CA6sHiXC1WqbN}xHN+O@zGZk{#7Nq)!E>!GL+XujoYjqsEWLrrEvq_BUS!dn z#HTD?byMjb92c*W8%ERCmnh^_66ec@J*~9dw>a$hAZ!GD>WUhZXvgPwLU$ZZ_XWe9-%m>Hb*$7P34^;|!bObWft{#mzru8T`#Ni??z=IJGbXsUpwvb(XMCnRGY(qu zb?tcEi*Xi>pD%Ql3g3(6tWdh7wMT<9a=T^-a5CUY$rf}-6Z`3=w?^);9VOi>P-5ej(e!{FiI`6w^` zq;)NLCs~Y(lJ^^K+{^=IkJhyv^47BeQ93lZ&oT`goL=jMtEkH>U@({g{5+?30`Go)}AW><-8aHd(4?t^mN7*>VJQx zwN3l;eGuqz&dlL{GiiUr=;9SYiFDZ?Jsd_BaC!#SDWJg6Pdqb5fNNM~w0)<{q`pHG z_$=?PiQ8L*HY%Wp*14XO-}+R}BWEjGt##}mD@w1SJ6AFU(($1uMHR=hpu_}&VMH9u z8s<*FJMfA!aruv`yNZAaY#(k0agd2ICSDpr&%;D?j8Xv{ow+&QBVrk9Tq}= zhAD74=A}4IU~cXKb=uu`nY6>vQY+I7h+0C0cSqaM=-c_I*3kPFM+=Iu?WpLFEdQN5 z6u)sYXOGvrt+NU-j)Y+Wlc8&SUvHo{HGb2UG)JwCy76J4yBXxtF2P!g-~6YHf(Nuf z4>AKJ?6Nxz?n$TmD{VQ%v4e0e6-XQi^xHOAOCLu4q%QThSnasBaE-$T_E|tJR-LWn zlV62%Fk8XyGV)i*^32~talfd!0r(`YZ7s?@<8Su!nOiA)HC3BiQ=vsqRKzUX7`AmQ z?P#FD6Is`UsV$+yEkWIgXSc|Id@5)E*{iL@+64D-McW73od3)+s_{bgtz!e@2K?Gx z)Shv6IVCKt8h4psV^my;k*MYFWfb?3s*Q11WS$qOwS?GKKUq%o|5CLxvr7@K?E{IY zSbnA!=#dX&t;AZO3m~juE@KD$UPxEBou*ocw^<{!#CR#ywzHsc?qVycO|;t-{B@^w zSDV2QaAX~_h2OT(>l&(W^eCwX@@&X{CEr2)?j;k~0}n0n+EtLe5n0+5+vss2RU1|# zBB>*jT&$WlEWU$UzfrZ5X?1vvcB%k1jd`013#?+A=i)?od63VG%-clu>npAa$MBG& z3V-7O7cy`9Z=&(xQ$-igc`YTtECAwf1ZgY|zF{^jCA$xI^1m zHAW4cu)2nyNGh5u%&{BuHKdhb_i6b2ME+x`?2L+3Bj#}HhQ>TI)FB^dcD;O4=-7=1 z5q*nl19^@vQ|Q1O1@_W2ix3zQ#|{C8ZhZ4UldZ49QLb|sd>IBdbSgZao=v+-xvz9~ zkKccxTnom}EP8whE&jEgyl%}Drb>MNiwQI1a}oRDvQ^~w*vlnQA(+`^+xehTnWwF! zwtW=XrKz)FoISwQUxBOW+Z6?FrycfopoYCU7v{}koVNxsTO_1P1%EjbBgR6;`b&l! z(l=TY+Sb5Ih9U&$P~-}A_?}6?nrx#Us|j0gIAwhelt>`!6){y6;tT zrcjMz=mFH=Ge!RP0W|Ta*%f*bQ`Oe;H2R>n6l~YvDz%QUYKQW?T1+r8>y6zA+N?#g zqTe8kEn21L+>0qw_`qKS>2{V-s+KXDZ)c6$a#nHs4;MCHee`y|NuJZ0)u*BrMMrzx z!R|nwgwiwxU(N{b{PfbAq`CH<8DLC7 z?2z@qJ^EH7wKGrAkyZ>QOy&lBgo~G$pi%b%XHudlN@7ZPxp5raF*ET8V_VmmH1)TV zw+qb6dOUSt#+wT(&!l!Y)e6~NHee-hhMIN2_&ihY(3C^Toe#2(w-V^S&oRK}X(tZ} zJ!g|$UHMekB9;T1P#=WNEi{Mzj8nSW3tHEAU7?juD%xu@qVSb76EW zNp@%Abfv8lx_WaSlZ-H)qZ=0-9ce=pW#83UTarUtZUG5w1DY)YulgmqsB}%!h2vvt z1>E)oyN0<~EG**%%)k>2LM2^9SaS;^@dRtk42aHt4PEmDyR-#en@O;r;|YfDN#og= zVMa^P{h#3HjD^j?K}6KnGuQs{;rjU*b5qdQ5;M9^v^r^t9ma&~2#d{pQDXs(y`{7T zV~ZpX&3R)V3m*wTFQ6ZplmWXipNxWC@zuV!fIg*E;I&#}KbU{!cr^5(=q3s^9#XkP zTdXEJ5KRaRy$-IG57{Rx$}zGL>5})0f{y4}L0eRz^(%(WGd%CHHOT927aqmAh0)=`S+x9L>gUe*^~J0;88_yT3$5WQRVk|iczWI{I0QT_y@pH5k#Sm>tNmPhp-=j|S%u$zh9r8f?f8FZ5g8o7l}}t*{H^n`>4oA!EEDgnQhc0*)M9> zaI3Xei)1xEcthd*Gwh?W$$rv>ntxla74Sx=m=2cIy7dK0uqw9m&gkye4Q+xW&@J!e z8fK{#6Uce_tpp|ybhI}EUa=0xA$F8SD`~O$hzk4vWnI;MAAlM7ve=9(oBPs-<7zIL zPrJQ;AkDS#yQNoO^YeM3++Gk9Cf?y3Gt8*RMO+f=^(&|KLfijU)W&?#9F?jl)yqGd z;^g_u8lwB=1Kez5u;QHGTT|K)C6eM=B)dU!J}k!+ZFbeK$3S(~!evAWz?N~4m?!u! zTd0iRgVFa9mcFT#X>{EVRtnvBTK-@T;RwObo_hivey2>Zitc+98mAfm~!<{KJ=#6gV+t2vB>3kmrW)UgyFGTJWpiEH<@1eW})s$%y2SpOE z%>+$5Uk5Tc_S$GFe@Lg_BcrKf7 zo1qihGmX44#u}|nu%>)~Tt=puNG-~qrk7QNt(@$7gzj=^ygLN?SAoUUcD7PvmyO}@ zzj+CSMccZVerHpG=nEczgs`&+uF-;Pt!L-1$?mltfk@V@%p}^{WU&wi5dt5NRCUlK znmR;*YcsRNuVsQ?%L^BwCz=6^COJy#m4%dGSa&p1`bjN%o<9d&^dDn&(u75UCP=6| z`NTp>IOC=C?NTN|Vkz8SwvdHXx|srl4}#WF7hx-(ETlx+6gVl{x6mzRB-4GbsX5s? zt^587)6N5-w`Qk#J>Zq}?Fy-)!=Hl#=Bba1Y06*)KFyR$VQwRk=A*OzZY20qR_@I`jtNL}ZCleVoJg~U=C6E5f9=a>9n#}>0OMx!I+Jb83hhVH=P0k}&w4I|Z3QG6v&Gby$NPJS z^+;p>3@&#B#_z1Vc2Lj9-|1=TCRVHnb3q|K*~(zbw@OmEYE0>#<&0l6zgJE9%Ak~^HFZnGir`&x{rrXHOO}dlrdtUp$t#P_>4vzy28!Gc> z>MlB4$=mrVPdy8ZKa$R&aTAT-vPRNsZ$)=J-S=xuo;RS0JYR456>#dUq6R1z58q8Ii7i?9d}C z1w@Fn4_1;D(f#K`4`}>>~GmdpYlY0d0I|04jcx*iN z7}1+5Mcrrd88Vf6VTcVz52psMrpp^X(Y?h>tdE-KSeG%H!!ymvJfDtdyhbx`ue4gR zZxNo~0*=Wbzt=T3P^__PHCA_RM8l_>=%_%8P?}d#fkCKro*8V(j&HAehSG_03S66e z6NxPVBnir#oHviYzPd_>eRf+R+60H-LrNfeuHs3`(;%jE=gX?rZ5?^609GGhXx*j; z(daH}4*ZZCm(gGql(Y*n&6YZ=rdzy}pimS1#g(JDr?j(LY7Nmg5ySxrV9&|rWRm- z9%&TReV^*P&04JeIgSq)2av6dyN$lxR#A`Uim?SeukXd#*=Jj*SdaoU7ZqXrpT`oQ zf92y=`f*u-lX7G#7CHs){vY@?&6eX)4p;@XM{Wnga>LLX44O|FrhgXm_tv94DT z;}bgsQ2K1jD>mlx()eEVi)~-mT<}(%&5pFOP=Ql72AjM+ZX0Rdx1w8#@=~|Vi>!v4 z?!qikn-79>_`ZJ$6{!_fCb_UVNkS8w7{7_RcqtWG6JNj_G^xJFLey8mcpKeeuH<9N+z2)ffw98GD{UXj?LCUZ@E%NJnvH?pk_MpD$VO4o9- z@`>|6vnuJqVqUgRI8ziLmQ3d}aA z8cz;U|HT8Y%k5>IQqvvAmgjcN{RlTxb?Qw|`l>cFp*_gq@%=my8F zOd9jmFc3bNVHl&c?4m&p!|2}9Dpp#?TqYiuplb=Zuo<^~AldVk+e^Uj#kzfq6OJok zr!{nB{T<5QWWH68wMG2-X{OL94pl6hV-5Xyq9$AjErm8-URNszMp(Z!l*d+Jex@XL z1}+z8L|yX6EBQ>0dL=&@E3%e+icA?^TM$KMca0bP?I-CM71Y52*f`Y)$ zh5ToJj5dcZ+`UFazE-j3Yo-nd-EzJz`LdyO;ITSWgm-PF?@|yohr0aAZg}HY@*TH& zXnFH+p31Le*D9Q$8y7ApU z(=69}bB|b-Mq6&&3~Du(*6lt+X`C~yL|Tzou`JDlFxaAFW{_`twWjHshc;j%k|jhl zO_nuuHB^C1vSy2(SB5$)hr;>JnoAompP@|K2U~JT0$6XurbH0S&+e0D0=*frjp|z` ztVNpc7C`zN;_c0EF4Cp2m`|zTfU`xnbFz`NY`>eoT(lTd>VVpT2?^OHllB22uLq{aV0FsT_3!44n-=pK5 z6?ir~BoQbMr0^y}|Ivy78F{N?0GXPptz{e@B_k zM;cL-3nBFS!f|U8_bt9I%$dPKAvm3e}k|XXk1_SQK;1p_jMm*K-d8c zHQ840r4_NfoCBWCvvz3jz;(mZn$@8+ZnyG^E^Xm$SbiT^mOMYKr}Asm#(+!L&ZA%@ zG%zpb23mAe)#h~yC9ynM)L4(GO#8LaW@X(cEdyX$l0|mRch5&No?w1F>2?F=A(`SErXHAg|}7ptRt75WEuugbGr z%*F|O)9do{scP9Iz6qdRuCxmyaKQ<2oEzSkcHSzw+}f`}9H9i5IP7MOYP^`9J$^tr zp3JgbN3G7W$Fr}%|J;okLJLz~p*2~%T36V{Wc>DJJ1`d^)J=IXnCj=cEQI}H0YMh6ac&7YpL<@ZQ$ugF# zF$q9Zb{9mpz3zN^wOE0}KrT{hw0i>CzV-{~_%8*1!kD|wKniNF z__bOs#|P~dCqU2NqwzHhO3=!k=_GDxteLtNBrE`7^9GNm^v{)7^ztx8&vG50s+ z=s<_*A+&n?3951Ai~H<*8^+9T4Cz<)Go2q}Th0%uds(sBCPkq4FdCd-Q!($=AJ9V2c84%qUR~mIo~W|n7Z*g$<|tYSW2aoP&0mk&EKx@oZspB`kl6{9%n_> zMigF(|7E+onr1yxer*NwQX1zmC&1uM1~=x<%Kl>&gykVkfik5-7Le&W-94Dv`Rj9T zt1UBChML0=^$HA<=@Yl4O6}Dow+lBe!(1`2yAjOvYJnRx@jyIh#RuChc9W4)hPR=V zD*7*KkYuM7hjlR${H1x8J|~FneA(u*H9(_Q3KmccW*RtWJ1vP9+nIO#a_g~{_lq!Y zW*Furg@>=B-0Rhh3hqGO_`3#Ob3&URU0O#64=b=2gEe0bqXwuue{2q&@l(MdG}nz9 zzZYN|U0-dW4}}zXK4aw8mi4e=O?Qv$Gpu>;mUSZ?3r?kdwQf>@sKc#H{|4`@I)h@? z-$gTdMYN3$-=%IepcdMj4`S|80?on?+) z_uM~AiBrXP2J<2BTwGhspteTBXcxMLa;#Fz%(`oXk4hUxdPw!c@~w2vS9u44d& zTI;b!xFnSHCzeFkSh;9M^9j`G{UU45zeO^SPv*R*})1l-o3_^S1U?!d%OL4lWwOrL5H;U^D zjWV3s*4D8Ut&IZzr9~1IBrjw9Tn2n9MkT8=i$sRl-ypdOi2ph}h=vzd0*(1^)Oy9Z z!LLHZb51$F&`Pfb+7*+jCUATA_HU)n{nd<$wMS9Af$fD?5jmx;x|K?lQDE0t!dL3> zDNhuRwQei5?;dGBmKUeScHZWC(bFgiJf@F>He0x1Pintm3XLmpxy^5{ zpu{t8Qii{WTlus{mNlf%W`;$q>;}Jv zKzTfln5MA?M$gR8VxjL>@Tvc)=U>q`&vgAGpoZ5EMbF=|9+`Yl%YA?aTht}A2{wXo z)Q9DYUPPEBOEouOp zCV1#zw&3>Me6T8gGpjU8zVa&sEChGN^$N2OP51T$Y1}Ux$AZ873^Sd+XC@UY zI-KS$PVSpY`_!*k6y%uK-*|W-zSB2B1!uqIQ{0ycgm=dOZs(dw^R6GI;Gmc8#@*nz zElyJO_lYH51J}eedArOY&DlWm9iv=sdmW#D2hX1}ex^q4$N25mAKj=8S^6XF^0&9q zqG(?z*25N-?DV6^O8&D4;L~ES9+dR;99dU(x6}3Q{yy)$Cuh)831L^q~r3cR~ zPs=-JA&dr%G|zQUhH>FTAgwjjS`Tlss5wzHG(H4Ytm%&PAV5|`#VamB<3X4MJDZH5 z>*Lieg|1N2-T2-6V`#;71%`+|!$-G*0f(?tkD#fpFVj$cZDh9Kx-JW zBT8w{3)J0uJ!r zA)kp@+>)Z4&qcTeWxIBJyj9Ec27WcJ4WN}pSBWyYqrLD}_(pIKx95uXT+j#~z%{q| z3rKSfuZQ;r8SEn3z*wX;&sa}KSj(!Y@w5*S-GJLTz9l?u%8affsJ zm;^H(bIvX~y9nKF#-|4IU9mcAi4{j!SPNlnC;KEF35g-g%qKKz(cme-?v9%Es<0@j3mST)}2p+(ZqRXc~zYU*259n>n!wIeZAbIo_rSZj^$o6oKU7<@}r zfKmPablN@Y9}%c#Iq$$R*OG#>H`~pn=doT27&;;dv7sAgGkhz&ZZ4e*KO5`N7P>Et zK@#(73k|#&=nmhHfvRB6%zD0)DD@O|ZqLbIs)@eqBY^NswYhdArfN-{m}{-06ZjM{tr*ana`wze z9memp5^1jGa*ZWhV{M=mzu!=v+5zsHECm-RSknu#DOjQUTc%z2Vu3xn{Nj z>on$>E;g2z8DtckiJ)Vi46#T1Q?outT_SO}E1#Oa8bFWtDR3684(>8q9U??~h2YBD zAoJY9f-oi8%LE2+1f^KxZYw;5=l4VXji!-DqRia4j6~(&&(pxZwcGpsY=`5jHt;HJ zq2?1r9{CfrBmac?2&;2NSQ0`vw!WEx+{Br8eQCr*b?*KlKM?03ClkAK5mVPbl6jds z@~8tnN(9!uslS@SYFwqMan4!U{;e%#GH;^VNxss!6pyS9+76mS8flPqtNn(`dnb$- znB0U`K@4WV!%=kbrt)jMI1~}oVqi+iCunT@cIZa(KlFv7KYi+Myfp`QW?HzXv!AX~ z!dq#bSBm7dvTE;u7c}fq7?+m%w4}r*Lq*>H%nND!9w36J7U<5D9wYDzfM$Tqq4md5 z{5v5oZTSiz9^%^ON(|7RNsJc{N}%>J(CwLA;LBXm<^yyQYcFI+EF-YHjqe5im&!LBQ4%NJ!tX<64~ zMwJll@|LPQFqt#ZW2@E=y7mc}y!7ux^Wvyk%^5B)Og#wO?I5vi_>V>uJ$~5nAIlQe zAGD{-7Yoy+&IhccnlLxr*X>R8>(3`@GPJ9;R15TPS42}W*I1hDR2xCnwS|_1x0NQe zKqG`y?#3%u7(+=?DUS>}X~u<@@XI`w@=Z`+3?Yr(HUI0A2$ka-p4EVBp2@X+Rt2c7 zM&zLdiaF6>-SK!RN^4lCo)gu4y~e$LmYDft&PPNTb?$sx-Q*(msr}XBx3*Mj=^8_u zk9tjgN@jK9c)Dv*!;R2{X#MDMN3{pdC)Ogbw`ku|>Gwi+NEH<{Ex<_Row*+Mc#~5O zk*sHtNPNyD8%8r=lIGeVx^P*ARQy#9H7hU@c`<&jC>JNtn5n9^8?}P%TTzn^>c$<{ zDUt8s$RKXZ#WgG}RMFAiK2*=aF2dAvf4pZ=S)*1Ndfo_zHnl+;`jB+B7%<;Vq)@BL zPR6(h#_QVkiafJOGqq6|dk5U}Zmvd z;3iC~B9{L6X@HDl6QC{pGc5te-$O=I>5P%4EjamNmvOh@{v^8A1-837vh$dtg9CTz z{|pY?V9!suaYBNFjKFFMGL3o6j`iG_rv!iaVh!G^UDA&R*BvcP<+FCmdGLaTZE(cw zJ~XtUz%EBet><3=?##rQBNld~rPT{jw0&zWak4-Lhl|V~OqiWz>nxF!e^6#Xi%s*ncnrui_@mW2yVo@*ipzn@=y0Cx<3{hqma(aZeG>zPo2N zEkM}J=A$X|SQT1iMz`o2Yrf_z7+T~c^5#3x{Oyi#9-e6#mzUt+Bn!*z35jXn5>jWG z!{t*8pwc=tRIy?U3YnjW8r_NEJ5B5Pc?Q^+3z|)K8qTwl`)stAs5(Ekg2oR z`%k23%~W)MOGo!;Tcz)F!)WO6yv~n3E6Hg`@eUfui=X?u(U5U!U(mHc{*jq#?n2C@ zb!}dPc5=d0`i_Tgk71l$u`!ss?@_0jwKk}>%i!PeF+=FjUkbdF*&^~5cxsf^eyvYm ze@AY;NZk?)+rtY9#`Fs1=c(ORoHvqcDN z0k))qwj?>UoN9STdXXY4bd3+s@YejJ* z{LOA?eW2(G(>V|PX>6s@E?1@`M}X$9!@X(SE_ELlUW^mpG6LrRJdCs9K0Bz)-Z0r1 zSgEaqufy^;%JBeJK&ijjV2mx?hhk4xn^4%(inKAHwIz4+aTX(_UfMygD#b53iI)2; z6wkyxs#{Px!15chu9;uGC(=v4c@~AiCYg_gK$d)~p18aF*cNRy79%&qWOZCy8soD9s&Xm!c zTl+Oz_#$*3d|7_>&d1{@(*YIru==R+yE`{yzGXJJjA(me%VNb=2K*G;&;E#08<%fI z3m?`Kx_u&8iJ+mKN7=h;9lRtGIUP0HQ0hMUsBD}OmMr!~EbzuBkg`YHKykcwS*ars zCgp-#t)g_dZ_=G2pR7~=2G^VZn#NsD>pU~Nploel;SS0r0_an&bzS`N#&Rmn)o54>%IBcyKVNP7nI;diH zWUun~A@APmRfsUI<0gAM2JzSv11U}-H44I_WwIw__=lJNhcev+=93Xpc_yAwDP(RNH=|C|$M)XN=)Wpi znb|^RB&v(h95X}X|wV$ILe4VaJcz4%$0G@ZxQl0MYbI{)W zTdY`Gpyyx#e8j-tu6Lt9bOGkm#DNsOuG+K6%mNkPf@M0RF8bC24NBb59k&$0DANwv z!k_`Pc9xPD*eJ6(tPz`h-B83K7GOzN!Sguq=bp(0J_5h-8AF0+>dy$vZ0;U^P-Q&> zGS_wrF153Nf-RnjulG`fRR>3+Bh%wp;F}P~D;)&q1y&DHJ4O3iR6k)33f_Il+N-&? zm|1Shn-V$cFnte-bfeZO2HP^SG){P!PV`Y=El;B*x($TN9Pl|pGag48=G^$c9CVmE ztcg_H4PF@Y2(`GbYTshdBog}pS>^}&T4E6#*E1OB8AdOH;~K9W*Bmcy{ttMr*)siP zX2)yI79k-e7dCRoB#Lsvq|GPKSnHWBVg^C*iqA35wwiI07R<^hr@*v{xe+(=w>e2u z0u|WBmZtF4>%sF6eNNCfGo921E2X9{KJon%wplyLNqUuAfnBu|K^LU(*Cr?E&X2TG z8=G%pg6%-lLPjmZYw@L7y(5KG9#|UXv>EgVR{Zl7?v&^_b(;HFfRvG}?W*bYaQSV%+Gd-@ue5li zm*CC0#Ve@8=$jP7w%wPc3;}8{(Rb9!(VV%;`8pR3ZfK=u&m=BaETk$h`PF$W70s$5 z%}LrjaO}XSL1Ib9Pp3p7x9RfeCe|7)P&WqI{|TVUGt@#-Y1TDiY5;Ui%4l8d)?~b# z@|3|MfE!mFNW=Hl_Nu622cRl-{NOhlBP+jPA}zchDR$s#pl$ref|zpChBq)DhWNrW z;lQ254kLkDdyEF!<-X0o*ilQXOI@HXFJT_~(3D1Y&m)^}S+zj3vasw@{LTCv%0B^E zVI#raAJ=Rd%9C6(br=(}wOSy>_^GBXcoAOMa-J8^j08YS_l?uc3$ziHW+ZG`qSL3D zv95@Vh(uyNL0ArC<3{wStLInA0L{Nhq5L_&_s%ctBh!rsoZsLG&_Pj8sLgZqePKdd z+P)z_fjPen9rF{7?Vrk-aEiaRT40=g=h}8kIM(H@gD9ZwUh3Cz zqs1>bsT3ap?sLo^qOaOOE0^3QbJHSgBV#V|4uO(B0&3ZE&Zmbtm1ladLkqqY&fh-G zj5jrEh3a>ai@t?!>7q7<_Xih-(au|Eg>DC%pnKvWWwfrPPdeN^5=}zbz(0(~Z0RHs$J`>jM;kw|#Wl5)o0a=?M|*RDzF^^ziAeZBVt`Q+&cidg zwsLyoDNgl9*vfIadp^GEZ%o1n?3pTRckh0vMUtV+Q^zLF^MoLIG_sk+f~oq+zi9KK zDOL$xD*(HSe4j60#=Cwt9hrKVMz31szDKke{JgDD$v5# zVVp(X_LX+WOeH^_5L5f}XBbOCge4rZg&=5x-r>43yEVMAi1_pPQ;!*4p+zhNtR=VWx&i&4Re_x)3F z*em8g7f45gr^&x|3gwUWTnzXN4;G>h7=+Br0BZwo*Q2<5Zh-eQ?R;pw?4?fBYPVVe z=VL+&wTqxTH4D`B(rrMTlCo_mk;iYS!$vT*)2uGE@$hiz`-b+&OTd!EpiT=E9yt{x zfyBoqY(uu%wMT}g;<^v49N5@R<_$R{lySN6> zex4`0es~GLPsDEsdZgqSpj(B_p`O?7P|FX$t+Kjy8whI_>xAv~{vD0~lii75M~JT{ z9`m5X)8U?G=4?Ylqp8U~zkj3#XkL#BF!%;$_p9k%t82>700?1SQE?$$?wnhFDa|f5 zAJh9^9{Ald`cUff3Y>|b%gH+rxW`AaPJHKI&}Gac&&NQClpYUF=mnkds4O!u`92H` z5F!32cpP*M_l>B=!poFoZHb64MEnds+{%3!x6rA=Q_60;yW4iahG` zQ^9;YyB?EIakEYsXDKjGOy}Y{`>nxRnbtywrSBrQc2SLQzvRM>*sM%qz#4DEXfbIF z?TM)jxDr49f7x6w3VA1r?2!lPfDG%?*jLcVF{d=md^N=4>xmSv#<;fT9WzJJ z`k5PKbN!|sB>TGr`uodD>htObwF#+cJ!FZAyxj=(|2Bw*#Z`;7fy^h7_aZn3DWm)L z$`xvTh6WlBHdXA-zeKVMUVaPTfW5ft4x|}Nfxklx-dAo14 zz7C#eVtq98>@GmjR*axAL!gr&swy_A;UhuI1bpwl8Z)Pu=FmR+8Gf0);Jt>TnuN(4Lbb{9KB;3f( z?V7dFxM$)YEmINK4OH}FkZUw(TC|QVaSP7_VI}wp0(xmg zpqEZ3Em`o(l53AZ4sY_<_E4a|w8=+$*f+HkD@8|j#I>9O<}&nf>G?v+(MTQRyvG8S z(SM&y8k#K=8_7=_svEV?fl3n#wWNL})sm-6pmQ+F6pAb{R1kr-!zgnceS4--TA;B} zkzfCHD^r>@9W16qtwPH$D4vB!PP8SM($rTwdn^M^++KS8<6q(AUv+vrgoY$kVEA(( z>=0rHFJPi2wr2lSM;q`7=`o}c3f8rZUWcOh&q;Fvc37P?&*P)aSoE-~%|hDw_%*?w zyRM#y2VpgayxTvTLcx7iNY$RrI+8!vjVHQ&$Q{0;ZI!Aly-3;eeV58egqdu{`s~=n2+ozc#IG69?ZjsM0Q$tT72Zf4QU>9X*tmhNbT=zX}m)&7<_V z;WTiLTKdClvyoh`ZoE*cP{$`dmh9(lMY4u@y(O)!mz(<3+haY`Tr1^Lrg$$mQLhts zIK8=0#%tKohTMb4=HF6D&sX=~sgv?&00tX3pT_l;nLfXJzlkRw~W4^dPwjq?&ha56Tp0 zz6>?4&GoJz`4$GMY9EJDtOqJq%*N4-cqY6AWz1sjFsALkOpDXTb>fC4T$&_Iy@Ked z2c%!*9_!jlWhL-y!c;z>Ynav0gGQ}MmRFYCxeTo1gYGQ&lr!#2>Q*G3(|govi`NTP zB9L4IR#IW?5{fzN5yh(>;NH>*FU9|=Z68nGv()j`co8PK$qz>tk3I zWma<%a}M^%wq0oWNE(}3slz7zE|JG&rrTuK_^-f24ODGlMAsr4Fphr9T8|qw?G?@F zV;!lq%XNcaq^?ZA24th?Lz-DFSyLmq+*^P7Q_1gYpNO4{vXb%;=n`ZuZ5jB%u|;z& z2{i5kzE!w4n*MHBmI}29alcSd+KWZP`x>^9rus@69CVMG-Rp0$zfvhN{*?gZhh+<( ze&=^c@PA1g(R;{p5tQkAtC4hlo|>1e((>lJ_Wf-fw>00E8&1`G?4+!p{&u_EdMpk6 zKCo?Xh;^32;_&TpJZjpC^%drYqV)uK}w9$h3EW%VnRTB9D=%I2#ey z=ox`@>ZI!XIzJF28jOnVG27GBWWtF0AYM5mizUxN8JqHGboS5;V$231Gb!q{*@~4PVF2pXSkcN zI2aAGf-T#2kEV7d_YpQF4l-fxrCw<#_uSz$XNc0Z`Vs6~!1@ds<|R#Z2>pR=(P#+5 zhF2Ow7emxggRxPL{jlp{f$}$zzBCh_xgYdk>LS=buLA!s`!0Cnq~p6bL3eZM-*=(n z!wS>bbfAI{39{XWsgGD3F2hUR=DC&CdJsm!h-T9-#PwS3Wrdwew(1TuFaLK1@RJKw| zIqz_dc`kFJtW1J=n~NB|<_1&XV0DP3xL$YQL%+N=24(6#>@)v2$}bk}v8(VGwYFOU2nby<2J*GJy>$JMTpJhF56Qu@$2ifkKZXLa}= zaZBdxi`_RnS745qTVMue%X$=jkRKJlJjN;x)RFFcvCrb$l{p<9M+0VUr*h8@TkW(m zfgW=`FWDG(#~-KE$)Y=#Odo9Vv2K+@+1Ts`EM9Z!hdJ~z#o3j8F^DD(*2ZtyFI>)6jF1i0BzJLK^I^8YlHik$Ca z`QQQ4O*CGJKbSx7^q_W~=gDD6wknn0aBb6OGqrzz+DccOe3hGD?`mzS3y74Axp^c_ z`lUju9PGv;X^ZX~CoNrvA$e~uz{H4ZG~(Yc`7`{R9Qt*=v@;1h+yJ)lh1VSp1#T39 zR@SOm0+!D|x8vs8p?hO^H74A?r$8Nw0k`2Z#?#a(YB!a^3STUD*a(V@6f4J5t$C5a zJ`h%CaJFs93Dote0+-^x-Lu%caOzrO&j**3jowIWTyw8=p)00ZyU(m^_kpO-Akz~2 z(RGUBwdVPF^qm*kg#6X1&}avxGqzeSv|q~yZE47Kn;lZ^qIGNE%1xjS_Nk(&AE0rv z%e470DrQ_e>J}QmIC}{`4)^HoEC|+I?JE zK$9W1w*@X!(Md6#>uQ#F-wXW=mVeocIa{pNa7uJk#mKlbLi{-n^qTX5faY3+RcKrz zVy}e<@jN^OuE^n;O^DC?i9Lm*h<_NhGGxOyr%3?MKlSbUKi} z`Y4_sV_anE~57%mr(wxd8Tq*Vq4Bp#1yEe1O}FrRurSi-+PgcY^`g7u0yT{tG?n!PG*? zA|zI^ND~houi>|eH)td33yGZq`uewt%d}kVo*OiSY__7|{`c2Xuip2m3xU-R9KfW2r~i-E#jLyrPJtE>+=E@ndoZmD zzu)07hjSv5a?pe&FoQuy*V3OS4=KskF&20(!Qg33)rJ6*jvGg2E-K9|e= zPb12XF{%~^5$lV2syStWBthf|{yC#qX5AN>nPzVl1i(7GMkRpZR4&{6IH^c0H`I5Hp zymQ`p|8w5A=P+!(yZ6qWt248+Gb(WP{ZJ*~ld|*FKzz$ip^eW`l=9ZgNC_Ve*9R)8 zE&9arZ12TYIgd@G@R~=(=F3C4-6Ck#K=*hlrbT=B52r|nG-MyHTZG(5=yEV(pVGJQ z&BXz874cq>XE>60^?(+%d|W~Ddo^1}@^ib&U7A7%fBQ|GD8<{=xL;i}PNHesx6?Q6 zhUp^|Yy9azs8$k*YEs^Dw{r6d37&eUq9 z%-?NP!>YlFZn3Rd{k6>VmIQ25?_#f@O%);Y7x9waRmcQ!alw zX&JlO+V*5&l&_8iV>?#21nnC3im9;YE*$wcFTa-9uc3_%kd12hm+QmCW}U(fl7aJj zOiLS3Z4CACl50%3ozmgUS%E888U{a*AD8o*6zL^=ZlTk;3B;AYdlj4*uuZirEmYSY z9-8byeOfj(AN3qMPp_xIe?X`S@yx>t)9Di*WIsP$!oZ$N-?bsnI}CMv*FLeaJZCXu zZsmFUJ>`OZz?uVY8h`5!>NZd=A?1###eVrNsMxiN^yLXxWPbM=Pnca%ceT0*tYh+gX-&Z4TnNL$0AA)zrWmeL~7y1U(` z)6Soc(~s|3>(*BM24o%rnJ?}dLE~P?Q4Myc5E~h+gmd;`H+9tR5l%r~59_p=SBrx_(UF*D;f zidBZ(kteal9-TlvYPo@$#L6>vrf!KW3W0&YvJBPA2d|*b^KX%FV0XQ$5-QI2)_83n z_fwM%qWz1uQ{^7(bnGQBuJmAX7C-E4J9jEYZhtD~xV$)p2!)dK}hZobnJO=8{VJk+6`LpNP@-l0^KV5AG$%M??^D-VYr<(J7DKcnj9qS z<}U^$=N7URJhZA00v0Ow-($%<5r6VCuz7hZyLqkNPJt^}9@_oYM)I%nnpUNJrt_Bo z@_LO-^c)^~y5lR_aVLW@dg@p`NCl5%$V4g7Z<#!O7R^fM5bXxCTjTz!Ig3Uml3;e| ze|p;zo~NAsCf9D*)94}ebfO$t+~RiI;}Y?VO3=y5P6&8sJFdbnZPudo-2y04?UDKr z)i4F*Pw#q7pu7*SP{+G7bj%~WjcWSrSxNJD$*||8urgWwmNtb}QKAPDT#ijwu=a=5 zZ&9qx+tnjrljZ-4jCQ_I1!~zThd7NALKSHaTbXP47R}-PVGM1{CKp3mj0XUlUqbQG zO^3!%%9cljhn`aYEmptrzSZ_YJfXkW6^Tmpxcq>fmH#;%d3uxACF#ILl>@(km*j#Q zD9#gI+ZJ#}2{j+Q1Qayt-q{FaWVz8jZN_4;qAK)j5GJ||Kir(&u=6vgk+bLnnQ zIkkUO#kF71?lxS+*mc%Q(51oEJd1&^#HusrF6kXoQ=DV<`qr2-XCXP&>h)d?!u1@n z_1$;iXqu7ru@I`IZ&BF@WWk0%&!G<|{}kZ;%(>9_2XM}kO_wvG zymsWDd@ROF4Ijic-jkGfBU;guPArZP4f{oz!z*yb`vlwTQ{OH-8o(cExnH}Mb|G5c zhq9f!Xn$nA8N|CI$G>P{GNu@V^v?>rHi%C|{(duMB>mOtBAx8GME_G+%Qzs` zf@BAKFQmpzqx}&jh2V{CyJszN4$+&`3C8{NLXHV z;h{V5OoO#E$ZYeAn54ePLKR+OLe(AFiJU=WhS8Pr_k~zYP8K4~@gnaK=kJJps@?cD z75lHb1&>UPM$*|Va_&AC*DNNf-DPM0ErMnnj4X2UU_C|%ZNdr_V?jP1oOf+z8CugZ z2%6k3`OZRkDv#FqLytmTDdz|oG(RbGz#=TiCP+MUPyTl%gCW2%Sfu*Rk%qR1L7M%LNzRT`~3DA(b<-lL?>=bQDx-O zD)_S1&Ltz!I@NE%W&+PtJMJ+=+YhO#%>=L)cV%TvnPCY#V2=?~W>TA} zxeE=gC&z+V)+c0+X*#V6SaUTdD`<*+^m$uMO$zZVFNc&VMuRvXhTx1FJ3nhyTd6eg z6&a`FNK@qZz`VgZUjD0)TlxITfb}Xe@%r6Rjko0p)lRi)L3z92L5V*W z2+?M+7#vmCtf3+0u9H5ihR!D@xm*_@#3Vi2(32-ysBna)`+9wa4n(^%!&R#EDL~!g zO%y&>>XkDj=?#8l1R7Qo3XNJ-B_R?qR6VOF*9**F#358c= z75e7)+*G{%ANqM!H@e3fhY~)@_VHzEvnr7R*08IKxbC9b6l~{?xpQh#xu3_0x+9r2 zAF$R3oWmTQu3+BPD)bdtd&^`T_t-cr;;S1>;56LtT^^3=F5V2kB;_A&t-lvpmJ$s!HUr{eS~ ze5e`d&*R#Tn2LQw9bw%LQ5H&MJWP@)5J6R3=u=ILDyPHsaXpBN`(LGGOtKbNOXQ7)VAy;LZSE2xeiCWRp^OmHZtI-GA901MAKfu zkhk@yO~GdZ=tRz=x>$%0!q{U>D-QOL&pt?dS|>KjZl9*VQ_-OcjHwP(;ZS%deR4yus zacb)iVw)2YxURwdc@HQ4BJpYjz5gU%D_r;a8o2Vn{o8wS`wq;CppUmC*gBN8JQ5W) z2XOA{a4BfR!6ic9%GBh>>6xLiIKgZQylV$)h!c!$*PY*kzc|6bcC7waY%Ku{&K27W z4EuAnC0Q)aPZ-Sw@R>}3Dc+Fp(xWx#`CDiQLAAxL<}2c98R94e);cb)Nn`GQBMwh^uZaJqgs+Vq zwUGRS<$#NqbxYzx+@NiBEynNaZ1-~cHJj5}A0C<_!zk)xL3)0Nm>xYEXTLUiVi9>{W)`4~SXe zWBwZ&QH9_Fbo51(zE{Qb(LlTdYk62;Jx$AdLwt0*N{L0(c#ugrvKEY>lsV*#C>GlY z&P#wb^o*?U1^cbt7SK!8-3P|Bm!Vqv^&M&Yz@jv!%r1PfO8DZIV4{zz&z|~#a-P=V<~wa`BLJf zasys79jf`Fmm8-W@aE+l($IZ(y>Q6QKg5Bk5wa4A}hgSlanvRC^EYb|pSj5ibEt&5Rlp@jSVz=?S=F zEdtkOaK0KOE{64`8mlDn-28*k*lhGk3P1y@-9tCG7h^$TOk1IEe-KZ5ErK$B8Y|k( zd&#>8O~u;N*7XDCD%SWdJD;V2;=+V7wC6-tx;VGDcvmBchoBR&3q^^x;dJ4Wv~w#r zj>N!YGzv2^oDM#a;8V&{JMxX=8pPsisn#2h_Dt7#4#O6vZ(YC^?Jj^eHDuAnn4Pn* zErb|1{z_{0uS#mL7LGjf#Mj&4Dj|w!?>@A5o1B*5`59SvHq`wQg_7Fp&Jl5K3|pjR zEvnUsz2xRD-Rk@g2i>(8%{@Q0omve3ODyu9tBi>|gCW{?klM&R!>OB(e5C=_M9_@Q zxo==25j=#C)!{yq6xfZ@;S@4Ua$bt{EqrVf#yf(9;ygTCSil0MZ=3kSkebwwx5M9D zewc`Vx?OO3|TWNG!Z{yI*?K&SaAvMNFZG>vwp!7aDx6zAppJ~b9EBajw0dZYME|~md^`7`>MFO!gY zph|OLe4~}&);Xe#R^%8UWgf-xK!p2FAbu9(j zjPh=k%Qzj3E!>~?t#LY;0sgk`udS3Tx3`gc(FwhXYPZ-I`Ub*N#bakEYDZFIeUYvD z5@k%{8)q~@n-j8uI%NAuQ=3)M2P%D6fsajvA10m{LWyomeZNvYY=IbzXB6=zS(@u( z)N6%MAWnxu($ene(UOI+3R7Fg^S~!w2x4A^6osaBqdgg+w6R?SU6E9P; z2^o#I!Nc@Diu1NGvu`2u6VIm7!k14)*vrC}>XxWf?>^Dp@&UiZe02u~E8Qv9t-7xaSXzd+rui$_w*{6_@w@hlc$4xib_wB)E;iDk0men6PYW4&aw<#uN{K7!T^ zC?_UsH6=Cvgk5ut))#g-n=z>28$N5sB3!MmYqJRE~H3tLbZf5nOYe*>)C zThP{G)%{$xyF0uO;JDj85#9%I+ztB<=J3zhynKL9r2WKR5@)+l!%!Z0@iTFP3&G4{ z5w|pU64?LDT1Pa@3!%i7cmkeRjOWia^4lnW)5ArKc6U(L63q(LPM~nbeyXI#lK{LC z5%U+LVOx@YCC+ahG{;RZH{x>@aqJ4`JjnlIV0Tp5{ECP_6=e2euy#OUm*lROV-`4Z zWev>{*YtlkMP9fVhfpnSaiEj#b9-@u zAppnlf2`a35;Sh&U`<}G#20clc$ABO?}jWR;3{Avk3CWm`A2As_X-NtR37Vbb*GLk zTgAn1hV$(;v3Re5TYx1E;=&){9_}wLL3xi_cZ(N*%h!(ah@8+^c*q2&WBE2QLN2D@)gybOXy?VNn1i}1e}(oA$poazy*Jk%cJae{i#A(*?s4C>T+4%L(rWF zOI5aZwP{V=p;XZmJo2V?e6ZuCo_xBHw6zZ}zSxypTad?UA!~)L#5i+lWYB}bMn9h92i&3P^#h>w5@Yb4xgjy|qo$_z>JfF1PtQVac zC1qYy`FDBvcXf0>*1mlfly{t)%pn#Be{T>HH`wZ9#0^eoRURkt6#ou}LY)txk~pyi z@gR_J1zRea$^vry32Si$mu0C5nIEwmEo*oP%~>v24a{Yq6#a7> ztfB)>((+Mbe*s<(rK^*)^e3Tu1=W2&2$^GZA7_&n<7r2OV>EU29{sNJPz(~Zitwa4 zYgbdtN^iwSTK!Z{)2_RIl`gctuk5~$VVa_+ISHNM`lz9&-x}IF=q*JzsjTN=nqvIj z4RqI|hQjgsQ{ppnw#Hhi(F@qgU=B(wkt-V5vvZ4(Ms|UNgH?J{y(1$;WU^++p1@Fw zp>Q?i|Crv7wfnF=>NvI^B!yv09@+l=G$Zl4+bQ z#Ei*(2=z9?o*Ft7INB`0PkoYq2N5qTBwKS-DVh^6tN4CwFpo>bSTHLx@Ewq-nPe2z z3X>D2PndHzTT=~7Hn*P6EpeaBN$fqG67YTlkmEANnk`gisnJzO2K0{E3&umUcmnN< zUm#WX+pe!-vDt{?u2a!@*1~4M6^s{uVy(q23_Qd$ zs$ut=j}9oPT+l$Zzrqc^WT-A1w7@4xjPAp}HST5J9Dogc z#FY$Xe9*eGooI9Y(L$)!Vu~N3v7bq>4XDNO@PozC)T@e*V6C)btriTqH|pNGw zYaRUGg+)bzo`;tZoChk_x}w?%utz1elVAD=;H(vKO=hFhdVqM~@v0QuBo8$W+3EH% zqIfVtlLM4D_&q^t7HgA1w=~z_&{h_rz@$>4mejg}?k`+}QyW(#DD$EUg0+;2wXZDj ze~PtoZ6g9gRJ(0(fm*fba^(Q(c59n1PBR4P5UX0s{KTP!bYRpURQ*p!U_m9+l2*9) z4*Nt;b_WWfT1&0gS;kb%-z5JbV`A#N0dp=L;`8bvK|TBD6JYKhOMTCWP?v&);(Yvf zivs+VP;n%t)(j*LjBP<%>J*?H>sRS{6l-?FcKbz9`R{HDq4IY)@|p*sPD2gjyBDO& zwdMSpCDb+$D(`H^pRUfz8MGmx6zU_z+6G{+LZMiLDJD{^wM9H%%N1GEj%%wO(X%Vo z`aq&J*;<{w*B+(~xf2ViZBeZChbguI1CKriQKIo~`u^Gg{%>@=fS}U>k~Pc}2`{n1 ziZv{j;;nz(FSS^zN$ubCq>45Bq3!4P()!hJ2%L|J4PL9Cqe|@KuvDvIHum{s1*zN} z`IgBNstv9=qexK0tQCd6AI9cfg?pSpGE@mQ65Zozkhwa6Bxk=9dS{jWdm&GBhSU-i zJxr_1CpJC~*{O?JNAl3s{z0O1wwP^G>KjoJoi?kV`mWO$k={iX?1D~k^-oOvXqpLh z_v|?b#4rd)j50)LL{k!t$@f29lF2`zfW_Zz%7H*v_WR68f;>y8H zXon%S6XlB2>Yg$KTX}3d>|!9K<_b>E)2$+p4FaSfIwr2fgB9^E!2TW?!xh^iUKTPh ziozA#lV?l>amgS`SFnYRFZ;EqSez@?rl9V#;BWq=QFQdL<6>6wsUrH4nR4_;=-j&kUoxMFuxoG0LFWj1gHTiA@OX^*(>7{pt$j|r9h1<3d4 z{Hw6HBL2XDrpnfS=J@A)#(y~`2Uex7S@Y7Rrsec%{J5Z-2W8(+{Aa@?)oJuk(@C$i zO;4n-i=t!S41=B*?`P4O->=Y{CvO7=Deyi>gwPQ^|D-&HOqd`HIY@=va**daNH)!q zK>FccxbW{df=AzjMS{Y5mKWf>Y%Ol_JbTMlRJO`=Iy9x5K7xC>X)%#xUk$d7rD9Fx zN7nqSbl{g@t(5D)16v7k%#@vfU$AyibbShSrjB1fDBvY&vIBk_*u3+f9k|NO9TJdN zb-=s8*2H*m@PWPdJU$YM=9*b?JV%tbjS#w$Zl`6E#LYPFl0aBBQzlhc`TVv(Sm z#@Dc?g62v#QU`%QrBJM$+r=I&uKhsFhRGbYb}n_tYWg@OmeN4vQ&dB=m03nmj&ZW{ z&zMpy39YWA>ik12T%+v#e}s{WYNmD=NXN6vH@Ul&SU$5vWFS#daeb%d0eO^IytrQ1-KVh16zS;ogEP&JphU$51zO zI9)T62DX#&9ESQ7ETu*c4Hu)>^5d!WbqU_f4HILcC&-~aI4W8_F3iqlMYyo8q-dR* zor4>UR&HQDqMd9|g7U|2C){91>~;_QE+6$s{Et2CN!;#o#{)&Xm;Q6RljR*0SG#}Z zV*vIPkhX^S{!FSuF_*c~SgN&6f)6W&DxhX1=t9qC23Vg_UVsM_h1AaFs80{d@+aC^ zvfE1%gm@ZrRgNn+%hHQ4;WT1wKYf52hvtPTrh*jGznVmOhswDJGLwmKk)@LN?n2N71Sp zvP<;I|Ke6@I+Hw`;2b+AiR&S%{eogw^Rg7s(=GI*N44=#_)aJi zls=st&GFRM*DlppDlykm@sI94mbJnlN>)fNSH`)Ug7Zv>wzZHARN%trMk7@=XyCN%Xi$hQL1*xFWhse*!z|aav>AmMUWGZ!%4{ zt4hIUwC9Xl2Yf%m-e`y=D-XDa-;x9DtQ$Qp7 zEoR960$2H1hE}`rsq&f_(P17sjOtV68s!hzo2v_wZQuq2e%nr`PI`-#Ie57)mY7ZD zi6p7qcP;wS-ZpY}&iW=dm~*pa%T?yZmDFs0mCScOn62N44bJH^gXXt8M&D$~99U6t zJ_&$u zhcFrE&+yQ8v${~f^bEnUIaZ=3eAbGT4v1eA51xk zzEAvz;9OTPg1*6!L=Z~b>~>I%6-xzJyfwqGDu`&BD_GIiQn6H=72!#)VD1V@EsN*y zNDS=O+?~_>nYcJafL}yAovBLyOx2UTqn4cq>0=c2=ua$`VVFKRyK)T0n=ThqlvA8{ z=B}EvE5>Z?Ti*=wd9p%qjx;BlV;8Pqp<&c*UrMOG`7~*sUUI+MZ{19L_r9hp0p<0L ziZ%P8#%qSs-BxmnyO?6FC?v8HhTQtl)u4oH+>r8#wGo@Zg7e z=e-=$Xz19*F`dXZszD8>(e>pL4Auk=zlY)P=^^u-Z>G|{u5Q@BF>4MqQ~QmHpC2i~ zh%F+fmS-BgmmhsIR=yW#=$*H8?VIWPA1Z&_b!Yi@9-VzJ_tfC64gYD8*ry{a19*Q> zc`bnlRKg391NVQVzEwlR9^2kHJcIQO_wwDXuubJ3h?#OpH_K&W;QF<_OxjVcyT zXncG-OK+#rdnEE>DMXNgi)PZef>I@Mav zYZ>~4QrbpxCG~V(Ix8I51}lZ)T&C14RPi>oD*{6%nBs$=P|CjKpolGV+5JVsCd2#w zK<7ZYKR!)o({!o3JFI!Yv>}wnA>DsM3|pJ%>O|qm_65vUc5btFt4(KGIeRWHh z0hM93!CG(FW1YP>sR8fYjwQptDRbBi)^4$a9BbOPp_!4g-LP|E4jqBb+Z8yifhOK) zvUSO8Ca_bZ>!aRiVzcdxOk6ndQ?yAuS@_pcL>UhYv0w*tp^}tnlK{I$CTjzSuXVWn z$Hy^A)>=T^iNT*MwzYBOB8dAP_;bC2m{&V835Zfjmua&^XVC3KsZt%MQ-ON2$E9M2 zwixo{bQG#(>o=WN{^$m7!ksHeEl?j)c{-gfE5QR)qVhu2iGnq&x<^EjNK|fNFF}{~ z)N6_CJB(Ig&u)&&-zW2}u)p|w%+F~l5PDYZ{GNRNHfipqZ94L@xY8i3JV&o=TIF@5 zALQKv-tE%2D*rx&hMk5V-t$^Z$sW9;?XT9_M>g|!EAl8EZRwtER5DC%b7F}Fj;`%O z(SO1=+R#mc(=jtb-w)9NufcX}vDP78b-k)$P5d8-j!E&;Eh+EnDPq>5tzyl7Y<*}M z`uXoMwD*@W_712vcxC?Clxv^th+2JQ8+>}eENcDXFJX$Gz-!EFP_o&yeU`*-#Tph4 zY(L^^Yu4@%HrVy9vvMN)N2Re-1w-vKxJw{b&xSQ`X;P7vP0UU2&qeF};z(YH5v$w7 znvW+xN%1mzi_u&m_Az?`tuU+2(d^hT`t8s$(J=NpmuZRP5UR4Z*7d)$mqQf8*nbS1 z=-vU|$E3DgOmSc3?*W{sG~w(je`9O*$(Rem#WU*~Ui|Ufp!na>gADlzOT=?9!y=~k zVex8wpf6A3H5)`vuSoa5!YvgsH3>XmIQL>K?18m=>Q5|dLJi`2y)}Zq&B+VvZ&lTC zXqiYgvtg-+VX02NFV)&E+iiVk(H^!|0yQX^_w$|}a7(3FMVi;S9;r(=8wOI-3K4n^ zW$b;^cQ0S?W$uk`Y}>YN+qRwD*miPb+r}rhZQHiZ$$Won&06yh%+tJepRPW=SD*7x zr)t+OT0TLNL-~yj=Je_iJ0xc~WeZyd1`o2ORITz+ zE>qsDoCkIDN+PXDt#XCT>1=M^9;viInGRDYnL8RpeqS-1rRK8gV3@1s6Y5txIYVQd z22r?w{ycaF?yCJTPK66Nw-P|CYG?*_Ol+&Omr64*P8PuO527K;p z{k&04oQkdl=t`#)^QQy4p1|O}i*_eNke9`oY=Md;DG-_i&^`D4Xx{@mlRQl3BC-%$ z!_2|zO?~qDd5b|(PYPrC648gZDQ8tG{mBI~{7bM;>Vh%DoB6_4Z6|0d{pspuq%y?{ z3w7Za?&Qu;+!`C(jPZYcc`{b?8rMUp_Ez2y1~xr-wD3gbcU9`7f|Dbv@NBGe3J{pR z3PDP~kKO)d?NfVS2TQtj^VQi=}bp$n7T)spJzjq5UpikRx zO1{)c+$REx>9X`{De)nx&!YXa+ zHsQp~p&!8edx*==M(Cn=sk`~uKDIPaah)X&7#0*&yr=pbfX$#3R#bN=95WPEh`~ne z2HLHH?2O0X*oly=Qof44$)(>@Qj?h?5MzoxMEjgxm`j+Yh%VvAqXfU_G(mMb3WuEY zJKA)d_4Ikyy@j$TbuG_1++FMG>+veAGvFoC&i(S270rvmaKb&!iPL+PVf>p`P0dcf zJ`9#VCmI~l7{{zl7C7^<8_d@m?gTEgQ>8Xee+5{V>=NV3DeJ_3l(fu?==OmH;Gs(VFA_ep-l zbbo@)?e+@!YUhL#-S6Qx_E$JK?NH$>$3AD`ubafN?lJurwNDMbLgjcY8Cl5vRTp2R z#^^Q)XOH017 z&5|KFNt$Z%7a?sUWUS$sO#K`Ypo&4=?2Zi<$RtGvX(r;dVx$&zTKBQCV#1Tybz04i zdOT2QZsx%yzX&TB9Is4yBqeMZ>yNMlN>6xPh#oI+WOi}VPi|K_L^fe&T~|OaE=^uL zT6gd0+xbx=OFd!gq)GIt06m}yLYPaB$r#S$XwaYYWcK-Inz;~~z@`hYl2WFX)ymq~ z2&P#XYZ$~+{Pf+&>C*j=;DSaLt6su*udru6VO!aKS04JEHn6InYdf&_CpQIr<}YO& zPf?@%&=OOD{y4K%WyVrzLaTXM0qtF}(=ZRqL&Z8`B0?9?)QF4fN83k~nB%d-V> zh!>NF2$h#$(GPAzD%4VmjT^fsM?0JR7bA(+y`EXqu_=n4`oW#vbbJbhaMPL%JY{nB zoHrMZ* z%t_1KPSBbq)ABwy@MDN@uoWF~9(=8`t95YmQj}zp(<^o95fDTc3Q5O;Br7aiHl?Mt zx12@nM(a5C0v!``@?$E>vP|H$YOS4U6Wz{OuWH{~!lYX%GoNGd-G8FBX!RJiavoOU zS_1~b8Gumy362GJA#o@51UYUcwrl8%t;n@|)qD1C%t~0}Sh@+QG^Nm7oBUszc#itkD?uL|-z`+gV;PetBNlgmB7__zo^` zOfoZ+MVaJQbi!_Z*I7+MiJyu+|A>cuz%M;DjmbX6RTZIzVDb2fmls~Uhw8D^uDZ!@ zmA2=9u&+l6x5G$_ZD-Z)*)0L(=7o@g{kZKNH(GK0zLoe9Jf z&9(qv70h}(d(dGQ0Q!JRz0Co-KFiWOc-jBC|;U2;n=rRmxXy0cV{^Dj$=p64Wr zHMy3hPrQ}yz+P4G4ro(QL4_7p>B%k&@K~~+%K$wJz(){t3RK!0iuI`WN^ood75X(t z5O)c|uPuH;XVCA^uJ8V(FQFsx^d{QDEUQh_7Cy|U>_Nl=0~KX$EYK;WUQiu?+E;rD zzQmW*=IEyWM+w#Kch46bUOlTmXOw>CR^xq)wAEJo%%G?ZPKKLqM&X-|6qG%$g%ro0{l zzdP2N6fR4ko9ukK8JK-Y!nF!YLgZkmW{Q?*dI;G>s3cJ8QRTUr%#amO-tAbk9sz5z z`!&7^pqYB^|0Jv39s1*m+5`{fJC4kB5l-`D6TUl@bpIXu`mKNS_>;t}NwsmYdv5b( zE1nxmwWh3AtPeN3$N>$eS{cjR!;uGlZlhsa3Fy5SM6O=hbJK3ub-e)p-m)D>eg%n_ zugdp40bG0#h)T6@3QZUJqDdwDqD3y!XLv4$FzkOPQ!kiLivA-&{Rv)gB()qGIRqxG z@l|lCSxZqvqR&fJYX2n4EL~-TaI>vrEMSw7HU&@8&bIXGdmNCyeIdT!@-#CFKc@FT z_zF!eF22L=KP+R%-)%!U-I_(Tw)u+r;Ac|GpxQDF>XSHpxY9RAMPC~)hO)fffxDG> zZWL~KzkF2Yr}Rclopk3`dR7P0*aIRpC^Lu7(f!@J&O#*)yhyh-q>axJ>y2sY;Y&PRRh}Xzh$APZLC#X)xL?I?o!CY zG*;;yYprH{##orbXYG_rzlfG;$YA|_vPdc#Wtqa)?2;YRRW}Ww9l{7^R^k&*#@!)s zdlNVWEx|zW!OrA#H;Y^xnJ^ZC*G@ZC`Yr84Ct8zp9HJ($C0rv$G$g2i?Sx#bfx)4w z=3c}hG0A$XQVnM5zpSJ6s*QF4)(bpqpH`&%;>*QvXDj|ySB+l_22-$reH*#QEkC^q zpGEa{7M>Fwg4esrNKgWHvCze|)W&P^WU7id6MGq8tjzN-Qk18 zuYNs#)N=@Z z(~V@I0lJmQ?BtYtD_4y?+o8lAC&~TKQ%TXM5&F)U^eVvFayd<^%uTJxIz{eI631q8bGVZya|;6 zH9G}vcBn44L7JxjRJ19<5RzKg8c-T?nX{pYhpI*Gc@A6r3~MoxoCj-K-LOYKr-~)$ zogqPQN))t!DL^YCPi|F~LZ+n;jl7ww^Glg5mk+075)edilHUljU$*aTyPsBC!*Lru4wrYAYYdZ z#l~{?HyVVj#Uvz-yv>Z7J*HmdB^)vUP?8e$haG&UVWi@4}OT;Ne5TTOY5+ z`NEddftVkxvMMbWV5>Ao0r$XXbc{Lw%$~K9HngIg97CqG^7KunN1NMgQ9xm^LiFiw zO_u(2fGIPUwW_{am69zjF*&6B=tJn;ENTkeA?N5ASi!?O`!+QD@VY3#w;F`0k#Q)M`7I4PjVDuOV58FFc{ox>|SR_hwel}~t) z6^e2P=KiET-3g)VL3JdG50kWp`nVgy-ptefZceh}5X+k-jpd%*LGw z851Y##MLx}e47+wcGg?T&>W^sV@Uzp+&n2T@`!WyOht>0;Xzm6hk_VYKr5mx1^s=y z+n4rau4r_3zc+M^0~tADEGE+XMbV9L@M+i?W<|D&y`ekQ^5)8SxLv<`U&KZEeRYz= zTN`$U+!$H}xyL5x6=lF9iDeODz2plhD!PKC-4LnA-N-BTh<;2Q(?pJK-pHCwAbrdd z3WfEV$)HMF*&!CwmG=*Kj}|2Hz62(U5lT^i+%0`3!J~1bkE^X)@-WdrZ}S>a0`|H) zRUSi#Std3+FaFMrACz$8$0-a-#3M3yMZsV;D>qj7gX<^Pgk5e+{kDl_#1Zt*Y)b`Q zhQoFnN$@f^$OC3TEs&37AqFeqL@O!g@Ymk+PL1odpmLk0s?pU4($7}uJiFq`>3=wX ziAg-ZGVb)WF7xiTgg#7ljjQ&37py7f1owomc(pRqt@hf%FtJJ*U0MEY>k@obr!i+` z(6)~Uq;&Db5BSA6tMnRy@Ewl?MJec;kPp_74(^qBQG)|#9{X3Z=jd1m`H0gwQW)ww7T4LN&?+J2U=vx*0Gd?cVHlstRr(InlgnCuO{VX zsz_%*wE~4 ztokXBEybE-3cf;#9Tui^n-4wnu%4x$^i$+jK9KWXuZr_ce2T~E9Ib{%-v5f!+w9)? zX*wu$rnQi3!q{10=_)h+86!>2Uy*K(==2k3{&8NWlTC&`cpsc|6DB9m8Sad zOPE4dX2EmdUqmOHT!9pc@ruw{1HzzAd*OWPHd(8OH(KQ2)V8kx>lpUOwfm_?k~)|G zR(G8PJ6jEtg{3$u(dN`?+vBS_NP_~-DLLURF{L9zdRGRkjBml34b#lqG@#-5i`OBR z2iC?Z^4M`0I{!V|q#X-@vQi0wSbnv;?s8(KoZl9iy+HvT<&vT&BX<2E0})fXI7~q| z8es7rARaHabh|o0#yfX$zW?|sX-QzdB9$uC&*Er)rAonq5B0Vov%qXu$)`7C0lS$) z+3QPTbmvdvwx3lNpT3+a^ea4R;ljmJ%s4MIC6oEJdEY~IFdfF{-HGY)&x`cEUiQp$ z*@zQnWs;bJ#gIk$z_ov~N6ftYYHJ51|Bv&$1;(`s$F$S8a8ba37Yxa`d=vkDAekgnHA@ST5C;N$`&~$!a3U6 zeK+t=%MHyp`a{-3ZeImFlSb(oeuW``zYoumO-BrO^|sQIp+Msf9ht>sVxefA>h2qX z2`uZ&K~d@CHFAmRBETeI5wYy#A>Nf2-W%8y8 zu9#2harZ_LRjw;T>t^QX*M51)Cucsm(si5Qvg!Q1h3-;q8h@RT;H(nnM%12i~qC^Rp~Yc18;>rB`|l)nzq+w``=;^eeQy#Aj8fcH&v4&>y+|y=T_Qga4e;=>4GA8G~5}#iuX_=+)t~I zGJai_fX)XNchV)Na&&`ycTlw9Rjy>ul|HUT?!QG-t>v^W_M0oTy~~}+Vs-6T&)4RU z>_Y_!^ap%dbJS1V%oDiNf)x=v14 zONr>shzs)v@;xKj>awerZ8+9S;;FSt;1dVJb_4RK1$xb-PLbuP(V$d2r|JDLv-}mDz~x-x(*Z$LG&qxTIgWGS|z}%_V7|$NA)Z zuAGZev76~2l|;?BDe-ILwL$(r#T#q4YZB4UI&Kt)ca~4C%JsXMYMUm$$-G#0P0bOd{G*Ss?G6*pJ%{2K2JXIku$3kvbCf{d0)GW;*FzYD`ZW$e^ z4rcm^*-8>J3o|hJQa49tgx}2p?7FOf_B!<=is#nUKd) z;nP?tjo$wKf;D)c{ORGDLvLS+I8JZh6%1ANhCAuDtF+O=*^swshFD1hY?VRWx+jVXqY1;_L7yX@uf3({N;mEPp4aWva|D=-VYW5mrRICZ0c6Y(F2jJh$f$$j zndTbT*#aC!@|9lh-_sP zdr;$YfM@S!K(lVTAO@>W$$r`Ono&MH`!NP?s@vKJL9zDC6B0`*Ah{Ae& z-BmXC^1dotQmP~iBQ~l5T@+xm&bQj@(_EphW`$CpEU@uGXt$h!YO*t=F-kO#xwumX zq2*Y`E9L$Y7IfcBe)}u!0sb2#a*6 zHWBQ}iVTMGjsnM2ID~&r6da63B{WK6tVoi#pAD_;AaqL?;OJD(3w>tsN)Mu9W#08# zdDMSm33=~YfXm!Uk2V>VUB)nGUq{iPUdMF}<(m#hOD&t7NvM$4g{#42iprJiq8Rp~ zW!nzaNMlL^KZyf!yxY z^!f`@+Y7KwJv(9W_c|9f>bI%bZy{*o4AQGmAbXSY;%HGSA0(EMt2{k(#`f{rRa1(x zc*}EFr1hdBsE@HHvI-t2d>^QQ?`r`MCj0N3Ye#hMiK}66>y{0K znAvT|*FB`m>Q<}|H@4qB_Vrsx7m;gf)kN?zxy*FHuf{}#jxe(&3&osJp#sm(r+SNZ zn$et?eU;+DMrExB%f|$qPN75T9!z3QS^^ETcPqd?-Bq-i32AI9K=X3{ z<9trZyoZ&#%GBfCF{1WWk_LgxeA-#M5*Y-WO~)fXzSoGcy;QAy^iF1rg)?yNmeiRr z{cuyRrjQ&S*yIF9AR|+(B8zWq~2N+#RztJ^>zH0 zamZ3M=QjEQwEXIi@Sxu8Rl?}HVp({7>DsNZfA`RoFdk7>!;Tk1|2aRccO7DRDb}Xq z@XPI^g=dn$!|{dMYm2_j}m2r{ev!qATo z3@5=DB3T*F8zK`HJmDUy-VETId!Laj-xODli*1#>CSkMi&q-RKBrtypnDE4Nmm3!~ zW$DQGh=g$$PG1jLjWr2tS8A6jKDRj7*z&5@Ds0tE9k7H%q-4yys#e`p9ar9DD%q^# z(`n3IJ2|KswGt8C&){C)ix_cFw#m%_+sKUTWVaWsax0> z5_aC6EX=bo&eyDDZw8Xbw1xz9g@mDp&A?zgUh+ty}W^Z&B)HHL2Zx)9ahppP-%QbI?eEA+&8n| zQ9N9TCaG^iaslgr_0G~&bWUb9TJ^raCe47{a;<~-6-=d8;|Jny{_ho9b=R~Sh9WhU zg7J>KB6?yBn1r%V6IvX-*APbI?E*SgOsp{L8934e!!w5TCvQW5E`#fZ#c&0W znEYWpW0SV&)a42zq!8!e>&mqlXjo@3b??J)Z1u@+I?J_dw(?HC=&5(nR;mUsV_L`- z`Z%wQ%lS?eD^yUM(FW(lnhP1t^Lv3~y82DJ?d}{!fMeSZx1IHr9QB#ZWWO;RDpO#< z{iXclFE#JxtQGp5wmCMJ-!lVSFC})~kZ0!D1;7}tCm+A^I+A-kYrP~gZY~)q?T<5@4;eANC zcqD5uHBq+|;zjGYPM_qIT?1Z3vk7E7L-#g@zRvp`B^8M(900-uJUZ58jc|5C>y9H2 zyiz~GGu1cT22YWmfR#!Tn&gNx7k3F6-0a8-t@+zQ$+b?>u3L8{=T8Ugse~jeS*x{4 z;_t`kOC1IuzdFjikrKGv$!lNKuV|i;V0kq`taf=~UvyC%TL~$e9{(q2X)7(pom+{= zY1F6$F&lj@e3V-a+K!4^9kEnjy!QlL{r+wOC0RIm{0x^%o+#u8s zu>S@`|0j;2RQ?{T>JyE1RCA{U^?2tkns4wKy^dy#u+Y$8u>`GCyl- z&wGD|=6eqkm>N~wxq~%KN}CCV#>#SDTyAH&ueblJ;g#vzBh(J(C=lE9BN{^n+-C?S z8l3mHlt1rHClJbxWy1uy?&YXumu}J&@&J%$h*`($P#%9Ser@$$-nce9%19p~TmH*7 zkOs(SuRl5R5>JS?fKAPhlR^%QCS|ERc{5tOmINO!1A(z!I5spG`Dg>*%sTLjtiIJQ zmDVc)wKjJHEJ%q=#(BsTqdj60*fL76rbqtK#mwAau3RrbA+P44Pa<_@b+*oo^?>cn z1G2Q!J37hoxWAsu%r72F_!$s%bk>Y#1B(Z|ix5%9hLq}<-CZwW;U9}2Vs0$xI0K4S z$>(p6H+Zqtbri{`voZn{Bu%a*s4vP1uU^iUgckQTY-m4uNl`My=)Umyas2*Jp;bSYT2CV_6?UD61oOCNe9(=xNb zI^aABZrc3r3Uilgjg61&=u;-@a^mm#Y``E#z;Gd>Z*}|z_XOVu%nX9xR!_aaSI=itgav z0$}tF>Kzl3NpHgPI{xefB?S5-N+pkZvx!1OzRfye-ptgeK8#PFoAuSlX5`RJ9oL*@ zUDLl&#;=i@JCCItS$Jl8JA*VVn&i zX;!Tt+v5>Q8eH(QlQpWH%ABQ<>1#$=Dap+Q>#Bg`fyQ^$pLVi&VO33X)Zrf^%qfp7(bV1|QAlryTbiJ#F`=ZQ4_0jf4K5`4UTxLpD2)8%ov%JgZfl*Xhw zG}cZG>jyi!RX@dzAI&8fbjNgChUC0YMclJ+*M7td3ouefy&)j>j#RX6nZ=2JofYZs zuNu7uQ1&x^(J~pG?RB?T%YTPdh7etTXrH62{bhr`kAv#UAC3NyK?ZXAAY$NO;~ad1 z{%M=b2HCsETmI2D6V3A(Ie)F&vz8}T=C_TTXW4J8G#yWqR%qN*O-Fn2#v;dPw< zw;s|n#tt$mYZT0F$RnE47*qeitN!#bSwan>EWnbgBp*V!L}aLk{F8kiyBbBFuKJRa z8#Ytr@Z4K=x%yDWF+{Ha+_i!))No3!+DK`wx~kM;Jw{Fl4z?tNO+x>kXQ&-S$oB1c)qQ1?&vmEZ zpDrl6fnd)WYpZB1tN(Afzhy0V1k{`7d(Q@U0Wlro2C7<(enUgwM|I^_zts>gT4cPO zJw&9Xw`0z1#C344V!qBkf`}d)r6H`iKBNjHE>mF6wm$xC1sby!Dt?`@g{OQNp*} zZxIUCUn2dCekfr;nN)&}vUoL^+mA1k{P!X-QU;;#w`v(aYEg~Bw3uCcuC#sj_25fd zdv_{Cu9w@;>N)zAApAHA4{ItT*0Zl#_ds7gD)0^2J)6a^C=76Dl!kweQ4NswL0S9boT z6<3KAzZK{bIC>6!^x^R4nV>6-oG&2GKiHOMyhOe?rn}%yJn9#5AeWMS-yo`I2{hq+ z9}1_mEK=$z=>t_DeU}p0TZ$I|-2zFD$q$qcQ!9#94UJCfnZ2avAfGVX(!2f5S3<2D z85X8S(zNYk``2E}u8*J5tf>C{x?FQMdSR8lku?#tCXx`^_rq5CQ3$Ag?a;1G3p)*q zY#&HZ4*cf0y>II3?Slmk6Z@4e1XBO05jYtK6EHdT5s9b2F*={wmpATgW79RVJ@s_> zSR4JIFX;~g)wA}`&?GZg<;B@KGk2(`JcbZeKmdwpU?tase(J@s-}!Xb3*+MM`O)Md&?ci{4L8Y?RoSCD%q$yuLMIXVq$m5D3;Vy+`%)i; z5r(=XlhmdV&AO5##&CYI@a^~Y$)J|)Gw*_o+Dz?1t2qLFKplQPrnu!a9vXdKXG(%j zA|Oj<_+C)3P%aeaKI+Od2OqR)I}d2(EWs!Z+3GXU?AkL?@*u9i{Og6nn#?iM>?nFR z`qc%^0{sqS(35Oke$}snZ+?UejLzmqlYiXoq~&6m?!;NRvR;E`MquE(8Jwf^B0G?lX?mGfN^N<}t?LB1oCGx#57k`wVJCoNIq} zkrw;~${$+lmDCtLt6uaR+@EZ4=4Gu?#twgOF(ume#R1B65PVSby82S&l$3u1!4NMf z;-CCdcDqD?xpV3WMIS6q7%7ZCR^a<3qbAL!#c?7D`~xS*N(pa!lpEg_Gb6cR>CC83 zlDy62h0f4G0ax@d$cNt!Lc#_A++DFWCUjgdVTPCj)Id3h`S5GZ=WiT!(R=C}ss4P^ z=9R^IlY()y@rP)s9WkfSO_3so;w$0xexPgaTs|(Hq+K=o-@tfqo11n;5+=fm$M;x! zsW1)S)ZhVqfZR?AC$N3_EUSC5tdSSgp84+(?C80j(#tJ*F}STOrEh+s3S`p9T&PcMiY zewZ0Iz7B#9j_Gd^y7fjZ(7!7BS%9KELZ9{Tw16H?*il%&WkM$c8zQBj$P=g5E^3~Y zz6IKhNAU$a&>c`wrPj*TR5U-!rTp#@(&U7)NP4>9D}Ft8l?+T#A)RtRw7c@?z=c21 zG%(Fo76*%w3#Ox^ET0l|gx_GEyyMLZvv9?WndQCsG_qibpT4fBW&o>|GA2_(PEPnL z$1g~5ti7u>y3xs9vG_IhJvD`oYs_IbMU^v{tyx5ZNYY# zt{UTOtIQ;mP=k?B0n-X%iu&4Olg@XCZ1vfbRD?i^ci)EBT>Vw#=~ZSsu?l}>A;S=z zp7A-L$ioN)7{z1p@gWHX;Mqen6H3Lw1mcC`r~`x*1Q>ElbIB+=6(~b?ME>6qN&K6Y`7Z-T~l>#tTvM`hAeS)M#Mfa-Z31r19&ma5yWy+Qyis35o z`-c*Dfqy@$rF@yby=8-KVm{uBs1wgq$gZwm(;Rn3W93^91LgPzK+=B}oa$oOH7!e& z{hRN57eMtjE9`Ww6#)-t;}1j6h@et4;%azSgg(QU&{3GJv7mrcU_*_rwvfFjHKg1Z z2w&yh`SVV~RiwIBZfcn&SzL0{V?pPs@NYKM7TxhBg5wwK+<47QU(rcI-t34MTg1U_ zhj171x#8JK#k3t5FXUy!bDVC3YscMa2QmuIwag8C+V%bh7YUci!m6om=ev=jeJDi; z^ZxVS$<9MM=9D;bO0)V{@Zs>))|e({D6i{HW6yXIC^^Br& z>YMxRdRhwtk&!J*QRtqOldq9l?`?F-w4^^OnadNNHXil*x~oBi*`{h&tIF$EZ*DYp zbhhGjMv&Oo#-?hhZ!IBeZ`v|;v?hBD@%-3DYuKY-9m2vR?xJycvJkFyI=(q}`#fAt z(Q3Ss`|Mom$a$${Xf;f9c0PKFAamo-cWKG+{c+mY#Z;ooS*}cq(586WPdC@ydaSG> zK+lOg^ay(;)n1bg4%L`cLm3x?%Wjrx`#y9gz3+uOJ9wU%>+-`|TmV&iTJT`L=?XjC z3x$4pw>-^>rLEj6W!<&qD6P%S%Gr{X+kA|5lxC~aCQQiy#=F6~~o+mvoWWF)I_BcXp&g zpVt#?I;;Ldk)4PJC_zDQqP02SfHm2kFD|xW#_ftKDzKs3^VTT$Zd1x7-LrD5Z9&~B zPzNf3^rwuuKfWcUWCo(oqHa}5gup$m7+7kr8a?f4#MOaaLoVw^Kc^{Nd!Y(b{8f{s z=pH?7^{#iv+evXcl075XD+MXh2(Hu(d`ufu3^E`&%L^*Ass4A*_{qGL5#th$eq-$i zH}X!mjByNe&ZEnn(Sm0ITCXmZdpcX^9Bt za)0!2ehAq~E_zln;9&b^HP*1+&!{h*ereMe68^B=evv|Hj!2_Iw8!#_lG9Lg@0)CsIz$ zL={!*1n!uS#gJ_HvP~GadQ;BUmqsx2P9=;p z+&p&=$ix+0aWkX!$G%m>jY(X-$#gn&zwlM5KSkZc??b5L_4 z0v&wGq*3qQN9p&i@0BM%mk%&1^gVoS?s(SfI%QzOzg(MW@0Ks%?RX7)R?05#{o@&j z$U6ZU6ypphL&{+PBMC$j4(oYKCTe7cQDkVQJ90XSQ1r2k zi;Q>5`sEsF0|lC|zH`D|C}a-{+RWx}6iJfyq=$E4+1&zI0@s>8nI-Z3s>R`wSjTZ! zjN9T(E_X);a)o=D5Z0{uU^^eeR--W06MVTSNO^n}{9$Hcmk>~LoF&=d1lyy{{XCRo z06bJ$fiQ#$+lgn9QpC(dVY<<4yl2I`4qUPf)*sAD`t5Mzt$Da%xGm$P#Pk zY}##^@Q%a3FQqt(;My??a1EOnYf}F?zm3=v6>Pb|3i1^UoPpsXg+NibGFkfL%Gfh( z^M*OEfIN?Nu#id>C56DM`o){g12*L<&+O1!5->o+I4F;CEhuqutV=_WY5G&au|u6l zXH%=Z37e=i&_ZpFb<&qKmy!x>5w369AS1WocMBT0n|bNyqueNlfI&nexQ&Pfc**x5 zr6Uwhd7=U(4%fY|fodF|b+;6`vkG-iA&u`DoktIBz>?^xMVaJAzcMeZnb1hR_1W)} zEVFl7!No!k^=z2lr>r5ol)-rG(|P()au|U5pp%__1mo6Y7j@5hXRKRzXQ+4jxm|7o zptHh*-!h8O-oZPgM!2`GgUoDuNnZfzhkYO=NjpTz`E0*Y4sm8npD$D6X>9mvjsicq zJ%9{es7F3CohX>^S*L4Y?(RA8GslBv%$z2pNv{tZ1rUvh&AlC-ERP%pAbrE%P13@?><*Y)gt$x`F5!=CveZ8<#wf=9X|^0l?E; zgDyN$%;enPae?M`W^cEv!hx!zL73E27U90Ku7)fA%7D-?hBWl4C=nkF%lOz9?)NU1 zvea~M?+b5#m8(2^y9CNQ!(w-zrw9IHgcUM&D+s!!I+^F9r0Bvv4F+V!E%$&MR<5q0 z!i%modc5obeP8Xkwf!*+ENYn{P0fIJ3($la-d=+3ljf3vzeW#-fAuq0sOJ}3gb5(!Q0o^w-n#cz8Q zP2+aiyv)znN4bk3ths$NK}OUFI+e{c3>53wuEa}f6_Hc8IGVl*OyA|d%er@uJz@K*UoA5F7rC8)A z=(S+fJia1|Q5qC=f5t_>bUx}ghS=TvY^$vGeKqSe5L2*lJwpN!u3%L2~8g%jjb- z_o=yQ&7;xV0Hj92Iq-!pRNjrobJ@vL9rxU#8rCQ`d|XR+b)Fz#1PcRUbDYsgaI7Qqu_;E34Kmw>zPKyYa(8*s?px&b?c zTk}vcyfal*&0=H-M`3v zGV9!WuO;hEVVeL$p;9W16YQ-pG~PE!akXe-E|(m(C`W@v_bs@)%&x^F;PI%4fZ>u; zX6lf9xbd1T)l70ooE&MQkJ^&mvy<7qFd`0a@g+??1x(6!mw_Sw&870=BG3VsY-j-n z!3U)({v$I(Zs}&JTXPbtT=~am;IM>_C;k9pjUQ$#A1vWk`E>RhU{wYJjEO2=%yAFm zDlTg_xlnCp;HDypR^1UVih5m-w5Cj6O$`HA2wOvg?pTNvpB4)~vc={}HH^9T&SXhe z2fsBuM@*QLRa`2n8RgFgo7)qZtCc;PGMz~x>s6SU_xQ3n1nROJ?c_^rBHfyDnV*1W z%2b+C$N@qZ9?>f-eim<;|FJ1X?>u~VnLCS7frv0zo<}xH@@C+V%dh0c;XHBTiSaxd zKCa6_-cyq?cLeC*GxXs@y%meC9hu_i*JxAnhygqE!{#rPavPAdN%dW`0Lh^-OOSG^ zV6{dIa4?CG3%0rD6mQ`~`F}AZH~1K;?2Ak1_d-^170c}MFF0SluKSqtGS}lJ^%9a| z1Fd*c@`Lr0urBi={rHW3OAGL>r+ zGi{_=b_E=vSvzzq(`1;drJIx8w7cGFO{pg#3tt!ou}TY9j`JaIXnfLt_WG~M!J0ma z+4Z_srH$0JAj#B!6pd(_#JS7$K0IWt%Aw4!`u zA!2mjx|G-399#bz%H=9wkrz6OjR^T)52;ph&MfXc)ZuYbk2_+0%}u6bUq_UGS|t~l~Q-V*#X{9 z@F8gwUZNWbdej*~#~{%;D@o?8cVy!k=tEu9s1U=u7I>#5l`%Rs1Qqlbk`~K9dYw{r zw6M$CoS*5~>W?WG=iurOr)U}-dbJFR8%294h2?&R89Q|zAbYHgP9jjwH)||7TRtQt?Y0fd-?rKPYWr2$q#W zMz^W@ZmP(BmwUfuhCQ5rgPy=?c4J~tk9@Sd+q}8f9Z^B+l@zQ_C6F=<3>Z-jY1=Ic zWviVL)cmo9QjyQ}9u)1*b)+1KZPk@W_9Rh8qrevJ1ktKPR%2XlAJUzg&^2AUy>9k) zfE7$illvBpK4*B!c`^a@vPOB>=s88I<$bib{q9zqvA;ede}k=LJe4=Lhz% z?kGZjV8lykd#dHjU4iHz8vZ38{yUNE4xNLc)=ATtRy#9C_*Zsry|1k@&-GD*hpve{ z#4G!jj#SFsOtQyT_2*-UZg^A{w%gFQC|u90e1x25Dm(J1II(U+$esM}xLV4=76d9U zyTr&Kb(n!8-=-bOI!)61$}`i3x)tH*SO3CBEtH!hDx98T~942-nj#`vaE?*u7Vim8^)`0Jhxd;!t&JV`pXZKGq`w!ZAK-@ovk?NLWH*TJe$qt+T#HRpZZ(w)3EHLmr4yfMMsu?-zC z(U``<^uoX+reXRSmWzC9Q~I)UIEh7zZ$A46S{&NuO;r}RNY5n3(gLsiW~aA$jkW|l z!uGp38&<@)vzIyP{i3wEmqxnq9na*TIdNaMFf#0X1z47n7Nsn2ca?8v+`DjmCHxG6 zqTTR~JWj`|u|F&N33$sBh&bq9CdBUa#MaT6Huu9k;9R((yZ-J!ymu5Vg`o0E-Q9EX z9X)ANd(OA3Uz--vZ#vU6_qWCh=5XtdN;@?aETOv%j+O`|Y^(qp$GQqShW)B(7Ri)0 zdIB#KPZEtf=i-~=MBP;ap*b7Yj=EZL*=#cek|Qc~E}`yI@7BD$CUoSl5Xj$L+b&d2 z>(^wcx@l^p3XTv7)#-?x7A`n7uZtX zHE+c|arXJEVt7Ow(QJtgPkacXVKrkKFCs7NhgdwzjD^D*{%y$yBOmG{?~}1T~W& zyG5UgDq(f9x1D9w08KEsLW#v8LafM?+8y;}N?g@9F>^VpI6Gt%T|!QdCYo1dgN&5B zL*X}mOYDJx+MX^q(NVf>49Z&;8}HNI%$_=qCGo^ah1_1X++?)w&P|$6uW<8#mG%Cr zbAP?dsgruy`BKvnrILmUCeO*_$wN)Vf6VTq<8VDr0q=XFg&bZU>yalN&3>|UUVx(1 zyV@!lT&IRqssM%F69aTvaLLS?WmW<%j*(tdA|W>Jx3(kn^oqs9j8rrc<8HhofO#RC z#y=7`w?qSxo-+oQ!%Xf#vvdQkh1ibkUHbqd1o0!$pS=oY<-1sy*>`xZpFz+})z!p1 zVIOy#t+{_0j?!0)O&oqR{_bz9VS;=Ehu}Nk5V#~#1fgn!+u69V778AlUCPk%Zx7E&e<@bZW0#Q{R`hi#*9uTR+@;6Z4xsE{vN3tgsf8F8CQeWt=lzt7o zggpd{gxPg$c2hBK7CV`}b)xv5B2ppm8e7%}@Zz)r?k*mWVp5HR zUqli2iryr#+sMyhm``2RLw%xy3#-XEac$~lgO>N@8;f4X=mhWR0Uxe(WAsF)F z-se2JH@-Qn;G9g?&r8~iB_FcBu0uwq*C<_SB>??;YxXEtrC#2+#}tjj*?D$-%x{$W z-u@vNm2a$(Qpy`mpLQ>w%_i_iY6epx#LJ`Hu@m?-^pE_uz&|WLms%rEW!d@wk71qh zyAm%o8}|tc*gy#{njq3LmW;j<#j5KT75kWlUMxrI7*{H1FLql5$T}M(f`h$PinQF( zO2aR@;W#)M@*3>;2EdJm(5!6J6*-pQ`u?y}%?8bxq?I+*B zL;iB{?zacH2JHc%&Jak$xWIN5+s4>mBs8>gBygAm#&e-IBkyf}~zz!mMnUCxyQ`FRxFr1LCS{-+N zRcI4Nf}P<^m*|RT!U|)~A)V~y-{Uh+h3>NFjg{3()IyO*aoG}tHetwOGHYGOqZ zew5LbePy=1G7cUR?Q3;eb`1+}#{}twPzt~O9c`KG@>p_}P6_J4-L6px^mn$pijK^u zl38w%bZZEdK<)S-NG6(=}y$#M$JJCCr)k|tp+ zA6z2z`88;n*nReRnJ^Q(EzL5l544<{$Gg;s_pF4ApnR-FoF=Hb{##eO=LgEwDmPHd zQTIUyTI@16qe~vur{S0twwT8g_7<@58eA+i_o*M^;fr{9GBnYrC)`~M2jw?2Hv}f} z{^Wztu!aP3-4`emCjX_OOTS5zN)^htZa;@=k8}g*qHP+s{OL*tq!^IDL&fkY;~AB% zE2#s>Mn!gvTV~rzknQnRmy6g{e$ky)tGLe+ZW7>CfFP^Zw}6~+|G;n3NdOusBIVe| z&wvJ-WRqUh$&%0hRY5OXi~I~88)9!(-k@l{XN{N=$$XF7g#WZeQjah}*GZaxT)$tw zKv(I}HY$cMZFaPWIscSkpopi!tC-y{<8!(S_Q(Inv> zVU^C*V4_u3yZmEJL#s;nd;Cq$k2xuo7!MD^B9TRt`Z9xywNmDK6P}CZR{Wnp?Qsfu zyPg6ZU7aDAWhJ0#^ar+cxv02Hg(2xprki|+gyFpYRy)CEoS9|SbKuCJGKFi_pF z^JrSKUw_<98)dA z$K7QXoGY31_nG$~GGClwcq2T5z)P~TWgvA>=Yam@Q!IhKwhdKe+~dl} z;Bufwf3{?E&Psj*Xg>Nl44;ZA?;j|048goPB3a4_RPERih$8hh{l}QpKk9(J64gWk z->seu3qV%+O&Wq>?|8Q2LkZKW?4)LC*Z+XSEF^K8;8^cpod)RlOgrDUz2K4>EJA5s-0(NJ^Www5a7_eD63$crJ5sGaUKcZFUZ8_Kv0W@BAIsxo)^2*dMK6Ou0$w-|3y!IWgVD z`q$%94(^4G*>^4!E|InMV;ihLi$PTd1i!CMs;0`$uj>zdzu)+8c~;9`XRjG9On05G z6I_Wu4M|t(`WEdvlCgqb72n-`yGLDF4Ek1iBsFUOLG^FQaoL$^%Vm4Ds7!2q!3@LJ2QShbpQ9l= zg%Q!7Du+LZVnnmLX2j!#Wj-uCjXSjcqx~uHlRt0-0`g^OQszknVq)ym2lP|YHYlfl zQ(F&aaLM;BwGV^^bjT8foh)(sP3@04k^ganSw(wbXhxIFO)*v9tR4AC(g6Zo>%OST zD1~;8y1l`#cyka>6NH>_4i<=G1c*68Ng>aCZxGz-b-NtYN*I*}R2?-~FfEaQqF!YC zd+5+B$DkBA3uHD@LJ+HZvue9To{#E?ISplmx$O_zG&ye+^k~_LQrN%#GVtqKC?#o< zhX?DM7dmjRhXYj{MI=&DUK%mf(r~Wml0_8+Rb$~^#Ks3lkY9FlC0-a8P1%6gpv61q zOE7i}SsBW!8Ciju5Xg1HUq4Pyey*)zo@@Mq1_a12t8Uefk|>K|A&cy|FhDck6^)rz z#KCe=DKtr759_f5ts;Gm*`8GtJHC??P^cG!tD*tQ2`)^NN?-F9w{;=cee~7tW&)C# z9l0{PfbL4_H`+f0UgJ$v1Y;-)_ze{2GB{ZLjiuZh^q?SpLrGg!I|FK+FXh1L_gU|M5 z*mW{g!`UP0{1Sp8?4_C{U*h{{0F#~wl}4K5e^w#O{LSS?9sXl1ARA=SA54N=zm%SR zQTMW=FD^grMF!b>D$#Y!hk78l(uzs0Ntsg*oz?e{CHiLk$Z;_)VEf%p4$wu?a_hC202#-IqB>jpOZeF12KiE6TzxM+21dR?-umhh>R zCqXtoy+~yMU#@y)fYq7W3`iZ?XW^Otv%VqRxC?9Io!S2DGVJ-nIx%regA(?i+Vjai zTVm-CzAr`-w=ZN&Yl_>`p7|%7PsSshPs<_Y7)pFy2<*k3JGWCy@=T zmy89pg5I+K-sUOU0UBcK-^v2Nm)d5fNojX*+s;WnA7A>D8|~&SW>Ta;=+aH)2(Yb| zNDU^(Xi9omR)=lEYy28RdyPzorw{x$)dmj8Z5Jj91FPMJg3X~MKu4ccaBSGn+gbR~ zqLb$S-R}WLMveELNY}91)|W4Yi(QO9glIV&%*tOQC(eg(x+@HGFsjJllrwx4Ps0Q4 z`+txAP}QA1E1`C=--yhM3F)|$;TS40pqd}TkD$^aL&p~4yHRP^SXw$*VC=~Nt-1k$ zf<8WFVNZCxw7tYy%hXa;53e@&jND)@J4lt>f%)?mn@Wg0ft?71aqfGp&^0@hy(fAm z*-y)hWSbnT+Ub&vF-77TfA6lT&|(+Ef^5Qg{S0953=!>!z1Uw`!{T4Gn5`52!k1dN zWb7bvkij*?683nLGnv5&)^HC-n}pw8bA;7jE>`sDPHAuCa?>uNhjkv)6SMJfiTcGO zXdf)2GWHyPVSvZUZ{z0$73yj19<*D<90|MUX8@jStT=_bQs-I+NUzAt2B=3DdNFYK zK16Ivy-Hc+?`u#7Q{O{SM}bF6D4o{YZ61>W+MdM#WbEU~z^rswAt_F;E-UJ?cLN`mhH>{$2Wb!C9 zl)-U+VE`~R-~JBUs6;}&GUYUkOetod+;{L=#Irtq^)phcQA3Lbu64{2YpW|%0$jIS zIw?oJDO2(%Bi>`nE@#H6L!xUrY6JZaB_pj96g(kU$M3Bhx+@V}OK_i+80rURiO=K^ z=I>!Zb&c`97&_m(=cB*yRBOM43vI=Hwd|#t$9{o+QeWG|bkr>ua;ZqMa`)wNTN>QwEMQ_hAIxN31 z=`q=z_+Qv30Pc(<$zi67B6;Tm@D*V2`+Gtx5^ZKF7V5QX$4uMn;6$RMYj4B?>YzWV zMZhS&pgl&vz)(As$^l>LWAvNgNm36CvY%UMnyj|fXL`In-Ogl3hmr_rUDJNHbq~uw zfJ&ONK3+&HjFJe&btM={RgWS4~&w|fW0>Q|?F6bp8QKinQ4Uom2U~Iut z% z_DNcPXOv8{splc@wI)QCNSlmGkU90$8u|cI4Q5MbpuzBhjtG=tKnCZ?>&5Vlu)blx z(An9D%#JZBg+L3Fmz8Sy_9Zt zpLWq8`Qix&lhFhTO3W@zp)0`The;s4(E5BvXK?vso6`#zBD#GIE+zKby786e+jvve zb{6nBI09Op`RK-^emmLR!p6n&H#-I{eN-IiniYFSV?RJ*IeKPR_E`(*l6uEuCr83z zsAyBOY`u@!!U3lePxsv-JElt};JRG4LRA_Ro5%Q$IxR)yM#ej|@IC|bzcd4XK6mWn z$6k3sg{1b-tZulOe69CmoOM{btHjBRfadG5m=wAd&cS$`utDEGv925+@H7!WPwRc= z+b@ka?#~tL7Onq&f$LI<_m_y2+PnYutniZ6sDht0q?@zd zSz}uE?ncq8(^|e^8N*?BjauEdDQ`0`E{;Key*b7!ySb9;s?!9WSa*cs=}xnm2}gZW zJ&c6aQW55GTfJm3yRVavoC7PV9eR=WxyEg0U+u;>YJr?L%UTM=RLyQPjXAnX-@RD) z#2$19^%9Ju#&7%arPl-VqSX>c^gB^m#uu&npo~aK9pnl=g6kmHLHAKEkcV4gPbU~5BSL=( zaOiBMVhWFsIfSPX;ennfw(AmfZI8jI2YQoJ27s^&ydw{AdGY6T%)|9c{Gaa}S>bNT z==1Ftlp=fj$3IgUwWzj0ywA;oES5mra|lECIJhnC$F}CSNy;lmYPmXWjn~FS-AJ1& zFb0IwMi@bH{?auikJQ9T*GDbz;^LR`|rnCQ)Q>6d_XM|Iuw<1cT{x)4m% z=!?2dBUj0$*PF*DFiQgYt4sGKo_HI%YeNYnt%H8vS-bZ}%M9c2;;J9kHr#z)Ppgxg zXIp<$mi&sU46HA}L02r6Y!UV5Z!a06_}%S+3nu-of_-&?DW2{I{c9zjn5f0Ev=5%Y za}P}5ZBG_QOxHT3fBy3&C7D3;ySUr%s_H*BXz zf$xjN&^uT(wOIPqM8h_V>F5}r>URuxg}`vDa?-y1M$|8Jg@BSP7voQp)RJ%P}Z)3q|c^5TLs$@#dX> zJ9ULqZv1^}Lck)Okp)J{z0}`rofLg0`NwdIu`4ky? zk1Br}<#P}D>gD2WKl571>Y%)r#LhEX0RO_l%^{&6lpy!i=ldj*9c1Pn_db(G$cGb$ z&-tF>-I+*(B)RHxwQDhw9{XghEGpPr@l;Yu%XR;wc|Y?PiDV*;kNZ3h??IOPIVs#z zEZ1>o!?m(zvK;ZJx)+6)CO;mM`=7inE;e?LXE@uqVT6bwJe-rAzZ*Pg**g5I{Yw%9 z6DFiEWo`KD0qTF2*2AfX@1HtoqR}N4k)cb`)6YH_-|48N`f5zln>$lW#Uc|FY|3A& zQh}?yR}7?EiQaJ6Pm34cpRi!{>IU(_pcq8hMA-So8hB8Xy~0S`p&FFJtl#bESH;i& zJTchUcOhhjgaxPnZ}`7Gz%j02KGf`Y%ND6b2r~a}@qvr}Gq|*)7yc94XWN6XgLH*nLX!HlH}=^n-j{RMD-pka`|BaUaXhHsw-lE zx4Hrd#4*nyp~Ik zu0A7>*Ca=k?^f=kJpQQRSP|4e!F^Z&jVY3RpLNuBn+qQjdcgMa*5Ax36P$}Wt!q`! z=w$*~?RihYPmG*jV+J*K)nO0Z6=wC2r&DX)iX6QS+j%Ti-63`3pdy>doE~=+O`M34 zR~-t2i%N)nQz;~trUR|2pwXpz+Zm_7kt!Q(mJNCA2JsTAe~W5oYt$d&?d&6EWzuVm z5pnH-ovhNcqpLNcGUY`leSxTM!)}+=RKoPm{UXc%+8jM8nu5=>RK5~c3*+j1=Y+ky zkMtQzm@0l=8Mx~%tS1^fPc%!SvpUcg@zeRqrAaW@u5d)XduRBK!@t%Gr~fx< zU~~iS-)py>Dz6-u4KcE^dsU?8B^W=bzYp!3wWD_{tAn+&x(L~+ax0)k>m;0-sY)U| zCa^TpgilpRC@NcEj8lKQA`? z4JlyDvXIH-BObdDm_)s{z*_~-)b>9YUgn{`(B!5|9g-kJru3|T-^D+PdTwTND1BKM z#Gb;y6+SebEYK(PMn(2Si(%n6=oD8u1~r{=dJg+RcplHCY>lgP-KL^{h`kvkezYB3 za!h|F40@LV(g`Elv5~o*+R#|upOV;H!3Jr^l@qO3Ci7=4q8|!ajk*Q&1I;2mXWOWE zEToo^+IFlGyXV?|JNin_{9!Rmq_LcJRIC5_!Z8L5$>PB$suc}_!b;@G38YP@cAVxuseD|1^S>WOQr9&97G7onhTVb_-x_i zBTkDa*u2A+GZ>hMyy+%+Hm2Ad24vyPttCa5(a;zMDs0&nWnd&+ZGBnl3MJB5tk|pvXXEK-z=G{Xf=s@ zRT(rFEoWgRetk|@`4EKj5S2p>#Pp;VQzialtyN?y0b*{1d9!dso)UfiRb}!@ z)>Wv$VPVGZo-1WaZ94f4#2{Q$7d9S+sXe*LiH^PaiB_H@lT;gUw&L!)U38*{#V0zx z3rc$&g)7Ia9U+QAlw($e?eOL_D6^Mgl8n;Ow9cI%Mc+n<39!G&D-9C7Q83#+Y{iqW zQVAt~fx~-(oDM;u6_QnW!eFg+b50L_R>>(m4u8b~?g=dsadGj+7vxYx5 zGGtUxA?QO~3_|rxdMc^<1p^Ov)V2hvajfq@rn-kK==if$V}z=%vK;2D!jaf-acGZf zrQ_<1I5FnH1?C0k9z)$mbVm9Xb`mpWk`xXu`~)%0ZARAWexCW^B527<&$xd?mtZSH zxU3)tf7PSUeu5NduwhaDZbgt&V-?4rka;}BHf!dAup|{|F9i;%nY=4*?Ky=g`J_{9 z4;N$JIs4UWB+ofW+tfG*^IkinkaIzIygCeEke*wjs#9|+eoh)d$1nQ;qRal+t72Qg??Mn&v7i5$>^sDhs$4la7V?@h8{=WfFd^H4f-ylN<4?QMn%a zQISt>O!!f7knwWax;;Rr)fL4xq}ii0IBTyK>PuFdquU#mgsu<#5`s2Z-&WJQqH)0S2KW z8fb9JFolqgbp6yuFg(=1BMFUF`sE#ZJxOXzVz9q>zg@q^oh?y~4sXK%{a+uU5(vB$ zF;Gs+?xlS4r(vd+I@O9tPj~mLS;+CxRFR^)jbWcF$r5JDi@PC6PE5(xh$UH{3@Q~< z1Z>QqB(dq0QV+xx>4JPdgIu`jpNh7DnpXE3164`VLm=lSI8$t9ezN*pcJt|lRb|&e zt~(#}2hHeL%`++KiY!E?--~6*TC9-M?cF`zAEBN{aqfk2tG5CUaR#)5K`6{G9T6e* zE?ipk$RP!$sr4_&$;_Ej5gkd0V9D~@hjBK+@}xrqtLP8*fiMK9RJYaTLAiTaW~{7( zi$pz5R^Y)~KbGHF8)4FoFRs}4k|S=qb5lp0$lI=DOq{x za(r@$P#NZn=TK>XMM1?SM}k z9(JJCi4jpxvEoEK&QZMuSv4%ph7T|OH%>VZv&dHZL)96!bMg~5UFNX4zTd6D6(2qi zGTox%WGufHz>d?*t97XqRY~n;JmAG_!(de7vE_sa(%W4d(%V!vN-w=6-CkHE)nS)3+)f9A~yEM<(N|%>UA$+k-*W|QBY{Wk(Z-y za-!fP=WW4gr&AgbbPWmasW&B?VHwn>u2E_qP}q5{d*(~h*UBZfTehm=pUy)=ShBaN zzRIgql=dP?8VWX&#x-RmxKPRvl*l%Ux=D^|#2K&0+EzkEpgt<2P*Ew)^iMg;PmY{$ zmYce#2KH=BWJ7b-jWL6loH5k9Y>Z1R-<-_Ol)#GrPlQx^j6%)=+Ocn4mjyo;yUswIS~q-jc*gOf zrPJj0+YwmKUp7{9n3a>%E%ufADOgl59__W*&iDP2GE8lIanBbtcAy3>fpERg`v*RFyaC#4B=@aWFeH|Q{7E{Res`$j$Ixp8Wu&Myt9mY8 z2nD`3dzqb%e8FJ>5{!ZtE;&c)L|mu|(g8~#92seqWZo)Wnf~#HQh5+_`Gx5d-`mFB z5bmX!r4V_14Jg-iD5mWzBBb~;q9>{g!u-i@?q1J;^OOJu-W_dAq!XuvUzkg%`&t!r z`SME7jKDzEzY&9I?$Fb$!p-Tb&x6u}!_I(o8D9y?esgIawUXzCP|c3wW?(>@v3Vwh z|GV>mLMrqlRX_20>_TNa-^6R&O&Gqw3>TsDF(+0;Dhu4nZ9ct&Cpgu+!fRQDiEgjA zN0?;wMMfV|EfZhnzeb6jPBHZt_6QTYJi#T)_@#!b6jtQ@g}vbH zJ5=@;7;YM+-)X7Gb-fK6NvcKH|22A%kOc3_TU#KwlD<`tga`7~#d=N;HPPrG zaN3QdjCaSF2o;_@gk;aY*Z}b~`f*85|CnFKq^N(d!#wLz-7!PWNw`3*Rg}ydZPrmG z5v!W%DLJ$8Zd>D+WxyV?s+@e!(cqy5+M65!?e(_~v4+(mfvrL5dGDwXM*B{fqwjZW$5GIj(X`D0`#z1Svuo`drWNm5+e5!d&8AF>hX2HJ5=$N1n!>UM z*p$LtQ?yHkh?iV25sEQ#i?!eKArFk73=|%oi2x?}9giFWSGmI5;vYhJO+BU|C#g+1 z!!9gkqrUZ1)B3s=O_rtJK@d{U+tZx$OIggFlde(80b;i7g#?4f`0Y zUH#6%BGKHeo>BQH3NS}BIdV7mOZl^=eMz&RNi8vMFB4akH*U!UR;IEz6eN{!g$(h3 zSm;W3-fK(dTbWEjokjjwit||wqt7UD!Wk=m+cbi9|6;QZW$Am*^39sPnR$uv-D3n| ziZ@a+^D~B~kZOp=e!TAN2oK(fzgD6Snu|YUMSk8M8!Kay)0$fwaQFuJ+BYiKnU_wG zACo9tvapR$d@2C&fs|X_v53qDeKSWj<;NgRu2}MK3^MF1OHqid<#dBBxuwC;rD>A6 zvW)kRpbH$4b2R?Au%4) zRB`EIA0RXe*_uuMkd1oqpe6BsJ7I7_u#LzPnICbZHu&LcBANC6ErDiaD{X+c=8_s` z*@fR*pPw4A0DD76!!a@mD1>8xk#cv1T;mO!G~NtpvL3D$f0m&b*=63(r$eC(N30fc z$Ec0o>s~g?I^l9GbBRLCkd_Ao9xDU!3p8{`yf zQ0eVsb)FgO5imi~jO$+7;?_Y{WT{r;~ZWYT(xiL!E2-Wb?7ZyaMSr zd@}jz8B_#Jjb$=}jfQfi)sN=i+>%miUN%p6Fwf=elpwojby!`7nfN<^o7VWGt+1!! zuAy?j4bwuS+Wb<4 z<=9>X`lxR7x2LHXcczkLw9Sg~l-P*_=RIlo1O@sjgR+HlaJ4Y`*y}e?p+q)z_7Gl> z#DyrUpO{(xG{vdRG#9yI@1*|WfOtYG_MdB~WM0JF{jnF<*RCAg$ylUAnUv+<*E5jW zXtf>%;?9$#2SN|Z#c?fluRh-gZ0FE3JqEmK+fN0jWl>YJ>*?Z?MLs0EsmiW2H zma_M3yM_4V;UuV9+z`IVvd}??o%2;a2Ilv1wD_|;N0>b^N9wi07W-ss1eFzu#b1Ig zUiLdQi%4n5K;f2pP{C&(^TUWCQNSJ?_OZ;N#wcMNyaf0T8TueP6xw6=IuIb}q`@2zm7{ zA!Yf`oTG5+0$*STah{Ju}ftZ<>h@#f}F?Rp)cwEH@Wfs%Et$|=Lc8* ziT%Y8&1?NO#A%yiDz0W^XbI_pdXd&D>9~13!(1iiNZgd3xZ80bd^?N8$$bVG&wffA z4PkhskQI*g%ukho1r(|_0zpn_>m?h2U!Iy?37S>|u6Y(ESQ~TP3>p*KOI5@>0>p*h z58vj!lAXiaz&-en8eAxN6kM4NZ@+_bq>_yUX#a?*JrM>4eFPgaaT&%d>f2%eNS+ut z&U)Y`xuKRtO;UKuE!`~VmSrW}O4F$KrVu_yugUEAnppT7a*b{QBDWwI=Cognt#e){ z3b3=*a6IKF7el+-$Q{@PvIy#91O~cYJ0M^vf$Y zdafACU{}z#TSB?OK;ADtMrR^KkY-x^KQzv0s&?E?J^k#0aJ*1BA#f| zKa+3j5$ABG?7LK^A932-`CX1mAAKfcznl}vVKpkSe(IazUJ>NrXYAYxwBa*v(Ai2-%7-CcGaM+7SxYh~07V03YI+6-gGca++L%MUf)zpl7wKjNYY}C;? zK){XYWAaVRlS|Jkt5|arn}V_cF*c?tN%7hp&+M{^Pz-9V-|IkD!8%@`s_6+H$k}W!;B}=DgthoXYf0;I$*Q5qlMJ8r z?pyw+in=Lltz;or+#Tu1u5^8T4iyTkwr#vWs6ku};L)dI_I0rHuSe;mK(VJ5l>19V zK)?P26YT>6PdZ~X`;tT6Qimjec?zlN4ebc4a)vI75~-P!GmKZE3b0BGsqKJEfoLR5 zr&Vk?)3-s(8tN`{{2vO7R-Q7cBP9rQAr+pO$ZtfDJM;F}#K!lGW>zh*vO)j`CRP<$lu%_#sy8*KV%Jzt<|Icre! z3yy5(3;!*V352hQk}VX%Q7Ee0`%Y^AHo86@(%^8eYIvlEhbMRzBao%yZdoeAl9D&@ zSjS6`ZFr{)@Vo(cE8+3B{`+z){09$*Wetvo+eELAh677|TIr8~=jecYoMQ6~ZY*F) z{qS!vP%lJWv*%Pq0OPWFTluxcawKwqP~k+1$wh#JCUv%aYTsH`R82H-U#Qx1U3)H@ z$8@8J+-Vay_TMw*zvIPrK55xar=^b}NzN60GiD6+!Byx!5h+j#_sBAYklOU)J+8)3 z8i1V|*~svA0MaK7=%s%y#=i{ctiL)`ZU+N|*Q1T{0Ijk)x7x1M&+AKRs!76PY++57 zd9E1@N6kKZ$LzeJeSLK&Bj&`B>J!F{bT@ev4sz)<;Z+2uUppv-0kOP)t{8#s<{F47 zh{TuVV4o~RKa*L|v92PrXE4IeA06=8VJt?za^66$MpNP8T z(*yGA0V+i1_DtIlwMdW^bj);>?u)IYh~9K~8}8fa44H^|Qo22Vb*uJS+mz&jR6@?= zB6k3I$Ow~MB5dF#F`2cX5?iP;H)02l+s9wh#lM_bfAWo$2`H+07o?)}4mDCz;8F@! zR3c8%O^vHYS=YnJ=cQ(v$&JR6#!t=<&bCQ@$Qa^Wiw_SkrNXn&JTY%c>h zLfc56*isCkd@iW@CYs(iCP0_#puS=(vrRaElXXDhzDI*)&PR;3ibJgAs4fx8J2_g{ z+U6O|SWNON<6f%ek5eT%{E_Lo(G_jbN$b;Rg#=byBYTXhjK~0SY2J_hD-WN@nW`x! z^xL&&e%d=1SL~NS-h}4<(mWTFsY!qQyPD+_bYOIf5vn+}vaF26*EWL`O}NwmjhHq~ zFlI1C9g?NYV{`n&{jJBzW3nC_*$;6g>--#2ZoFj-sLDm~;x9z8&^rQg@gQFs4NdK~`;_?zAQD6 z^s?*c$VJUM9Unv5zJ*zG9Ab*vq*3Q&ierw3(SwD0mx60D;PblAfQ<`qG4gxA#ftUs zWNnSn-Y)zoXa}+~6v(F6Y2MY~{cAXq(y^8mqT8WP7;IL(sI{pAlzVV^NN_9P2km%S zHo-!e%u(dPCo~X3?Wq--T5Ac@b&&&1X}Fr5x+88Z{GuSyMh39Mlyo6AG{4?TXJV_X z40J76?)=t>A81)rjNKM+c1D9xOHB{ToBneBDWWON4VLYe-?to+rLn~RuiuD}^R4?j z;^c?58;80o$3Nmt`;2-WTrp_7pLs-0-u}qed*M9JoC&isO8IkauKJ;nvP* zd~KF2l|L+~o(yD_L+(MD`;lbbk;+q){kZ4l;*8H4sR2iY`0eP&8;Drwe}5+{u?rhb zTP)mjBHoysmf(BU8XhZNcBoiyIMb0!o%rV4ci2Z;lQ8MzXUXQQ&+GbqE>E_YX9liRA}vQxd@&!F*mZU0&I8p2t8a1PFjmJyCe`Lgsc(29 z-p!o#*6WRz>WCcgAKK2(s|hG!OQmF9#b@9Rlb-_lJ&$2HmqmlS1=0S>HuDvYK0q~( znD!td`R0IS#epl=A8Q+FqDDuPw!ksHJ5;ojEL>sL@>GN(6>>dOtf{fMj2fF#DFm+2 zSMBUA*4P>zdi#l=mQPg)Lxn8%h=%YrblW51K$(9lOsN<&D}QqLNyS5QY&$7(xE!cY zGUZXr(vKs2W_(vlZow{(Gj(Bq`@4bv%{j`LD7TKBCAbu4%_`0wk~qa5`_=ens$eZi zCcPQ5r_N@cbG(CcKIT?N2&B>LhxoZPvP)j9JuZ62_~*i}g&rQ={F2?NQRfEr5_yk* z>M%VUr{I$jR7(Bbbj)tE&vXf^w;^Cf#g8O-b<-C6_n3_2H&oOjeAcnaYW)znjKax> z33@5QyJJ{8a#L~TIbS)#%7@9h4dY!3f|cdG#jLJ02~}d61@Vd;Aq!-lTxj7UfzoF@ zxc@H1U&n)sP0GNJI(%XYG4}lTt>~g|B9q~m*MFX)TJkS>BY%rDfKpeDq{6QI1tmPZ z)8UUpkpUD~7uqilV*+8-Kz2)5kTueYn4%faQx+|Bbdtdmnl6F+QY2Um({V{Z$SO-o z&*-ZZ(=i+$FWYfIwG?T-sWZQAj2RELKnRShaDG0e2A*2_T@-;%l+Zc-X*;X_SAtxr zlo2(V=)Mt;Sy93Jm+27=ooSkm=bbD0&=wuq_5i7)>!juH6bF;c`Bcy#bie=p1D9M^ zjHjP@yAq?N3?QVrlbR>3&#qYe&^!G~tx~-L)%ajQN3}T!sL|B>COXkL^W+Ga$>>jY zAOwmq)2lKG#J-rJdhnuN(V7|O`x?bQ-twNl!wrRc$7A53a8&|q%#-mX$3AdarhZ2x z%@ZTZ$$cof*GX=QAq-L)SepAQclIl23JKcs!7K>Hh^DUC7E{Z5+w!F`4<3>_jQ85l zqae5aZXb2rHlcqRgzG}Vd@9EPKmz)&{+v9mv5dS?aV1$oxa^S*E)d}$ii>NIn&Fnh zz9r)Ikvkzqfi>G(YG{&bPxgMw9F6YbDQ)x*IUvGqEjO;T(o7V&fehI~B6@05H>Ozck~%{&Yq`HX5lr}*#k%^L(pzWa%a;YNFDo1f4@8phf=IB6mqvyG00eOLj)k^bLGLeI8`qwzN{Su?R^5Tb9 z?MjN^^5l=H=^xiVrtqY2NwVcTO?tM8!40!}9DV9B6jkC5djo9A?1&yd6UwvlVq^3H zMsbXi-esGI1P|_vIu*idT@jdXxt_r>`8_@&D^2-SPcIUi=6!5k@` zwFvhCBEk;>;b5}&Ln$VXX6SFaL$ceCAHXCRemI9gqdQ13g)G+T5SW75RMbgu-~&z% z4|e@S3C(*lHsEcyth7!Vt*Qeq#Er(dQU-$67)kW3mRf-JcNT#=stszhR|&+JQLg{et5 zrqO0LN_}r;)JC>5LR6ugSq`Smag)O{1`{XF0Vrh>k*5(77XKJg_PlnLV>zn#-#)jw zn^B_n;fxJFiuCI3Mlkpwt7ZIthxC$Rawkt>w%^70zM@s$H{biN7rI%H-z)*vowmk_17W(aYz3f=j4qEUGgveV@A=(|{{?L94L_&<)e zM|8I7#TI^i94LEjB*SWFHaT&Rx{{xyX5WXdV;-x2gGjhMfbBbxmj$7%8d#eH$%76C zVek;MBp8dJIFEZimxG61VWXP@(L4I=faf0xqw59pq7mb3x zIW_5uDHuGUln&#$^%ZIk+Zu7LiQ%-zCT;!(&hG_nOQo&Wb`NC+_~=y>C%u{sdbd)D zJhDndi>~b3XlRApjrBy6!Og(XZc9JBZiri;sZ0szcqV0!Rspsuc*^qlJ?T#E$`=fQrTaqn6r=G|P*Hx!4G z^@Ivy$rjV!0Mb=P=Npq};vNh7K)pvP(^%W#UKg+vNy)Nsor(-^3+LQwIfRybv#NJb zPOmmr(B4ABaA75P#<)81CqD3G@*hMEW&=jZ!7*4xBAOx2TPusp)2 zq@r$t%)%6@D9A+T%{d6WU2G*SMjzn_&>_ z^Ba2F;7q3)`r+ z=7e-^E;sa??om7=u@OrgU6Li^FRNh|TS`OYAXDOrF+oQw8H_u2)CUM!P=bEMmLIch zpL<4eycWy)Z%k3asaX~DO+zMsffIcLWz}vQ3_H~Q9Z3WB$Az_3R;+9L-IR|@F`Gwp ztmkCy)1XnNhmL#uyb={j{sqP>0sf^hP~X8)2|r*g9`dwR_b%H{K8ZFNFg8z{{vh=qrWyY1dGU3 z)f`$7=%ws0U3mHtenY%yX`+#tD$0)zeeiOtV7RGBxhJBh`)oZ?zDzn>C3 zjFYu5@QzMYWi!JL)}<3w*4&CWilWKUZ9n{_hX{rgH}+!_emzP7I+;n~qXd}PfW3|9 zyDNs61t7lx3je;Ri^>bp`60Zxl#e(M`I(U5oe{%jzm3`{yVzQMJR?D?a;mCJsYt(p zP4vMEI6s#WjOI(|n>Cm6s4C?c!izUHun8)0T48qt^CWE|B`!WoY@Kqg9+U) z#E>JN?t~Yap5{nYpY7uQd2ZvgzE{wy!Ke6M;K+=lOlHU=X1hzH1PRg~!gdYtDpK0HPYPeB$}#ki@AeD+5_h7a7Y1oVxp-1ca9uyEPdOpe1R$@Kkhe{x%s7gx4kq7;?F{4sRI1M$Y2M7YM<#PS>srQ9uft3j2bhP z9)NGrGFn!JlKLDhP&iJ!$-+9j+jXbZ&*JmhGiy;<+7jA3* zSj9L;gB7;3iXwuSmYQ=R$Kph^OiYE)OY|JH-c>0EHl%qn=)wZ4}h*a0cgSnsfykZU;i55^@8o zj1F&daGgn4+4X93v#eC3U?vqXt2_)Az<`vQ zrOGRxFh}u23|4m6bVsqPRCa`I#JZh(vMxwjfqM6Gfs zD)mRwe-G&SoMHAL9_U;7Lz9t6pA9yWol)%_fM=Zhc+#aY1aQ$qK92e;`BUHN1#GmO zot=V(!g#0Pw|xv>9?l2dkjOj}d0{E$*1=a4fXhtoIo3=)nXjF)&5qXW5N>C}a2%}*E8Ou>eZQ?!0