From 2f607d6d7c1ca81e79353a9d8dbc5e1a1be8da13 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 20 Apr 2021 04:10:26 -0400 Subject: [PATCH] Works better? --- cpld/GR8RAM.qws | Bin 1284 -> 0 bytes cpld/GR8RAM.v | 10 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 25006 -> 25473 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4445 -> 4582 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1367 -> 1365 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3204 -> 3190 bytes cpld/db/GR8RAM.cmp.cdb | Bin 58967 -> 60790 bytes cpld/db/GR8RAM.cmp.hdb | Bin 19454 -> 20241 bytes cpld/db/GR8RAM.cmp.idb | Bin 17910 -> 18350 bytes cpld/db/GR8RAM.cmp.rdb | Bin 16327 -> 16410 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 105291 -> 106469 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 78 +- cpld/db/GR8RAM.hier_info | 22 +- cpld/db/GR8RAM.hif | Bin 437 -> 438 bytes cpld/db/GR8RAM.map.cdb | Bin 20934 -> 21675 bytes cpld/db/GR8RAM.map.hdb | Bin 18279 -> 18989 bytes cpld/db/GR8RAM.map.qmsg | 39 +- cpld/db/GR8RAM.map.rdb | Bin 1238 -> 1237 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 15263 -> 15794 bytes cpld/db/GR8RAM.quiproj.3068.rdr.flock | 0 cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 338 -> 339 bytes cpld/db/GR8RAM.routing.rdb | Bin 1418 -> 1429 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 15162 -> 15718 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 27671 -> 28691 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 22067 -> 22605 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 16860 -> 17435 bytes cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 13902 -> 14379 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 53901 -> 55590 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 869 -> 851 bytes cpld/db/logic_util_heursitic.dat | Bin 13288 -> 13640 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 192 +-- .../GR8RAM.root_partition.map.kpt | Bin 3106 -> 3196 bytes cpld/output_files/GR8RAM.asm.rpt | 12 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 281 ++-- cpld/output_files/GR8RAM.fit.summary | 4 +- cpld/output_files/GR8RAM.flow.rpt | 18 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 68 +- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1466 +++++++++-------- cpld/output_files/GR8RAM.sta.summary | 20 +- 47 files changed, 1162 insertions(+), 1120 deletions(-) delete mode 100755 cpld/GR8RAM.qws create mode 100755 cpld/db/GR8RAM.quiproj.3068.rdr.flock diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws deleted file mode 100755 index 28bd7eded8d22da32b322f6a663415d1c8cfea1c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1284 zcmeH_&n`nz5XQgTl62_-EE*AMB(0YE6Dxlb-LSzzEHu@7FM{41tyoA`p2izkcmo@; zv+@e|O8w@zwNQ}|c24u1b7nd-cji0aLQcIktRvObR7+KzDbk4!v0{961q69uOWT^) zgx2xI#5B2Au;##`*0AdMkK}WUbV{w*?Nx<3z#sHw6v*)TS4x3Zol~pCH_MtNdWt=d zXO^fLZY6#`$P-c2F35u-QAIoB#P8PH=(SuHtsT5#3C+T@I2X_qNZj1F~Kb|z~`E2IWcU?FuKeimf!WXSO*2invA zPrhV@t;ncrDyF$FS|zTp)if?EFiv7b2R7sJ_sbN#``a5U1Q8trEK@pdR967P9Jqkj zYwnI+Cm~fH1a4m*m`{4Y|e%!(ICI##KFrGVPtYy3f|2@@H_1>d*xQ{s% z3@ON&@Ws9#2*3KF(SN2zwMNcl;;Psk_}UduTZyX2hH3!sbtHe)4)-#qRvwW%-egZMBY?0rDg#Jw3feacHB{49+rIoNyM%N~3=W5cTh*yIo~f z_{@CI zPN<+d-pHzKnL4Vplngy%UU8awLlnon)4s%fKqI(e`{6PMCjUw=~P$oNqIptK6AuW zUu&gZV^8SIlmVX`=x)-tKJQu$W+o=kx%@NqR|=U|FM$BeWTj&$`dgG716xDtftZZS zk;p3lhlFM8M89$Bm^mv6!vf~|&gWOw%8|YV>R-Q0?M~+_7I>HvC;ws)=Wpw$x9s|~ zWu~?d7fD69z0AV$=fiosOy2!%w1y6}Ke{nJ)CP?R&O1c!nN~&wP-NSva&(lRie;5> zarsj)>D6)l(S9Qz70-L5>Y=Nxm6spw_s=?)ls@{;T52+vlrhT2BD$ggr2Gee@XcxT zKzqD*sSyQS?(w!Mmtu$YxDjI`?4-Vg(V#t^B+&=QgUyp}p4F`5`1FF^u9;0F_TBt; z{&6sU=}>IlTlvpF-W-j@+Ffvp7foc&rAs(}uv%G)Aq%k?aH_TUGWD++U3XkuA9^D8 zNa$mWb@i(?Jr~vu`;p#P<4-akO*hRnj7gl8Mn$DKw7b5Cm<;vrC*MA%hlCad3&~9^ zCpKwmkDf8u@{p{SFarfb;g$|Px9+33cUB>VZsSf`tHsC2o@CN2u|K|F+bzkn`3Rnv z1)~>Ze|8HLDnAbF=Kg-^-Rbc#v4UH7m)5Wy=b|+3hb5{@&_MGB<|60d*kAm#fomE{ z(M`y5UNJID74^%ithCj>U8A%>_X$k<1BeR#YR>#eky6v~DTlHu#`DX&-NZ`9sN1nA zQYDO@?Yn}DZ&VytG?_eq9)vweEP)IYLW|+4yAGzo)8NPQDB$U;9!n`cb2mHe zLug^u$G(sM-8Gs2YweS;t`k;;L?XJ*j?+$m`vWp?W#+`A;+#i51z>MqiCv;+2oZC&{P8q zLK-S}S#I=it086mw#|Nu#gIz<9YL?KYCcRi%fFf2ea2Z64IBp_s{qBe$c}W*?Rpo* zuishf-tMA6`cg#+5{7& z+x^?ot{a1^-m=&Sk`NKevt~3f2)YGJ1H?H zd?RB%%L!Nz5?mGR*7QD!BiG9$5Vj5$AK~*k|88yagiKWNs;?T80DC5YDR= z6&B3a0F4{-qe~p1@FJvGd5sD>Rm^PuR}rLjCXKwM#WtaW0KH}>BWV)-_q~SSh-G7e zFG;99Ys%=?E+o-6d7bk0_pRKh;!Ca_+AbLP%`t=maYqdOz+4me1#CYL09Jyy*}5 z(DK!!0Ie@Fs0{@xymyxe67`i%~{l5faD4Iz5~bv+*^7FCCt{u7Tu{GKECMl z0A-vCDfN5E&E17fMz^TY@OFR>M1>a8dno~~EbMaoV^SCICzf3WNs%Elbzl6kf_~{= zPsvml3DH4cuD@|HccSqy1`ql_){z~fjK#xK{C|@rzi6@3+xptAUnWn77Lt3g>+&PU zjEA5l(PzVMIZ=f%V4Hu^Pl$T$BA@?#Zkon-8~v|&+5UUux`r3Y*MHnMvpBisiB*v) z8P#-(6b*8#81y-gQGMkn(9bNln555|%dD>DPi2Z9_<35)8Kg;w^{MRn>8Vui<&dY$ zcq%*paTUs$MK*a+`L%8Ts!<$>x_jd=<{>^V%l*Xbw?>_Jrz_V-7>noHOdss;bh%H# zLyI==FL?Fs#5%Ol#1co^C|fTY#->#6u~xkjpY?{-rxg6CsJQ2oErY+hpG54bs}0jc zX1$mE;8u{25_Us(S=&A}7yRmZ8{HGC_Zq#mq8$;$tef$rH+*k{Bvhke0>SxE>$?KEj@fQqX(L(Zw8PB2UH>6Qz+ry=} zx+ME?TH-pMx*@JJAJ5SW{^j-mH|2VpRB!Zn*hjtG(s21XJLvV;`uKH_TqAbd_X*sn z>^_3XDX&jw3@Dt4b|Uz+|4d z>8z7K=L%c;x?K=#tdT_(R34QS;wtX?;Y$^#=99VkepSoN9i=ZfZ)+@Hn#Q`yt3=QG z96ZVbzKvihR(Yc>J-jDol%*~uAXQo9ldM_J!#rRoK9K+48&&Z!*x$8RPDJ0tpV_kKWFIg zg|Ve?xH1U`quU(+luP5rS8h^*$7Arfo3P9`mCPng(vV-8xM>!$q>vYRxq%AIX%?JX zq3;cid^0wlBoUalE{l1$bQeea$x8}uKX=zkiYenehFYWVh7MK}`_o2>JnSB5uT-R~ zxRsl|GA6LVf=OTk!)$r8mr-{y`>DG#fiHK3e&Q`Wp3YOfu@-z1BaMIxoT2)DdMZHO z07@0HJAicf`7fGZZRoSQg%^pL4OmYNM~Z-Wv17u|0v{tt4q9E_&GJ0%ez7hU{-SMW zJG*Z)FRjc*C2clrMyw5O_`DM*G=Hp}!p6~~2hV^kcfo%~tS;c?cM!Dp(omYqfxVpf z(g&_SYQ}PWC?$4z$wdM`Kp>+o^k!zP?RMc(b>nn`h(~s^vvK^?JEitNX~}40xo_jc zX(IrhvA`i!TbPvzUuqF8@NuG`(QaUMScBMLu7Gpk_eK8S?*$H-^>zxbC)mE}Xny7U z+A-Ssz8=d!GB+QInN^=Ft^1+OCq7lF%kCK)vfP)y$w8w1V@*8DRE(z$1qTG+ zDarQ++P@ioH>%Mc|KhQn;kLx$S~@#^p*nDnvlI^a?bJ!ZJp5wdS#evSPJTz3QpLS- zen4AT!@lxkXJfiA$&18x$qDht3(3N+_Der|+c=vQoCU}C40D5ix|iz{YdB%Fv@z>f z4~RmLT%S2t!Y3pf}zPs$}`R536ffwRSR|TKj0lRNX9lSa_!WuZ+&-)PfBwn2bVFh!7sabpFZv^7) z1^bG~E~Yp{|E5!K{~{hEvzhuT{1-v}^B3_koQRnA`l&6|8&L;eB3F&@Fyt4K%V`Wf zv200L0cYQ>0T4Glu%V|0V;reQVzsMHL*)F7p~fDH-+t}Hb}&CO{Pej<$7HJr#gtu* zy(N(=iC36G1<6ulK~I(GN5Zdl_HS8(DtfAl8^{+e41Az#!KN_`e^;8qetSIYuS#O> zHhSN*5L{vg-yzX=oL4Xm)$_aBcvXY+yAv~P^d)+I`25AkMwNU$n1ta~33V@h#mwsd zOMOg753l?$NjH5>yfB-~j?R6qwusqFvx>M7B#WXZBmb??`o%-=vc+_#F`=LPOT%e( zzDuwD!Ot>NYWm9+AFIP^=KTNg3cP$dae07W?t~pW`0Qsj$=g}e_NUCAp`xudtOmcl zq5r^Q9{!O~M!%sIB3f#G{#c~}htrR7@7eqQ(;_11GMJlT#ha*Vj{6&yms0Nr;XL|c) zYS`GLF0HK2=yFR0ZzS(t?UOv5kDlLeiZi7+pq`le~d2|&wDvOvWQSNjiHZlpcx3B*DzR@iDN1B-7)u_metIAPxDL`&d8RgIq z8+|-gTz%7Ji}^FFw@1b)kgov&p?X4$im67=@;-H!mD5+qco3_m=((RS#wIw{9BqnN zXXxdclNfqxgz6E*vXwgP-AAAOA<;jP4d<`t<eWd zatY>tW2S(ssl^RFr~aGrlltLcJzH_Z!XZ0ZxM8~9&CSs!e))ZnzxO{h=k`P=kOjX7 zsLL&29NSpM=#)W(xZzdi|6)}wurc!w`G2w6gO#(>SKN-!{%omvqIQj*+5V27A0!se zEy@ct?JVjkfyc9zzT}0}NmcZeILES$#*R+u%Ng$`y}30Q{Gm&iVs7XFwV0zBB)3uC z<0^OdjnS3J2Z)9jjFzC=K8@k$10;${Q0lgyUP)oJABvR&go{e1OBG7EV*NXZUXW9I z?$hSA5HCpfoiqF?r(Z)hi9FI1NP$KNIfRx-#c zU#@K_pD28K#r(%&?qZ01ATZlBwdySm>FfO|%8}1A?n6IxGN`C2AMc!db1{F(%aIS~ z{z4Z=U1iwRebI0ZWms#T+O4 z`W>@iGLOv-x}4g=~@^`Y?Z)GCYZRZ=n$mR!3HThrW zb;(?-Adm^D-+(F*5V$E6M?~b$A&R;aM*vj<5~%LsDOij855g!LyG^VN4)P?=dC=f& zb9Ha$k28q)NY|bpI(z43}J=$Xw3fn|;@(~nccQ?Y$niO#@wx2a6RdsGWn>tgwRLf8Tj~N0!&07P*S^4bFQC>_n&6wQP4uMqPKd zH?;?e8kw3`2wb#40KCQ}XgI8qrevb4K%)4w>6am)GNw);ZYa}WVWVsIJ}ic)Xf^CT zKgxCl+ME}t_3kLlfYvDk2D6Ds+B6UsZ*fj*l&{iHyC3(weftP(d9kB1;cWSW$MjdX z+VA`35Uo4NWm*EL(%0Y!i9dT^tx&38GBB^(KUFz?3;uYZ8 zS@o2r#t_!@0MsdcuXzcg(+Is&&`W-%ry3@H(mGKZ3F^!VT)}!uvaB}$pqwJ1myKcXn;U~*TP_bPKsm74>VITh zS?O8p-7N$TrrZMsdc0U#|BRl?RM0=i-yOBG$=z=*jRZH!-)CNeO3|&2pKh1GhDzLP zp`D6n?}g;GFBCKH%aO&E9k0&DG0C1i*#6uNAN`9Yle8@45W)Q3heX^b`k~Ed*t7HY zQ)I*)&v6L06%>J8Ouq9TQUy;evSHn^P8#P}k>m7#4b7Z4*b>ii>>9*42@Tqn*$16M zD^>4-pO`LyNch4FEK5`T4fxLcFF4Bp5?)cs03SadQd|_lHXAiVGwwm((9THr#jq>v zuYK&^?0vCnv|sz0?;>QZ2m?yH1syhE;UBO-?|;!Q#gb)RV@;2+xc_UyO3&Uy)G!e2 z|9Zfisc*o6xbcm9-qnt$pfmps9ghk=B+KJ#b>5>IS@^9zxRLvQLdCln1+^DA!+IBR zS&=zzVydezsbs$jCBe2=|C-;xURB7a&{S1yXVYL~3Xlw!e@9ki?*Fo3&ayX{8ubCd zdjC>Tk9(uzb}=*8ypeW_!q#LAOQ4g_9{#!f(61s$C;Wi=YBkP^MV7bA>Y*f#fxe3& zRKJzW#V1s1Pk^zj<0Bzeyer})Ft?3Bs@5^N)y8Kks)hHze#z)DuS*0MA@&-@{P7Zo zX+FT~>Wm->@COT^t{ci=xLt1^yX2_hH1)}Z2pNZV%6c_;({^>jpMuP|@3p9%(O=>1 zxK41_uqnqXrpomc16AY^*X26mVenq^H$Fb8FQR*FI0+&v#?S8o8=CRg%-k)Vwq${3 z&wL+90=o4Cr8Yr&$q&$ciDYo4>oZZl?Htw?yX5mTlw8CEXT$_quSx`~fvM0e< zTdI5plW#B53RrYrF!LDLAd^Mg8zY9GgVVP;MRY$c6?bCB|T*Ko`dJ*d>(w$jAWD1$wGb ziBf`I(rP))z0N#1hNS=#xt3Gz0ab$WD4+)_7A$?=oj@amGUo`WP8eWwnI-TClb=B0|O`ozV{3G?M_Mr^spKEHK;lH**1=EDe&7ZaBrFpT- z{uPtkTQim^0$_*y`M!52hnFli7<@tI!T`IeY`P(6iMzOjhI%?(#Ef8(pjPKkG6S1lP+4~nyU!e@!vk{zG zyO=XC>ToD*d2**Raur0bxw(f4XGw<#pRE-((%fbhU0Cz0sOz)brj9&2dD~h6)DT2n zo6;_%IZun!pDQl+4;`$b>XpxTDi7zZX;Ay1Yr^U|96@_gh$ig-LBLKZbTd@(OQv7| z?L{s-9n0T0Tsu=MBWQLX4Xxdea~co9{(*9Us~3orXQN*+2UQ_pUU|3MrCZ>< z=;Yr zk3~(Bw4ePr(IN9~b;8FZ*AHs1?)hV8Lj3}5Yf@jxD>bVwM7MfZHs~h&`XXatF(dAV z+!rwS+GQI{$XQ?gLI1NwkKUyULr`gXmzNj~u~nsz7RTn-)#U^oGg83&Oy!(6qU!ys z6UfJYhr7x8YI?@zRNN}W&|k^pWj0Uc$t!Kp7{3e-;7WvO6(rDWcD>s5(ZK*+B5>|H zcLqmsIj$+9)DN{2?fFrewzZ)D`008Llm)-I3BImVq3buMDmmE7T~v1KLermd={{2; z4l*vuR_X};WuqMF6<<8P_hgA?m(BTlCvTh^+my^VjKoGk8oI5)^mbQ)Z@!S)YWB0A zR7i}f4L<9vrNpdj=Ea?>?L==3M|I^TeaT1na{o~g|GpfUbyNnS1~7hs53A#=L9AoF+Sha5134?2{SiwK|Q`!bwILS3veWGL-%1N%p5-91Q#-Js++8Yx6h4 zNemI4Uu&gkThx?E4;`auVzXS9Kq8{t3%8H1AWG{hI#};d(sQ3%OLOp(bOJNdD(Xsu z%Inc}2XfVZ7rV~M#W7F3i+js!Z--;|zo5OjWH?UAm?9*(Nh2WQs1Az2(jWICe_*dD z(`P#-lT}q)4m95hyv~_jNvbyNIHnMn_zfvj^Ya^a|LX5XQvtea;Prk1)Nh&{O_jkP z&!Ah(MGHhtiH_pRXq0ef1SG@g!u0ubAm5$vF=UJXuYQ^Eo?ZSP`7QL#Wbw1e z_qHA0j4o*wHtWX(Q54D>=16(%rPed4Lb0nP94EWT+o0WJ(Zm!No#$yFwP@8S1&6-G z?P(ZYW(1Q$X_EpiqJeawFr0(+@H+J*GQd1*_S-hYpVcqhs}m;s+gFL4?E5|)xHpY- zZuQu@aQwbsHTl~}j;$TzyBY0%^S28D<}3<~pHBsLQ%nj9zGu9B{RgxUR%0kt zKhE59bcafCmI3XjNb0Z06m+k{mbWisqT-abyq79J(wQ^m|ny?zZ zrWMo1u6InqEi$^Kl@{&g6@PZ6S!b90K(P4FR{cR~;Fw~mW7>VX$G%h6_!P61*P(Qv zXSnAf=p^5BW<~Dv&2n9czvkzG^pD49-fDdf3rt=D^!&tk2HJ4`Rgi`6O3-;Qu0)Tq zftp6r5GXTMq}edy@+68vg5sJhfk`Qaf#SnEQQ-`^%UBJsZAFwU{dWW0Tqj}Disp)^ zIyXhWZvtL_W}P$5nTCcxjriu`o*`N^?_;E>qT$+(vNm8)5!w4@tGRPO;?#Z`wCg&Q zBgOXs!t-cl7VojkJF|iGI6^pu)x zw8lnwoOeukVV7g&S@0C$!i|aXmbnd_BQQ-e4M)|4z;o94dA@XhVmq@x#m#6uWSG0S<*5a?l zrO0h1Za;GM?XzaEo|_ih*Bp{Ebov~HIQC!9csE<!?v1i|SyS->VN8RVOGx<2`3v|+0L-~YDJ=|w(gd7<3vfo%e z9OarHxvX|J;(u35(jS|=S1`KN@b^74B{!u;v13Ds=-_H0)q}~+rlolLTPnIO)IZ9) zQol*s;$d@WC3#C@?)VmM68j$__YvQ++9L3wH1Fcu&YwK)8CBty-&M-K&qTOUn*{U2 zAM$pW@bwB$l9s&f{q{gaU{be>zn9!?{Jif9vO9hQ)rCzIQVft9`ThMc`u0~3E}!9} z3j$s&)H>3MydD7(gY`|F5eXwUjOcSyPu)|LH8JxSw^C$cswhvaUCm#bl}ZZsM`uF%vc7H`x zy7Ism2D~QAG>fi2K+;yRv-qgJPgR>uBil!fB2s|0l{5*giQ?V6jF3vFAXNuQ>yNVjt!Nu%!@N+AhE89? z0Or=M#Y1!Z5dsi%^45jk*%nQ!Mi;jg`g6ec`#*xQG_YbqqcEL)v82Wpz zGSgso9aw5KtR$YYJfAqINaG=6%m;Vk4zdaqs9EU9b(AIf8S7kv&ykbH+*CVw-BK9IzQ+B!Eq zz^X^UF-?XpIj^Ugt+wv|5HSHd-XeV4;$8AUIoQ~6uVs}0YxujtPgB@Se1KfF6S_0U zKZd}HrRyTVgRG<%d5iKw26bu5#X|O$_AsAa_7t~3E{=?L4*_UKvS@F$mK%Xu@qX1O zF|dJOBx!GK$_Bwx3p<7HJ(qr_8#(VJB7UAIWkjWdVXg{t4DWpnz2k_G|45EvFkD3| zMgID28C*q^z?>f)#aI2*#kqR6l9vK(?uh7{-Hi|`CAoC*c0@x8aIlBHR%uvH!?kGn8Bo zc;#*aAIKNBY_E&-;(CO}K(EhtE$#FH2!`g=ORnP{oVvggovdlnj1M()Y0QMbB zoj+99ey@6D2~PrO^=pvfwEM4*I`ig_6{nyISJ)OQd^v) zZ7$g!^GeG|>rWbPA_uAo8oy#l>oZz$_F>jQ+A#N*!|@F>Kzv zYCzw0-s?~!x3Dg-iQ0^tG`pD6|H%FUcGrk3hA+kv$sI(PqfrRe9zb!MfBO~Z{o1P` z&JgHt6Ikk#?dzu@Sh;V<+Vv?g!fXD$@CrE;xDgdZhZ@3zO5%cIEy{J%&PNVIg=mi< zKYKten~=AgZLcYvOzr{QZ%m7NpV$B_iyyCbi?8$ke&YQyDCs`sT@;{vtZK_mFLT&& z##SR#@}{@}d1`9_#oq2|(yGmzd3|;m8|gmFWJyE&Tv04yF+MgsScUmJ&tbPXgCezM z-kxv;Eft#Nl8cKmBzu;OKc)Lhe9--h!ue)TU!&u`?Dh5LiO$#RMVPcJv2yqg4hwKF z4zfWeq`eNyn+RG1DAq7|@OxjYMhH8B*|JHsHiv!e@h4kI0*pcuNdCH3@VE>*UhncmTiK>Wb$XE3&*`lYUBhL9wP8DG z(Wjc(+TF0J=w(Wd{8;Ra;3kg1{RJAS&D27qWXpG8iMswMVCak9+5ULA^Yi59&UNG% z8&bj^(81!R>QTrX*tLh=zk}QBHCyiP-NB7*rb6*RpR>&kg#yhGc=kBxq?x4a9s-eP zqMkXSJM0S?g`IjtRLX|?-kMoz`p=tZwl%}OuN0Y~T|U7H5J48$EsqxACk{`?eerA8 z*aWx=qDI$_ePeBEY-?5SUVGjjT@-{A85$bl3Cg|iZ9MMvTMfH+>-k7r42fNUoSRqz zcrH9EA-W4(K?nHAog2wd9rCYz_iP$RUW-hQ-hVhZ2t1QuqK1jyidt%b_wQ$%t8GjE3 zQgn%c%h_b`(E_~`rXl8j!@0_&rA|n*PtDmH6AZ=X-wM+~ zp(Gk4PyN%2by^XyptViE<)dFi-?~f|rUC=_t}I^#0Iy_99ZD_kcjT>1h+v@+R7|2J5%bx znp%0>no!=M!}l=N&HQ1uEB|&D@lE(j@RYk90=8Vl>HjaW@e&ds$_9?0MbjL9^}{h} z-K>#Lm-*HQFAtXQ-x_LRipFlnqsZt(;1H==_l}K#HpEF6vEQn@Z1;&DWxJ0PL13pT zh!UOXYW~Gw%l}@^o#Yh#9q6y%{FG#B%5d#o&&SVMw;NQniHd!cWh+RVxoLADbt}iZ z4TmBA40&9-AcUxMPS{e{`miooCesenGCMrv^~)~CLyvE=xB34LZc`Vh$Ef_g`)bO# z8oqJ=j$N5TM-xBwxGi$I8CxYJiM59?@*BdM*zQ3?aT>CSZqQKw9 zWkm51S^7;;&q+}|&}cWDuLYv@LcXthy9MMChUjFefUKpXdanJyWwO+yVa)7>+O?tq zACXnoT|Nb*ciZG%*@f=c|YVY?(y>oCdz>LK|$- z2XoJyymGNYe+0LO(72#Xn&3=O)raLNqQMArf1n9LTMenC{~wUfS#!`=)NrSs&n85r z^^gp`kvJ9cNHQ>ZwS{2q(oz$#lM@GwWjL5%e((~Fat9BCWp?^L&LmiMK?7OOr53la z%6mm;-;Y7oK`UDqvEgv|egudxNM#NdkaFV9y;#1fjEB#+|$E>cuo4 zRo&(fB?Q350_wd}E^F^20SjFRs-S*mkBgI^#|a7K@mDH?P8YbYpD=&=S^?u%DmlP> zpu&_q{!SoW9jy-+AWPsQjF7l5B25L0NDhJM9 z>%Y(S1f57gI)`?cz4Bh3N`XbPOPa}@bn-Gop^qRO3p5Ll$thg&iU?HAAxr@XV!)G> zzv*nhBJvP!t%wmETN>EFJxk!fuFM~=5qy3xjr3aQWQ@T&%iJ53n!w?CA3gv{E(nn? z?fh5CZW1Sr+ymP~2rij-9(QdC&~OAXO-k)4Bw}b zOKV2fDs`KU9kir3r^dN3_6Gw4xeF6qI1W`by5a)YzluINf>Sb{{_RK&~Qz9`Q zqQi8|x-WI`>B*T({bq$UNr_xH_PLbR+b6KL2-{-~mONg=f;F5NQV9u0jY>96x|8P*}Rl>Bu7pPiqW8=tJZ=+O}$kx@1X zd9~6W;uLq%rkxU_CS1Y&E0RoPg^H2Jx1|YFRW2w>W03Qvs1ZsG%llEmzwrL@zEpTj zp<8QbV#S*Z{h_hEDupW+hO5V_$?0BmUre^d#^e`q%t)uT3I6$sCt$?m6hTHU@MIVR zO45e2iFi~`mJCTe{P5wbiK!~bE1Lko7XqtFBebdb?3bxL<_TkcaT2~tfYvWrn!ou1 zH0PIG#5s;Q+$?&8%S(iCf3d)*75~JG?dM0yZF}w2(MQG+xot~V@d=vWPsj$$j1pcx z9$O>W0p#ik$d)V7!O%<6wtAQC(h)V>khA8%HwM(P^rWZWjE zitC$~OvgF$=pF+8^c`GLzXX`oT7&$puI#=ATzQ$B)w8);K8f*lcKXDYvj7`ZzTA*h zZ7)ju0m{!M@!UFu24UZ4?fxVStxovdGxW<&9vq7Y+`+IchuO0*oYcQ{>-iBhI5?cx z<#<@c%=cBvdU)t?$DH~&d*D_?=JVm^=i)9pJr`|F7Kr-x48SSIE2d{jL5m&`oc+r# zm%Tq5(O%foIVssP$rWH_a7jkPaI~zA!?lyi*m_l2{KgIM)t^^Yjg7aOKsHmR=iF26 ztQO7~E_Tc?z=qqXPeI1`0~^*UF8~xUvu}4|dh!04P%-u~n5lGPlH|KikiCm0tr+Y$ zi;dO#7B)opDOkS-m$_LvXkjoYD?>COtCbZsO2tF4PY}J{C?ounzSMxbeDI>HtsXbF zQQ#_-Dx_0Gsn3()5~6wVm+XuDGJ43dRtB)xY5r4J4+@O1eov zFYmTD@+Je3IA6J!P4x8fB)%O|^ZFl)#ql0{$$8_%$Wu&l_O#Z%52$MjL*HnFn#8(A zFzZq>nmdRAVXy2jjKl@5OL6ssmIR8wc4TDz=JFM0q-0|krR4nUI(9c^fAY9U?A*rn zQ4nOLx=hf`kdR&M^L1oi8-;LoSYhbOCK~zkUz!4>8F5yyrHweLIXc?XC}2%ZnjQBgHxa#<0BA*k0Qiov6IvU z*4SVu=!h?>Hp7WpUQc&CWPh|J!AE~?ga zh7~h@q$lP|j6$RT-Rn1r4C!ZQlkuX`e~k|S%DVI(+P>41RmZI2sRX+7S)~Ym-XEts zUF`hrY8RNI^R(?rgzA|olh0%0<(Kz;7RM{U<$kdD>QYv3bnK1A%UR$2aqkU@9L*sv z6MP{JiYX8?wau39Ki>Li?JPE_b70gVPOX^p~BhWzB?3F(j|2VV2{y9^3B* zNopzMSFJg1K@=av#4WqeBx-Bw!UoAGIm9#c4bI&os%wk{B*l9(t=MbypM9KnMZ88a zMw$gmy$<7Gc$Wcl@SS*`a2uP^_Nsz|T}3dIaNTKG{D`znQ$?p8=V9p!>QTRBRUezf ztsx=#t#l@>EWBXj{^;(Ymv|e`@p9;&ZR!)!(qZ0Yi-S)aU;K1mtYnvdeID{>*EX_} z#rwbmAY75<<%R!1rUf`XtaW%I zqUyV<<4*l2HPgXvSSA1B;1b15ywh7-d8BUQRMf7OhkTAN82Bqd&91o(cgKbz8z1vV z)xvN(!H@+ah*}7MdXol@Jyx0J zs(3&egO*bqry*E$F}|e)?Q$R)xL7t2*~yE5KV^9$OsueAT7TSDlFHirn2BOy5RXt9 zu;iRWU#4FTIuNrvz?Aj?*}at1U1M1*7Qi-lCReWriqv6Qy1qp9y4r#WxU z1+HS5%{DnM-DAzRhjPL8msX?D&p$6$HGc-l=0o0-o&yY-vX4>fK1{@MZDJ0nfgk z3w;tB>ep?xAbk1K*loA(e2DI8q=}#p-!V|&=@8+K=O|X^#yCYtFQ~}PX)8!sS`yt= ziZ)N4Eq6+tCzfA(tAg$LYD_5Fm~(+7+~ED}f+Bixelkk-fpjZq6j&BuyJ!BviKMP} z5?gWseOpNIPTYxIvYa!lzO3^dLca`S7>VUfWO?6}aPFRBT)eIigU;Nrr$9@xRzG3i zYjWjq%(sxOn2Lu->6cCXAhd5W<+zP`9#D5amh4=`I1evIBS$OQAVMiV=HlKLZRLYI z+?AhBT%tBbOxF?wg3fBLX8_`Rv-W8@HH=jGbNKsti0< zAIn5t4LvId-vmbYl7gymoXChp^2DI_#hfa|+CrJzN;iGQaz4@DzKTNAo#FD_LIy=p|1KjppyoFX;ovkY4p;b4?dwDv2Fm&3Oam zYN9dL#`E#um-7hqNqb^+Wrx>ga%nioy)3ZIv7)kNR!_FL^6TwN;6ZLVaN$?SLR&=F zuBJhp>x4I_%!j6kuCJrI_DA^D&gCnaMe5?=c_xxRzQ*&DSuf|8dae48r!wk2yiD?z zU>s0MxNcgZ0&N6YQ*W#h@0reAY3e^7m`7B~wbotoVBk#@4fg*9-6A60IPFD6TeBD0 zuN(B@gAy-pG4sxi0@HVGiN$i0E-Y7jXGMQwLzyP5P*@?!*AaN>AK6}B_@Q!Z!Aq*lSuPL1r?hi>DItF5QG0{i zOZ0&6ANjnr&JWx$n`p$T=z!hTaLKO{`t!)tU!soQUS0K7&N2eK#VVB(w z0d5I6hn-tK4E`X8@BQh=0q-}qTj2XM*A6+EQFfs`9Ith9fX%J@U7(PP4PrksfWbG5 z^u)jUK^H&8<0IVT53|?n>g~P8*<-xGHG6@1KX8Qu?0t2*LZCG^ewxO4Z`T2?T|EA2 zu3h98OV#Ep0Pj!kz8I3{uV-2c;I?HKae%FLezTX1`tA4R zjr2Sip>s=x3IYH9ZhQsCb)WKHa_t8!;2)Ogvqa~1{b=z#Q@Gsu7EMStUg@MLA?1JH zFWwvZE_Ll6@z>ZmceWH8Mg7;Vb#iF|^TnmEZT$G-Z1lzA6ya~Awz9U5mBlvo_A{Es z_0^{0bKgi~@srMLexBmNA7kq0sZZA9GN8ccO%C7NMcf)6FPG<83~o7mG{jfFDHD+GVX6_jnkF zC|JA3y?lT1*ZbIa9Z^w%qpQe88Wc67F)(c-~T5y z^v4%X`yY?C^e3nx6){h<1m5@mEAldmV;cNlzkjNcO(~9Vp`83Hl5;zqhL@h0_QSLv zN)Jr?VIA~Ce;oG4yK>>mzSt5zJwAJ56GTO#7kqz&hoJrt!HPSLKSr zJH?ed!Kc^HmFGJ7O;pqPrR%2sFztuZ1Jiz3ZGP|{$=*+6&3@Vw;kh`y{~{#4qG#gK zI=A2OY#QNuhI2wMoe$58?%?)OfX|Qj8J{Kib#Z!u5AV>i=TVK1_IOt=5+8f!!sGK> z^to~TvyV0EolO(xMgHGZz3o>EymAxaK0^ol#f!oJZ;s2nB z$FuRqU(eD8{x*;0_}|*VKZRcyb;R~mAI_0s`tX(%@D2V%6>=|J<`v~UgDTqIFJgN< z1R^E9MMbZ`+=~yN=_l7p0UG|z)PK~#Wsu$&Rg&o;LAq$KB@0DL+E_TCSyHP(KEZL5 zNU7UC?lzlrBB}f?-7ZEJb5H%-NX?6As5WYTsvh2heSSuBi3{($KcwS&$*ZGepsj2 zYsuUGhsc7LY*25uxAPoN9V4{SbN82N>HCmrFeAKtxaJ=d?%HHBB0idrNlNx&N|fo%10x zI*p1PJ@}COWOUU_o>e(h__T?N9N84+h`P8}OTCt4UfR6&(yBK}OQnt4lT|N}7Mb*J zYg_f+Xpw0?YD-qVE?Q)9SyHITkxkK))od#y8m*-#t4UsD9olV2o7+Xk7}HYPlN?za zX(76HK44Du3i6g(8l8`mF7kY!w4tL%#cxikL}IZ%$|)t)&U`vJCN0!Pc7Z^(>sVCf z7koR)nzzrQJ^C7I4be(NQQ7A+C9kbri+A0frFKmGn0}NG4VU~Z>GmwqoTHp`(GE@U z_V`1QRXL46=%EmeyY1>?n9Eh)YC4@r=~$lcw(IWwrcQ}cg7o}oO-=i%`Oa_P z<*)b@@X9F#+!6+Oe1rQO)AwGmaJIgY786ttzjb2eXZ03nKsp~t3{9-4p0BCaDxq7l zo-}h$7h9d>&@J3+@m`BWYcS{@S+3*?U~Q~=%{_t#0zNcpV#T*)`LXl&oU{F+U91v8 z|NXaMRAI1TIrvwsKU|Qf2mdm?0!c8AkqP`mO59>3vJk-MmBT7>j7;DkeM>icPu!pz ze=c36NHJ0wA)wFyb;R$=zbpQJWstYl{}ckegT24$Xz%aJ&eK|-r>moO(Z{ZyMU(B= zz%9{U9^c?ix#6q604LXx+0I$9|NNt=CqZ-hZ4)bxiI;NJ4@(+AYD1d8RL#-B|7q&; zdb@5xz0L;`gT>;V7cE}?f+h3!uv!S;Ktianx^P??L~hH-WorcF!*@!~f4}?E_}6#9*Q3S7`q4kBzUevo-=V|aEg}C7P2gYk_kR1f3Dj4reZ`bxWP*SEeO)mU zSqR`06Do3yOyI}&I20q1g#iAQ@~4U%BNO=kvV0T)_*_T|k7HyShyTMh5Q%Zo#C$_l zXzjA(h0B*Mo>vWFGew~qs5nL@G`ptbvf|qvr-iN~QCIx)%3fBCG*$@Md)XB0KWD^9 z%4n$}J{jLH>Db@?SMklqU$ENmh;yDMtiIAMHgn@|wf5Fct}+oz+-cjdoO$rwK)R10 z*rF(uJyjSY@M9Xh|1*+4Y=E#10RNkA8Lf}jPSi2#XW*YE{`Mo1oNAr|d>>YACKQkF zdF9IRf5nJq?_Z{DQ(dOu;>!@RbLhmu zP63z8RcD20k&Lik;OLk2LlcehWH;vKIxC~e9!n0GQxA1bveo^i+~V4(>Vd*9%I&U{ zWDg{xsyB$8CIbEkW^K z?Kk{YIj~$qMdxi>34-W%>UP$;x$Y2&>VeeKu1?;mYb zJ&Fzz(T7G5?Y+cpSFz#Gi=F0|J2vcf4#!`q2l>?YA&=Z20kM z8&&u8iqIyr>O_1j$js9H=YiB0R#);u3A-^`rnid^2xF|!QzNdyP%(PFKum5$)t6Rx z{yj9-ULK|8R&FM(PW1>$lpu}~()zK8mJgA%&$N(M_sCXlrOoLNg-F{|&3$&%a+q!P zcAIch?@&+9>5rjEJ6O`b+Co}=#Q9olY5l<$X-wQ-ZXvBdkV5r|*3$Z;Hgs>2w0E_T zR;N0*wY0jHztZBHC*+8(rkR-@Q1{50ZfTO+Q>c2toO%mvDrtNw4QaaW9!T_n{THYG zjVC*v7YE3kj(utM=-NwmnY5giCavDz{!`NK*-Bcy=d_hpr@BvyI13eJbxRUbTdludCjqVahthJl4EmMwra32_&zlifQ;VhOGPx1mvVwHqb} zYS@2N>@VUokR072t3=+ZI$jk0Z}6u4|AhNyx3Qjkp3BoxUx-F9j*$ucc(dI{+n6?#ZRLV4{pm7Eh#yoajK{E? zCXCI|8ow+5e;#4E*H06E_?ER`t@PPf_+47=!{V!P6yB})KfWV>#@DB5Jo{Q4l4SeP z#0;%54_!EV3i+WS{*;dTTrR#{{Ig9*{#SJ5Kf5FUt2^@NW5ZqSeO*WX$9CjT+jh}M z%acy}U)quXQ#srB*n&ookL^$$mH~oB}+3tZ3-`_3V!Nysx8wx{g0@?8yJ9j{2Y6k^jn$ z{E5vj{r68D`R~+`|5rQmzpx|!{W|jhXh;5+cI5w*j{LvZk^fd5`TwjVf7bq8;)m#md;dj}&c(?TN z>#HWe7<@rTeY*N{|Bn1w|NKok9pzGM{Owzw}$U&8_H`n{!H0BtF$@T zp)Jp$i7nB%w;S+>BCC>i>hdcc^y%`em2vR9{OV>L{$2FPpZ6^HmRk7}{HqlIa&2q; z_#=ka_~&-yKU>!pN2^~-C+?RFP3ZsW@>-+-u^ir;Nx;9Uy!R6Dn=#88@J}p#(;9#4 z4*10Lk+N0yzyg2ng$+yS^Ld9q43|3a4=#BZoHPHCH>H`veXqlB!ZSGhpV%?p-dx_p zDvU>(YdxX|yp|lh^yu0R+(Q*cd&kR8ZcYwMsPzirvKj&;L3`^2CY!S2X$1!_ohm z5doFre_v5yHxxxbG{OHYXYZ&12K{vpsSs0+kqQ2*I_kf%(`S?b`2Vb`gFfJ2)-gWgm+o8H3xA%Izz!WF6Zpia5IIIB@c*+T|64kapJ#NKU(nsJ2XwJJ zGzI8sK~u1a3SA3aP^L6~@a4h|7ko2?&rQ!R7eKQ_b9ia<$Y;9H=UUg_b5{c1Z{X|a z8Lj;Z{#!fZ-@ikA!vCp?2VI90=&5{Y!k>P;()rK?{ypU%^ZzJ(^f_Dj&x!tTvwrx! z8=pt5AK<^jwbxMPRS7;f9aJnv;ZhGiHmk@nGJ(HANB;2|uo%f)2e*l^82e2$7Y z+G|rcUX5DMw9$tRp2f?bzj*mkx(Y87WcPQ=CWANS;D^^?DR08@aPYeh6J48O+wNgB zAst)m-&LPur&yoYbmTwk3D>l}y4w4Zj{0h`PaEMMo~7dV z3VS45qCEZlQ_=2P()Z}T!xHx>AL?v}ei%M4+KJ1XVi=y)@fdm+eC}tC!Z+LP#UCaM z-FMOOcX2s|j2lX@F;qtFM)5nYlXZx10q;?>HLKK7O$i@M+X??3(r0GW zXViTIZtwfs-*E^sb_4n6rTY)Gx_x5gFWp9^;$y<+I}jjB+|aHel;YVsXoqp|p&5~C z{72wYJ&ixyNuB+})zJf5#wU+&`Tm<*jak3ILY={Mgk zZ76V0Zpi1Cl6te!{)<5%y+1Lggf{LeL~uoYw)L3G;m=?^gVI<}kKZD}3}npaXyK z)ugTM?TXLs*RIzN_f@~QTViUzp$Y$Z{-fd({Tbj7D{bzL;?H`p3xDw|E>S%HRbvW@ zk6PrQkN=I{sQ$}>=mTzC9O|61vS8d*v1ow{O7X3Z_#Vz6WW(suoA^FGSPpT`-I3bGR+j8u4f7%a%7WT?<~k&>nV=5D%(3dH|hGT zydD|+we@vn2o}gprz!ge4)Dz)d+;p%S|LDa+PUSJAH%iBrC->?Rb|Arp+t~!mSAWx zKG3Qnq(S@=4vyhR)R3X)Oh@nP>>9*@$`2S23N@fk*iFsx=UU9`XLPK+2thlyoM9)& zhv6<>LIza(rrJ&7Ge7bv{Z?|ap*QCG@PfFO$-+LKmi|Ph_k(>aj*vV(^7liC?H(I! zt5qdC^o(I&TQbPcVW=?tC_F4P;*(4@e3l2ou_3bOzU4NdrBq?`CKr73o0pODF*@Mp z&tdppE^TA<_Dv@*m%7_GD=}CO<=P!MQ+tWk{}0^zzYYH!zW8M04Lv1vKrV34$21b$ zwm1UF?9zM2R_?2Vrvtp-qHo|Io*b}t;aZ+}plv+9u4$@xh))^>Ip{+?r1-$$4UZI` zeuNF4zsLV+DJ$?7PI#0(jk#4{&dn z$2UE5_}GQaHu(CpkoMp@Dn@)mxRJnbUIe zW;2Ar)rK0AkTlx^hX{XM)ElL$B#rvX_bZ?XG1Z?il6rml*E=572^mFxXf=CVT!ZRR zBh(V)vn15qZi9FO`S(RG=TwO@x^tifWY%Bon~`GKTgZoOgj194iQ_`1$LrmMUwv@0 zBHmJ(`e;RxdCi&&!Yb8#{zEl5rdsl%e;@U>d8Cux+#f>~9Rh==KIL=aY~wca<3 z60Jv48(lV9^p!(g_VrPswY8(?$19t9`2LY{(Y29~pCnR?>qtol%Z)8WrUkia-#{M3 zm5jrGq!TN=BM%bIHbFNduYwG~6VyclRs09B)GJiSF+l88r}_ipO>|lEk@mGj{y?yx zh)h0pdB*H0>d&(w=#JNW64NbB`1~OmBYuJ5+DYIW>o4G?!wu*hu1>WXAti z#5+&QV;5yShQATbnrf)gIng}Q=!u#e3lY8+Dnz%VPUnDb)L$E7Hai$zhk+Q~G2?Nz z@jQ_?tRAB|(P9`peqF+4K(t&|^BG~r=mVO+g65s!4(Fv%kw=A}|*!ik-& zNb7Rv5?)}Q>RRK+B~NR7y^f_5|E~JS>%rFg&;xrN?44@-uJ#IlO8q&8K16?O|Nn7{ z^`{SX(Z}|tL^CqcU%Eay+?Bt+WKl>Fj*$s{y2dAaIAUCkWG)2wYx?bkze&lvHUDAy z+dj+{(jof2rZ)RdwmS9|@XW!n$HQ%(O~ZW={Sw&B!16K`Rv@>59zOBWtWe#AZ~Ng* za)HM^nxTBWT$O2$q4;g&#y#A~Co+^iRY`^0HY?AD>CdIO(Twr~e$M%y_F_3XijVgL zI<>`ze;0gk+u--*_jNHCx#!{G=;P1rzJ^`X_v^$#X%N4}NcnsJ`1=7(?c(u0uQvE` z9tw)I!Ph#xQ~TP!3<63o1W`r!AOG393*Ecw&z`CAYNLO>pBiPo9j(8xB8>A;K-@6i zAJMf#cK;u^E)9!{Yb*fIwLNfeuh9*Idp^0#ImS}_?E9zjtzXx?SkkK+6`(?!NFBV& zHUo)#;gYTA%$^gT{9zVvxQu;&uNZGxw)1+dxk$M2b>ex&+fA~9*MGOT1%e2$_14P``OX=1c!+BX%zPsDXgL$QTI*3{bhQip&w2)9My10m-fy}6atGiRt{vi=5aX=^{XdsKS%4Q95yD$_eJkK_p&kzr0^>*_f{vod|#a->mw>J zR{2MQv+MpY9j6L*vS5cR=QjdBQyn_IOviD8y;3miUB43(ttPUvbNZ~Qu+3y6{%ATL zta@voCLDeM@Fd;gfz-+rU>jg-q*;Whm~SI$pwxE@w)7x$4rZxSu>P8*4)ca8Fw z3x1;D{DkXJ<)}2J{=v#E?S@3YJ%z8U#J31dMoSk%7J-Wb)XE8pigILx5 z|6*&EpR4>GI@l2Yn2ytQfX{1KU$4Vr1bH9UL0>Sw|EBtFb=Wy{^sg&*Fc$FaiIyhe z%_$csKU%PBb#RLYTd{w+4$e&u_Xg>U9JlGfZpH`n(%PXoP`SlBZM0M{|4c4BF-r<+Sls9?1Hw4q}-$ z-%k0zD?ds3vy~HrTj-$gt_VXB7@HgFJw*Xr0u$0t?y z9_8yv2gbGEs_bQ2|Je#0)uR(_lgjbuJBim*gol0~77cMr|L5KVzBp9p;G4ZSDt@nt z=lF~<_68k1gG!7tj=Wtt^YmUi=*v9o@_pr}D8DJj|05)qn=}v5aSxSm7W`hy&(Zlp z;i3EOf*mB-D&_b9|6uRAs>hG$%KDJD0Y9C)R|&??Nd8C1&xEsM#JB!PtWqW?wk%Kk z&Fe+~R2|6JL3lhALD?VXQ)qYBaf^;qg#$cobLjp*00030|9Am(Qawx*K@@(2{CM(r z99&~axWZ5<#gS+TD2Cm=x!X zs0}ti>w;*txWO(s(gR-h8riK~S! zz^Qmncy>HTw9y#W4^RAQ7BB=$)A@l^j`I9eZJxe@aqoTW5MV1y+5hTehplXo^7wmm zZMelJBe0a~MUAGT1Gg@Xk~N5wDrT1b`e_TeQds%LlDK^~P;&{Tq-E$+eMD<v7#)&)lrHT&n;dr~Yf3%E#XFD8W#tn`mo<+NTw$ElJw;y$%WCjT{ chdPQhjF|B+n>?CiCdtz@t`ke~2LJ&7|ISmPSpWb4 literal 25006 zcmYIvcQ{<%^M4RTq6Eq;U7(TU!B@15Nsq7xBB-RQkU@4bs&qwTVKU454= zKcDyakKc2j=gfI#&dl6QWy7LjVdcak$fB*xqGw~t^1<4{`(Crm z4)@;7g9m@i{v!{(@&1oiS^OXM$2rO-Y-jq=F+@hL^ANnmpq|eX_Lw~v5YkTa>iZ*{ zzaKQoMM9%q6TGbfSO#3Lx4mSc=21V2vE-Ky!h02F$*=$PS>fu<-NXcF614j_XH7;d zw12e)+#+-b0fDhUv)AgRWMpJQvd?qFd!U9Xbpf8t!x6f-ySCvywzq8#U-#VI<54OB z4a(hOo8TGh(L3Iy5CieoBbE(eaU8PVBQpV1_!Pm8$?bv2&C+q9?}0h*<0vFukUG7&GX37^ zK2wu8ClrO;ev+s!5RgcIRV9?S?xHZ)HW?L|NT+!!!FtePE8lTrJxBb^@ek4??wFxW zLDr(44*7M%c8hW_GfAD+{hrb9!e>@38!Y&otaK7Ve~Wm@@M0$lh{2&vaz;!_9t)qF*jR_>sZYi-7NQn((*Ou6#R504JdkGd;Z5}r12<{r;6H(cW z5Mo((WAE3doY*Ys*b5yS^=LR)vam_%{SE9o!drMl96xjPZ zc8-$3pde@DSEIdx)p8zPyhE(5aFJ>|f<^!HDRY}Gq=B$}6@4S+o+tMnUR$Ug`Eau{ z4DalPwhEpgw>5>_it;Sq%4K9x@nX%f!VXG#d!MOm)53f5AfljJO`h_tnoa34hCBc`xh2 zXVO32iMqmGu^m!quP1aOazyn`H-*&2Voy5+4Z&BFCF;`F3xIWo{3|6CY?pC5Q5AlJ zuuAgrqz1J|&RiSPccf`0insU1fy*}#6%S1ifzr3YZc@`lf$I-eyC zb!A3`SO{||Y|r$3pwJvswrQ0KI}^{_VY;5z>ag#KL^$YZKl z8gKQI%*Hs;pbam45MjS+6fWBhJV5+zIy*xwoJ^tqZp5~qcMU}nHgBl}ZDNfPVu`Tl zn15!{P&8qWHnza`A0@%JQy7ThEIt3z-N)+hs9R;x&E)TU96OQrvDpU?C-)O4S1xak zpL8zNzu1V3ROUFMP~(?{y6puEPylYu)Q#H+u)iSoX3UWUghj>;)jhKaK?D!53 z(j6_q0NDQZ5%MTo4QqR+vQKo;=L||e=9lm9(vq&B=E@JYPy6;oWXo=kS^Zc4;Ux~B z%{I6>Z9-Gj<|?_TkJW7a-wTK1kyG6rrhw7t@&r1}mUK>`_xajU0~O+!7xf`D`QT$c}k`krw@^FM{OEylHb zI3^;!G7cP5wNAN&m;t%3a@b@A52wxyK!*0`y14_|NCHguu^j{bMR# z|14af_oUyl;x5cO)q5$)NA5_J7SjLqf<^OjzhZhdYF)@C}AQlMCE%wpDd zkXJE@kyhuv#jXA7iy^kB`TNCpk#6cl6mNOWPOfc;*#740^@A&|yjl*m;O+8u5P64&=wP1cjXif&U8k4|UP*#y99KHw1+KX~SMU0-(d3VZRl z0PN-(ZOCHsTR_ytX}u=efy0Gx=yeSQ?QtU@Ec9{oqixf*e+Q(U>6430)xPh=53L7ML3= zW2Q3+$1qOd2^NNgOjn_tB$Gabux=t?qraK3dFS#rwN$cx756>bs4$ zy1vic`GHjQ{zkO9V`% zND)05e6p%KC*}#G&^{i|;+FARsVuE>b_l5E?ayv{(aD=RQ%YC&{iINfqeYj4#l&X* z2T3v0aIT8(sS@9sQ|iqtP&-czi+m=#=i#w%@dAy7Abw}gdio%9Y6YcvJ6U{v0&IeU z)SDgPD-l1YYI5?-!0E?p)#Z4}k97&=GgFezo_uh|N=$Hh|I8~BFOxQt(pQ5O+fUx_ zF2v^5b{LVph`V%^H*m}U+cG5|e|gd|r{c9%E7$uA1_qEo=|dg?a|#VkJMS zti2ATxt$`?r&_2&GlsOZ48@@Q+(7+ZCy{< zd&x&mHOjGtN#@MN&u7f%e~^aFD9IT5XyogjI@UN^5L%FYb|_8$6T|j9%_eyS^oixQ zb3Tux^`Bk4D=B@pRCc>XqOE=@q{iS2@pn z9huVUGnBaF=*MOn`2#3*#ctLL!&yu&szO)!Qo?it)1C5lAx=+y??`)r>5pL7>Zo2N zPJXM^2@=!QqHyWE0G;vXZL2t`(zi>gOkWFC2O9@w-q&xmBA~|kGF?51UXoFP+M7dD z_JKEUR{1iOJyqq^**wqE_+?q#sAB!WJkP54SoV(T%Ke92^L1~jGDC; z%}xjGm&)rYQDJ{7EbNGImE%9`#K`%0LGdYvV-&WB z)=oueuhxhjlEjaWDL0Ldmt3%ar-~m9Iwd4g2=Tyu`#%4hTij*5mm3Ibc#uV8{UQGw zKk%|0G-p1i$njK%P6UKF|HRMzNt)E0_bQag`j1ylux4jBaKZl> z48tl^-0Ftwa7#4AyrQfmm&sRmFeuL9{olmMP4)(fxeXO5D@_WJwRawR= z9>Zp$blb%A8~kyV-k10KOIgnA(N`?n;Tq@{MqZD8fJW)wIMp~#61^g+96eP(lnE0- zLT9UY{---SsTV1#-!S{|M|11r!z)pe=za=Q|hO(X#DRq|8H(Xt{Q;nvL zg|0%OuZ6e(MkIhG14BDOj|-w#x(eGg)YZ-c2ZNMRZ}QKR=PBt89d9!*G(9PrNS}!H z#UC!E6*yW0}>JibTF>cy@Kw*35h~ww%kv; z6mV(hwD}wv$9EmN6f>RG@}3em)z>k0{pcp+Y_{DtNnHlcPRZO7PcmBsr`iy(s$5${ z6PzjcY_a>cObsYy=;k+ay{@jtQsP*OvK=5L$(zWLd$ysdvK`<0D6PeMUCQY{kK-}N z3~`cHxSG=CHefZ+Wo@*Qr!#ej53b-8MWBivvyVovDC2rZPr;%pORTTfk%)JR3dNc2 z{20ggtqQ}`8j{ErQT?khB5Mzj9fYc!IW763?V-J>j1#WJL=hX&iur@B#|hswAe!l!S$8dRM=d%iacWyoDR)#&YFSW?0$laR8$_D> zl%N5aam0N3S9MMrqjhcu;=|ThWej4}{3k;OW^sTh654GQ?hPYVS&eUifPD2U$1j_#sF> z4pw@@jBC}!P55#~sPu{m+yI{dIiHnH`(yyBT?C#=`?e~1#1d%9mhjjW*AXfgQFg#v0 zO^lTd^ajM??KLNJ9-zWcg8^69%TA>}Xsx}G(2Pm*f!isV8_!`$<)%~c?Dew#hA&s-Cnff_hQ0~ zjh=au=21>rqRCBMW6#(Go>-=Kv+FiN3)Hadfu0)+cig%%lp(0g-}{n7)P)Ca2|Hc1 zd1ydvDBV))?xR~ir3$6`j7wuzc!I){#Kx3Sa|N_?noThu3Ky=%|1tZ;w1!T-QHRTo zR0!n!ly&XG@NbE^Ely8V#d}B_NX!t5uKQmf*H+!K2K&yU-Iy24Xs%$MD7pweeInrV zlPhd{EO%cKxQe?i&Q6Q^3g+Xs*g69~Y&IHYrddSSuU`S0`1qTh8cn`kge{~wUHY$F zt@DA?U1%)-P-B4rEciTeJy^?F>qeWT%i(#X$EOJ0AGHKGu%*_6(`ad_ijlSNS6#}$ z#lwbJ@Wd@-c_OXB*O>XNZ`b>B=F9*aR84kxxQ5mHd&}&$h$3bw>gD=*$co_yUjeFk z5ot;hk(FfFLz})j*d)mw7BF!Oep)@y5{sk{4(Z1-+oBWOoPY#R?^nj?)dm(ae#^W_ zjT$TH?OTY%2Dn=Iq67Mn;N9%zo2#)~Q`5?|#kDIdI@SEUce+34w_NQf>yU_Q;m25a1{ONwtDvzhD|@mrUxpgU6|bR-yAY)+{hH*W4}2pU=h7TpjpfY z=lL$*IpoP4fe8w5=~4N4E`k0wsZR-BE+pblgXUJ&6;(ikUtqiB&i(>-21~GmC)nbV z)5e^vtFDHfD>0y~%>q`uLtrv(`)U`<;bMugd)~en)#WCR1!!Ux`ThFPKUFPI8v%Hz zuH4lwskgU#A2c%KumM%(@6WMknZK|B!2k7NVuIKURQIqAV8oJvudpQaSh9?Ja)2%UZwv^kum!p%8O9*# zvg{nJ+Ckn?DD2zqf4j^TRGigacU@zmc?dBwH1_~Dlpz`mdMQH}WRG_W>aeU?`Vf?k z;wv6Qz`*b8u5}EWTgw*BQ2Z(D!?Hej!I<&&@PejNwUwYp%#}AZ{}`gbs9c2^H>&Q7 zkpUDCi~Top2kT>(L)O(bxShLhr^yeyqPrR%qgoOZ|5A#P2mhl#3b9lV8roR7TYz(% zYDyclpOtq^K5p(%B$w4|^R4&QGhka=$?8-&QfW^dRePY94Le}c&B9aT(Ez0*R_)5OjCtnFv-U8u^ZIfiAYGqW7-jh*U5@iyoMM$6YfEjum9vHmLPmg#GUI&tv& zX&eUN)s^@F<3^4V@q-W|uE~K~-?b0a0V%Hdbf%d@H@RqCaVc)Rx>LO;90=^MsJj;v z93EjETjPtVCJ9Im zP_LOR{d=Vim6aVQn?m^310Q#eY@0NHtFB!*nc*DXMoR)2>oCK2_PH;}Ik;jZG_eoj z;6w7Xr)!sih5%ew1R@mJFL?Gz3D*@Jb+fp98w!cp_l{bbaVyb0utGlyX0Y4yyJU5j z1~Gj;l`13=XV%6Cd&%@pYhOR?`YT^GK-HLv;jGN-h~iG*(@Kx!hIV#*HAJ>-y`h~_ z=dJ1%6F-k)PMC%ymQw>N#7H2ULzkECvCQxeS!zHI+4cDewetu5*yM)73T03iU#&O} zWb>gcM-&-^O^&7-JN^;`TWFXk_1OuMQfGt>re)vb$w5574wlc^_OV@eC~ZQvn8gbcVESbN1CUUOr9|KVzWIS(;7auG(9mg_uR#IxUWgsft#9h*6ldmt_5BZ;0UEs-f zBh?ML*vFB0w=?8_*1iIuYtC7nGT5K46%~mh;5UBoUUz8}VS^?`ghn|rDn8?sgEtUb z8!kWL0A>N{JX?L&DZdq5_wf&HbKf@^I&bhR{^TXU;fbHrRDeP+co!M=XAS7zhJpt+CGR}#&x=1VcgYjMx0a$gu0Zmw z80yP3@S9w;kj!Zq76{*cGSZy|4$s!wSP!$>8)!KYQS$wRO7h+9)xJ0>+H6C7P1a)+ z1Kr@fc{fVpc^4s6^E!Ef?rrWGg(B^@Dmnu0grM`7Vp$JzNR(xf}d7m zc6~OjH!0wHPd75F z*$IC6Pbuo(a7_pW%ld3pt|`-SWW318IXr=)A$zrag0fLgi;_Gf+FeIy?0sugYUIUW zHL1_EOs!;lhj{wKQbiR?$d~ls&F6jxcT;pVsA$ z56@bS3+-I5iR}iAA{u*RZC+LYU^)|;Qf^VL3mh-RHuR-bOmBx6PmRTzy#230`JQW3 zjw`EQd@o)>?Ljl{R~Al$A$9Ch$iAMJk#b)pVI3R~{D@8sZkD+;dxs;Fu^|!J+JHjg zD+f`r?qjw?a{K63jlSg`?8uWhM|E~$oL!H4#~gy*O8Q7Zfy`$nR_Oe>#nUTX~W z-W?aY^qZ;Knn%Uj--vGt5U!g%A4N*D{Y5akxQzqS>eY2FS^|Doe(h+8kEWjhJ?TZI znQ|?m{3=h%clL;QLY$2#W$b*$B`KKfeX>h9djg7$D__$5+kHHsE^zDqj_MyQt}RL5 z(bJIi+{*c2s_I=1#XuP!ZnJgD|TmWyQlUa{~VS1I8H;h3qX=j*yjw>NKywK z&|XN^ubT3W-!Llg-}^BSLe1kVw{^6wcCXL=vVoL;)+AYr#~pJvc$sh&){Cou`_uBW z_=(ZERS%fqs~%qT3I4E8-&V9XvMAsKYT&Qj%L0Kf39O`eBPKQzUq9!c98wNAczN)g zl*Q%mF+y@ukU8X&qT`Ru7rgP!p5T;&3J18+ z3g6K9r~#E7t~uV;X?v4#{rDR~eN=jf_#sVmbicD~_(b~3q-wPQ%e=BVfgn^%O1kGk1sn za!z^`=)^N>?dxXFeYzH~Bn5wIJvabzseHgzz4iVOeDdr1_Lz1PTIa>aw`i(>Xk1dP z?D*w4H%(^1c!!*joDiW^6MF6tPLh57TJvtp1d~ZHpUWr4J;XZ;T$3{iP`yOR*s zN1vC=O+s!o8{Sr5+3H0aK+=?(Pwd_W_k4<)1QVSlE=RT4pN|CHMUwRQNmzL$$$HFt z2y|a$dIbB4)Jy)QAIq|SC>}VV#9b0O&v29e?3J)>&oP3GP5!A*T{u@*LzmtV@~K|K&UK zhFUq}xCEvyON7f)sx`q=4Sg(-`}ncx@n5Z7uEx1UU(d%2QLX)AqZh6r`;=D#r^6dG;(yW%fNp> zxu49_;VVeuvkA1G6NDPet}^S2Q^2UCOoO*vQoxT)*dEF`@y1^pA3ye@fQ) zIC3(Q0l~oW0xk5^{MNJmCPnc-@1|*yuYD8H)55?ecGXXzKOh8`+b8vcd3+ z6gpWRl{c#=Q4Qz_TZQglU<$@nxT%z0qE# zetz@?A-=WwM7-q*^Ks(CU{Tc$jGz0hKRQ~^UuXdlaGX8OR~JozKHWqtNkG$tyT`%M zWquYdfPYJ;i8N?(2+H*y`wm2yPY)$ynCI+H0{3C{!i#~TnL@tHrE~lYw8j}}r3hu^&0KkK`FdVF(+rKAa$O6^6O!Odf2c6N6oUMT-> zQ}>OK;o<6vl#Yu&D=7p5jpRgH_}L7806g!k#=#0~c=Y_fF59?knY%;2?S;K$9{{=G zVF|^cUBx(9I04E}3<{7(tU_<=l-*8;=;37a=iqAu(B$CQ=I*7ex-ksoi-!%5n5>rh zw+{E%8?pm{I~DvFYJsM89(N$`^-0C$;3pTP!n)O|?Su*;dwxJu=e6t4=-`l$z2hsODE*}% zh`s&Y>K8FZPhvA03sHT#NwiA$84ekHddCjfZ`gGLiMYgEo*}uXy{ex+za|Lp*Bi{K zg%ehFhIW_3l`oS%C}RVC@#_$J?m=0i>URTKOSVFxFfnF;Y*o(g=HyVy)vWhwkV9W{ z)9U{IP-vo}xWY2Ss~O)*7zK=`v6g$?#<*2_vk#)>SiQJw@TZ!k5A`MSAF*)YDdBNC zwKP6yCs@&x0x4$|{JpKPwjm{fm&#e&t6QRavXp#6B2x+4SJzmtT59)?{|jL~V)6o_ zx7&@jg&Rc+kb=Q=zj8#&!n@i~4^Z)vr^q|R*)3rH>0Bms6?`$ufl)ZME0DX!}J!qEK5hi8>$?P|LnvZ@&57Z?oP7xDp7 zt&ET^vDGUj1qS`8-LIp|;rSnwS6v)=hq-!GtWMKqqSwdYl9z}KHN(|imTw-pzLoyq zPjG`EC>Jls1(89fkG0cR^`gM1iPhey4)C@RN7D~uShek=&F`6`I}dB5#IrNdfGw|C zdZ77aS6*4^CK|R~=2|K)Cw!TH`Z@?Txp4I6as+YM^M}@`(7O6bRtL64oBhMWs#4); zF}Px1+dxAnqjY9#_py;Q4JVf8{QMeN#sL~oa%x-2j8!i|yo&rf!T2!R_AlAcwHzP^5z{#h1ABwRN6S*2Y%%Faf2P6?4qgNkYLrw zdU;bOX^bP^X3yU5T`zg7WjwtOmVE8Ugx5z8!A29^W=Y*%!C$F?^LVlsac7BV8gj7h zKvddQzuns0pjqw0)2890v94GQLYs5%Ou3lM^N&bFTBj?*_%s7!0ykTs#9lF-r6*3o z;+f7=v0{lmO@|&i<8^l|(3LB3cl7X!{@y$MdmhT>?x5Sn;0A)1yFRC={9tCCPGk8^F8-$^u=+# z6S{{`YXDY_0WEL^zY|vfd#Ax~cjw5tu-%aB_m_v$F#zC-%I$AvVBxC`F)I9jcZ9)4 zcDEs9&_HpiJ=L#4sk|avK)dhiSH&j z%7TlazDy6XO!;7}$!mepT18t>; z0=70;5fGKaD`%*&SSY1+|1S3w_UFe$64~{fa;sSKY5+_e)v|Mm*$N!Gyus8T(gR)N zgDGzHjd?#_uC~2ZM?K&T48-_m_nI~?Mjk$!C6uIa(y7ueIn8a|y?DfAwP?yEq5e*N z&ha^x@+3vmt zhVDv08FS@Gr5N?_dV}PRxXn@R+3riGmD`O!Wn-A{dx_M5DSd3KoR1O51F_&+<+zm4 zlq^VFF&F;eKYY-= z*%Y$lG5-Y2)Dl8YddZ)3br8qfTAC0nT8rQq^nxL^HY(;@(zvav&#+YqF4Ca%132q+ z7Z32uioaUizh$98g>FTx3jHQCpj}Ji%8RCXAIUMj(u{sQ-@)t*>bcu6>K%z+S+a(S zN~W6x5luA53&I6k5EgG42~C+M!(r(A{Hp2FuroTw>dD2gupzsNWM4{In2^tPA708q z#m&UDpfub_QZ^hbncd`z{dNEh-jR;>7(sNIiUUo?5yT0L$;gSs$t;dF?O?uGgj<|V zfbG*0KaI%5-ARPA`|L$<4+_B;Kzzb(Xqg6UdWEVq>w_$BYi$U~hLf!>Cv|M!2=~8$ z!AtX0U;>2LW_Yt2!HsZnB6Fk+_~tR8j5dleHd2RY>g=|E_x9)6MO+CbFov@te?2{P zY)<5mG6A%VFuJQv=x{soo6^6VIg!5mlo-ATVzDWKf(Sm0>BV&76_-P16?$6((TX2vp#fx;(e|LH4;mKp%98i2TS`JBw-VJmdce z`jl5lPtwXDWE1YWVF*H198fpWl&XO~p&)GoXdOZ=gqdeviC5Z={ zA$Io6oTl$l=M`71h=8^V=0bP)89DsN`>U>QyY;lQPt+Lh>Dk0y(-zpgaCJ5-+&J5gx!n+Hm@V^7QCJ`5k*-~am z>atAZlo#t!H!g4BU5|ic#Hh(Ii1PiMUs0S9m@QNlksYVSitX@klAsz$!Tx1K473}S zivx_)#uXQ`K%OeC<^kQu#cQA!&;OR^B+5SopxSXrRY|dFL|F}#lYkn6D}*sD56es; z9+cH>;1n1<3y8?<;A|&?9I2j-uc!Ay$d6U;kwMO2s|LSvgp_urP_cvA(MvvFoMNU~ z4~LMRYVSMeep|_Pfn;RLC)dNbADDPOWWC2ea{j4?7+KVzFpuax zJF8ANA^oG$`a~4*#rv#_1wUe*-+qwUEtOvw64d^HSJ!c0R5GLgqq>);v2BL7rhAwC zTc0zyW;8y`jG;o;l6PFbBTV{_Hu>+&HLIiBAlXZB4%N1cS&LduJp@Ul;8^!}jJm?*dtJ z?6u~)Y5<{4{3HBBjjDY!UXOK`5_2a#ucQwOV|P_IqzgU2KUt0e{U6EwW?p<@M~pYN z>NE=}f6r(+lI2z5HIYcUOKj2>nKUp{;*d2Ih4*guTW&5{wxrDo^m&>8P%rhnb3^hj zal;0}~vv+Gd|x0eCO4M@pj1ph^Zw0*dH({Z$r^Vx@0@%G2wbE9Ya%y2WWvnme*nURJ&%06C^hNh){6?*Jqo#JL z3HCIeK&HtO+M#yKHPm7KSw~X0s52&XmU#uI);DspSG57}gFF=usA|M2a$7HO!DFsNgAxzTDvNJ(WHjGSN4|>a5Ju6Ds^n>xCjW@&&BZMgB$5cg z4sQx7wY|z6-<;-%B_5uPTL4K}Z1e{HC_?l9k=3YhST_0TVEEj)v8aKBfG+;xJzkB* z`|$#Yo2&|l2Jfo4ppY%;<@yDtahVZ|a2QNYqQ_gB zyM1!LOOBBEF*=%Sek4nFNLaX&r@mv4KiCXQQ-FAVWO5wDJ?9@#Fc|XqQ>=f=ztA7C z9Po;-lP}(;WlNSiFCMgvsP)sJL%f_$hCvw~jo0fhfXpjAWJ>$vEzbxz^A1Yd;-sYbav}f0z z9u?cs`vh%3+Y2=Pz!jROhZ%(}n%n6~wms#0ZtHA_sj&VsX7T1<> z-*KHzctO!Y!>dHN?BIws6Q4YHXT!Kz-_@3^>#xpBSVIBeor>R2$C1m#(;JdNuaNNd zOqJ7et86Q9;?$Wq(@^$%P^H|$@2G&TnXc`iuVi1B3e!z--Ku;uS*3XM#a{Y;yktE= zvOBBz+b2}BwYYzenc8`RW0Y0NquK1ApBnNEoy5&5qBwHEJHZZ_qZ-twk3fQShx`|pwuKs}l|3QJ3bC;psi%leja98l zmJNty+MrOQNyZuR$qmuul$WIBwP$V+Y{U#ll@`I>sI&Ufrs9PeuK|k1@8-=O;i`-qi(bJ3 z#TMjQYd|qu;6B&>vS<08@yCV$x>wp98*{gMpRRNieFLH~ii&8e9o5^zx2uNluuVRT zUHgXA`;)OVZV(zw_$7ea$^|~5HA#Z!*F@=d#Eex+Fa^+GRGaY?y zC(O;i!8+q{fAD6&<>}Tnp5{mWr19M~56Ppxr;EGqs&|48QU~%W9P-*yWt&~5s&~dr zh9++=1HhlKkt(1wl0Yoq!AMD=Z-uw$8t0^m*=uU40J1)IW376jw(67oEa*FrK` zW;WHr8e?TVJ9y#RJ^HZ!bkQigZYb^bJl01r)Z~YKTy8M(;ftceN=ZXLyvFZIDXwLE z9(fhXT0>|-7S&2NqR^PH$FcJiDH~;0-rB zsb0|1RGoD#>d5TgH&%r8;%cod=Bv?9_*{?wjg5%bP$_z_@e%+9_nbvvtKn$cU12MS z$zrzN2sY2hoyuVdld7FL7S)X$4@-T>Y5jo-@5Ae4RQy&O}yB7H^odi$L2&ERUO@**8ifPGP0)ildL-I9dTK6v%Zgirfa^3N2I&s zQuASN!;-UWp?p7+rF%h@%Rl7Y7{`{Vc2|2#Yl9GcF*>wAs|{~FpIBBYvq#jk=qmHl zcf17TYeI%;i5C8d_KGFI);r&l$!KS^@MX9;m2z|nXW9gs?X5UmG^}PBGasyp=jYri zt99V>PKs5KT@lF4IsNk&H|_y&5CQ22^7Qnc_Bbwlc(o9reP2H2x5eot5vR`n)e)h1 zS?6JK3Ez}|2Y~Fc0W&UAC1>~r0+ZC=bsZA#`(?gBpD19vJip)cwC)+}{e0i*!!rtZ zq6^OQuDW++D|ay4s&OhtceNGv)V4H`*GS;-eMbY`l`{i}Zncesn7VDiDV5|Sud1+X zvxZ>5&CWTsj89I)#+vx7LXJycbHp%tmt0ZCTsrE=>Qh*n#q$z?HI|h(9LuW&|X>2%>-g~AnrqN5E3eR7f zVpiE9>+{=A&%BGE4{7tw{w=H73FiEjjC#V)yW5xgRG{RuT-AM@p>)*m;^5vxI%uZt z^)9yRqML?OsP%^%C1?S!DPPI5Ab0Fs@{hX1C$88BKb2!xk0K4d`pLEeh|c1rBP3LqQ78U1Z`NHsYZY)cke)3c)&z*^nmkEaC8s45s6APDFNRgWu zF6vJE)E~TQsabty5~*YdYn8A@ae>Rv9k{>+S}PI*)BNso+^a5B@7E4euhUtspXV1hwkF=%#ZUqa> z{Cq@zGFhrrV?=>@6Mb8(QC;bZQyFs3W!kdtOiPLgnZt9m(qTEL&p`6 zk>BNb`fNz8>`chisk!ZA3OM?^85K&64J`HHDpqG^15lx5=EIkh_d_XhH}$qup`ez} zykekSBT<;0JD)$MV_$uBwlsoveGr$iH#iEUr=L}-E-Srh^KPGAR*2|4SVSOiYOC|D z8W<0BI;=@Y>rzKYF#Qlv?alN)dg5 zZho-7==3vMB}z@Zil&|1`pF;wrU=8(RY*?eNL%fv5h`X;=>ecdokyUp0Snm9Gn)$LQmx2y;g;eW%SwS zYMy_$e<+*b`N{KsZygu($KT+FQcA18hG^rqdUrErCCY}#d^=zGAyOuy-of)zLH=Dv z2w{8n>}}kkrjvJ`#H_BkRYiAr>j!hwLKRrOQ&_{yRG;FUn~0sTR!`XtC4zI&GNXIH zoqmE-h$5hZa95ex`GXf^%+n{(x-3Qip_$Gt9&Hp1D&}Z$^ik$lSAwP;abjjSa|mrF z4{O@)&Q}U+5}C*IOq7X584S9GFGeFOJqRwJtuWu5BLH)#9NHHKi4cQZwKtdUxlfql zoXGK5{86sG-LU;a@=0hxQo8OjsUWTW1AxUZlcGkZVz!tqU8$zG4J{_RZ6kr&B$He1 zgA}>RioRuO!2qWu!qgCuJY@lq_ zt^)ZR=asStFwP^Vt-c!Ybhpdz zxKPGfW%X5NQCC)BOHbz}4hGEF88U!}zOkJWZ`e4a}hsK1TCg3`m6$?{27B|Y9%fG;Q2Yn zf%w2%wUehI8mNW@yW(DkV{w*=ans}TXMSVQe08ADn`JU1@#-j~$ccuT%#Q0h;`l`7D-0G&XcV`80m_Sgf7YP0Mu-PRSA*-Q zzHOoZR?CIfpLCMSJ;(=iBS%Y@td0vyPuh)4RuGBrF1oe%3;e$T z`yd40hyQNjUn(EW6-}3RvDXm6%eTwB8vOj?joi<+4#8gUXC64yy@{|c_I|b}wo?4} z2!D?7c|fg;pIL{)qfGh!VrNwruI{Vr30|qzi$DGP35vrdiiz^6ld1B!xKJ& zl&P>vQqB%vuninuwtMt*&V&s_|Mqyh zH2V7YZhpZ3?5APacj|2cEXUY z3oN!GMzJi$PeRdn9mnUl)0L(_gS+sng$PdhGpdJ?;ZJaO;l%j1Ta;IzHYH zpO^kG(>dkyjleKiuh zc%m5|B#(ct@c9AC&4lCSmg|WU-|IiOLGEY7N7&(EQZIMk2DvZ-k+b4F7H%+ ze2`<3hq{*Ah~v-3 zu-@Ax>Th#uv;kW-$n|R1`zDvT_VDYaFGPE>)9>%`)DSR|j|YGMt~^_=Cv#&TFn&m4 zc-Lr=LCWDqoFbNKv5CWn{q%m$pUz3e|CZ}92MX2NdfT_-=NzA=e(mGLGxqn_UhCq- zzRFGep6B4U-k5Fo%8Nx+t5*{Eu-$bcITr|v_cydVzv2uS?jQc&L+!$t@pN||6Znn) zrRKHD=n)^pGwTUHY_H5SR){XX-OyeaHndmNH!X@35RYf!r6Y=mW&EjA#k(8)U+*vK z5lXY;Rd56UimFzxB=BMUACspk&Ybl>9!&fOD5N5mY0(ir^uJ%XJ7&ch9sGZD{Y;)j z{2vzQ@z=WfA@+-r_3-+DGgtj}cHLMu$nbwLtk!A?d>9Y6ckSmWiqks8!|eLad917^ zey+|Z@S*?9{mlx`D#fX@{>K-EIV34(2p{_Y_`HnbtquOKKX0ayO(~9Vp`QFJl4CoZ zg_oX~^~055rD%=)49z$WE~ zrt!HPSLKSrJH^#|!DrXcmFIf-O;oe^rR!$>FzbiX1G9cuXMWgXZ8`qyw^Y-MHP+lk zdm=nPZ1;2Zyx{b!;uv&ym0QLAVZA7L`UAoRhB7Kcf)CGIvUkgyye^K@3;4&2?`;x% z;jOv9_9yv02n;+v&!gIJmag0`upfYbjxzku(|Oyk=68R0Qa(VLb8|~{ej_%`Ck}z} zdog?d5W9BLF=)^lA6{YwADgdoe8elzK?{Es1$CYqxo;?|xf2*VPhTz?Y~;*Yk={Pl zq~|2`O_oCzpE7swcfH>${a6#?ZX8L^iK0vZr0!crdXvEP9DyuR*RNrMw;lE-FQT}$~p7Hy%5q>Zdnv#j% ze=D9i%aV7Tv6zbbkI1gOt?qSx_J>TI0P_*z^W*OUiER)pZ8?|@RR}-j&i%avhnC4b z_bZ~pcu?ANAhM|A@ApdqQaZd3!Fc)SPkjGre*R?02VTaf37_+Nu6eL}`QoYLPd@3C zxd2Gt)F5%lmBBeh0JQu&RnB^$1lq$b*5XZMD0iI0Z^AS9EWUXnUotSCC4U|sgO;9_ zrY!}S+IQjbD^@z@;dTacRmlVRQ2Jza)z_8V0g@7p3aUeRf5xF|zOy?v_4kFx zl3QE~IOUWAZV3ZCzQKKo>4z>^I`2e%lch{hZSzlkgyNd!04zKG;;9qod8%DXy$WDC z-53a$9=|HXgrzKlfw zRX6R&Kfchsvp#&ky^sEV`S-=&qKu@@`d@gXe*TH?UF*#MrsZ?ao$>e9r{4PblRg*w zU8mvO<4exiMEv+Zo$F^@dapiw@rlL=nup4UR!7Ek4N3LU?c2*g0bimlAw$yg!$#gMaehx;Gw%Z*`O54^$ZD z#-%yJ|E7ZbnQ;KuCJ}>kV?v+DDr*!cpt6IcCkFpi4R*(CqVVvhI{0r+z$Px*Ijg8vsaRSBs8u}h%-o7z{Xs( zE3y#4m(JjlTNC*F09S}bE3y#4k1tK=jNg}k-^t?}J@tP|%iya^+JTk`Q%_iR)bd5u z5QbOjojTds^1dTQUwmE%&_|z3dQO9V^*O3#q+>MG5S)y^_wU&s4$w=%V;k1CHpP4- z5w8dTW9X&a_*gAK7Z^Eh&4%ob<;;+sPPP^@wkS$uPZcHzyiji5HInL03u6=b-p#-0 zFo1jfvCMT6{_kmN7S99I`|^KR3x6(C*!`@Wgphf1(4lcu-qvf7sbhpbZ&Jm2&PlZ5 zK~qP}-}OjifRy*#So@_afw3qVmyq&#Eh2-cGc8jp!yKx~iRMw;IunMf8t$qI!_pmojsnbKa}R z+-Z^|(FF*K^pQlgbL-Ad5O<3;a#}OxR=Tm7hG7TMF&fRDHAYY*sz(v)C;OVFn2aR$ zcEg4E;)CrRTjX0ymc5%M-oLcdp+wg5#Rm+DWO?x*jU*S8Gg}SW4!G!Fc=XXH9FI)Z0LL3@tUr}<_`xN=y<{E z-^K`Ira4sA%=ThgxS zAg$hPpXw}aI3^-(e@VNtgS2|PeWbIr;b4lib2lFpYooN>JZzpEj;2r@R`%)wf9Gc7 zVY}7o{zKB9s7Qe>*RxGpUB~^Mw73LONW0QCi*q+jo{$Z|}!;lvat?Z|W%tyL3#O;eDQm(i0?v9*Gh1 zVUl)y>}g{gtscdPNt%7*A!iz^5#3m-uO5k8i(M;&BJp1pAocEfAIbV@v@F+Sh(;`{ z3;VIAC!HW?hyF@feFRx7VT;SLZUEynp@43)85kEvQwm`E2=%m`v(g5urK^vsZ5!WK zQ{hLWhG>%}#LI_CgL!F94}vgQN!l{wh?cLCQF}!%=A<$3?Ym<2<2;Racj+kwuohWM zz#$=P4+YgdBVEpkC1&~m55n8oT{P!B=<(5G*OGVP;Z1e$_32-5$*qYyLv)HmB7SZ{ z&<%0B6HxBn7*~XSSPVWIBPbW;hD*t~$0I4Kk~{6D<6xnZTNAh&Grso7dg(9sw>}l& zokp;ISdFb~qXPK7{mD$7M_u=HE1@5XK7&?)#6Nz5r@6htZ6(_+HcA3Xy|mCVxi$S( zf}#;c6LQ#=t~MMEYn~|k^beM1@#__hl8iScO~TvlRVBA3@cZz8yYTyXiZ>SQsV4tM z?4q}B(de(@ui2~+8hLP;6r^lQr}or`Ef~!Zh4_(M)9F3=KeQ+RclG4|U*g}#|DW&4 zpK-Vkf8GS!2VYZpFaGcE$^VF+{CR6|AN}bseejtM`r!XhPyWy7$$y`o_*_i((O><$ zm%ZxWz4$+&C;wOUa5K@BMr7|4L8(pXGZ0>{q^`88>*6hRoOFj8>W!{HB zTM}Q9PVu3)@XJ3x|D<*93qMp@@Pc#tP3GA371!c@^kF^L2mi-C@&CK0K6=!r&`t)n zl3UYHq>rE9+Xz+)|0CKT5FWWTaXt0w9{RA|*XQZ{Il}MrwE86Yw6P2=T8$OLB>em6 zk3T=^ZW6cY1pZFCKO;PHYXbkWp8T)t84r)pwb4^cpRyl5Hla`aIzs`Xy6@Qj3;spr z4V!=u&A0^q2b6_FZcX4nvIjo#d~?~#bt=r;_WR-gX@}pY&>Z0(UEU50{23p|(ZK&_ zJ=^!{Qun|=$6Mo{9^{!iX~l`PduInJ?6!^1B;;;Pc~+?PTZILeGr)5<&B6xl;sfFn zp+*fQ_Yv$~Lhde|;ZlNsp6+6)T5xQ1)N={^GfUhecRq!I&l9wQlUoz`-;&jFknGeU z_AWOU3YfQb2m(ZV=-`rD6Z+hyr~Y?!`m_mv|A%|(v!tg!ujCgCix=#G(NFSaD?K9vVCYlAY=jWc+^?tzKhq(c- zE&jo0Q&BuR=@0(1dd72nilH-qeAGuD#)m%mx9i!ycPvNgPWq$!TYKn(-rN`Lsy}%A z4trC==Up#d?Ik|n(4&3f3r$!4li**`Lx1?czDNH8pBL%$(SLo$557FT$AXvsGDrIN z84nK-&HU2lPV19nT>s6joaDPIq5p4s_!Im~d*UC|BR=8J(|hqbkJeutf%hHpH-C0n zS>8wfu?vna^UHot|1R^(uX@BY_Qubw6;-2*sen%m35DF6z~?4{5Q|o1A%Gv(z@6~X z<+1Xo`CsMO1phlY|8%)P=`)`6^$+?#%f(L@{pUG^?6HA{@gTI5RhBbB=MjZ z4)*FVeQtoGPhWg)V#-i*Ynp_AUw!_)#Xmfhz(cSHC3`76R`+btezBwqmalsB@>M4s4GQVWJ>7agv46hQ zdRkOVCbgwP?`|zV5%Hzv*d8cm#evEnD_z^Vdzj+4DKm@T>YVp3<#lwLe z!1!fu5D(ayWOwEEnuFTS@hU3KQlOd zIS8aTB*v7`=Knkq&M!>ZegvznvoQwoeC{8k5pvwvbguBY|16{e#JH@ZS|xMtL2m5+ zjA-sq(i9cqmYd|HX6oqgw>%V#V+ToI&^Sl_+&21C)Arc(W#N5a_(CFQe@_M6r9wK}eAOCv zqAMJ2Hje!H6hAqaJ1la*F|Z8^p8<@RvAAJi7?)Uv`7OxYpy%nqU+A`k{JC76wtcGG z^2p(M82GWT6dvAG$KF2A45+EjhLJ@6G@#f3faEk31p(WX1+!T+7&$8L$KJ;o;ViLqaR*fzew|1$B9+ggJ* z{`jX4fAK4(dc?o^$NI12jZLicpCJAZDf?vWA{PEXb^4f`CL!SO+@rsv&zBtkCcXy8 zpMCl-{NwX(h4C0yg3ohx!s(1ZNj#e$+uAE_-*LKg4*XNf1#Hm2ZG09-|_!TZavm!u-4$k zoYFT%6RvF=Ey-+|@qFMVW&h7^8}Sp?sZ}Q}K6w5f%l0(^x~DmPYbP|f2qtD!*MfEv{v<{umESe$3PLSb*4`LJ#*TcR4fs5gY|A8~6Agl|%aH_cV__l^Mn0>*D+gk@K z^M(;Shb-b&MaZ1nd999=i1F7b)AkbB4$F_7d{}S^vylVyGj2 zus6jQZPkwOH+y+J27AFLn_cLSUBG-?OZ~uyGR8*Dt`y(w%Hh+FUGa%ea8rEsi<&-r zN>KXAsc#Dh--&i$56x01u~+Us_4Q1lDSWF${f8nK81aLRD2J(LjZl?^*oNgT!c$EYkrfbw6z4i#_=QJ$m;c7#cNk~k;vGDB_ zOsSjj?V{emt5g?W6J4iX&`Nzv`8QRakkKL}`0W|v{RVZVmMU02S0v_kJRneIUSjzV zL@wu4i8_{(FOC{;e+UHKR|<)1iluyVMmROuo|uaq+-QrI5n{b>u@;2O<(RUu>vUbtG7~`_$lB+kNVsQo7p@~EVfLN?97YS{pM{x~keU_u3HXDsWM6#3$t#YVzvn=A+RH>+ z^ILq&Ko1R}Xwu7W!2JO0MaI}L68$bWcqw5(Xrb5pqj{RnLZlm7)dc|Ttv(p(LIJV( z7dl6-_MDB_$p)l1reSxLsB?Fn(&Sbrsni0>W)j1IO1UHTH!?8+HMa9}N3X+3Pgsx% z$DbrbrdB9xiX+0G?WcG;?DeDm;TW@13r5V|DPuNEH18{KSiQY27$f?736~MkqOJLi zp1>*!U)q3gAu~cOoDpK$7~%`tB`1iR%558@hbmiX{zwcLUY%{B?K76WMxa8J^y>oiv z|FNh4`}${YPks98&r~hP6s^t?0{+orrx*S`)}j8gZLk7GrO042j(GE@1|T#VaJ@}?-;#-P1A>}ZTf(Z zT{c3{gm2sUO>%+rn5XI9#K+4upUzZn{DnpkEj=t1R-wFlyYgt9{&AEDh)w#NA8417 z!P6-zTd9x!oRQnTA%jPr?0*7iTvn)^HjZkZMBH*ef4K6*|>J`PuyZ2 ztB>_Z*0J8+t>SG0$;{ps0q*rTy(Yo$!Y6mhub~7VcG#flv2M@W=Q zr*@e?Z+@6PRBBZ>9qOQ~j^VZRhGZXx^U8s}(6j94NA@a(Ih?3!jLU;#5_z52&nvZ` zKd)k+(;NXBnrg|jw<&VOIg$OmA$#MID2pR%$+K6z;AFynUi3YsIR`Q<0NtmF*q7SR zE4ANDMrK)?7|t)9vLi}ve~^_58ko#zCs&5!^3-6!4L7TgmX+%1q+uZ#8ZXenoGX7F z6r+qvNJWLyLPD+R;v#}Z3Zn|H?oUPgheV`k-=fd~Ih&%avRMNL+I6O?WI+`pv&afc zfDCSu4KBGg`GFH;k=NobZBrUjgJ&2Q=H_J7_8+g*w^T_{qfqDHoT@e$XeyuHtVA=F zwJJi1VrTs$+c8chb4=DX-(ZgMiY$T!IybZe4*#deQ-r`URfr&zR_9RsZ~9rAq<4_wZfpSeDwZvKLv%m9^xbIacJgBNwmBb7}qc5tnPeh(0Dc!3A)Hadp? zqm=FEXueI3(fOOpPmk6kP0;P8V?KDzPs)(+hrH+&AO6D zlqk9X!*vA5vUv@Ud~ipVTW++6=9cjOuAhjOc*W;;SNS;ky+TNqqAQQu_R9;zwLOSQ-2`3U-o8cG^x?UaVt1 z01$Sra(1HMBXyqsWarU^yRAP~<~|!^1n2ir9o^rlj1C`Eew#A*ywdcU%GTEC0xdCY zd=J<8eUvSJDX&)kj`I7I8RH(P{8ZJ?QOP-cOTS?f#9qtrgdW?GK_8@~jd--;jmkR; z|8Xku6~6P?;*~afns8n!KgJt2YiM6~%8-jZvx!pUYIXcWwc9s^OB{Hdw0=*~psUa5 zijTR4Pn_XHFN=OfzXslxJ>Rp0k8kjOs>jB1jNRx;+t5FJyRD1*pT+ZDl5v*G|5QnA zzfvVXCt>{GUYS^sBZKcR)bTxH-M0yIz@ZWTIn`II{u*U;=QpXe+ktA^|5jUGp<~8s z#t-HQ;)s&A^6|?!PyD`FnLb3@!UsC~bS@Uq=@B|lf8iRQ3@*Ewk5oQQ=NN0QQb`~C ziRxaa^_lw%p5t$@ePjzUiq5pn9xBlfKQJC5m;TBa^~8t=EjX9T2S1MW@9G?N^y6vX zAE|tf$~UQGygf)6KklTG2X3CH@*2^@=a$mpt14-azX-my%F9(U79F4x-EOV?VB!5l zG$#m#@7O!Z`5YhP^Is@GQ5oI8DcoHnKJi9-QewM5&h@9ai2eb}=&`%-zaHxxTQ+|} ze-G)2-N4$~82pzAk1_sn%J){@U&l{ZxwkU>{~rJV|NnRabW%M`6hRbz0|=Z590%7J z60a~8Kyf4*B0q-Ry}8>>?sm`FA!1@OnwVH%qZYOnbQTg!lmrTT8f=U)7l<*TpivtO zD=I)bqOFPF8_2E;ag#US&b;r<``&v~Btf%8wD$4!JpdJ8aV<2V)b$|NMuuA5We2sv z253zXt&}{hMAu-qb=|mgq36qr38RXf_A0K4YmSz7J2Ez2F5hA@E^N zPp-gHt`{|$jt<5Sw{X69^VB`{+TiEqwVj6SvqMh#J?9H8LQ%zuR#verD67lh5{?80+ z;!0EHL4+c!qSI0qmdw+KaAz}As#Vc#{a0Tcj(YI-XRg3BT+v1RTA%;?=9Ylj97s@= zN(|X5-hKDqf^p^!eyQRD`EYz#{d)KW{mync!i*anM?8xT`)ps$-rSz-Im-+ZW)5`} aX&5o%KQ?$Y$xM=`XNH{&j>7C>B5S{4l)F{UxNCXL@ zMUUQl^k3%tnR)+vcb?g2+wAPj{;@MV!?SWXULWnNO7uTr2mQx0B>(XW!+-2eyk)B9 zRA9vLCj1_Cv@vrKGxQxD>Fq$|4Z2YZT7d7JVe%@P_Pmx{%1N-j-O^i=b_A8%hB`He zQd2@iz}={YcyUf?av;dem=0VMLCVSk0Z>8^NVbi#cZOBwXw0@Zj|B{CdT?3a_RjEl zW324dYbaUJsIs+4ujDc#D-qqOWhfxZc=dNzn!Fe8l1WOj+`&=oe;K{eU4Q+f`YWd7 zODn<}a}#I%FZaKK|0$KBz}5AwOWvymAvrbl4fwC}MVUd7fPNKhiREB@b}qQaeaA=u zjII>&d}YKCZrhR#dJ9tniEhUx`!h+*@BHMB87h}sJr0^Oc|OBcDl~NRJa^|)-q`D% zyPBhFmJQY=aLD*nxvb@0qLpiXcog< z>?1&et0H9!u@b5FD6oJtD}Nw|Q`R8NwCj*?cK$BJjl!O;vcm1zNE3sB$Hgk{{4=sy zK3)Dnz@HT#D6t#WfWZCyjye)rzy{SHDjTZNUvGg$BMsrekJmHx>=$1_7wS58b>((- zn#;8@j2a70B)>XPUj}+gQyTN)u_$b$aICY2_4Ln)28o9MXYw^Ty{3+0{vgI@L+q-+0%EQW$cYD#g$jZH z1qLZRR{TUVXz8+tY;i!LjHmPLZ)L-9J`_W6?_ZtbbXlG*UFgm?=ISQ6aI7Su7Uw^m~w?-fQPMZi>A@38MsrTL?+6UAFq~a;vN9L+ID53*5>U) zacn9P8In;hmJY7pd9f2zs!jl?Z!owdo=i0qiV%PjLrT%lA(4!ErMIwrkkT|ZEhZ%iHz{f=uTr8W z4YGi)T@smyH{3TVPp=z8y9G>zr9LI#IP4=gu@ zVf-H{cFGXcVlV$h7K~`nevnlp9JmM)&FE!ygQ-im1l6e|!%$E-cOGy=-t@)hxNH3r z^?D3(=8ultJ?jO~reo!+wzl3TH#BKaHl7l`?@io!xO!i*gV+$nR8MZ2+CXu4HZO4u zW3a+DXishb?DjWH32#ZKLX#OPA@$@3X+3IcpV^65X&`>EdE5e=HR~{qX{TEErtqBo z`?4b+299G8E+bU_Rd#Jy*^r^RcqEqG5hq* z<8x*zi@k`9<>)ITgELL7eNAydy251vB~uo&B_WYV(_1eD*vP|qWCBAazIB$7WHQoi zx$YKBc#-%@Ie(KmMZ6V(M4P!Rj8LbQ-_qT}+Ko%L^i7Tpiuih7Wvr&KQxz%^L1T%* zlN|vY?_95;p-&#%w8lE1u|Av zPVHc;3uuXJacB2FQ0hyOG``%R^3ShJ#)?yT_0C^lX*pM^G7C=x>*_^sYC8^l%jk7l z`IdOcxU$G;1siddCH2<^=SF?`onwvGUZ6^DRriaib!wgY`Et%a{tOv%L!Bp1sv2VN zBgtitroJ9%Oa2^1cN$+=fX?#P<_Ikh=4JcrkkBJ*UEQGeeA2kB#OW;571N=vc5#7P zg;_*WWYxi~FtApdiE(jXj7pK*&plNx%CAW2)1+RhhNRR_4k>vLxquqR2Et!?*o z-&ptsu?0k7;{F8Vx!Xs?Fr2HG?{#FYEJae3kfN9zQWAw0uTFs3rTxwYhkI-v5z9-} zrc_2EoI&9~)5HfP+$EJb_+^}njr%ohtTzYheT3MY6yGS^t|)Y{Q&@hmD!Y;#py&S5 zD$B|$&T3K37&sV3Lbi-?@7)(ZZmZ(GHh`p}9j zK?mrYV=EDqmy%ATR(B+o=gu)b`%&F#C-$j5Bv>NF7C-Ogs}Z+f0gV z?nZil$&=-fSd1!04b(LE!KVEghi$S^)e4ltn~HjL+>RO-@i}= zm=*-vaNSDOp}5rmSoWu>(!s4o=uj-r1X@u>|1m@BSomf;TX+};?^V)tIXxP1)6$kq z2dQy9)d@AvQqhuTvUk9nNzBvS~wFMz4j~LMHe>o=P8)||7)(u^#vva|FEBN{6;>m-h%+B;KL)I~RDNjnl;6x-tJS@0Wds29=<%O8T6$OWklm}%_vKm{r=(x@ zjr;{}xBKfz_|+3J=Vh`Zh5?>unxqDj$y7W(p2$iOTt8Ep3@_B`%T$|fpvr~PIj z3T1g2DJTn!a39jK%7%bSJct-@IcPiLFg#>DMyZ6=#P1X%1pi<{Iws1L$Vw6R^;>Yn z!CQp@0)4ZH3JkAD^!0hy!jNZw1|TtMxA7^KO2C4S7VWwuHd!~2zXU0wpo>nELAhIS zs&Kqqk%q!x{XI$dpfGWW*mqzgM?id9cu4nC1yxO3WcM>IkxP)O@ci5|nn>Qvg@uju z$&xO)o{{B|9Qnw1_WMZ0TXd$mKPwrFUeG%uuD~*U@ELRGS`*d%gzA~xsD)T&i%k1E zG4=B>bI+(}NWaqx5-h&cBL*Dg_Yer=s*%o>KfUw!+!_8ycd5qk$n^bi<#{eqgu_Ft zz+;7D3R0Nu69f?;G+i(deF^KNAALz3@TGF|wK^!P5YM|lLnilE`9=Jl3ubZ#7lS`I zd8RKc=ZANc_b~ocfIV5VPV~9%k41_*KH1pZc>q?wHH`;-q~E#*=Tkc8zh0}qn!GzP zc+St?_IO&4d^expK>;e3iSB424(w* zS#^K^!&AP~rwf3!kgxKM%;uwi43gIl0ZVD_V5m%`y%+L+ynM z|7~t$?^$?Wab0BO^2zA^FCQb><%CtgSp2wKj^;i|c(y+}>Mg(GP~K7x=Tdb9jEa$Z zt4+>;yIfdz@1_=8a_1E36tRe$Nzd2hSD zh#ztsb~sU>xvHk`n;F!rgha7Q{cF4EgUJaU)!tXA+Ge>of@_+&yL%FW!(mg_crmaP zK2^H$a5TNa9{=05Z7qd;jiIwL?HZ|OFtg#1>yltk)m4F-y;p|Oc7Z?-PD{b{NA&wy zx7jtgm-k?tzLE6b>Oy>H_v4K%e!JFB_`^(SD?0DStZZNr7`kQx4MY>dqgX`Ze7c7Y zJw;dM)mD>B`5S;p{tfUZojJrRsCZQd(_z znLFFGjgq~=+Z%T?SWn5vRJ?lW4McbSopdTz$My?1f-{yX5KZp6>QCS^w`0n@Y-9E5 z(VUL8iN{Q-+MJT#`nS4IB7=El(wQzsO&vuZ@VvRs8g=`(dox zb}jBlJ34fBc~~?eRr1+4dJ$m>qv?v+vE^9tk7K(tJ}2wF$i96qa z7gax!{yBYETeVyjnYhZXkU3`e(lchY60H)kY87JXn;g`U{hF$Vj&K+O@l>dM*gbh9 zDAjG_Yxn{msF1l@^&6D9T@?Tt$DMzp+xIBxsbuat*<@HWby!`q3_Hb4sOsNP^Q;T+ zr6|*H@H8h|Dqk^6KRjX*O}QZ^BD%TpCN{w0HMrzKy{j?o$7hFoMlocJx|;blXkB^G zxLaG}VvC%o_K{@`Sj@uS@pA#?gt5b?coB<2urZ}tLV!iC2l$M-T(6Ki(9a%oiekY% zdUTjR`Ee4+p9A2Ld5_1%#v&tPnHo#)Ch*fLO~!DI5;^86mwOF~=r_4XY_vR3O=;UBnL+mzS8o>Fc`9~lhHE@^b4j1w|o=$|idg ztkwGM@4I$(K4-YeZoS8yUT?QOvPKnar6fxb6qid6#RN=#(fqx61%7ijwmLWdbfQ`5 z7DtQ6)^A`t=4$`CzxPAvRY`-%u)?lI2DjgN{n}z91y>!}^jRZQcCyA|@l^Nyoy62) z)~O$<^w~1|HQG7iDgCs}lFu?kIFfCZT*&r!!`s&z^?QAt7WS;{Qb*)lvI*DYc=P)` Y)*VUD38N*p?!gu9m*{_QnVXyc1C{)f#lp&-upTG_xW{pI8i{j5e!%J!G9;2@?WBG|K)3{e+j|CSb*FuP3ZDd zRdx9Z@XKnWrW~l8@vC>n7dJ(zf8<<(&@ML%7kZX_#tutH3&6b3Dc<)lUkOmNhd-!# zVtnHc2&Q*eb_XI!IPi(W7mI#`>hecZPXs`Z6$0E_VfuVJ0`iEn?la#>g^Nz#$=j^q zv?nHYogKzCw>kMKFln}$xi$8?>7RyVi9YLm58-&NMzxm=3iUfl*|SfLgsym7%6j-PGdY@$L^nt zeK6+qE&_nLTNa5cYB#DBN+Alaa19OM-9f%7~|IEu>Q$7lSx9x(=ou! z$swL2+fj7*`D)DVc6F=G2(!L-b%3WeOtGpyy@ERQ+#-);%_sJtBorc&@qNJ^24p`QYObQ zhK!nS(W|r*cXra@*ts}7P+#0P3#x$12J45zVSXiz)-!ocGiA__0iz7d{xesvZrtz|1BKL`h zJZwgkN0n!k-xM}NYh*QPn4X^3{AD6%CE#QwC=xtr(pYya&u|<|VH_eP&3{Mcn2+>d z3gye$QNaNba78`@6H@+@2qX>cAH)A2Ie$81HakQS?xr);d?(Ct3}+Syc2YJ#^=hBY z4xZ@h08K`Z?Vc?f6S@Vo^SksE^rLEPr#p2L@>7K`=jVSDg(iEaCCGTp2XU$=zuptl zqGhP!^*3YQ7h;#I+%Ugdn}WSX!bCok3j<=>@5IPkTlS;tmuI+4U6>j zE8pxaOx;O8c-S@y@qNL#;i$zdUssXRWF2>SXcXl^?+ zJTsQH0PpYMqp}MtK7N_t5^rzz_i`L8Mbru&;qMD}W^70U$ADXpYzWdN>0$P#!>n`` zL~KVjyctx7RwUmYwigzVhtlr4AgWkZfaAOYb%S^+jkaOZQ@xs&bh^9}>d;JT7QDqT zy+!7m103@+md*451?VGs8R)e7koKT3K&%G>+@P%V-m84%Znetv5u&3%FD165?rQ~j z2i3Faeu9$97O(#}AVT4+0ej^{{i-_UK#EuBk7SvI6JXk-%;|h-dRH*yo7d8QYt>hc zfcD2Dz7rwp;j=G9EBf~H4(FQuK zb3sX7&DjkMVCh>{=*+B=jb!=1ae?DSrnRYfWNB?3n5MN6)GUaX+Z;53yR6u?Om|Di zzAT=6-)~D5RFHQX-wtR0vi1{H$jH4K?f~k`1V%TT%H&0#nad!f#ZiL4Qfv*Rh?JXz z9w3M*xGY#MMeORgVXJXjXmDv^(dNx_+>qBQIVYlB?h_zM?ey0c!1w_{J zy?u%Dm&C|BI+29BzdkZQcv^gfmk>R|*Aa+MK0FeRXmax~n8frY(rPRn(K(}@=L{(= z4ckymEI&+e7Sy-{30EommQ2wAZ3_T&_KVw(oE_Lefyp z84fr`O#27gz$CWXASdUYKZZrANNo1X;y)`_(UbZR<8f~;&Bg65`H@0s7^G~D+CSrn zceUZfq8bQr$f9sQSd7wuzz+G&GQgZa>fdR^^PPk3mT8RgZ72;?{aU0M3tp(GGyY}J z#)=@~lAwHM2B}?2e<#zj161Z?i2~&TV#C#Ys!@tOFvbf8iT2mCTX)G=ne(F9!bk2W zXu@Ru?OChBEjY1)UJ}&t7#O#AnG0v(nNG{4q}kA`UeO=kPtDaCexvi{g_!OzVaiUl zf$ZQ3A$NW*(4Zgs@`ltK-6@gOc9BU3ANDU``YVO$RbNE2<( z5|Nz2qLUu;AkK*R{&01gEO!rOwj}gVTq8`Yw1^}DFVZKu=P51WCec#w0#_^|_$ab= znY9$|u45YA5(Bh!n9D#(+&92tP=0MPS-ol<@6?M>3hF4e)tAJvR-!p-54O7TjttXW zsNR*UK5bBw7x0)!0c5^d%W-502A%q=c>g_t?PQTquxBH5=k?dRu(UiqmO8z1vo910 z6{MNmeS`QbniYqOjxM4m+_wt-Q&^TUgxvO$*rq)Pn{v|+!n4?hTp?Nr#ylcXYzGhT z1KBU@bG--=>>1cWv z{?%|#eaK=eRprBDh41t7dT)AMuHiVqEjX>w*piWGTewz9kpjvLiBbqFKo)7k(44*oLgVQFrz z_EdPMfht0ScvzHH^@^UB^F_QT9&_427lj)kqy7P+-T9%wGCth`n?a*GY_xqX2;Qu~ z=ciY0?Zqv4a1APIQTsYl&UAFCtNOmdb6@(g#z8ARdt;pWy5GY}3{$;eScBm73&KiL zik?@CySfBK>lw__pFHT6e_Y#*(H-Lx?tiu)i>K1toF}qmX_}>Ro>?YSd}ojyE(!!y zvlm+=@u6$t_~H)_;5q>Yu$15gb)EkH3S(~_02MDO6}7bo=T(_{E_EsW zKMgTjO|P&n$95J5tH;CjMxN5+Uj`--!#83K(YWQ2N+K1qKeb`us!2Bl^h8U1c`fv& zn=Cug)U}%y%5KH}G37C&;_D`Rzh<~fAKnXcwX#(J>eKC28o(5Plrt3{T?v0Aw;QZk zst1{ldva&?-s?2Jc?OMOeXlOWadLQGi2Y2nJveYi$lkrp0s;mJCGr$qmr399J3WO( zo`0M${EkVvUjx7t&cF~nErY*X7mtgb?n3LaO}q%HI&Gng3>_EXxLnujhWVYE=C<|8 ztqgMIRzF2QAf$rrUDuBFSwG6^h+8;tO!xRVf(@emUAOx%j=UbLAbeGwA-~C;`58M5 zJDhtOjD~_kR>4`NAUmP`^M$ou-+4}jv&o=gaF@e`F6?RW@N276L*Yj2v4{)?D}+qY zpl<#je6z&;Uxc#Ba@JT^ZB*czh1|y6-4^#nAX?fg!RvgT70h+qxkoNzIZD$)8Ho3j zJz4v#bli_)@R301R3KFN)|cq#9XqHLg#Lphgko0YKe6~!4CCuHk$}cRzJ@VokTWAP z&%*B+VFHcWlawy&aMxJOF7Jfp0aChaYX!=G`5{9_UR0DCFODgO+3G}!?^>Djz8IGW zA$2cl8``jMeFe4R0=Av`sg-81t@3{!v%AYonm~HgJ&#Dp3iZK*bQ33ITq%}#mQ1+S zn;dmluQHqS(zA0qxBS5CTdoB+0g9dhOgZ_mD_(_-H7y~q6seMIuDKn%Sr)6(RB!nt zoDzcO>>kgX@W0bkV!er2mN;8^t$zA7qYc|~GdUlYzn^$0^>*21?$jhV`X<687^!=F zg6QaUSjie?fi}~2AZPRa@3uUYe)I%sQ?F&X)Joajb#erihu_Cj(si{h?hyZ+L|MVc zH_V~KIpsXly>2@=p0yLHEMG0!e#NnT+a)Z?BV}kkJR49A-TYCOceGIwxzTpFHR#+X zzFPP8<6(K|+h*@m@jt(v1KQd}S>5Lf7TX%+DwW#Bp`}ol-7J-^xlc*L^K7U`Zv{5d zeSX)XJ6Idb9_bv}tDz!UxoKJG{$&pAj}K6;+wW#QQC~tkv#iGy{8DIBU7$QqSt>iL+1^dx`C8M3zBxF(nz^0(^-{R=JXM2{ zl9^YeFR{o}p+UDt3f1-U*F_Rab*q{B$;CmPSif0`-?-?pWfCC3E@~qNmqqkc(bfwHEyx;yCV%R9g+?jcS|A?AifXXP9GjL_>O5%|f1xGh2*d z6i*iKBeZX~E>-*IcjRvriK)+>3z%zSLQe}GU;pQszQ2dyyhQZqvZw&Q@7~aj&s4@5 zmTz0<04x}jzt?A{V%IzILe2=^UC@q!<8`l&N&^4^3|A(pCAcO1 z^a-Or6o7>YJBfBQix*^}vhv`#*&M}@;6t}XzNkeRZ&F$6tRDucdF7*=SJ=is6Em+S4s zr3yedhg6WLpP8f(I?qcHdzs^PQAtptIP|H(XNlNeeeSs|GBQf%lw=Kw(l|0*u{i`x8$}Sefr@Vs zNPs{*%mYFR!p_CG6^eMMYddK|P15*Up}wH?-JWypeRX#)#C_ra21@wN?b*I_?V#iZ z9$4vaXXbW(GrRM#cX@ev`4^r>qj48cquFe{jj5-#j3<#)EPq=a&0CPXgwmgt=MT_$ zegnWq_`Cq1aURD)%~nB}XPoA1c>NA#es015g0UKRVfkas70c3?Fq!H%Abr>yk9bve zdup=;xcxlVQ?4aDR?5!^lWY6sYfR(Z^MD@Y5w*z8CG|2z z9fzFmp5yF+=s2BSyZi%8r#LK)bE2O_EUoxWOxC#NKYzvKrdcbJ=Q5&UVnQ>!VmYht zi#UwRmcaa|_cI+HS~%uyh%Em;rs2t`({0l15K5gbtW&+(6@`EzSbVN zEUH$ju-b475x&oLhIJU6Z%#o~$t_4Wfp}@281h3Uy=52T?0A$}oGSeTM2kR;r3$g{ z$52FM?7rvw)#o@{uHb8JwgxZgXgC=5X@BhYP9ChlKNax};A^21C=}bFaJL_cxU_R` zW+e4doYZMM^W8k1c>fi1)w-?H-ykxFNozdQM#gla(y0=0aS6=tpnfFcjK;W)%U=8r zR9qFdkVaRCxZm-wPjR8r%D^{_7M|<;!xTzIW4-bM`h@7n5`0IJ{i`x8$}Sefr|32 zRssa#VIB}l5O&TcK#6#$>yI>{CaLXKs4r-Jx941YU)|jcabNhqff9amd$#XfCn$M= z2UgnKncbb=%bou8kd|Ak(w)$XF#>h;=N7&=-<}@~(3G z7)m2{MvAb*MV9zEIH8Ob!!JNu(Mqt0;0O+#-d$xND=UwqbDEHu-^zrX;FuL?rF>n;5Ke%YT1_VUnbcP@c+=`mqVj{F3b~ zJ`hn57A1lCVdrN$IIwWcTNhdWJq-QhVSCq^v>d1V&1#qRpyh937~6ce6Ks4>`XUPr zJr-eB7|+H&(vmc4k|8&V(jXKZ2v&+6dF1;Wm>)6Mj|FNXr9z1?#93D{C1Q^zK_nk$ zQX8gFcYml8i*WuzD)KVL{43fxnvM$1W%{#~E|w9lA%zHq!G;akU|q#-4uCG?6#UGt zixTf2WjHjtVd6d`on#8>;U@|P$9^!&kbn&1<#}V3^BZvx8>w)_S7-Pvwc1zc7SdPp zc_w3bPKi(EL|y{S-fuZHy#ItDYPBW*;$_Z%ihpk}I1N02 zGLulI;SqOvCFOfB(;RkV>B4h}=4oKSqE+s>!f@TA8aLyd3_3hV#eo>D3$lVj^KGRuLY@j9UvA>^RKeU5V2i0cnbD+`W+aEU-{A_T#8cwo#dzeQ)_et}}??d6f9AiJ5TVroqbEB?H(;XT96rs!V8{bCaj=@%nJCC*b zC2tb5T%t4uY6A~=Y){v&JU>_VZ(XLLoo|5*ALU2~F4$dH&V_*EXM~Fnw#9$q zO?$_Ywy#&P#4ERsb#a<8l#Zgw{aZRKcBZDJ3$+Lg%KYMT8bJ1h=4RQj zg?$E^Z34==B5HB;y_%HmR6kcoEAQK~LQ{$VNPqgWwNGEpu^!E=OV6&5CLvfKD?_f| zrg$A{r_&dlQ5QwE!fmA>^$hSUhPi=Mkq6$g=FeOp>!G9sn}yjs8Dg(Mw1YZo{P>~{)fEFj<;u)BbOQAonKb6~%N z9grcqDG2-M8R%J86!1IX>KO1eB8&;_V}H?5+sM~L@k`htr$quF2pub_p$G=Id1GPD%j8DygY6LX!}jL%@j_g0N*%MnSwe6QAwLZ-jWrru2LS< z=pEN||Nmfr9{3GV;Kp0G@W{olR3V&S@)=5(Nrv|Y%{Kmq;oXcvcKw3Uiq9UAy;3UG zaJnzjJ}>S=085bDL);JNyM3u%eSeji!5bo6j#p3^?wA+kB8 zfy6A;3d&ytX(OiIYe5uTq_SQoUdb%Jo1a8)uTU|=4?t}epbi>Dw8Ss*T~h>(Y}7#5 z8))(Q=nYD$lwgtqEhi#-JOE6I<2psg1Q(lD4TQpBeAfyQ^L;P|{xoSB6VAT7pzmIW zsz-8+-HvPVcshF}6WTwqaDQZig%nBU0$PazJvMY`;Jkq8{TuWWFJ8-J-DOX7Gd#D{ zF@v&nUKpYof+padk*5gzACA@1EygZq&~B{ReuDiamGlqCL)mPr{xND$G&ZNDCRX;# z9_>j$RaP|Cl<_-o*?FB(Os-MVr12>1b+-dt`zhpSP{K7-BUxM>mVeKL?Q&q>pTf=J z6ZjDmlv&vOQ}))I>-Z7ZE2NGp{mT;$e#nNgIz~1)i)jPFV!rpw#2JMsNv4(QCkkq$QTrKQZQReix-0<#!%1vyiSbE9USK_+ZS(2eiPkIXuPI3HhV^ zj>L0Lz*zBT8vmn(oT=y_Ev`pL@K;>-N?bMC-iFs9M9d*@+ke`-S+2+*V#-l2-bZ*V z$>l|Lzn`J-=5}c9S>jB|sEIuRCg2Ct2sYHEPptr@!%EKmeaT>A55o++;IP*(tDses zn=c{VNF{;^!CrL@o=`0E{}^YWIe)cX3nZmi>=y50s@a(yB-6MpDo#m5AFAl1zHmG0 zwNl(>6hA&!d4KIQs@IcJ2cFL7VgK6r59G)^)h2_%>vR6g%%{2GuW1){IGlQd=-k|; zZLlD1qx9-LQsR=87~~=LtZKVfz^~$t6Zn47X_sZMnb1Q|u;F=h8p-i~*zqICvhYeh zY~MD9J+@)942wUfBGs8bJLx5w3@3Il{I`Y8MAiLg%zwrYw0yFfbrLS+By;U&@yrMF zdtz9dqA?>ru)4cL%5AOt5EVpYP-*`o)9zUVm2ytFtL zPQtd1P!6io_Y7_>q~#u-wcj$ra7%G3rNXJRG~F zW^_QGd>Pwr)vMj3P=BYL8%HbZ8UDh`c*sw~-dx?p;~d>_J+lOHy$`p;o?{A7!Ul6;15jh}rg!=-vimb- z8B?dILnu>R#eLI**sFkn;q+BI`tm`IDzl3`*{hIALoX01M8xr)Bn^JVS>S0f8%d~ zlQsHolJ}r+dY_JG$?u7Scu$4)9FgQQ`c=_>m4*% z{o+d;2e_a39$cTghd&3u4da%jI0bd#oX~ghV1B3c`5S5_cN%k4k;AExbu85pB#6oM z-YfZHe?|uRp-T$u+zZ);vd6qGjE}i!fMTfo8C@_ z?tev^dcGS;nO^p4v~#Zo+d delta 3082 zcmV+l4E6K&7=#&+TYo_e00000002|~00000004vx00000004La)K^h#TgR0>Gaf$9 zqik|SOQfQ7jUh`>w1Hi?*+o$pjxnU=tfaQqlWWIGfi6Z~nNf^3$o>ReLnel-tfjSR zLVMjf3DDwMO&m2JMNptXP!xfX%}22PQHui2*Wx8`1UYDdpnq5tuF)vHkCX!=>-4`P zJ|lk_PyQT0ot_m4Wlqh+dWcpQ$}w z^OO#E!G8*{)DVMiQ`Mj6XxwOV23>XoW|ZEjJ&Ryz!heYWK_N;8phlG2d=&g9^>Nsi zuiY6**nvX`3Hu8VF-3#!;_Zp|V*g^7tqqyA+!u3;OuAP^_YdIjjibHzh{3BOb%*RQ zuH@n)L+*wEfsQglT*wZ0PB9g~&0z9EF>6R|jD4pfu@;Yk_W#ocSr4H|C5#FPcza_7q0^QdtS?Lt=+BHwFTJ#JSf?Fp~yhTK4VE;Pt`Bzw}fI zU%JXiTg=^%keoQfSu-^i(#|eiAx?DFL?{& zPJi3!%U#w9-o%{K?e3ajzww3Kml}4NDOq9Jp3?i0UcLMd~jYC1AIF(J8#0Jju^-EafC`6fvI^vdD6{A?@p^_kWbK z-f#b>FR#Wg8B!Yrw!^VZ>wAK`+rS53MS>8yafUYpKAaJ_5JRFkqIDc~ZeqVzVu%FJ z?G~h7KTUnKA90+ae=Gt2O&j>^{OUPIh`_5;uo>h3vBKKdlzX}=Eq5oD4Z}1nvZ+7K zD86kZ^OUn#*cg|1Q+h4~cChSvc7MJ<-z9>=ZSA7}P=rscP*gk*e{=9Ld?AZY(&QGw zqU&A!K;u#-f%6Qvj*n1`l)eV;3?Ukp{(KgO0CReFDiV*zyr}V}k1&Jaquq$|rhEPv zdu_ZUAI=tEPwMVB3t0cHe)(f4Gp6LDvb3I5-P=OIJAvnkqZ!WDgo}0V@PDKy-ou#{ za?(2;9_?!3+nmKQ{G8y?jPNdypVCSigF%#8Wpe~?k4-dIur(1$Q-g3t zI=+00e9{O{%MMDSt?U`ezs6~&?}NSLne34&Ojp8k1ZfkdFJ5=!;$p##|xO$94WMt#r7Wot4~TN|JvDvRmHy2o;X{Um>w z#I{hKFH22*qj^t$L|K4>roPw~4Wj%%10N%Z`F@YxJ>t7SwQP=UP9S0k$X6?TqPPMk z^Gf~Olr*{OiF%uL%1X=YVk6VJye+CJ`p&}pRR*1=*dKcvh&=J{{rOJJ^(;$l{cBqv zrsMok9^R(lSgeF8h<{F!sq-A0Eh}$=3}8qLJ4=#)WLM;p<|>-we32VVJu!BAct0W& z-wkZw#U>{Sn4oVxIFbm=C29!?DHTCERg?kWE>Wn8vHKylri#{OeBZ{vsq9qu$g8oe z)G?J4(E!-P)5g)DQE1X77LO@6gX!BEcDG0cz%m_i;gHd^2!F1xr1p?0XAzYle^;Vd z+Q+_A5nRH)k)Pz-3NMcni>LLkPYbt=*No@pENayo!u=4?b1Ve2AxfuK2=F6>of0%p ztN%42N&%|WpEM_)D!^R>Z?s|bMeA`+CkV6XOaw(< z=P2bAth~CO)Vxlfk-owjaFZF9eWrzXifkl4t>Qu^{j~JuReD!h4ZWxGT0x^(egV%1 zc&1HR)ORUj7J$o?AyDo?gp;QnbEK@g^;}VZjEd9JP=987Hpj(Hp+3X+tmxUjU}iVF zMr0uxdvtJ|@X<>4z5t!Y#&ZzO)-XInEa6XvbWoa8DNWlu2hFdb$k7>3jlD<+W32b* z`%q>%`w^=8KOHtTOMnqG5RMCMN;9Ld+sa(W^*0N`L$HteHDhHI!ro>lz!G7db_~Fr#>5 zT29aq@?+X}60UC4WsoNOmep+3Su$h~FSZN`J8X$J0XPdP&;RlEc(Py;5*_JMtp zg?|}@4P}FwNo?cF62a;sv3?D*Ss1=W$Nxh1`$1WSo0D=5-8Ow)@$|^DQ!WqrfZ9(D zcURC8cUBW)M}Em`WZA75$(no$0Y^kE8A#w^@~b+S&AJZ8aE5uO9hwChK-tBcwVaW%)b;k z$P}~M@#Q79qKGN82ezIK^dZtTL>I+izK8YxeDCb8>vbZe8pa+bN3_`ANb%JyU;c?o zU>xSLVU+S>xS8duLm5K57*hQHNjEVRU~o;junh6$WG_Z~v`6GO%wpXH7&BF-L&##{Jim5b9%KFx>i6R__)%KgZ5a`8Pac)xqn2qg>wl*OW%FK`5qyE}z)2ce=%UQ|gGgAqmS$UWMU>FH zYa?-pzNh^Cuiv$YixsXhabrN@NFrD&TeaZuL5|})NI*S~HOj-w-21aaE7NUng{D4a zFze`ujIC?x{C|ZsIfq{I zpvk(9PAEgFJ;VWqLY=1S^XyLJ=|qF=pY)`FUc?zvBG6UJW0Y|dFK}e#t|E&N6czg= zuvF8V_36;*H_?iAIP+e*W)68>(!93+jE??G@ehsYWTDttXlPVFUvNMoN+_uCeKa609{vIV0RR7Z0h2WhK?N}T@Bjb+ diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index e60a2103e4c19079894062626f83bd4e6e73e878..0fb5a276b1b0427e24f6be89d3310b883942bd61 100755 GIT binary patch literal 60790 zcmeEt^;cAH^sb^H@lm9a8oH&s22?=01Svs8N*V;|0i+R-kS=MJ?jEFu9!hD39#Ue4 zn7-Wa{VVQT-*whH`du`zH57Mm&%U?Evs-EA;N$D!>A@~8DIz8! z&i>5L#qB-2gro$!n2e~ngs6m=xFoxYgO9(9y#u?UoxLl&IJ=29`)h}H?CS4bKHlER z>O_3I%$++LhyNq)JSF*m(*NZD$$-1ZJrCNs)#+rEgwuSdyd!u7+2!etxXE5>Wc(-i z@+UHw6^G)5ptF7ByqZ_Uav)5afZl$xoojF0=PEWQ$0F*J@1GkK|41e@1HXR zdz0Y~m!H>Urb99|g?@_uL<9-Cirz|Q6Kh<{@9bF_dJ#os;jWZBCS z)Ya+_YHsaiOUnF>aZQm0@`c#E{`iJ?Avse8q60r%?v+L(Es z*!xK3`%ie+uj7~YHmlc@9Zt-Ou3LgYJAt+Y<=#e>(1?a!^?G$b5?g=aqk$lp<3jJ$ zw(zfOv3uS&>XlZ(MtOhE_X)l>4@K$#ajpaI5D&S;w+V;;X|~CHe!>9hot@mgaJ?{l zB`Xpz<$V?FK~?={NgM){X{2(J?N)C_8#@I&Y-7dDE({>cZEs&;3SXE)hVQ*P_riCJ zDp9YaZ+wqj-Tjq$ArXBu44n=i#||-(2!erFOCee%_At?Q2R%_2Kd^AVYI_~6@kp{2 z=Np3SVG~BL?SFJVs#79}{)YP?I;*C}Gukk%-l2F<`c->o%`mXO14*DjV?mR!QO_kp z08KK?S-5<~FMV6CXRUL@D8!y z381p_RYMxaKDh|%m#A3^KgU$wMGH|L=)IfRl;g$qggD@o!F7tbZ@-y!)X@hNJsvnr z39R=@HaG~?P53qn>7IIqqlx_{5#TBtnoZe6=&~<1C?Y@x@iM$$@r%pb1L7O7Bg~u% z^7_o4TGYk{$aek5rm#0F>#Dh-P^R_#&s=aF2IgYdcW?Gr7mjjcRk2U7Ro;^sS^ zeh^I8?;FBj`g+i|*XD3M;NU!%Akfryo;9wC2@1`+6fJ$toif9&w}rsZF$AMgBHrG; zcE}&6OXr@^Sl=+`lMXQbx$IMPzzx2-O;6^?0JRS`dkI}OhlCW=Rl@wwR~ZP~CR>9; z@6eJ=f!H)azm?+UCa&itASV5W?DQHqhK;Bf?oe3-i{fb&vyj&)&Pv-d7;!jcho`&p z)PZY~Gt9ll;Z}_|aFqNUxQiTjJxx)^daJl$ckwynuZKi8ap=yt_3kG<>l2`#7jM?5 zT(^tx!>Cxg3qx@TK&wb0hWsci!Ybd~25oCW~ng-YN@FQIU&Lts`BHt)Z| z)9nJJFK!2aQ8Gt~fz(BAjBEvNN+X60vCKV!p=Kxw3Vvrho_G2)$a{EyTHc z;H_U}5HwJW1awmhT`MMlD+~w|*pdZ^LU=*xY}Z*ev@_$7M9O>+!|z}~Nk|}G{kj#q znuX)u7G2-!9&1IqS7 z_OoD7j9v_|(jc_^^ai>jP-L5uL1~UyC2W+(X9$&aNsv(dRiAn9GIiX@C_* z3}!CS2yGvRWd$4!7r_7{mzsegVU@}kAp@wmZ{6Ubrlqe}7u#x2x;KSpon*s&g9Z)4 z9u~E%_)&#{L23b_AS&$QFl;dyWWNGpGa*UFwLI7i4U@*@_Q-?tpqrJr4xsx}fAMEkz^)K{FQLhe5g-IcJ=Xl9BBrjbLA(+LT#y89_M8^E5xpia^lEwfe*nxl`CK}WQ zDiQ`h5mmXEa}RruC#^Sk3v*pi$2>vdl|v!eSY+p<0p^(~3~+{V@4$xshA$ZI)U6;K zLVrWf7srpTi-x0sMU*2&Fc^R)1ci5QyR@_2nY@n0hD~Ol!6A2sQ*41|s9&dvwdW|c zvA4e5t(f!A?j4{sCn0%)JiY|)7`(Ob3F^n-97~<#1b|1?NCqG#gTR4l+Tyur+kb3HqHIq6J=r_0O}NUSEen25rJrBzbWVGh6$9@Ie&%9923381^TO z!axH3Kp8X_Aq=0jU8$UKLHyHxbn}4HUeeZy(9i;3+|NS_G<_34hj<`Z0fcNBnZy}a zvyCz<)|I$lR5vri+fQTX`1Z6Ge66HYFY=R(k3;G(u;g%M3^sIR?_Pq zY8jKW-{p;F9NO+J?X23xJ7k)BpoX$+9OE6_xNlTG5W+z=G-HQHT(ptKN8kTGKY8$( z;X!8NhXTsNsUw!x@OFiDh3%Rt^#X+-zUl=+ht}dZ-bV0}-wS~SM~Bw0;hj_MzkH1< z^$)F$;0X$^{|mF8?{Gfzvw?RiEd1%HmHc;TJ^v$J;q`n+$rRmZ0E3?hQ%J!=N9~lK zU+vMCE(Hd^!?GzlzuGF_p$&+7K_ZDQ+^_bR@0)@nh3(q^Rr|k2{@;-L{~&=bIyE-D zL$DyZAfz)M5k!gy_Q?}si~ab8n0WRBoUKG$nn3+a(Dw#WvM4$b~4@M~|KZ zAyrYKw97yD3V{r(OOpj9F zq3!t1am=aD*B_lV_z_PxX!j&b!B)(;eZgDdB5_99(CF>J;1-e&uy!zO)jP#B619q?S1&xDOEOVmuFu@C^bFiSA|+)Q@ta z{YQ3qMOp=VS2#IR9)k)nY3jt=i0c>2a!O-!&LKqlz`NJwNXC ze_Zf+X=(pBhViO|bIc>TZ*8)S5NC1&A-+u1EOOht^1WwD)g(oki4QvM0+zVufcE!{G;IC}2? z-0qbsv9i*2>EA!3#DBk8c&&K5sc8&(yf1DjZ`$y3Qu7&S@sDp}Cn|fMc295^#!B)# zGEqazm`(p2SH=DPK0R#V)!_B`lS<^BD``)$6n1eCTYOiF$9a!?l$G)H#0$!BlOaFJ z-e8r}!uUs%ay$y#f2Y(-l1$PEmwognp-a8?$i&HAR#PKy)2LvBI7C%DK%zvTd%uK; zwM4Xm;^#fWg0GR0)agwT8Y7;Dd*D-jLq;W2k-pkI?Jgo%9Lq>omuT{#o?p;y-H0AG z=Wyz8(8`s#bh^)HN8tQ(ZthVv-`$*}KsA#Q^5yx6o6Hj~_UKIk* zOdW14d9{0KqA6-&I^D5w+qQi+_)%d0yYd1(YM8>F!i9NwQEucc$|Mx_I@K=LX(>F_ zA=N{(VLJ0+^;B}8Onp!?9bh<7@y#pios#}N9snca*4|rg4~VftE;+AE83vLs-Al( z>`TId^M;?WPV>if-?8RC-A!VpB)TyvtLDbsqwuX}-82(!7f?tGFwHP-sD!)KXJ^PW zpPOE~QoZU*`dHOzUym!P{6&1MEuGf|{Yay`^&$6eTq2s04)UViWQ0~*#f;@=RRFZ6 zVkRP$n!=iW+ScYTOQt<>rhWq}8>5sJIhT}Ky~#SjbTc`w<}S?5Qeww}j>(cnrIY*LqCEckIsLQdY$ppk5m5mHIY(+^2%j=Qq$b~=T$6Y#X^Im#L zb$Uw#-@lNoXQhynclfXLCoo@$rsdq~AnM2DwWFZaLm%ldx2Rx!&OM`+o{~f0Sh0is zWQ54iiw2Uaf&R3-_o`xOPUurX%3We|X=~bVo^fGDB$Xdg>wwUSvQ~R;!}C0>EG;s1NV8RM|26o!P^-Q`&nl{}^9$4ssEf&Qu<6O~?sc0-ilYJ7V{}P4*}$obY`r^GP_q z4}Xf*up9>tCf>%olv<(=-i=?77aGyPkXKZErSmowSr!sKUlc)0jkZDZ1{EXQJ6*Hm znsh<(?VS3Ke%@*0);l!SLKn%6|4m}2nH7;pqkn;%tLHpEqJkaQbzd<9HrPEQq) z2sb71H#almM|KmJK89fA#SwLnFnbS+cxF(}%b@Q!Z>zZo)j}YF@h+kFc&UHLRuC9@ z)kWPi$P zR7&)8hg%_sKc#}JEAWBqZ|wS|ga8$z)e98oIk1d_-_FYoR(LI8UEZFFw3~;=@(;?Q z2Ij^G4vM(7g1n8mNAoySgL{)ER>l#rjyN7QIinMW`{6^JkhmtHT2(+oh(2#mXyosV zFbUsA&Fz->wDrtEdciIVt7p*$@0u=!g|hZE?UQff&E8%%8ih$kUsk-lqkd%3N?A8j zkuK=^I1%F6*}=$J|MZ&36|CF&bZ#wS@#njP3dL5wHRZ?Bi&2ixg@-yVUey#uJco8U z#B4{`=*nVV?K`5#*Samg=LrdZY_bM_L{ybE1f-wcrmrWe<*t8X+m0`gx*R(DQLLU% zQy{U;>FkX4sBj3p(1jW#m&(#M!dUa<3~imvL_T3%5k6x2_mhj~sU@o3);P2ivfw;% zKXBte<%|66fjhMNMvmX7LOEplm3wPf0%i5tl358vAC(R=>9XzVQ@pMd%?s&9fHh|# z19Z`a&_GIPgnS%aEJ+Cnjf3)Qp76=E+e-qy`rrQc+F(3R)>(%M-*hVV9k}-`2*P-u zC()s++91ow%#@sY=Ii-ude}TOE`s)L*IpsIjPA)cqr(>^t6ZB*<1>r@#@V9nE6`t~ zli%Spf@R^y0x_BbvNMsA@)X8Q#T2f;l-o82F2e8a-ZjN%UtG1(&UDzoi5!d-bx+iD zvcm$&S}z2+JB%{p4f+fpU_o=J^aTp2yA2dC+^hVjS*7dXWRSE~N$g@!DQmHwN9k>s z$Y75skTENj`ZP%QNcaWteRsjrg6XVm)Z&8=q=d}qV;8}{bsK0Myq6fWA$rS|tntP~ z#41}0kSxJcmYiSokfE}=k@dT1L+aE~GREjI3Vc`Y>l9g~-+!yrDldBM_jLdABgzx1{1l{Zbau;_BDZ zJ5~2QBwOG{vOOgp=2=zG7reeJRlls;kjBm*&=o6l$9|XRfA+a1KLI`W*)}$@$>87b zo{J5r^c0Qb6cHsoMvqeFjn!cA8;tK3qFncMA``COr&FS0QZ&lKmqFjM`9iDlsmL8B zX*K6Xo{h)Dd}J9%9G*rP%5Uy2nlStwDYdD}`5*VuVeiNDC9=!~(SpX%!R0$^dP2EB{qhLKXp%!?u&a?cw= zjcTsE*M$iK*Dn``6VCrUDtO+gv27X>_QSLLj0sE9Usyppc0e%1wfWw!H3VIC)raH% zCaV;J9@)yC-`o@3w=?X(sX(OrdAVo+q zNLa>17tz9JQb%&lZ<8wfc;aGh&~g(+m2wi8`Pff}F0(OYa3ZgF$ty;Vs_6IhB7V5y zV!WjNj$6Av5!~A;C@+IVQ(!JHDRm7wOm+Qx1kOWd0g0133tF-XI(PM=E+XzAi>;3{ zt8fTHSw-7aR8Nl!&j!PgJ}wb9=F(?DIo&TrCACGtzZUauQtp>H5z+ZQNkokcCvg3& ztO~We1mv~|QTGqyW>*s91M+|kA2u#!tm4<*Y6EoXnWjc`lRzp3>dD2kf!~s)5WTVk-vDd0# zc;mk#`Zv$>$rqp*Wb=Wg+U_>T!h=3qv)Z%DPlDJ3z1zofUnlQbn-lHP6WsR(#1e$h zE91-BVg>i8^33+#^T>OiT77SuM3=W+?n-Ujc!GsyR@%zidIY!5G7{vvTc3$CBXvZt zr10$v<3>C|E-ytDO>fd`o{#SxnIdL$Fy&d>lAwnCZHUsZBme3tgV!1R4oJ8F5N8$i zlk87ZNkdBGhFFZh!=#uKcxRn+i|G?atLAcLn8zn2ZFSD1d1IQ0IJMvmHRRZ4&gz{+@OY_)8km7`9w+%4|OioL`Xb!VHHb*^FPQ z*<{%OYDa)|&Vu3}J~r5ZDlpQtRWDCvwPqVpbFb(Sl_+%i0#?!R0^QnC&UhH~b(-}W zWQDkdG^g|{0{J5V$Ujf`{uVeZ7mPg-p^*O`HZk_26`h{Hs9edS;J{kqK*5^*N!a!t zLqHlwceL-i-)@t;aQ8qeZ03b&w%Lzxv7N7)^ojX%vkoEXzBZjUJ9U9^ZsGtj0b;KI z)+pDw^&~2w$n|e<4xalIgYktC*Zf(tJw|9jeod|8b&%&Dxq6)Pr;>~fcKB+( zlD)rFx+rX5tWo-yF2AO&oz`Z;aRR9W|e(kSP7TC&qh7;o-6)CR*igHXpzCX zkkn)S&UKYsQG%iVH-8Urhpyu{=Ftomtxa1sSFAe{qmi{h6D+>X-3Q$ObM) zITtAH7;`+WnXL+iAc_9IfB(OV-a`yl*aT zOCNowc{FqKQd?ME;)KOz6p~G4{+W@qpQA`8Atx zWzDfxlo3FE%H{RxPX*Fghh@ zTUyX;0hB~bmhe6>c7>xOl@LL8&D)QKVcHMf5{Lsz{y59mDyuQ;;+Rc=HY4scSnw2VWa! z9`#g~^)Pn%ZP(Iyf%9)T`LT0P;Dk70nZWF(ACH>UsN~{&$c;_ruV1&<9_43ba|}-G z^jBoMpW+}9$XNI(olKrW-KFb5yc)5H>uA~at3K6m@gVY&E!7LIMr30&EI4SrkWrG* zxC&u}Rg7`2?2M#5Qa^B!)t~hwD?7Dl(TvC%FG|-9b1zdaS6ZJ+wy}_+H$UZ^;H`_@ z?5G@cEqXp1B6B^N4=-^o-COB2V(J=^`LI(|&RpKis>CQ!J{qDQj}5H3@pY9949Uw9 zxQ@GGc_v&G7E|!8oy`S!V$q_WwyOsPk2?6RC9m82v_B|~UY|UEzlak*wfMM}WSpzD z%(Utl91~r$r(>N{@K`?ATrK^yyZb@iUgxgj;nZpN<}SZ-HxhGJ_clGjuk?&8s-a+^ znBI#N7P)ekTV93hpQlBaq#GoSnHUVo@weUu%z zCGdof4N>T@;E+KI{51GE#asU%b;O3O{O%lEyb^VJ!;`U>FJ)MIiQ6dL%0)TI2OO56 z#egLd!4QeF+B<#y)ypZxSK(j%8nTOWit0f|81Qavz8c2AY2!MBe4;a|p*5n>qw}aC zTTWJc)%}UHw!qa>dtb`Yqx_)qbzb9RW4`bt1$8KU;J{Fh{vG<2FIHs6f%|*s6oc#S zU@|t7z~R7HqeebAJts4R+Myc1)1c#FEp4fr+OQq&%0cDMh?4u%#dbo4Kb3k+qk4^e zc44j{^5w0=pz*=5kgoW5G4j$QwRQxNz}yD8hONZjKCG4xGPQ$O>z05q%3w~YZkn!k z)-=80VK!uh)wTOys45XmLwxfEE?Vr%N#+#$Nah!^A#kqGNz(7_G=^xBO@dT%KjR)f zvb)P9{o7YN;(2{SiEiXI)n$lcA``-t`CHsC(ek{ybvCNSTBmOhCaHLOjbHduzH=-- z{-vgx+31-LgfneU%m=h_(mAnf7(aG zB2>DkxY@R#O!jw9LaDLXs*huFj-y)W!zY2_`cU9fv=752sN;RD#h%*EC{l#6(ad5D zsZXg5t1q<4mw2GGr4+YJgg(=0zdK5+)$fM7_v8!PPSbAlNX%l^zQyvBk0NV4?7a({ zcH2!Ehd`6W_BA_M=%v(Vzm`c+2cpCo*FVg@k+ZGG!Q* zg?Qs{(PH$^KZ$A`D>ZeOO}sPc1X3)PO!z!kckFm`lek5uXQ$L4Pk!^;Fr|;VS!4x7 zBxCXVF9C8`gy}j6C20xnp#daTW_=g~b_Mn^HLOtFDk}746N|kaBX7e$1Mv2D%%JLH3Dt1UHYz^bH<-`bAqNTMjO&Vc_?v?EAStYEgLh}`IFl&V zgUX?6Ig@wQWqqC&W!%4QOOTy*$DK~|n(*4F8o{n@&z4Lh&OtQQ#9twnCNIyYhZzsK zz5`hL8$Bj2E;NFRLrv3EpG4!;PmY8VX)3A;8r*M-L~zx6#ZlT8TQUvv3l{H;;pT@9 zzO2+@Doc~6c_;J-i`D`u6Q7D7CYSh)k(k#_Smwa>SZbSQZFNr zy=3>O%U_<(}KgRHwzfXJb0_iqIeOvbi%yZ z)CM`ZrlK%G@&3UZbTk8@EiSLLe@Jb~2 zUmJ^3V6%8Sn7ed<>CSjHar$&w0+E3~6At>VPP2Fo;pyFF&?#;c0D@eQ9=xV&97R2Q z1Zh{6GQ;cEWb7COIBvl=sC(XJhG$*r)_xkWBeVPf5eRctEz82D$d7sH<^tXgc#$F$r;3Fr?9aeqm} zU=wq9v!S`X-Si~dG{G8=ux-CA#6|cyJ{So@19GsRcsUILDxDS#t>K=(UC(pI_&m6S zb(TYIP6}z#gV~h|GZvC|o__LqgsPSM4&vY$EEsoh_@Syg+V2|;#2oXtH!gJ9welX zbIwLfYO|CkB}SCI7;i0nTMk$y&XEslVDrpMhJC6pP=B_fP=MzUiR>wzsUGMRB(Yz3 zld`6CmF>*H91*wYViAkzX2__a9DN5tI&>asuS@%H-8`LZJ2J|Na|q08a{3V|E)pe(v6|=9UZnu@F6pLjECU@Ar`|+hHz_&s%7G z8KC1@*iFFiQ`Un_R~d3BWN|l`L*+;DqdVe6BV~2+>>=9tJ5T!Xrc7R6y)C| z_2SN_X*QKPwy0fROI5^IvEGYIepRjD)M_beUc=ztp!zF@Q6lZyAEm_tdr>f=uhF^q z=-#%^BAei|swbN+ChQZ`FG|H(mw%{zIJ}FrWm+(a2MrJm8&$U}8~c|W&ZXd~##UG%_*x5G`6{*@r<9kNYBdSVg95)Mtx_ZFq~QBl z$7R21($FAR6fHc`Rh4EheM9IBOD)Ur-h+#^^}NeQ?jg`u+^_uI*xN-F8%MI%hU%v} zwsqOX<)WXE0^6naSAvaFPdl>zW;gdSjR?#UIabl`Kcyqv7&sa@97|pkCRQ$<_*FY$ zbHtBXBaTNsl=BIW3r-;?lK(I!tPbn3%1=wHUhro?iMI?Ky5|$vEaSqmv;_SF>i^sP zIcCtM6=dK1SETWYclYja?`__`ie6hPzK~pu+UCrrm>b~h9ros2Am}xl1Vw_s;E|ip z=d)rvW<-DwK^ls7pd4DZBK{?olX*@%u7~+W#Y#|xkmqtAa+kE^fn^2b7s1Sx?f7-g zH~{}= zmQpg=A+}XXxoD!Bz)?KN^vc|328w=|p?nq2P@PlFR5r4=8sjBgk@V3Nx1>jNK-RoY zR4|~MYH$3jB9*<fx+!J93xds!Z` z#$tiz4A@-_m!~*!+D5;f^tNls?vW>|2iDsECkxX2&u>iA-2xw^u3Nav>%_b-+8EWq(!z|&SXwfSoxyUoA2CihUfW4G*yondF@A= z_=_wpX->LEQ^=%}H0~CH6Ia7w-ly^w7HNvdSscF_lN>(vrICag+dmM2K-m z-}1DI(7!o!1qdU`In7I9QE2#0N*2~XcFRMK{9kc{fv}GK?J)nYXy2~I-H;?oKcKtO zh`w!E7!>kgoD>_3v3^K+X4-ylj)Z>Mi3@Jq$6(0HMo;JfnRAO2?OObSbmSD!fJ_hQ zYBwi=FS$JKb&YYfA_T;;skC~!yo9TZM$iE z;ha8yp-7`-Q<-^j5HZ{>@X3 z?2Kp^A7&a!sb>jcqIn;AmzOZRzzPAY!P@!z->=w@ihv14x18WxFrLU%Rn0}v>)rK$@mB&KXmp8D>mL9`aD32BlPE4+hm8t%+MXZ8P@*{d=S2bRq?)+^+k#|p$uj;vI z@}A^6pG+AkzeBEq@%L?M2XA4p7O9|0m&;*o)sLEdg9#En4$^H_@_%D@0s}PGf#kVg zi(ceDpC;iQ&=vW0HzSl>Q`sl&`u2mG{Jw>cUUjw6DRtJ1e_o~Q2XVa&b~ys`MRLhR z1Q{r{2Yt$impk0Z(^OXc5Y|sx`FdjCEKue{fKKSfKEpYPk*riq@#acs*99;#`z2?$ z%yzcL=#x`TQUa19!_L3bHl+yd6r6CZkM3e;u%!%ydX*0AjLcOO0m6YQ_Ym%zm6e|R zjt>`EzT6P1tRDp>rO-?cpR&igv0kO($2Cs)1d*QrXO;qK(0}iD(aOV)bZIGA5D`d@ zsr$R2+Z`1Dk*#&vREq0`eozGvQ;@`o=di4Q$sJntbLrEQ4NX%y)vKYvZIz`gcxwV+ zl!Ep`GvpSWYZFMH;KVhCJvab~H;a$)MfXhgrMhR5h0uScZ0KnGI@y?yT3ZahyIEY$ zcW+mnI(D+4a!F7a7wrFnqnuMm<;NALffH}eav(bUecGESAe8(0djTuvbVyx(`MMzch(L+ywYWKGBlsvz?Dc2?K+A z?r;FBPA!>F2T}XlYjJrI9yQBoHgGy)yz5Va-8CImCUxT*lFwXfLFcyIqpdt?$DDck zyjsppMfV78*u?U-*OsY`=9c|M2xL6Pm;0~xI}nPtXe4okzH>jD?*`rdV;87yAS$91=33P8B34^DD08AjF8Pp$`kn+mSA(rR&U881L#8MCQkJg&0{nGO2u;kjXryAOz-8H6>f_q!Pol zDCEvWtb`|C)CpA@q{KyF_c|Ud2{DqYX;feLKc46qRy!@LguVi<>=0)q*_T z!wZ}*rB<|Rtnz$pHXvJ1T%P>oPWi_ML-G%MrY&&a0kT~LIJ4{+89iViPXbevCL?vCKiv_U$&Vno z7wEs%S4`XFu_#)PzAjKNu#L#6s?h{gpVdC0Q*O?=7CEHj9ss;yGh$tGsV=!3lsbfV zDz1vGs4+sSlDZbdx7>%(5ffa$G|BTI(DzNQeWOFUDW1vjf@#_b$NOEvlXig#q4hJ$ zEZ(z<40FP>TLB_FG(P0@Z^182n=kAzNu>8Om7vw+dgmf5WEUP&J+;r)b6DFuwk)l3 zl`K@$b&BHsXL)SiC*jTcS&GUyA`IvUA-#@V zM&RZPr0Dgk;J?S$DeSmHRnm$mx}HYyxA2i>OK157HC1<1a0;J->ySaogW1Hmr~Q;K zRMMvUAGdMciwM%Se#z{`=KmFD=&61Jro-o}fc`|_Qj8T(Iw>V4ddH$MrL3;gh0+eM z)8zjorFcFE9fR6Q`l#B^ke|NU!j2p-`l#;EkKZSIeUmc`GRuXYW7&twry)7`ys_|c z1st|m$NDjh{K9pt&fN2B%4c@icCnpj4%Z~O)9A-*EP%d;f9*jqGZIX(od3hZdi|%g zl?WI`0PuhcIhI6NxZhZeZAD_16d~)nok{{Fuj1G5W*Bjj_7o$+evOWCt(G5@Qnin3 z%4!SzjSw4qaf*G^Y%os4A@KCeQA>Gf`qJ{W(p!YNQhB6=R9HnSlYy&@gY_G(OGz#1 zq&L40o?Vzs%m$y`H&Iu*QS+j@X3QGus; z-|#=t9Nqq^m|pdWi=C8WflTF*UazS39twH?BZa8IQ~}?AH~H@6Bb#^6C6l5g)oAiY z)4-A3iCaN5ZIFbnMI#f*ejVXb!m@?J0xb_JTFS`rplQC)>I19GY}i1exG$BkvirsK z@Jc=Bd0k~TN3MO^Czkg3!409ebA#if_z7#=VWoi(t)Pc-|$M zDS4YH+Dbw6r)Y!1=<{tZS(zEgm%Xo2ZRB}cX*N;;TI}+61Ei=Oe&?T6IFI|B8wz_N zN6v2bm9icFwEB^Xn1M%?F^#UFSMKQd0(G8!t;~yuRY$F#1 z&{7$<_#%dR-O3)|z>(AV(o*`W72`8O#w-Y^{<7K!&%gWl?(HY8UH8;B7)Q7 z%AP98DgGpvElaK3;Mu%>P=m0V-d4`R-eII%)gNH{@jqph|0TgVvzV`L3!N@oPpdC_ zz5|^u!lW_Bsc;>9V(NM1Te^ULqak{6102;fAo{^J?bcO?q0Z><4DoZ8N1V8Qln_EV z-~Q3}b9QpprI5%imFAto%ux5Flc>?ucRp((J(jBM=fcG6m>OC^jCU54}M?RPO`9BMGrxGOnr4+dmO~sNGU%GZyjcB z`2aVyZtP*Mbm99?&>cMiPrxEJ7_znQvy-|$en{^A$Zc~~*x+=1Xs@|zEy5m|-8Pq* zai4#{EMZ+KsC7ZEHEMhSE~iKR00Y``7VnEyI1}xu}Zy$Ss&+8BbsowHFvekQA|Z-r_quAl1qlIZM(6 zey!Yp-1Eyx#?&Gh|b#B(Uk?5D_1fQIXN|NeuTJ|oi?f15GOOQk7GzsIW-Kl2>0 zB^^o}d)A^cV`CiveE(lP?ykU708e?!ncy7@pMr<)Jt7&xF{WEiW6E!(wY??EHgOzc zkLi6}qdI2r545zk*k71Gqk_g27kWGASu6#wU&O7G-^hPP52_=HFW9!q%gPARj#ID9 zQ+Z*ggS)w_`Zw;cV-eAF5w+?yU{zgaOa$+O+ zTI#}XMrW~?_Tse+0_*p}t8rS)F>^TN9&9PD!_+wTjWmMkuH2s?wz*MilMfG{>#%&r z&r96Z|GS86x4I|tZSQdd&?#PyY_P%a+#5+hUr7I{lkv+JhvxaJHaG7rran zXM~X>(%s9n(l#Ic5nvi}QU4HX7f5t4-o@;eXL?ak_D9NTxUN%4oAD!Az!mA!9|1UX z%=ZsMi5YMmr6GTcy;q7Sl1+}oSu}wQ+ zYx7R_48iQIduh0!84oW0_YbWNi2QCS=~DV%wl?HHnUYueylLir9!@RVQ{ z5_4gpL;;|%|6sWZ?+VHo9mu7-{`j-k%17pQ!+7do0AHLF`jQiCmec4ytRH5)vI>b}1J^&u9%EhdaamCPKx9ePz;#8Y1MryQ^quo%dR0H6OCCIl ztG&$-4}Kf^zlu)p+>e#Fv#2a8$$xtqSHk3jqXw_(jGP32zq&YK%?@{W`+S)@8j!## z-BYeOX)+|K#vJ@XcUAKW5TRf|*mBb?BZ%z&y`d0NIhOBlylK~zmu(}U`U%P7_kTGj z0RcOVA}E`AE4M0;W94@b*@VVgAzV5LxTnO9I@en=&;F6=lQVXF?V(k%HRrO=NdJAy zh@YUwMAKo=pPzDA56TixJuy+h1mn_F2q!f}%^)M}1Rrkqsz?*N#75RBYvXlp?_1%! z7~X#){}_8(dh@$yT`rhliFOFd-`RpY55-QBwX=o2=mk+FGZBc^?}ukFK6Aiu0vJL5Ze-!25Wh&I6!P zSo_p&(Gwa2Dp>t}2FJM92lo)rw^`R!Z~m=>i%OY&BZxj!kWmRKe&ld|*^VvM1qEl^ zKsD2#h4?a%5=G%z^Lt9I!jvmwaTToYMJjOT=i;zG_T4*5-(%Nq)q^3R#@=GGkz!xX zRvmEVQ=c>FFI6UVjl(VAcF07i?;TOu&WY4srdvO@Sp-S5CnXV|AX9PGRGLE{R~IC3 zb=%7nC>p#3&cM8cVEX~PY;uwx&q{%ls7&QPJ7>HFFVR9JK$p=Q^v~nq8f=zar8g?& zbc7cVP{6?`@|Z#=8~at#ppNebOR3=%{ziUfVPj^o7|2vzBK4=e!f%!Jo6xZruLaX` z;(K*x=H#d}o95l$NKlnqTIRmxC3dFt9{4rzdyE^f(LZJ+l8!aZMdo~Ddp~PGG_XFq zLnlJh{ZHcH9a%E;KiNcp7T}d4>KzAjCfV7sA7xJ(7EEKMczVVvfH(Vln4NR>*vVxq zuGY>s>7zrT!h`;Z@`<_L`&@2N$z78YP|o=Oq3EikqWZchA)$y!2+~R;DIg&+ND0#N zqf1JfLAnPd6cA~U96F>!8iwxf9=dx57>0?D@4x%jTkEa+&b{ZnbI;j(zohm!dp?^4 z`a&pUW{}hFjeh6pZ;SvAHRKodrB09^B(QiL0eluBni;-V!PI32k`ZAOzoMX8UD$+fFbt>}jy&f<7Rz~4pbfdCp4bk9)ZWJ~ z=&;Fj2N>BW-K9#8B#a0c6Zj*+S0H^%G-s)wMoOTq`<3vzcOu$eQjkL9+toe7LK3SU zgapP1dM9J|P-AcIQHsd?Ky&v?#~ags)`b?{^Cw~+rI3}+Y9G<<1SUJ6_=MzTuW4-d zF!lse2=BSSPhdwGqy0m8Q^7SJ*>wc<v;hrA`g-`|v)% zJf{)aGv=z8)&}HEkYwmtk;+;B+%(kGg?ih}$oqI~zg5!_>QK)?*-J9cPBXiG`6hpJp ze3Srs6p$fK-5v79$u_)z_{RumdqEhzvPu_8fpEr@zvLqT7j1FEeDIJ7GdOA_}8RM?M7omrm{q+W&T;dCyS(%O%@d+h!oF9hr#a8TlEj zK#$f=$>Z1~;DqAR^zuX#wgFgE%mVfjDEikwtG;p@&!1pDbdKJ2)c2wEm@F;Tu^Cz^ z;O<8Kw@o`Sp(t5T|63Pyrruc+FS8OmySf{nQ0#9$zv?V~El>xKzEap4-Te`d4>YZw zoR)oYD4%LU@~fic3B(Nrd!~63N;vppg)iao@w9}|+h@fkk2CB~9*q+Qfy%j=_i;;} zU|$J-qQydJur~4aQOhu82r~*zDYa;POFPu>Pj>RkG_2y&UI*gLAII3jWsJ`bjKzcI zM%C-gx@bOeJ12(ycN1yDUZE}%7pRmoe++U{Oc*mQ0c@WOFW%5?LN$=v{7BJGtbMJ2 zDD;o4Znap+W?M~YJ}3?jys@~SuVjQiKK-Cutv1m5AAO-rO#AbXoCUrHe+al^1AlCQ zZ`O3Cejj&=FR5eX??b4+iF!+LPb}4Ho|(5t#opQ*BukhE*tOY@ukj@ki;P#4o16b$ z6B;rh@6wj*c^eM-WjilB5nL23JzEmFIx`=}Mx5Z9!dA#0>sq&npV;5sn{Jah`i8s3 zcex4QXupZzVy-3 z@YhWeNsOo`ijf5arUDhzggXL0X4k)^|4+@kE%O66-aw6nw@9_X{fBx?h8G%(C$RFPzV3mu^GzW zp_5wch0}x^RnC>yURGdI>H(?mx&=0MSG`&6CFcCo(+>ImZ83Xi&8RQs!Y>8*(nSJT z-YM9d^>IR(Eik}ls~5Y!Gnd!t2+qjG%;k--uFG`UUxmBQ3RCXZ9W8lc0~2qE{-Ye$ zLS?YEt*gRqXIOTHCjo#v$_#quA+d!-;C0^kEoac4%t`NnobxfpnSt*S{nx~RJNoG# ztYY5=z7qX|rLxEbkIph*x^<}2#d3>sGaoBIHT}K`{Zx~@4Q4(LSH$%$yMTFrs-zCu zLJ(OVKR>u)BDjB1`7BH z8N_m=f_C9G>g2&3Qk>`+2xb@laiu2khW}pF3HnT}dS}UrdlRY%FU1a6W2gdd&4}dmj!7&DN6X13q?WiA6sEOJ>2ePZ$VT ztfaok}6vX z-uMmv4e$;nNT0hvj;L66UBS;7J^0!IZF<{xiN-1YaMNwyFB0 zTH0q-2Yvn`a9oy}2<2rbuWLYl8nEm{Acnv6bm4^LKho}v=M5#3RnjpJgnFf6ug&Mh z(8MI%Sp1lGAHb|9_8Jh?(DfQxvEAZwALk2NE=4?ivxg8+#&Ua6bUmV^t3~6E) z2|XKCK#9Yk{kbRorLQg7jDsHKptl&_yY&RRPK?q2d=DqSO(adKy_HzX;ByCd0=ph+ znhlVNODCycU0+FEX;v>ec3j?Ld8Vtg@|d(G+Ai4g%-@SZ;@;0m>RiWb-I{2GQ-o~_{@-pJx-r-FKNP4xK}>o98{9<) z=A(o-HedsUmu}{xjPv`c?>O$!AnY3HBOKq~is#IaRhykWH4?ZRs@|ZENwO_B4rjh% z=hy(*@iB$U53JLXS&g^RsDYrl*AowCB`DzA`_#QfHgOYFy8v1c#Jh#^mOAxZFPJL% z3CMX2N(Pa0YQ5WKJ$E8n{>trO{t-T+M1rv3Z4M~5lm1fo;;PEL<@W_;6ju6;QrSXQ zP&A^oDoo}tJ~2VtE>}tb0I1nlb;{%hIOo{MPB=TMq4tAshT9sI;;{ ztLh{rPk4R;&h|IaBIVJ$_EX@R?-&a!W$YXt^7uVc^CzbK1)Q@i2UyoBAV%z&t> z-Ce>R;t(;|$PVe6rD(z`KuJt>*G=m%9Pl3oJY#MGKQ$vbTmdHI0Jm}bAc42JG@gp^ ziBwen22}Bs$1zBY8n7J+5yEitZ-R6#L3~tQau}$_`^W{93!z50Os%PYMzr8`w(Q;74QvpmyqE+dYY@owinnz~opG)p0OlVggLuj$AX%k3jKDURaUdiq zKoqoxxRN^BQ{6U$1?~!XT?+gWMVNDJ1f&Oic3F<9&3t{Y69fdT>OQOuz#VVv?%y8D zk>!#sO9{J;uAV4lhDVDmf|OqEdddWKu%oJoK}njJe{XzdOt{nv?oc8Po=+c1^3YE+K^uFGBOy$7qD6|*f#JxybLxEz*6cg!I<{Dk zc`GM&v!(ZCR1$taoq9|qC2 zn!FP@G@kXf8O+pURgKR<5*X8ikk!eLoa`yhHIa zJn*N%aDS}O{ZVsRbx|Ujm2Sb9H``!pclcJ76ADvvq9fWdR(|uaw+ypPu;$8u<48dN z0Mo{~$Dyt5Kgh-wD_e%B7vZm6P8s>G>1ZqqdNVE2U>G53irPoTPzN$Y_Jbj(eNgZm zCSm(LEGdz-eg7SHw}VHlB;Q{ox_?>B6zxUrm5)1Z5 z(B}dOSs_^JK>_4dU+S7>0TyI@^E%{XLRC1;nhTE>!2^si4;`QT0_j^6hRL1AhSv%l zLN<3KKf{3@myOl=*qR-X0sLbyrvUai0Z7;<2BVk7_qFW`+$n(wCqezR_wS7ZQrjUH zPcMPM1{UnH@M}MgpTQH=p`f-5!AQ$k zL8vk)fU6sMa98eQf0~pL?j%F(CuJ+4cG>I{jy*Ns0tI*z-FSpnFxx|-6DAZHXPu8ES`ikva>@ zX?yK*wT2*};`0|K&5EttG z%&ea%dKt)hWBs+s^tg0*m9SRfBV2F+2Y~TZW-Ou4fG;tjT_QxfARTKl=#r_ET_OGm8>9Z%IY;bWGnLD2EvYP%LZCh}F z7QGs+tWLWIP@MjZ$d+FUmP2P%V)Ah~O)TvC90uX3vGc0mMJFSiZ2-ty$_ubSaE9B!M^&JfxSE=?`YlNLE+bbc*%g5{Ypu7f5zGWFZMw##D8uHT08XG zrNPV^3ys9)O@+0fp8u-W3aY|}#-DOVKm-af_0X;+(TBQlHZYp~`ThRQ3MIO^rAaPd z+Cnhg`3At$5=;)VybXz(hd(0rAr;MZCz>(Fev^>w)6 z_5JEkZzh7!Fy}2^vUa3ewGG6R7kL><#JIiOH%gIZj=+h7*ZYCwfgzsDj)2(ZFw~~v zM!;7VDSDdxnC6-(AA;NB%YqM0#Mr|XuAz5T3TWg8DdZ(B1p$I!c&-FO*tDgHLI`dx zHN{YhvbBhBu5wj>y?ohTcTsJC@poPZ_+=lyAaJ1w`&Z!tA) zu+lI4a|(zFffPWc&TNiz1suyD^W=IYG^5^uf%Z}gPl^)%)^dx&Q256G`E{>6^6`{! zr{Gg$&kM|c-=@8C&6m0ek8FAMR;qKQH@#PH3YuKD;AggH6nJeRVixf7#e&iF0&J^A z{?O+JEA~$bTRPUkl8Nh|{~hUv%!0og`)7n5Vp%r8#6Ht9gRq=C0>OIspLeF+%uN~J zfsA28dG8Xl!66D{_20V7r|o$0rF^0!dZQ8X;tT{Df!6`0P>Y?oS(s-G$(EB-- zR2AWX+UsG_dk(TyDinldacGjL_aarqm3af8fbJbb7U0Uj#Qv(Mc(P7V%BEB;A9Xz* zZqx#iAFzx>B;hK53m1)9!_U0w^_+fL+Ne4J#OZAamQE6UExsacsdni}voYb4pIxvm zFbe$X*H%g}Yxp@xlKi6eHH{h0_?djiE}~!We#|nvczPHp&`HxF3sw-b-xl34q(K;e z^Je90a9_VNa0|i_>M8riv=-G0!Y!Ms8?C1MQvVlN&eRO-quKs_G180F3y@wY3b9VT z>zsf&Uk~xiYlDOj^Hde^bhI_m8f1*h+Q5A~!V$95LVcMZ~yV=4U6` zN}BNmGsGMhV_{)Jpr#iLe2>Sy+^5k57h+xf2Y(Y#oA=e@!ogXO11SO$&OUcSf{}+0 zTdqY_3u~BBnBWnBKeg}taIK;Rl0InIN}_7CAC^1hC)DUT$16tI0zLy%&$^2mv5X9t z-k&HN&HMc@&&0BQI3p0wco}7nw9K1h%IqIcH<4Utbj<^LumtfA!*ct0nvd*)>T4%M z2jwy?*jrTSZSjNHH#BS1fDrsYD-uAP*C(4qLFSJh{yE^7XNd6AgP5?jxAUADE*}Fy?Y}*KC>%z{oZlH%*oXUS_ni0Dv!r@iknX#Q z>#BT15)=gei;Pt7j260oFz{O3b7(K|;)|y#OTP)p5YK$Y%SvlRW}2SS`M<0Nd;mWJ z2W%^M!bt0U%=E8StUl%hMX~{R=CJVZp3Fb78|Z^sp6(A8HvQi`*%BiPo?aj{fK5-f#C zHpYP^`zHnd%-1swbDW3kl%^&jqSC%^v`{uWDZX7pkArK(k_yGyuZ0dPaXz*N3j-zl zN3xs^xl|gTxZ!zq_V^uaJ9|@aT&gbNPSiFoovF=Eta2z0%LUN+q%_)?}|kBg3D!*EhJfvOgf!Bws7SUobZIKTN2@aUs-O9_9_f z84+?ut>RxecF*@*GP48>%hBY@;E4c?3=fzG5*n|Jmmp9fo4}7=C#EW(WsnK7Ob%&DPV9p)tvPJihQdvp92f zv=iE!M^(xuSxF3TCa5-GA(mNcC%S0N$HaXN+}aVG?b-cqQS-FNq(ZH%Xs2+rvLM4U zPMs%si?Z{A<)o)Eexv;$*gmx|S#um04GoPw&Embs2}0o+b`b7m;EwD!K6r$UKqoZR z@9e)qWQyMPn$l$^%WvqNaf`Z=Yiu`g^TDO%P?%5KJX%t;agx1s!$L2<3|lupfrB2) zh+=f6<4ALW9~ns)U)LBy5n;$R2==3r=#Um>|LX=sZM#YYjeX-o^?l-b}w|j zUV5r52kM!PvDey5sSO%8yvGl{&4B{&#~g4O?!7+za_O2VxR^{ZwGdgrj9k>H zK>f*$Lnf za?RnO5uEi`Gd1t1Geb`XUIgW36nsUElc1_Kl(!Ku(^}@+9@^L3prVsTPC;Jca=yPq z(Gl{hsdy>t2^)z841F%L(x9|=bDSDpAKTZ9R}G}y65$hK%})t}zPuo{Y%r%+K@L=f z-e%4hf#zKUm$%-;(9N)ROdB}z$!|Rdl}YR}-EglD9sa6fBfn>SY`;#}PDNv`fHfzT z8hy1=cy7Qp=K8~yzi+Ky+a2!TR{ZF?;Z5X=Wqe<1YL$hXJEh*(vf%TYu>Fvpf$dM7 z!eS5M`0bh5k)_UW4d!4NV_s0AIt2ge2-PAS*DgCBs`G!75>n$!IgbS<{s(qy;W}D~ zFr~&n`~R5uD2+^=Y4u%n@jz}6wgFj?W~B{L$RLYLW6bY{Q`oM3OQ5;x zEo|2kCD59OZ`9b5z+En=aB;_YrxKW$F42&zy;QaG6ND#@78BRl)~dax>+EE-_s~@_ zdrwiXL2%;y|Cv`D&h6(ioj-M1u^=fiCu_54(+N!Q)13uQahN8gOFlJzh-sw)@@TQ` z;@j^Wr9(qm&Y!FB{&tN*ajgpTR#qJd28J6CaHpOr zJe$IvaNKfp)@4sjtIdoqsPz2ETL^p)(l&Iux zJ)RL_0GvLv4~HU;g@&nB>FX(|0nC>IyvheO=w5z@ys;J{JxGnWOsn?qg4|YsuQI+n-iejJGDj^QDR`BsU8-|2JfbP*bFF4R>>;mBYo7J;FL#VB^I{`TgzC zBcFJfbu>P%=5g$!%KyOP5;)hZg_^77f zc$^&C!*6Mnj0JlpvB{A*X&!^fwazu`tCuSw5+5=tZM(Cb>S@|MeC;=s7l$p~`8LwZ z#)=~4e_MXU6+|~JCJ8)MrY}1rTJz3Mdn4&V%Rt`Kxe@%=O^&|iO^wyw3Zab zALs{gWafA*<$n7^s^|V0CF;@SmzY@K?$_7h+@k(||4Ck8n<~Y(He(8u>(_X32=jYT zc`0BX&3+W{R=F2F_o#2;^`(c|?1*JZ*+oZ1ug*y|G-4X0Kz%Ppw-EAUtPmUcx_N4A zHNiVj0<^0`POYPwAC&S)U{NdwOtaFYn3*$*?*eu%)BHRNHY9RhZo>y0(Z@6ftNl}oyUjdrr`f`o!UB&(Rl3K@&N zdmZD3FR>cj8+WnqX|s2;@HUn)=460FNIhCTn0gkOcP?-;`4H`6;#ok?Gw(&WtM z&&J(EnAxBnb^BWJ9+6$Jff&!~r0!$ua|?{ad-=(^hW|8JS%w>VSMoBHq`rxwIZ1jQ zALuvz=~^YqYBNNXX2Yyw$u4Na{&J*lo^H%*M53pmDTC-D(-!YJmI3Ph-J_~OB}*?g zzz;FsfP5X?f7GE)A2>!~zZ$|Dunb@z^}iU>X|3yI{57QIExEiGea&44OAUj!QpPu^ z1TxgW4R^|-qX7C@8dXcx*S~#qL52s)%h<5xneFFs{>ZduMjy4vNjIb ztJ3p?<|hg{$CU-H)M`!lU&hfv6-oInsfsVsfVtTdIRy>9E2Et=-**jF=>v=+f;RuX zW!;$gBowhj-zwX3Q=VMkRo-XHxTVIfy;?xFd@yQM;bTtCfTm4AaVvc?V$CG1w3E!Y zuB!#Uy59Y>P=7>8mSHtNV5|L-T}R3MlhIasCBaBtiYUTkj3@1e`jON^F-|Xl)n`5DQz_apk!X+rB;{r45k*5}PF@47=vl@ii5HOHPHba6*? z6BUP-f;s;yt1tK}GEJxQ-p{TzwaE6Kc?d%fAD#LIe+v^f$arQy`GUo5P94B)Mft>iKCb#I@k)%& zr%aCQt53*&DGmhzA8)%PSE?hN74dA(l!HmE0A6T;yX7hlS~HonS(_7EA_3O^Di8GcP%L zs)ObW%KH6l_-y(Fs`Yl2hoB1t(n)OR`z4wSH%mtTM(XbycDCLSdLo#=_XNJQL8REZ zr91f(IrKY@01ul7rYsqW`@yzd$C$}B3ZIF+0KI}| zp2WdH#PCsuk4L4lZ>WL*H;bZK1?Wt4ZsOs}Mj~?uK4KOsV|=&dFkNF)CGs^<)brK8r#bOG#2H4^uk zPxuziv0n~hcq!x9li8o7q75+(0f31>W{?~V;cTN<@=u9xLhKh8e|3#AM+v03DVC5@ zf;pO<+?eRV2p*^xm^=M7j=UA|tMkY|bTLpR(qe7Idxf#LgVSUp?JV|~suF0vI{z2h zJJzPky5U?N7XVi=teAerMe^J$nE6sy?2Kg~vzmRAk@Nz=+qi+JQiNDNxzk7m>_Qfyr zK^C$%Dk|^_%M-4xr64)Et}5- zdl#xjrBrT;Ly8|llU`-h^8i-??th%L%??cTP)XIB<-R3H5w|`|=Qeg)W_)1u!;57z ze?*hVUH&XWm15{O3~O*OXZ%7}Lkz>WIyC02A;qTsnBAV^SKGaRwjujlj;b4Ke)SUt znkL~fD?S>+V8@n=QOS*nzONfUJa@zn1Vi1MgS9j{fbi4m zGFo0UALuFTowFrNOoh((2}%;56{0p%EiLS}3~cp~ehm(x_t{;%k{*DqOs@QJen2$9 zoM}$mNF!O|8|O`5>9I?nFW6?^?+Z(QU&!x*?fu?w?S!Rl+>wt;ToMZI4E4gYO3W2% z142c&Pq{~bfZt5Q?_z74DSvCkiJ2q56n$lOZRxP$U z2JJ@!P7*U*Pd=GN@xAqCLqkH5771u+ea(A{23@0VVn4#!ZE(#hz>)xDDR-N9AO>yGG%nfs!q z@BYLpXC=0+o+;;{%d{woN2ZcO9=EG_D1^MoW((_0Pzxu-q zC7i(Opl=yb$HAJeH->vw@)ncq&(fjQzjSEJ5spuH@ET0#qOLhxi*U)@mLF>@62zISrfKqs8P2W{M6$ zxPw<7AJBrc*y5ZZmDxtui}F!^bfS&b;1#X4;poz?&w+D@k26&w@5C#BY+cB|x`E86 z%(A($>NE9^9TPCn^V)L-sl}0}+~(hI6ONH-ta_Hpb#zK+^ppM23?udX#Ff|n^?*Y- zXi<{VXYFruEZ|l&UgY(4giGTzT#xR*t7#XlHbs0oWtBIipGBhGl|_^z?Vj5w$h}rb zS4@{rBy5b_OPlsshkBzIuuG2TwpIH}x0&t}F$>7d_JttKeIsTDS!Gcr%`me=tCHFJ zA(2xd7Alph;DeG+I{GBnyoXYNW7j_XU8*f-8|N7R=>6_3@>$-*@~cbVOHlI^dpul@ zWvb2A@xESso{#2i*~RA|TIoTgKSJ$X!#4fkzIXj_s(|`;BFBoD!uVxWrs*$!rUKwk zA;nBEiAnCC)7vOvpw&zLgT1-!zPptzSKl!&Hlcm0zc>}Z_NY4lWdF2~k~Q-O>HJoa zI!5HN)uBX>L>bgr0Z`kOvepNpj$v7an&`^FlxIMBFW=8yXz%ICxiLH6r1whp-f1t{ zdFxC~I9Z!@!B}<~<;$S-iu#i@$QKeIv;5$dCb`ts`IWx3Dvk2R!nBxxz;4@L#hmeO z;Rkl&;EP`iE+hZ)j*T0XqbbsiYmZvu;*h**jm#S@$%BSQ9{z;=9vx;L*&lVvVi*v3 zq~>qsp!;}4Go%3F(6o;pLpnmw#3IK{5wcGt^I;%bgIvD$uH_TszL3VqXHTw9WMmdH z<~y!=%{N^5=(5t>gUXH{Z~x*b6!|@jIYm{9b#}-%G-35gGyg?Zc_fQ(#E5x2Ki-kO zwPiV>6^XuqKB>Y=Xh}^v6BG^2MX_T$);@=%P6<$A{5Cm>cp`Pq=%9R26^1NhZNuJ* zFY8hd94r}v1d#Ok`um#V=KPVGL(Fn7ieOSI<`z_rG_Md5BQtND-?`l8PTtkU!}_|I zj6IvhYx)q2e@A*=6aKQipI+gf(>GP%G06SL!}c5rWR?erMTRSmwI+I5VBNdU?60s- z?P=(}+AYkGX>B!m2seG-hMd@?EBC2e{TOrZSTz!1CHg>@z)yi47pWyQNVT}~bS-4z z#b!=x9r5hM*8bYy<_=+0CR(9cJM&tdHF?^g)FWQpgd0h;BQfva{vwmTB~KLo0tqn* zpP8V}QttVLBrMKv9vjNhw%RXc($^ZQ4NP+}S({{nvV#xgtxVOM=$7@Mp&O z_!SfXo7YF_eYN16i0@UeaE_E)&0-&x+57QK#~rzsunwx%=EK*SK{9a(Hx{wRJRf z(1yKN#t#gdVzl=?BsEfA%AgR?3Y(4?Uw1cqXX&D;KrII#@-vRh8tiMwfDVBcm8-y|uU(qY+9bpjjV3>fz90&8R4e?|lXW%3 zD3?5;l)p zpfbcS2*f&64`cvF?K3>`r!AsIn~7U#b%XIKsWJe4)V6Y|&K@kk;12m>Y$9=Q-9P9( zVlr`k7Mkus~hF$#4q2Ji{pgRg6G2Lgld>7^eutyrVlS;I`ETz56@ z{o~jrh$0;{CDZv@BRi~rnYHS@GsdhI7ipyM%_dyFHTEJ0AGD88NAeAtnxMvDZP=h_ zkNZ-?*U^`#)^Lep)h-S$I1s7XSEHM*CmB_Vy~%USc4BJk@Oj_o<}YVFKybVd=e0k^ zlpv&d)_apoj|~`HXzo;hbgzreHpc$wSeqkt2C$5Jw@}rG%Yko5wVnGW>eq}svemet zq$19-2ba#Jr{0Bpns~I}p{+`wQ1k?#@7`xfu*H7l4TBIq*9otN7d1NLMN8k~Z=P8X z(oJC!u-m8H{05DMg5?ayTJ*a)ljoZR{&?do`{+Etk`_(Xi4G0taEq-?)@2&++5A^9 z`FSEc>+>xd?FJg`fi3HRUUkI*XL>}u%Y2{#{6}GIfQi9c?og1aanTbryT#4kOYbs8Q;qB|o;;~W>+__8tXv}WZ z3q5cD2NT7bJhEF%^8`~c2EtYM?Y8Z@UksWgC`0ED|LoD*2Q=sMkWv@j)pnclLgj0$ zGZ$tLTrKbe`KAP%b0F#-`(Jz|n8wKA7j<6jBOqE{$bZAlM1}3BSIDV;$VMYZOrD|G z=X1pEaDbGNYQu`BRj|D+_2sqk*EN#)Y-=;6h4BYohQ?id%XNI}Z9$4l^+AygHKX&} zSEkCX{g-E{TbUgh7kc)CD=&*Z9r+zfQpWZD8p_A)8;@u3tId9t+sLu0?^V~eAk0}Y zm|3ghx_T#UdqMl#SrKUzs$0;=ll6_W2NKc521wS{nn&L`}&DWs;5|vDe(D`64?YzVU?J*Mf>oRZd9)7>$4m|CbY(E{e+1gypX3o%HCf` zv+A?MJ)eDaIFbV-YHcy! zuKmZjm1_8d1*%2w;bEC?S^(H)9WD#jZbE5K?cc=6bB@jE0DExrPlQSN)oke0Sc}+C zCbUV7i|-WHj!vn?urc%Cc;tPXb^8;|yme<~?T6L(vp73^SpD$JGiq&}V`_>(sDU?- zguVRCuY%|JOx*Am@V9lgg`oEbmmXHT=ImYGM}R6x!rU4~9`q|6orD>PkLfyoJlyb> z-S9TlRb}z-aq&k z!%cJ)HhinyE{=Gp?X4pGU_aRX*o+g|USv^_Z&O@XePCXtus2}6<|4;WBR<0^XnvK3 zC9tUI>i_8}qEx<+OeR)VYCd!ptAD_8?3f3t3mFF;OSOeyxTiLairjv2*)!PR*p!O* zBdBh!Phul`9_KB#k@GdY2zMks@hL{qgFa>Mr+3f<(X*PfuYC3#@WY$Em(Q~A_zTyJ z!E^uEvX%~c3}H+Es;szg9wi4JZT~fd>!{fP;ACV<@~k@S zPq;H5ag~>fEy#PDh9#q9hEzFoj;6pA%=5mB8N0k#lQ583q}GdMuMjkrVS5(9Im~5u ziK~53^U-=_IRvuQt)>rA#tnblf)|ll2=;RSTK-UK3O&SpOgeVdsu(Lg+U+;cIKp2UcG~=UxZ@QXKAsg+W0=N0-!J^+xt;o|ZQm+yOHq@R5)CM^NJWGl ztfFJj4hl2>OrHPP)6ww}yQ$wJo&V)w_>1C*?2tpTd|L zU}}mIzyByWK};4=qA5WEwj=#EG@9vVnXncfL``6pv!nYuJUlKeS7byFrKzGSSN+7`kY7q!(YLC zRonwZ+eDv5eD@_B_Rxdp7;&QN7?kGI8Bgc7$ZU2)8ut9_B>bCo&qG1;iH)CJ%5EyC z)uX2@dZFz}maS3Tb;y_KwRf#59#uR%R_Uu;@6EmNfc9>Ki0Rq<7wS#^ZZTXLo@ihL zl;as4Ad1^m{THkvP+Z^HL@V<$=TRNEFT;`m@5XPnWFx;0KhLkz&F{DG(+51Ag^};3 zqxNTp1^Qp2-%LR$EeRMeaIv8i?9(z8*w4I=BSkg2WS|rxqGav@*Y7qPv;R#>R5>c; znfKF?8?!fgzds&1Vovu`eC2@{M!y2MvTqm}awlpuv*uN#7-vojqxw%CWWF(gS{JA? z)=UUFX=S2!*^@3OG$UXe!tu>zDVzfypwHv&pT>z*yHQeQOTI4-Jvpj?PFEs2%x29q zlVmu})yo!b;M~(UR-_)7yl^HN>QVe#;qm8jW0*v(@huSbp~kYr8Hy$e`)Uq~G|h#3kK_ zi)JfBCE#)M!w-GsYoQZUE>Z`f!v22 zmn3;GlbE1B_aF6kxivs- z1*Wjib2S^`o8kR_H8Iz2HDpojlN2}Hspv5)g3vS;cMp_64_Ig(j&*a<=k9_RtaAR# zdd}uLUmyrtujC;(@itagu}$U}LdqAk)#ieHKA*yM^HOhrh5cuwHw~Q+hWv+U)HiyM zrL>Ma{Y9o5`^P28SdIR!SPtkE-;h}8(m;7?d^Hrmbsl)aln3(=DQqr2gD2}2$Nm@4 zC+WvO)zS6UY?ppvB}$mVN2XI^GK(n@2O5>opvYY?B~u_nDYxvu&RkH zTr9d0d-jHI$OF^N)?q}qeE*0Y{jOVo-@i)3FZ2$Nrfh(-FXUM0{_n>xj9w;n%F35V zU%ZFzUQboUb4XEI+RcRVL>GpE@{!IVX}=* z$gLbjTrm|F<aWO(V3B7KDxsSa!e1DG(KLqEHETQ}GPTJpyWq0JNkG8C<>WnmwA+cl zh?`)`ov+NdJ;2zZzJ*7J2L2D}mLTD0QrfUD3KdL#^fPx}eWR7}E)%Qt`zs3A(t}+Z zQVt^A{lATo=FljL!^E=p^tK)pYyrld9>VGvuCj?zcxJz^{AJCp2I=Y4$Pv6bB9`KA zSyneMS=Q2|@NkvpL@ctLDL|FMN&V%?xHQ8jfB>DRzu;{16gXjyxkMrBFd*IXq&>mm zYANG3sD#eobHL=_1DP>WfiVfLL2_G{Lg9Sx5@@Kp)8RbJ=GMe{G+Ia6KjVROcVEdc z{^wbl3Z3J5hu7rc3IC^Y;CM(f4f8Fn;k@I-2O#$cr;~#uhRNuxc?z0gAB_Tnz2P-ezi7&=g z@|-?@NFTS2?D%tFvb#iV?KuWhg(Puk67YSBd|)8WJh45SX--v3IjxCqrDrC+CL43O z;5j1Ns~Hq{I)nv{elsYUR#MxCl*TAL>(8+Y3NnF*^JLPhyJgx|xQb!{0;u^H$emZ4 zY*URDu0>hhcOr)RHw{R@Qk3g5ci*$8`eu6*V+xI)O0l@>KSvMuc>3F>j$ovFoyWb4 zO}vva!ui;k7g;gm>4aDv&8TYbZ^i5EnD&*uYi70oitFEH%RlLCvciD0!t3s^Gh5rR zL{J1m5Iez*N_|6dOOqgT^!8iB3lbP?By5LYliS%%eANiCUw97fOZI#m>nOivsJT_`J4>QB>URf5rc?<;Y94>4iz z`s<2Fi954(&9)|S99S(Awdhf!$uXqj4R)h64)1kJO=A_ zN~e@s^U>?FndVv7mwRO&3h0D^>RU56dfnB^8gvX- zZ^sNCw~}_QTD%OG#+(P8{BYum*u&~{4spPrAoh*IT48{{YcXnXy%LjUcL&~HjlZr~T#oV_jcV!#EV$&;I~Afw_yD$_G*7!5@C9z{g2+itCgZV{GB;!}K3a4|weO zYs?YcuweRTpZgGtgO;87gehD1SyoWh)awcOUW<-)))^6betJU{QI>bdXo)*-YlV!* zha0QBT6AlVe1AjNRbt-9(}m|U8DahCR!_f=bl=@hHOq5jdX4k4LAXwj&~*n_xZiXA zRIflg4cquhnFj*=EMa(EJ{j}MSJCVWk(V4$k#WpDBsh+WN@xGUU2o!aBbDUunOY!AbsS> ztD?H}HBs*r9vyo=#E(<5No5d?F*EL2H0=Br63M~z@5O?If6vN`Ey-BT`u=?1F8CbPrK(wIo3KS$sn)m&yZ6oc%83gxaTfdwr;Vh!V}aS6r0w4vi_gjEV#}Sl48Fd39Ku=w{|pSc zZrHcAz98rm5arQE9mqNgxk#&Hwv6%nQuoi?2$wNyq2tlaF@5>1Xq47I)4#7%D+5O; zoaKX6k^XKC6Saa@kDxvKRUyfX3UY8Zz2FCj>Dfu}Ic#+@4(V^`LiX|di)Or6>{lh}D`>yZ`RnZ1{$Ymi1$kK zI7_u9vs#Y)fxg3$eVrF|7l?9OwFs%&EHziYtvAWs5y(#?yy2^EnUuJbw#Hioo)x(! z&0dE2xfqre_lEfpTt6J|*dMqOW*28`?4l-93$Er&ojR``xu%}Ar;aZ3{u+Etf5s!G z%4(bw?yg)O6MUlKz7Cu}8K+U)yvyTRnyM<6Fl5S&tuC>g}3e)zu`oC;pnWxzXEn_pUXK=$C z`pQ$bcnYep36^Cml|HYF`5Z*a*epR!^`+3hp$jf??mE z&?!s`!twq1P2dN=L>U>^h{Y)vHLJ*`%pVUQv$V(&rp1$Ar_w1y7=m$b(?=LwdYX?b zV>B}&EA!v~bS)KpTNjcfi_`htIyzRfjSE_ESbY@#%-JwbiD$Q9%H*Mohpqf`=0tW= zv;SY8Okyz18t4*eFI{Hrm;q=|_IYjo=hwfV#DtcCVb$)N<{q1cho&v#ju;E_O|11~ z2d{0s2Wsz19P-aa1@prMybdn~ZO+nS51pC5&6IqDc}>6NQ?JzoJ2CMi+zMqJwm?J? zzi?bQtOjKJwK*PR*1s2#!kyLQGov=hKtvQYk_Ctt29m-tD(z;)#A||3TMAktYiogx zZ!|ancEk*2uh*xj{^t0QB)mAm@rFYJVvr8b)hT!pi~Yl<$F~ZJnA9*MTZdoYxw-$U z>!oCX4I;Q1?5e$0c>&z3)N>UZfVx=`MNwz!ucZ@8B^Ab1JcD!JL0XO4OkC-wTz~Le z4!8oQ`h+E7v4Z&$Bce8L8I$9c8zYz|dt2o;-!8g>>`+Ah9%&UR=Tykf9$o#NosFh~ z>i_ywu;y|3)MBwXm5%}aCyj{YPMwLsHnp!lvU2><|&SU`O{40 z^&ho}ao*1HsU)&@es0pjyo`&zpGuPkM*T;Br_16<45+ZRn>jRm*eWnPDX-(Z4Cm2i zv;VqTXm;{UQrf)gKQQ;_kpMaRs-1oAWB{zFf7?i~BO=E1t}r6Dh<`}=SWl^~qS@`4 zY~E!bhq=Qs&)7zU;KY=gIK!i~`A;U9PL)U6ZC~G#AT&=7uTlADMP251f?j-Kg1aI; zrsdu~CkHgYf%?p9@h?Wq5RpkJ~)N)5T6-Fgggsf~4)`mTBnJ6%G+aP>!W z;wahKa?8WS`}k(>gjM72_(kJR+>}VsW;Z}8c@dbb6uQ7nOD)MR17v8?6uVmcbjRpT z*nXT*hx*6Gi>@W#Ka_aD%2r+s+dj(%1d7_XCLgp-X_8%=I{wlVfd9Rv?=+aAd3tCX zRkQjLJaEjS|v#1wL$t<1Wxj;T%#5Xi^TTiFV?RVan?b6lo-K&o~c zocol@5G1_U-*C|7uipUaL)wO|trOnR_c*Vdu{(WMvc|vummIBrEJA*$EK3znoyvGL zlojWWO8z9C;(VYLi*EEn+1qmLol36Eg#hkrtcbj_Zo2p|T9%&@X<>=OxS9MIKi3h~ z`>!d|tk;hy)zyV5i(ud41pEAp1qN+K_^=!Me+VihTrNbzpFTo!Yy5xiDCE=*P?NnX zHHr@e4du=f@Dj1A8y<%9RMy~w&1#eDHOkJBBN6P*&w-T(T)fwq?E%RN9PU~U4zE@ozejAXmqjiZx|EeX(e zA0(Wy_}`ttk3*oO-FQ3lOao0_* zoqLuGr4NW%PALzw?18a+B}{aPP2Ghm?d$mnQ%Bv^B(px=LfLUYAmMftzD)1u%ZQ52QsH>o928f}d3xNEkSdMcKrhp6f%3rTFy7y^vlZSu` zuHR7D#_dsli7h9?DP9`BY;X!*;}PeQ;fd{%wQV(#S`Q{n z6*OzOUAF}OqjV%^Co5d`o-PqG^`XiIh(ofAt$A!;#K^c(1rYd*N~8J)nVZ3qbD>$k zpwaTYF(jTaW8`f6nNjAJVMXN7fx_sJy zOO+v*cfN`MX3=2f{_jb^k8S23qryx0ak5D~;LzYNqvIgK`HXHUDJ!&Pu3E}&-{_bn z0hE0YNMFHqDvVU%75{}h>b~p6PsHQ((Jm1A@xEyMVo~gibU~DV2jOv1m`l`s`sVv?>*;a2I-%CTZEsC1%mf{RIoHT` zheF5w_}#mHftlBt%69kO7lsh1GR2@%iYs|f4+*?fj0{rg!iDnIW_@GQ?Vo=feb}scXc+k z^RoV*q6eY7c>(8&1(d0Ro6%XXTGzwJU@0#ck^jg9acVFjOe$P5cFs%Y{Xzf~&j-#m zTpBQj`HTvn6bh4f6~UV1O~e?dc(te8b>(DOua#h@xV2I{v5V5E{M-hDd48RV5Z0y>ku3!g3^mb8j0`uCMJ1NZyEasc^+ zKpsqz?q@jj2I^rTG8VFBUTM0cH0LACi#?B(huo7iv8f85xTP{IovYTXnSy{)f!JH% zJygo1O@wuL_ov5K#;Ptq_DVtO%ojYXqX~Ep#F~SGi~6FRXcrdMSls%8?wrN<0`xYx zt9oU1(i3E1F4n;61>H$2 z3CsLqpA!3Zuj>s_W#a2N&bctG)NA6foQ=TuYijxc-sI-{bNZQCJ^9->o!uw#u_gbV z*QPrA#SqM}6;v321eH}Yt{8UHg^`m6QWoMn!Q^r?&#jlE2D*J&c>1ilCtBJM8pna9 z>3FFq$e(cjKCic=L&P~griYjhnF78dV=2#6mjW3Nt3q-t0+51w6Xd%b?h?j*YK|#Lc<~3 zRWralvPw=v@pt5h;L}eua&wfs**12#x_u4shEOK8SoB=4ypR2ogM-#_P>H{!t>Bw) zT1mk(mMCakF@betV3DyH=t)~ZQTJ}L4Y5*k~B05pK;Y zSz!4z%ZbwdjK(FX=tzuBB_c3x3GU+d7wdExPB&GEYsyNCekY1DZ6se&3_hSJX$E2|PDP*4BNO)h{Jn$f!00~N5)_oRXaU{VR zl;!9co?Q?3;(c32{+%%bJ(*4FaL(Z!d3XxpULN)H_kEj|`wVax}vFy*X;I0``4-wwv*zxi#avOUD4 z!tM}+ZJ9z)pjEQz#fy4bmdGsIB}a{eXkEJ9$rPU{0CS3^526J*9UYG4JS{m(p$rSj z+-ohGLJZ`jJD8@{0Nqy<{U4uexxpww?V(xRywOAj`;Z_q@Tt(>^&w@e!d^s_FqA!mm6mWfzKKiETIGg=4ZU^y%veN z>V=LYZgGW!)%(;(m6}StQj)$+pA-14@Nw=0g3-4h^KxO(3jWnDq?eT}7X#laf7;5r zKxdxpEB+2&5phc32V_f|Sr?Ge9_Mc45Dv2LPcV{b)m}E2oMGlr4%!?K!v0YTvL4ai zt}HX`YRrl*{tfm@1j{Qv(Q&S0MyCxisqrgsw&HH2ZIe#K=(`19iqvp)xuByLFm&UN6uIAAOXj8+?H1|S(;lN5J$Wl@|cT>@lV)#tHlgW@5 zw*t}`G@blhQhEASZFVPU_mZ{PG@wJcUKI2)szyeKf%@2ZOSk?xC_aWNxJ!v#*F2uh09h*+k)1J1 zf1RsbTD~M?jtj>Ofu>2A6Y}&UWgDxwb^2Ho(bUYo)j0}n&nhr060#JRt1#AQN`l9- zwmU_tgz`L@N}t)OsNY$KhkH%GNSEo8a!+#59seX|GO^^AB$i@Bev@$*?j`k>9@17c zrxr;lLAlW!9v4jC-<)SZPv7184=xn@(Q_PNAyBoLE!Q7vo#X$%8JCtNHN?BlBtX{j z=WIZdFgJ)v^-|Vmp7Tff4V*rPoIZDzbNTJ>S6FCy0s1tfA;|@y0L>*jjm?2}xg-&8 zVxtl&Wosv?b`xEB4y#=K&bUzTN;xjU0&q0F5#iMb`Pce^`6&VO&|*(Q4{GFF9?HY2r!~t}PBfDFj(2+~Q`f_e&}})|QdoVofo+i7TaFZN$*K zD1Tk;YDQ)n$GGL{#UK4t>?1gl=zB+=tsNUQSlX+>c0e2m*3~=%d7EQg4oa?#|5U6D z?*`%NGyzWOs|V8NAoW&jkHS3Dm({jTQX=GEb$HuEBhVlJR`Ta4Ryxv>Bf_2fNsMM- zO-Xmv)M|e@YnrlwgGe~>d9e=C+luqoR%fb#<0A|QbGsj|%n+rF;dK!NO zGTw#CnaP|%;ofUptIAGK+7-t2ILhK{HjILlFPTj#b1T-+C~$`by18NJXIA0jJ%Ee| zvi~sfW~MktbPs@yuTSRa3W~C)LCLiFlc0*v+_@*rpe+cnm=Ua$Fndm~3Q{1x|jeeFQKXw(ET#VadPMcBZ&$vUj^B=D5Cch=?8>A4N zs=dJiGP%EUm^Q#VJ}2!*C1aVn#`ojqs&J@;9?aIlz;KBrJy@P-QKEfUSrlv9Hp-iJ`&7Ppo462r21#E~GaTX43D%_lhl z$Zx$XUDtrjNtf{#N%U?ISyeihet}2pr0d=4e*;N%y!)O*GryUFvQ$I{fl7%*F0vB& zXfym@^4sX>4}E(Fx5Eo9UIvC^Mgv)+3C*;&)1|2(o(TO`gH_9d7YDFYb9N)dqt9W1 z7q17IVq@PFZw%qv2!3$x(p&lm_7O*IvPe^>X<17aFKA^oZQ$RJv z64Cqh93$ShMb<+;m&Ft~YLm=`e{1A;-vBZbOik;Cq+cQDe()1yd=pvd5(exgu`{)lE77y1H6qhi_BIXLkrM zahOMYLM^9`C^o$bg#Zl_2!1Cjx_qoPt`>OCtTRmDa__4YM)Pp`BI~WdM7!gu{?+mX z-bh4foxsIrDe(uJg>6(eSN#e?C;Wj@&1-wZ=9(daTx57cMMmS@;#B@sF(e`P=gxr? z#{yOYUp3|3)AyR0HjvoQAr3>diXJCE4osJ{QM2Vm_3u>>z@Lgl@bxeiPq>U{I_k}V zr#3mAgVQ1E{-gRk@)qOQ#2i3iEpC*i8Q8f5{=2~4@!-N4mx=+rm!-;{guV&`>W zmMI2wj)9`k;=ytDRAkjcj0sDy)er51Z-!Ll`PnqoRQmhO&BgDDS)0iv zz6jPbnvVJ3$TGEY_JO-y#<&Xz4p3InbC0j*h&hu&yU|by2`con!*QVX^!jU&1>g0n zJjN_e6(E0<&rDTaUB^uK)s2qHM^%k%0wFO_0 zj2$U1SvnU6-lOQvuLpb8-qe6iSnW!cqOBuCy~cw6L!)DPM{m`oTYQ5kuq%{oNpQ;9 z$&Eo@abWv0l3$3uM@-6@r*g8*$ytewW`0@osDJBwY2#3Me*#rzQBdRP-~$Kj3ROsj zfz}yp^-3|lkDO!+1X#BxHIdEaz_8qj@c2AxR&ciFG_Cq#*?Qz+&geTb z``Rqu`vN39!+h3YWLp3U)jYVup`6zk2QuPDjVBXSI((HvFi4Q)5IRFxlES30>an}* z{NBWzUEm~r55fw!+3j$?w!FlF$R~z|%ce_PC$mo@8Z5MdlU8({ta{pAeSiy z558^cpqk=;-J%&a-r!sXw}yCvpeNU{9t+;;@sES=3d_TI5Ra6ln0lo95W(HUBt!mkC!I{ z-njOvBLcZ^!w7ymi3brRFimm1LN3*JJ$Of4NEn=4y3X?Is*p$h6stP|nb@e*AMpPx z8D_#Vkqt^ccGvNHi?hr~i(J(vXV^9Byo%|hAMOP{I#jN6z1e#W%^`gE z;}?c+)~9`!-xq60s)-@VBHZ5Q_xG|2I{X4}t90c;0GM^o3Fv3dOi}ZQf-CP#i9GNA zxg5CDCU&}Pvr6NEo0W8h?dOa}HO=Ad+Hea`!I!w zKL@DDZDX@FOD}HJYWZDz)dKKREzqiEE%^d_m2jweBpRqbg`-ck_if7ObR@qE>fwo6 zz?&K`^Qvxl^e+ty`Fqad`TPL?URMWv98u4N9F&)&%b}qs&aZD8@`EYL=*?}3liVRr zUjQ+hy{0lVlW%`=W(f-!dW)~3+7y)-tF*V)j=!$R&p0j-HzEt z(><7a!$_({S<-;f=WEoD7#UPZiCPFdWGd1R7MDdt`QS83)=SyX{5i}Ca^99}d`=D2 zZh7ul6}!l*-k1G;)|p`JE+L+(j65~8^jYpgLVpze0#Z7%<(O2_3)JS~3!giVueG zkekXsvK6IfQ$0W*?h0a@AzZ!~4Hwvpg0w%IH#pkoF>y|Tltk(bZnY7uMLAyj;Fn`& zO6VY4zRZ|VL0nPrMz|^LhumLoB&p;S&r4QF&=xujjsHfVP8k{`;;0P!1wbB-xe8dN zn}f2i_^(x(fMvPIgJ2w)KQnsP|B_;n=5kfO4iI4ekF(Q5EyjURSmXEZhPfycOeiTP zg2io**djQPed2u@B0gO7T31;(ldfcLxPtX~zce&^b|d+PtaZXz%>TB>^8)I8n$pOHOpqe@0(?RxqKpN`r&&dqh{S>4ovuN-l6e*rch ze38`e{OwZxK1o8>Jip)&_{ z#08JqZ08Ep85+F!FTo;9)EcuY8b?;#6~O#})Vas0E>MDaspK#F0tXZnqn-7 z<^P`V0@<#%cK!MFV#W=^`?iCcja?n`28T2(_sb(?{H7}A-?4vF#CfKr*LKBy&AgtS z>jU0!vpcFrD2g^mX~l7HSM$Ciwr8_K7H!$Q!w^(iHjj3F9sTMhZjw_EN;ewMG(ai- z&CH(@)B`GGMi&rNIc_WPA1sLP_h7=fv+?muHni&D@q08`YBV_W&%bo>;)iRTzlumr zj1UG=Jzr{eZ~lvGb;&Q7bQ1y}gH)~G!=m0wbe_s07Ozpyir)r( z)ghp7G9U?ivo=Q-o+03;Zlo&kPnu=!Et3kq#D4@Dh~DiRO=`)5nh?a0W7sZA4jaj2 zQ+>o>Rc}oEC4i!cR7|+fuz0>bnc`PTu+J)`rzyKoqaC*{32b`S=e5`8vdC;hO0IYu8J>UceM9 z3Pa}ya5=Q?O)eH(DO(FTOIWV}Y&iBZUoiD|WBkY@QD2gE!iXEpdsTa-+*P9{g_4yI zL6OC=~+5@n&0sTh}jCE(J!l`^_Rspn-4b%hSz7J|+FB6OBfk#)OfR(j8 z3AkQFZ{6U0{j3Cgi_c_KP%DpQ)Okel_uniK&&?qKU2@%+WV`Jh7ZYEjr6iD$x|%O| zH>dwN4w(h`x3Xx*dI;Yj55Gl`mIVhiyc;X_4jCR5;=Dsu$y6Xvw#IrEtaw`T^eDVE z@z`0*1eJymq{PoHC(&JE`%3=q0FdYr5-WxF0u!xyb2IH4C@bl;ni2z_MmQ14xHK60uSRF+ZI5z`a`7Lh~ZSE zh0|_VvIh|T%tjU}i>CRq0QF7wsmJO7w&rL>?l_LHaLdztFK3tbl5Tub8|>JGas1yfs7G zGD^5;6gbZB7CeoDoF9;;*8I3_`k>Aw5o#{nc`ra0^TH`!`ekgxBT6WUdF+wYt8;rQuCqh}#H8dn z`=6?CiQNaQB5Nh)R*7%TrirsMxI@wCS~ zXOw|m%3L!et9}-V=Sf~;L0DW0$dIqW33YM?NV?Noq-;U;ktTlAI2{<^mhXx>u>9TQ6w{xTF1-_j4Jk1k_-(yhana1DZPXWDJ#8;+X;|OXB@SG&61>w|GjhT zxOxag9F}J@saGVkOHY4=ZDiHPOV!OrIEw^iNZ)SF={*@p^?6(Xw{|cc->p(2gy(tN z)Q`&K>X%Pi&!+KV;_X~x;`3Iy{x1+!v8vTr@9fE~3@uPFN5-^eBpijVJ%j1Wp;*P2 zqfi)&5FzhfZ9}JmS5(nUUbo;zUmf~+q*}VKfYjs6+Bz2AUxn*R*mkJ1{hy&y!G0v9 zLvOxAQI%N!lfvQQPUh2;3k<~zRfnP^Kt`;X&xSXj-7IM{a0vra=kX8m{S zY1XP^iK}AzR(O#`i~5^*gdszB*+Y2D4$Gnrlz`X3qbV*B&H9{WmuE9v`urqi%jk zFy10dnIysRgUpAEAd71RHg%6GP_#c!c?f>(e<0oC${WUuZ;eZOVo<44vL&;HIuh3= z_q(e5zS|dtxU~A~I}MhGFSuQDrQK{RjplXuv*pSoDifXBPFo`G@SwA9skVV$g< zC59Vdm!F&!JAAgE36Z~Y5e^h6zf%KvFVw)M^q`l6o*J}! z?in*3DrszA|C?twJJJxB_;q012f)wT+QkZ~?9(4ljRgPw-+l1ROP&EhcJhDs{-D~D zMNl%~SmW}n0+xo(^IZd%Nxb}Q1xNs3_I|aAPgN~3pr~LiVuJr5qu(aIX?j5{0zf)3 z!1Wy(Xigax@lvRYOaZzt9ExpOL_nPMKHmu?lszwqpyVi%;IjeRo^rS-rmw_Bw_-Hv z;ucGm_|Ycj>oI|s7==wAmDg!lvBZjw>ea*Fg)t{H_@nxPz25Dpusv%Q)W<{PM*dQd zzK>H!PmMo6ypS7t^e+MN3{Fo>olPC!^d$5~wb_(s-Y zh<)vA?NU5Bfy=2g_<9~IxlbQB-f!fCLn^I}cqhP^5ovEsN_J`PYgUaJ1N;m_3KW4*3ejvY^bJ2>{mnI=ULjlsxy_nffF%BTj9_Dyx6Fpa|)^vM|R zT{gyl>Ql$3^7KaptG~b{r3x`e4eLPYvHhvzMo>AC6c<(%zcE zd1h^@)xcG;!MSjC>fY!2d~}iPk073+hYE=dJ(Q?+?G@*XYOd z@_e79tr7q9cS1v9M{@r1EGZFDLeP1z-kj&*=Q2mVL{gGKCZ07d? z0!nu|47oh}%%-j+eOKEl<`05U(0&cRP;bjr5Tx#eBV`D!hYB=l;XV) z{T6gjYX^V!56J`?n{oH8RJO&6*+Kh7Wr?*DW-u1uRux3C*b`TXXRWwrHe)j~4mn@j z+7BZa9~&I0jy!AHQVX2pS390Re{`NfWX~nfBsl+wLQnK%GcA#PYqqjG38rSWnm9=A z=(Hq}Ak4|LMTu!sGfY5L5fK-0ZH$o{ChMD3uWpljmoqs1*{W>#V#MhYRmHnh+rWb}#y~G!u{TT*3 z+-b&T97R2YBB0sEBJ;2Z5b1We#2u;5nUgfW{6A_V0-7lU$P-=yK3qjsr4y9m1g>uU zFrVy7hou@JcJgO7ac)W#qDA{95|2TRL?w}L*+x(SaUE4I6LGPa#YFV(;?Pt_CAd#B z5%&yW?-&b@Egmk?w$n&5yZS8hxs013L))B=cpS-!Wz63X zp1wBH%76kFY+rw<^>Nck{ONL`&ICVWLw*ka0>62wIA&`moaFk@(^n5t3YYR2=G-r> zk*;GA=q=S}dtw#IKE8Z#(Te){I5?(uUCgEtcOa4^WlThRF{7a-iF4VZrPbm=I3arn z7}>bS<4N_eo|+76z*q z{80{3AC2+8V$8zZ?mVbwzqPtw>pMedpqLmlJkiSz+F=}}{iUH~gW6;ukKK!-MGaBK zFT&Zfaww~0w{16}wW%RULX#}L7Ddch?`eCX%ZrWdg?-gwpEk@8ol{Yki}P9)|H2Vj0lDE^^lRkm_vN!l!vleV2FIm<$& zZmu?WwJPz#W{$n+vO~tP{XBR;XzZ$vs8ct&(xjhmvlOz4MHsCiSF=(M$0&{E!O2=) z5k&d0K@RCcSk0_kE!nRcm3Fc({jSq*Y5a%l9@GjWa_|6+I6;8xa zqr7FwKFvfrvWmAc|MZs@s}V#%g!6Fr94Pl3BwwPb53##Eyp^HzM+kL1=SNE2y&Urz z*GgW6Ok``v-y|Bx<1eaD1%=rf`n@n*pQ_wjcT|UIhAJ_yxR1Rng zZ?Kb@Mp+Hxp49JK9(ejVPW-fUoH%v6h1zd@zk1Divg4WH0Vj@s9K0S25f-5@%q~v< zs0)==&%o7K{q~UVbGx&O>6xPsVKbmiJQ(bgRB{v+1M}!QQ(TCBbLZ*ZrX{og#>8cLfwnU({X2?AG}5;V z{xrCzpCSz8qtyL78t1dEMkKTME3R$YhcqX^sHN{^i2o#0dr8~G+ZJx63r%UL4B#mp zROAFqvCU(Nb7`S(`3j5r{e(^FWR_{Xg= z5#X?@2sAWvo=zLB%ElB|p6s^$*(FP!V5oeXP9#lF9O*TOk~2a^e)4xZvjhx2!${-0 z?x0!>EFx+9zAm;?PXAeFe=ewZ9w?{6k&ZApQAm0Et0=9IvAG=bijCE3s@RJooeA!E zV4tz1v&2L!Qs_n@#~PQ^_fUf)Y%v926qi{Uy122`KglB$V}1Ot-{+rKS(GV#uM@vt z3aK=jsDpKACR4{nh!HreSrmVpF)!+`v-TENDsn0@sB`FnmQ~Yw=L~4Jt(WtdDtH_V z>h--3$a|jYg@=?JEZ>7>1rZDKLg}`OL)xWhsNdtC7LcBi9D5S}IiEctJ6NGKQMbxj zNgwYg4Q;RbUl@hTb~Ng#D1Dd~?8&>bhbHI`^s~_^sYj7lHpAZ)x)-5jui8}}l{e(? zd2f$q)J5p%pDbO>-PaGdDA7M2X~8!W%ddUQWD7d0qPOd)s22ZB-0m=P~D|I^j`v@$8UN(UcYy7VsFo6V2JaCk`(Wg0rg>aC#gL^7yHcUw>?MCTZ6=q9Rwd@`nKMfFh#-nZXnZ%8=SA8fbg7Ic*~|Hv@sj5c%isidv%qFMRKU! zB9i9eStf_E;PoE5irEd61>^!Gc>^f7jH!sjLmb;Z-ZtNF`u zRKd+ShB$Y-ai`d`;9sUe7x{byH=b2TPb#sXR~}DFZgoylGBTg_RZIUghs6CbPy(WPq(!b- z47(Zj)aF**E7VFK{yuz4BK%Qm&?hEEus}d;5r^`mKue)Nc%jG3x7zNK&Eoj;ky9Td zqjf&}!90y&L#6#Ef1M_$$`e!`=ho_NG%rD&6vA~oHTK3_!TXqRF`m&MdK=uNwF(+U7^ zF+R|kR%dHysGPDIv~k3#S*S3?o;?c-o~j2x4B0VHZh-Gr0Pp>1h zUOMiTLZ&+sA{Y9?;4mWK`1Xwm&4l*>Wv8CO*?Fs0(9IYkVJ(W|GGjR*n^LRE0oh%b zxqiD?-lktANWJ_nZ6;wY+>9OexjWPFQ5p7NOfdc2LJ)EAG^7$oI6Rv&-gsn3ahcnlFAN1k*Bz2Oidl<{E@GP{^5cwq3 z_{ULCN8$IT|#D*eq0w;C7&qX>#E>Q?V|nP`9Z*XYPWNyQ$}-tq(L~f_nXJHKA)e!j zRkD#QvMabW6O9qqvCvCr)uhJkir-;N@l3UpYd>{5IO9AmGBH~GCKEK~$x| zH*5LL^RMsL(jRm*fsBEx88`i+!RpBw#G6ggL1oK=4s^iZh+vZvJnhr#@ULskR{N`d zD$D*7O)K@je4Fx}1(ZS|ouCcPeB%^Mv$p@nG6TIDr_X|=j%u7mRgLUzVs*apUxmB)Z79F+p*|A4RWeycqfYcy0c#*Vx3K2JhL1dVU9~i8>A}_=^#X{XO-5De&CyEoelt z>trM@Jk(F~=k*UGMc0Sf%jp)SefB>`XQAoY)$_XoM7YvBseapkE4BzZL4ArGM1!Nu z>74G5dH>Au&1E@=>esUF`NSr((*HhmJ!VF+uX0R2=TIjo2e?-n9n&hZ36WsfFI7z} zWm&k;H;X+e(#6ikZY{D?E;sznh4;jEC3t%LPa?h| zPaCXuzFPaZdCjwM7WH!LFktI%=VM=NBhKTyd5>u9nT54HxWKar$a`^v&lEkteSwRVT^!Zry6{fnHIWDF~PeHh`_3F8y~ zgKc2Nwwsi(C3?}YrAEe1^5+3R!}nOn5bm%2?qjuAPdcSKFHc;ablR0W6u<+%sV0kR z?aC>gkrtYr2N{4*cBLhc||r z5lk$^%m{5BX+g6SMIP*|V&V3P!67H@LWz6dpZ&zFw4nlTc|QF5lj+oRIOVjfwJx8Y z^+&G}<1#UD?}3daONblp?u83!7YG#&Q{blD`|B%v`L*;Se=Gp%=jQ$YTKcZACYSGP z{dp9HBUq?XqM!mIAWCmhX(9qg0YO?sL_nkqp$4T$?_iV`5ouDT*MuH==%GpI0Rn`O zgpkUY|MPrz@5Nlq%zpP?d+nLoixT6*Y>{!UN~Wxu{bHz^yyy&OD?{15IW>xGe8jl2 zv~tf`eyw@6#KlqmK0200uBH5s5b~dJ_}?(4(C~Jy7|Re7jri^*yC_G|A^>|2J}}?l zoqIh~+DXNvPzW=%Rsi$oc0N%L$gGYC#QwmQm`MN{C9yytKClu3FxLd#XpY#mQ%zda zP9B+CP-cl>X&bxC5K7h#n2eG}7D50i?R63$1h|=e)cR?cui~3br89QdT_db-2|100 z=r+8r9rB|)iqR0q_H1oWuX>-k09M)Vw9YS`1ayWsdx_gH$_zhjWU#WTSMprzYAy)+ znxiu*<7+oHDA&4s^NmQ8&DXHK^Koz{ZU3=G;6Ls-9D~W@6x|%zGakbG}m@RX=z=I8Z&yZ&)3O zme_RAe7ujZf8^#C&3>(k+6##PX#3`qXhNB!;bzcfXqifYkLRAs84^*|5V0L0TW@|3 z`MD3uH?T0DUDl}8>nzs0JuseB6ZR!og|7!Q)5RSp)5nt+dQA8P$#EMx$+X2cX6<%> z>2a3VitRngP2xrT)0@|z(kznJ^P2@`T z07`v4Vu&mpJ@}!LL#)JpJa z{i+1Vmh1FNDB=MQuDAUD{it2Bxt(b}Rab%IYU)2vb0X^NfR9t>_ntz@2{r(YiT} z1ud}7U!~jHNuvS+@hAZa(*x9w8c$-aMEYcxx;#BDqpko_y&d{Vk*^YVwG`B#_&KnC zy|R#nTHCofS4PmKXMrNyb*zw?jNcFf_K_y*sqLNS5HXMRSq|luv1Et~tm*6dXxqiG zXI5|g0yma@ygP#8wDYgrTTWTvr7UL8*?8j_9mcu{`u%NW(5`TSX!7sd@2hfu`gbyR z-mQF1Xv#TBy7DEMi`L=)sMBX@$p)b*@8xD1W?g|9NNc=7POX!8i+*uBNofmB>MXVG zWPT^sHMcj@M6d7*K>!+-2)qD$yq;!@Qav$u%qSa(|LTUFJq`SL3*e>^ZICrJIz1D- zKt&aZCRqr>6u8FQisYHD2fm5+IpUC>si&z`JFVR>jm|o1_Ak8#qFxzBBA7Nte-_oC6^!$>8Fj!UtmI(p#Oxi zL)IC?)Ic5wC~qH~o&&@3?l-Pdk6gg%wy_u7rd*#zxb1+2fYnYzkr-W4>#-Bq9TCIC zbRX7kzMHqC51Wfn|Zd&W}e8-qK|X2X}sKJQ(t%))u%LJx8q^dz(eETemg3DaKvy_qKb zIarO6bz>hrZmcSP8}?hF0^tHO{EOWt%S(qZ4yccOz0F{lZ2Q5LL6WPqO8-QI)Nty> z(6N;>q_rh^6jz8<0O#iJfj`%msYo3}dvEelk$1@{D3NIS5t6koCb)K8#lBL;{($O3 z;_}Jv8?t+g6Z){EP-5^&e2Az*`Ej7L{c>u@iCOc?n` zuJvDVdMKa}<2Mb~qJ1tHsL zAzK`@6H)QCD`YD^c4V~bi>#HI$M(Uzv$A2gEHJixt5 z^>?o=Go?RShq`%!uD%l@5Xsdwq2_C(l76JSocA;V`bP&q-GgKQTW$MQueY;`?pm6D zun$!gjXL4_pA(3``cOTXEI$!NYDkopvIq%jdE`bQ@l>FS}Wu1#|qYXbQ+ zN+g$EYd@|zX6pK&V?wfbES)$(lvf(7Ie-Aunu;cyHpnk`V$5WlG9xTAD2LTJ3X;n~ zTBL)_6+^;;YTj%Xq=LAys0%k+{sGZFeMk$B?x*5#v1-6DgIVHD@L1EyJHjer^h3%p zD622DG97=Jt=J>l41s!|*sN|h#qNMr(uSujcWn9;_kAyVMJZE!D=}KqG#zc36c`R{ znMf;SmIlaJENmPd5?8uBG&)dm3#&bBLqLxFGJmxAJCccVH7nqGMj$K)CUhp1IwA(N zWP)=>VMVHb%)z6Vd+0Vqlk%aAxBdO~Ub6a$)d%7Kd{pOeVz+_)Ox9y{ld=!qr5|mq zva3KF$GO+YG=p_0JL@YPpx0*;zV~$_p2(ReA*N{?w6zOl%dIDOs1`UVWaLtVytj0{ z8ADGM@p9$aRc@vg$C}s*>RbZi(Cp8v0*FO(dA_O9#}8>`SF}LS-*@E$(9%3}4+-zufNEXyTOq|I$w)dh|!iJQdJ?j+G~X=NY;B+SIhi@5_OLSU+vh_>XoU7lM$ z*)y)@@G*5C0hJk=)1DY}*{ITg$@k<6Vr=w8s+{Hev}0p)4tl<}dX@UE-!w$yLwyDu z@WM;Z5+D!4o{&4(983D`{#=Hd``>#D3 zmp9(iQIDcS7U?*2)n^;?g|CKmmsJCLlUW~m2 zlH@lGs~_`JTj^@lqi z_j|rhYir*HwX}HuXUj{ycnxSTY0rBQkdJZ60(_8R3JEL;Q8(vP2L%>Q{THnkF0Uc0 zu0V{}qRr(PLBj0#wO18TNqjjljS!SxhGqj8&%Q-iAcS=hiqqL~(S$8*tf;Z-4wMi> zvr{@8>ctSca$r5Rm9JJMJZ#77WwNkwzIT)v`(Ps7jwMJK*-6eZ2sc_V^Ybg4Z$MYakpG%@8PwkaOE_5-#LuO?R7lw^ z{}TDN}G>QW5%%gnurHCL6{BHC;SNfAQ%EH&q4eu)abPyN0^NC zZjV0)!UV9S;Fo@#S?Lt6<*dG_WFt9L&5|Jfr>&+V7qQ;2AkQ(@Ie=h$6IUFq2dM#H zQAWQYh&nW+Ec*RK_#VNHv8b#5?b@pA99S1^X$t7l|L$nGT36a zA3(|t|CWqk@y)b$r9+`A7Q5GFtG$mMupYr}BOB$-b*&{$g^bDxP7nB7G=fbR3scJL z6;0(KN*+5Qg>tbhs^uHzQ+-Ec=S!r0^p8vFH z8@7Yu`Fta>9=Jh^RKP{K7*qm#m!O6S9!XYrbUp7rWf}2kFGBsDea*`Zt}1oly7xuuuzRiflCH^emAGVe>C#xi_}lXywqI8rucZDb|4wjs10O3?D?BgC$ZD?HLj{eE^msl(|DPu zsI*;SA7MSq6i3(wr-QgEF-P%?zKNw6=wwek!N+=CrEEU6A?VK5NfQNoB6&$8Yc~qL zSmRjHFCQ&@If+#T0+!Y|gw~9ZsXEWa(7{r$cxM&{fI2PmZ8$!ACjy(gBz@QWBEmNG z#~NFF`MQj8^O3ht-Q*v~y%YFt2x$$c7wpT#Xse?%opFN2amfF(GFYhzwoA+}QuV@k z+Yyqa*nZ)FXO|{dKe3Xr%CEwNrcSiPHe9uSKcv{UP3=xRI2l1PYvF@_bEB!CQTa9u z(bzpKE$Lu%8Xti2^q3-*!r-QB@d zPdV-}!nN(Krr5YMn=PG(O~P&>AtsEaqCK(#w-0`D0DiEDt7YSC5ItiQC3zw%L`NK| zt4+6Ad#X-k=q@KTjt+ zuX&g1ai92`Y#|VhJknn@#RMa#kBU|^*C8gcBnwRx`RUwYoR#E8Fj9H~4((*>Bjnj) z2^Qj-xX|Jcf$>QzDcz!csE8X%D`PxBkPM`}_w!IaQLXUAIr7%a&K2hd8kvgg#eO8v z?bgvZEqgiLGuKhm#kwRLn>OtNW8cMaUu$_zVWi<5K}-{RyXkiGfn$4aaIB0jL@gL| zdGDjp(kSl8=kJ+!(EcjJN|R`8LWhZ`ymhz7iDxOi;7y z0})@-<6+YPN^j|h0DyyJ@6eg?X?Laa@;J^E4!pb`~2rx)dl5sQ&*oCJ6t})9XTNUbAjiL(??e|}X1)eC3-sAQV z^LC;anyc{PkhCzzVc$KdLe>k7UQeeD5-|yPf#=p;Cmk#F6Dj3%DLc|5-hZXR0MlEWHoYvs-pURLXku zB|dI_tF?eu$@1EwymH8!=nJ|c;=^tl+tbBU0LnTp4d|qZ1>k$wwX{XBn&GUxZnLo} zi5`7V;JssB6r>sQ#6qn{t2UWu8Jux%I2+FEUD)1Eegq)Ki9y=Qog&2o(G7ojcOn93 zZ)-7H1ZhPFEVVUKnYf&@JoA$Ov7h5;*sz`0*e1#ldi2%D&wLrUUq7OA|gI#QVV z+xv%y_+nM)&Dh}9q~Cpyq_TF|L@!tF$`e%*>sH|!wA~4b-GHj}-EYQ8%@lZ`l}i9X zn~+K)29(I9pl&oG|9UbKLO+jO>|g_Z(@(_DP?9i)EhWZB$pDNi)cDY-e!_w;*j#C= z%Ibl#IUKa`QuWQ;0-L!Lq?$~Y=dp;4?g!Bt&#;sAwYP1@Oj{Jf2rM-L3gGJIodG-9 zL2}@mP|a|xXH>9JkJ3Od_a;XIeN;ZWyH8Q6_rd+f@?y9)#?N}e7K<0EO(<&ASt^l{FULB))SW>Vu4|4G#6TrQ}q<^9js=r4I$v)g2e23L+{B z?}0a)Q=QUSbxAt6Lx)axv!ViM_VJKJYM;4#rRgYlWxjS%1%R8`^s-5+bAz-N zw1D^Sd>|>ntuA0iSqgH28hjiU0`n_uk^31`Ny)hp-szSy|@Z_GcZ^@{s=t~|az{^Q2an{OW7 zwdA~+cDteBvEkT1ZVOX=93aIjfQRpOpY^=16FAKmF8^=Lba<&gDLvV$o)zZ%E?3ES z)IJ#YOU3x34|a5}_9VFd-AM4Lb_3!)`ql{jaROQpmZD-Q4l7H+aCt+VfCU_IPBTPf zXr{-NdLHv=Ti{MYzg+obyIm^N@Bn`D9H?cDZ!D2ciD;$@pSF#FFQ38V~%q1JCD*`5{m*Z^}iysE6YyL|<9eeIgmdP5Lr(0dsd) zHm(73ZJQoC?x^V+(n1<*{{@k+y0!P5Q@Lwe8?aK%rL6DlHY7ov;fw%MsIcQ-9PzdZ zL<#uvFs$QJ3+W-8OV=5;`Z!;B09>_SC9f$FG>GzhvGJX@qo%r}_ZO~vr~rKgH}2|I zNyBS(g%}XJ!f`)2Kp)>#;MUGG;divxOcbU;AAmdOh`#eaUa1%}j0^fhu1s1o?da_^ zz;9)21bg119>0rsAKE(|>F+Yx}oYixUNl zkkb0u^xw?40qD~~%kZ0vy=J8M>*vAg)g-$`Z!PP^W^Iq}9nE4v;uHf-syUC=x=jjP zo*!dz77OW^Tubq|OR18D^Cg-RfO&6ZlN2YqH<2^)x~f$D@T92o&J@|i&A^45=xB3x zJBD8@IoKn;7cS70>IZ8#HgBw}VP-}d9zv=G*ru;y&Y&L;&^o55O(m`ms)~@$o{90t zt6`)E?>vz=MpS(J9GPJgS)Fz84-+?6=xiL%1=-kpyl| zTM4Q=41G+2rOP$Q@l%PDKgoIydz$rhUl{<-Q{{YPcE~A@-jg#y`RIoTHNr|d<2Wt@Yq1nqg%%uk9~3m}F-@jc1Nn6YV8eqc)p74 zDat%U_BXaJ)rb!!71V@j48i=Shk!xNe28NUqAr4a2|H>qV27OTC}0nQlZ3SYpjQ%AMoU z$MlWcpugPP-+O}fz<26d-FvoXQ?EV_9F++%Sm{44fUY4p&CpRuVZ=l>_}>g0XOvI#9qCM9lPse-S=){%qKsTuY5Xc-UKkzDs56^2z_sI^~aj=D$&csrU)@ zu@JlLq1$_6;7^S?wimbKACd#dv#$xYwr&Z}MfqLA$u+_V0LXCxf?#wTd& z`BNK@?Mu{~t^C!bf|NWPjTVwTpTC+?MK`)5!LduG?+zl|;_U1}Tk7puMnmZusdiS; zPMUBl|7L5SKOXN$i*gkq!I9L%e<26agSY?l3boM)`=GC(@?uN8hAVVOFMdlt2Z5-a zP^lTRctB%*724~f3m*gvM>jLAPqL@wj=9_nU&0OM_bXvijs0>t^(9UpwXA`!!(c?) zFMhUVcu-_;NuBF!QD@UlMJ3CY7{=MwetyRgm|c&XCMKD-jnu^hGeP)M7~SW)Pv(vdNhX<6_@nL-DNK-92)yckgw^Jx~+fy)YL9ik)3JVi8Yw* zGe^OR0W~k(4`shF--jlDWiCmFs!2G^?9^h4-&F&c@(8@o%qOP3Y~BGA)Ch3)-SO|< zL(`@WoCGw?K2`iZnGZ6=5EACG#G+m2TN#sen^G%{wc!g@^~BrbMu$M= zf!+VOJf;x!{_l)=PHG85Z>B(fI-4kiG8WuTpS~jBwopDJkSqBZ5;jX&5BrKgs?EvS z#CPpy3#y0?9KVLX&4$VuEI{yi2B!V5mdKFjC&9S^VrduAQsKm-8Y27pYO)rAP9(y7^2mIN47( zSz-0u9KJz}9_wqb@%Ppu?X-yFUy5|rRB8w%rpV!mL|EzrEYrH%e|)l?k=lrydzlp1nUvUAR=7=1)%mqc(r-S=z9~pUrlQIyq^Q zIQ0i5Q)ssMl}~40#oeH#GS!MI+~<(pk!qAH-Z^I1AdITw0OdaB!|DeVhkI|=K5%Cl1#R8H zK*obgf9`?w5AEdCImUEQ9l@17hOM(pRnw->Xr)xi zH9mn0a3U$6Mr9R+r~}Sq#06@w3s=`l#A56gA+!N&o@{TwWAjV?aF1_)H7`h7nHq{l zo#!$QC%P}l%*45#A)xuOdvZs!zmK1e2RAH>hgRLC?+Q5SGeA!HoWOQ#Wm-ica$4&8iO+yR_B-ZdMU%IC zq!|;f1Zxu_U32Drd9eKoK1h`tp4Ixce7doJ^!Wb$`AIv{M|m`!`80aoZ09)ZbWp#+ zU%USl;i)0MU9({APW?j#z~T>@14&$@ZrAS7Wv%svvg2PQRU_%9Cvc7)qEjxdfd$S9 z*}0gjN&)^cM4zuMKSaoBrFa&58@mPVEIw?v9(8}hOh>B!k5b8I(6#gB;a(Uxv|UD=a(Q0 zMfDX$Cr2pjf{S;QqJEpdzxcQ&a1P!wXY8+1q1LbC;u*B{Xt$6v{5oHpc%JUTfB((v z^xE$|(tLXPAHAM}IKIo`=PT-ZzZ?3e*PRv+qx)}Wd%lBzzu7XU;1-@xF1?aKvB zo=!$buNC#WOFpC2#pG)9-LXYUq~%@PjG$O~O?03xi74@B?!-KK8sOXg=YAUKW0RBa zN1^1Kk$I_(;mJm+Pj&>m?krDTiC0$Ai2Ztax9b>S#J6BB$c3V2r51{g?pr^dn5n&S z%EF_H6{$Lt$@3)1X5z9sR2eMd;POJy`)WwDGfyThNPlKoU&!PxIEfZ~yS@ z0tc8r(sMyEKdv=Mha>R=Fcm$AE4^u@zH(i8_1lFBBX$4zPu@nU=^p2KJ>Gy%9Tlj1 z@#|Q}>kR(Lo&!HDx3fVIE-uOTZY8`kwA*N@+;tLNp0y58Nxu5AzPd@Vseo(YwB!Ev zj~V!3qAbJTW+;;@_^clC@X5LU>x*>kSM2C1H;KhRO4p}#6Vz|Mb=PVT{S@px@{-e7 z%3dtCCk_^{+dD8*c&G2weo(4G$x{J6VBmi7RHax-bYE50mre@F7SSHW_6usM0w-Zlly|pkDa_VxIb&{jSx?GDr$j0srpWk&%{?QbB5b z0IBsnx8bc4o0jrWuvhz2S(Iq>Li^lyp~YCqKTqylKCo4Cj24W;hkXx?ye%LYFX@oE zTW3Eza!UAAha+ys?jPF95C0$GzXrJ{m+c!XzHRw07^v_SiR9;hx5%H)h5RhW`bS9gcU(lXMIpU6o{NlQvUk&=0A?C2ZdYVY{?m94$oW2wi+I*;Ev+C5fx zaQ*OaCBMO)e`;>s65RM7xFt^hzxa0he=&&UAe^F}PaQ+3-ptKlx2hG>_LYzp(xRi} zN#TpQOG-+b^!TyR=iBr#47VQ)KJ(Src|&LFz@?}|ZuW4VcsYYVYTwH~%DN0dj=_nF zR;ZB=fy-q%=-+#LvQ8u4r5I%&B~;bpQ!L(ueah>nI|yP;h=rf9MIoK9#Hs#(8}JV- zyyTx90J_o=m<3#A0pzQNv0Sz^m*R-+o86iXo&8BXyS}M%&-rh=@?G4@0GWq)#MmX z$>oBdzc>L28+4+5uV?qqJeaE7GrPlp11OB5HPDm7z*cc3w{t`HpR7?cI`HSlA-SJL zpGDjCr*5MbqZJBsc&ABtD|Pw0ef6I5;6@1h%aA-5nzT2{oH4_@#fM?QD8=QloJPG% zj;4*jNgW=Ek{&3Je=5Gf;wh?hv6r1RqRRTVjyH;(wQ`9~K;KY{fsJsS!m^SWvP8k? z`yABY@n&Vrb<2Z2f9?|1T;^qNqb}cvJ`CS~0=)P=2epXC%0>CW$^cO{dMlL`m5fL1 znk$_L^EX#=Ua50u^ZTda7yhQL=tCn@8iU=Cn|i%_T*wA4@ab#=B8pm0s)XYP3DX9}{MMGisBB)N7Xrg}f1b#YCv9z-Ig1)_RMJ8)=5u9YPFmYNbR?HAFNnhk%M7BUb=#oD z@60_+pXcJI`pNH)ep!((t~jzGKoK*@lXGVTm-Wq539z1!iP)4rRjA@623vt}Ffsd4 z1X16*%tI=m@yaGa{&Z$nzAL);d;Em50IR)iymMsBb_^ZMCAg> z89XK%m0~RQ6mf@i*U+%_Le|T>As3KgW&4~&2C=XZ~VE zPZ>e3M6dwf@7e^%)~FG2_0Tn<3Wy6+-8Hxt29g!S30?xHoA^QW2&L*?5>2F@Sl*^H zQTRj`Bk%hWkJ7&OgS<^O4ujLuA)iwMhR%{m&Lz*1mh!#s-?GU9AzA+eqXcIts(M~j zm?|wmE5MJ?_ESXUh8Eb@nG+#$UD|$bCTViWVJ+abN3@@UV7rFr&xsD~n7%fV{wP53 zg;5{43|)&eyHnLY;PW}^WZ*_~orF-fsJwKD0t^wRl)Z1^r%pEeY6{Ty{qvIsn5~-! zg|O35u1RzfvFI@GvgXHtsXgFw{X**`Nms>?z{;-J*b6z`-)Mo$_s@cJZ6({4pk+Ae}(bOdAkkECmU-GW z1~rI&g!h>y|p6>%HP1k{I(0H;pA;)+jnZE|=^ z3pWm;BP+qU&in=g z%y47fYUb%27txR4hc^as=`ILlLM}Gox+{>wJm$vI$)qORo7Y?>hcR*dP)!gjp6+=>otR2mWi8z7)qScU2tcoAfID&>##RQVBRtZ+78tLmy8z9*VQJ4R$5 zBe;Tu&)`c)BkWBf@&m5yJ*}MG;GrHkOYezie+d{Gwk^v64k2i;Y)H<$RRWK#O<%TO z1#=T~k-=b2)b-eg@+BlW22ArB)M$XRcf1%yX9;9^q=C%B ziZ6ZwZWz(Rz@qd?Q8r{p$3QBV@?kx=4I7%(IfbFxlehBvi%CaFMx(GfhYbC_5|xdq_Cb&`x>+1)TqM3b>e%51$X_$BPi!sdp3Nz%}4(xNpe0Gc;XnxxWdY)-1DGH$dfV65XzX2QhP$U@!(P_wGg(`FcVZaVZGM zB}(HH_A%tAJC4;?dRw8wHDD$X)J6c=yy#_#%e-Kp+h;2c-|^ZF${rrZYPN#3u5K2B zo>uM;3x{74r>%FfWApRFKA$k4t%38f>QsbjCmlb|4Al z;y9 zS;q?}XDP#}Lt}E&K>y@Dd-p30p%>k5*Yp6^#2@B{(PhOfBh2pcKzU7HOhFe@{W2TzP6a84BgD+ww;6@qD2Ltm-2U}v{Re?p= zUm^ro$@_@W^$WK*@1Ry3w!O=Dac&uuZ~hz^+th6YcVug|z*DDdxmYF24ff}ec^UHV z-jEnkJ!=K`_UB0&*h-IVvQIP!kG}$Qry1s+rA%*3-w*Ozv{@<^3_km0_M$Nhb zO7w*<;~;ZBqPQ9fIl86Gv)|)t)b!ig+0{}e8_YE)Z*5ow^=NbA5ps` zsY9*xiN?{$JE(Kt@7esQG;edQL%W<0z&CtgMJVO38Xc7&1Czo(yPK5IP4WWoT!p*` z6puMEy%J-)t@oMJa*Z=Zwj`FDtiy?l&{BOOO9Qs{!=eNL#(sA4J>0G>Au2@9-MCZm zO&CZh$Sh2Htn&GI4dq6h)F3|Q6|M6&s9Cz^T`UrF>PER4Vhiq`Mq&o`GVrerOhRnK z@>oJc!zShc#F6%V1_daX^v2yZgYp%Jz))C%2Suv!dZ^f9@6Y;)$53>H@m}gHPpqlbLM#bb!^NE& z68e$rFnot#&aswhkLBkiN%Z4upn9HtMNF~r^Z~uaTlG=IH(MQ-D<)g}=`c&XAEGJ0 zegw?4uRT;EDRHn$m+X|v4^K9%GjrI>7Lv4Yn_v;9GJKxcFm7_CCk>F3u85(MjD0e}8`M8F-SP#24ikWOB z@a^26n(vrUFXkgX81gcM;n5s?*k&pvpu+pmfZcZE*Lh5c(TWy3z(Z9^j#o#A>6t_Q zJlC5|DZfPGTA^EU4`467;Ji4~veWgp&uvw$=@fnyO1!>LTFy0W!XL*eUGG=aK4G)Z zTojJKb@a?6#d`MHq+jvwYa^YkYh~Bk=7$Hrt|T?x#zKsD9-ge0742DT4~f`P``yd; z?Jnz@RP@0syk`qZh*E-a=6<~Vb1$hCV)uM(qYU@NHiOGjGGcJ|+@YYRxxMh;P9Gsm z)Mxa*`P}>4?9X5V`J2h2r$W3XWSOaEV)g~t*< zZzhYB_f_?|bNIt)%NXaHuJGRnmPua+`aTOodmHW(AMKi+LnDoS$-GT}ydWo%8$XEE zymMQ!x8#NsQFNoCuiJLDq#_q)I;!|EFV$OzmP9BlBZ#8q)fqWP@2ZufpC~MYeh2nd z`K|<%yY3q~f#F;4z~ew3zeW3hQWcO5HE1$C-Ffvb$GK{sKLq>QTZ7H=$pu*7@CDT- zez&UX`6ufkX_eL_?l4)x8Q~XAG$q)iu~lrUEmT{%Ba{slhggchg{2v`1iA<1C^)_* z08SpC-*=&#J@j7j59k*z<`>+RWazeGjiwn^#DsVzeWli45O$TI2yjqB&A3*92ve-QIw{T+34KGdC50;!^zj?izXBc>w z%>_U5Ml?WIB@#sAebt~)%v+T5cX92ucJ{Wq?{4ldj*uovlib?OybXK8v>1XvS4l8Q z4Zg!B`qyJfeMq|%saBR3kUR1S(ax=-a#g92^{CZXpVI`FWRTa+8f*$&+eEBJ0!HQo z^jWyX#waf-*~EZ6op>JFfc(A<4SNo6Y3k#58QbH}5oRD!Y_i3|i5>YW}k-j7-5FAzXmtIHar+Vi` zN!}7Mp#yxUercEQ@FpRs>m6g~6(9`GUlc_+z{1r^&&oBk;}*gCj2* zts}O<`#KVr<|#R(wXX-mGu|-Fm%NmG(W}R_v^$mWh=*sw;w#5*++%x0a1?YOjr=c~ zES;ETsX6(V`p58BZ0_JCU0J`j3h}nvSbG!p&RK4-o?wT`?pAl@?v{&d3)ts6?p{X) zcgMjTx4zE`hllx=SJg1G{B+L9j6kZqF=zu)eB5zh^CNSnC^LavTawTCA+%G;s<`O~ z5wh#Sw0nr)IG5uwqZFPRK22%Uk)&`4VFhn=8>-u)V#Sik%$Z<2XMh)o=OwQ)G-Y zWyvHMZ#3FaevLN%F=rxJb8?lTR+T?6)qdp9Q0M#NS`5n`cP47w#Xq_4F8AhkqtmqO zZ|U3!UCIi!Pc~W6OO^4){JXRw(hOx}ugGB!U_DmqtH+pJ0z;4wsn455%#i;TJiHE%m3V)mnvSkzBk)c_AJ|UIm#4bl>cwVfy);~-_XcYDZ-X# zMxT5-#>pw*#>n&^$Hn23 z7b`E_-#F&nN&WS6%#wA|P{FvwK=tbr>pAabr*K?#_3ug1!v0EB1v|!ZPNcKsivQkw zvgeTte8T)BQ_05t@_5$KZo8DCp@=t`0=~4Z2G}0euWzSoWhYEyG)@4ST1& z{Uq4!dm~S`5D*L?TNR-{qqwO9dF1`RQIy#Cnw8;?M2k27Wn5BV_3my4hmaHHH<3?+ z1)@}bnz2xpEC4QP*VnwBo<1Q5^!CYW3s-xvWWZK6K zg=%sCmz=6S=hzslp0FE)W^YmYI&J~vZSRxP_)1F;>hIJk^E;POL! zsYb+-grpZ=W;Z*)MD~@1a6@^KIP3<+bWVI&udb~{KHWn7*5sV)2Z8PD=!8N<50?6- zmq*1`&6gat5JMe?tV{Fy9k>rmn@yorlon^su@TVIGU)QTV(rnDcAr_=7EFmuGtwjB z@_C9D6gt!G2A2}f9P#{SrdsDS@e20zFhgmlL71i@NYn-JleTjuAY%nEI@T4A@pk27 zm7a>T$+DLC)K>F^b7<8q;A?dB3{1z1Am&6o5BMQ9)xLNHdU_S2T=(27{`nJniGzZs z9R9#`;BS#n<>$4#A8~{Eal)0mMM~NjKBf#$rGX#IO|cEtBan<_>wv?8Om*d*tt5_f z-?@+Hr>P@0K?*8Wc;;s15TcFBn#tKOU>t!YFKXIvEos7toL~6w9yZW~gcd}s}6& zUcB^D66>`yN8Ml>{Xp53&%NTbyrTWb5p^8r7bu#LGb|?T9Y=+C#aDHDCQkNmD=S9m z6QF@<({nG-%7rgQhw9QZue|m1=a{NV#22zjgq774LV_tI$AHlI^%P_RQm&6!S>h@` zMc8qiH}-uz4|p?o-l!S1(o9Ms@ENXKejX69IR@Vd2LSIdeKFcH@T1X^WM-@FZkOSb znJGFf@grOZM_F&DSkoM=8ZZZr58HEWZ`A!0iVoD1M3#>aA50G8IL;>rZAuPTB?-Mh zr`eW9work~XPNdQ=hAWK>t|zS3ateZFcku^6vl4!BlE}PumlILZy;Dh?A6l&AGjoX z_}CK{SzGE_X{lD+XbPlZsEG~pF zmm(b3X?$=AB)j3{4M(!XQ}=(7Qc@K`y{=UvEx-sePc zN3J>jz|;^Ic;T7kWx)7w7sq++`bXTBrq$H&2vbOwPKaXhi(G(k%b>SeZg6*bti27i zY6$!`V0_<*Y;qrAHC1jk1nPBjmF8xoEsH4xhd^(u7J!-jO3i>OrxJQ(W@^=DdxOZJz4?dpIxSK zu2BBSAoHjiKy-=5F5}2zr%HAEb@wD@ywWA6uE#6E50@5K9RRV#J%l1>Q0yR4J{*$=Vg!6z2(eDo(kw{yjl_s{c!O=>GYdWUKjilmu$JD!Eu` zV_y1u?&5i(GhVFSVAI%JXZY0g?MBZsN4~gO8xK_*pL2#OxSBsJ=e|6oIQLFUJDHW< zecC@(0(w+19$-LTzy6hWUW$`majb1i5+l7nP^EIRxp#~L zjQB9>SLS!UjMUQj0@@4NwV9>eb|HKC zXIW-_+P}LdDGjGNgcm++9}v65CjQyHZL;PUoaDF1?z?|D zkZ!D;)F9~KE*q@4_JHBG?`d0X8%L%$sMFhjE&u%UXr$=eIUQjtYom^tt=%${^w1faTj-v@bY~|}O4@#?swa86%K_1}#X~RGlIaGop{n=8*e~P)lV6-|*wW`D)X2T@!-u zzhF<}ZXcaBb^N$H^j1##8^PqW%o+5YD=o|d`}*PWR*E8~@FNmG^PTfs(v>R&sedcD zi|k0)tCP~hQB`Ikj^MHwp(mD>3@eQSj#|+RU;%$VF=r+n3-%#{NsWkcpMl+`ec~9n z1#R%lo9$~&lV(clj!hi>(drTb9n)#!&qq^PWC&e?FyQRl_qFYkoncmm5E34CI zT&mhx-?LW9cSGvWJmuL6yb_;`OxNhOY-z=m9rNm;V#K4!*pQ7Qm%n?TAFz1w3Py$} z`ZX+g9~h|AO(0qY)6i{f|Jbfu@C^WuF5%QNgDce7Jc1wY*sgnmyNeZ`MI+{jV<2B}vAy(wC) z#mYe!6DPN7q{5zN5VlR8dM(r!p1+%BD`^n#%=$U#sO6|#~j@RjeYXhIg{N=4(3aXssqxVpjizThgE-me}Th2 zP8r}*?fX4cH|qA27$)EiI#MR%Nk`LJJ@*EDx>t3y#*JdL%<1wBVojx=P3Q;GX(%K; z6Mx4Dm|G;<_f=51UnBEL=zCKy;V;W*xl4&^1PX7+_9o7#er4^0`1VQU4Wq23@|sNe zvEA%|n@tfB;c!?KPcZuB5Sr*I9Bu~8EpMO>q0`;t_>W^tO6vw3^2*cTVs+uPuBIGT z6+=;J2RD=;Z6O@?U<4C z|Ed`;DuMvBiVGIcR%d_Q;pi84+%s^6rrzNp1^W&N7r!QtoBXCl>8{y1Ajn_1#@l3U zhlBcJH2vJC^g|JvuB%W(fvobF?+j2yD*C7Zu6y~gA9i^aJ4)(W{(m{0|1 z$bCkcn`--6Sr)S>e>FYmEvQO zbDa>LeYcwlOc_%S9{>AyAYCmgC3HOU`0=~D1OcItR|~(6!zgG&j5*Vi9&vp_(63&I zCp=0E804!m6s?D+qy)&W9@*}6%iM5QbIiJJ{D?&BuDyQzbt z`A-a~x-e`Nm_<3=7TZz$nqd+aP5nVHF09zMIf{_Lk?{~LFVWM`4cT#g#hdxiT)=k~ z&Uvyo%(6x2WOk`ewA0MuOLG#@er|Jd(9e=Oy;cxw%1T0ei)@XY=S>#ykasIhnqbJWt;PPc0yv*^?x(9-WeU^B2m8BNpUAO? z9f=b%$5nM-PgQ=DyvGv2ra&_jsMkC0 zV2E9ny66(ycr)|agf|64B{^OoLswcYp_4A&Q zVpiid3m&B5HV+Nm(OO0y-k;?`pAnM*BPBRJ+I_m~1*kN|f#NX|i`k zal7@dQ0Ssnv{WPQnajHOzt1wv4oU=SsKDMCr!7g;nFH=Zh9EAPmgs5xr33Tc9g+9| z633+YV@&K{?$NHv;a0U-Zz>S5^C{vtT(6)3Mr0s%qE^e|2_Ca}TLb@HD{rl&GNrMcKVh-q5 zsdbg4@y(&{d>FyZKLBeyc1CA3_FoAicd^^lhL7SdX8-0|EETcV#pf&_f*w2L2p5l} zso4ylJHfXteIo0n9xV#>5Q{Z_iqKjX&yZ>JEUNS|iz09h!(VfHLC)&otg(s=Z5wRK z6DZAlM=e>_|Cj+Y4;GitWeTndCap< z+a=T+EVG0izuxLgGSNQFN^mFrc+JfZiQMWYg))uy4-p-@_ zs|+1KGl4N1nVW%%f@x|?0d_pyoU=;Ifb znjiCfoN8Ia$NYOcC%w*w!7Za)16GoZq{z1phK@nCpLgVH&{Pk7pSkNby98Y}A_B6% z121}KVoaOuy1z!O+J@lX#Wn*vV&Bj%{GIy}7M}g+1mmGoX67Ex;5&p&NSXG~IpXN| z;9E(81*9x7o+!vcd$H(u#@VXA_eS(OQR!p9sG!?(!g-8UP^dyCo=(xJqV^1Qp*~4M zn?t3iA5+c|p{pHXQzS3raPd+|WNkd2kzEcWWtuy$LV2&yH4J7mo(ELXW?mw4)Ib~6>Z@BFz_z}1g^ijJx}Q*P9YSeTXjeEL}e zBOgdr4l~d3Uxr>Tqwks(`EUp^QT9N*G(7FADqe9$9}yr8r&rc((aUoA)K$_eC2#E? zvuICK%r)L$L6Jn#NQ>IJpW@%Cqkbs!!bkSx>D}$|53s8rYAfBKxWZyTm{VGHQM^6#V3p#2G zqd2?84|Fyq#h;A4WU1T?q-<`!cg>AuU#S$!<6ySGZQ(#~5_*MV;Tq4BWj8I>_7*Tza!@KG(~q*=%s`hm96dW{>o#?U7$shhk67>fd|m8~&Jte~?%i%<7XvDoR%SO$4`3x@mGIj%P73X;Q0J#h<6Re(uE!H2(EK};=+{CTGnT~lU-rtE z)qb<~UCXSicAT&5$9@`kl2Mw5oY-?*W|jZ)H@9QJ$};7fuitYaH5t9!w1?HiXjmSB z4ZMlI%6=>ApZ)myPpMp^ZnpdiNuUA?nNb-(<=LUR&vm6~I2x6YVYl6iQN43RjPnM~ zpCKk&QYUgpJ)ES&VZvBeO?fH{sxb=ea;Ew9cUWDPXr`Bx_;sGl zr>!y-kZ=q<&%4Qz7X2lE?ck*z(5xq?YylVsxbXpYmp*GfV_?_No(ENbxPWT)Xj;y( zI*X6>y)z`$*NT7%G5Yt*c@z1c?7r0gZLaLkKnWkF$oF1Pt6V^_rFY%H7I>GW=mLgTgUD2Ud6yJ{57mSL2V?@;8=-Q-N8RP7Y z-+SmLQ`E2bZF%4iEt5~jZpue2^JRN*JseSmq3AlD0nsIgCS2fVmVH2HBLM-bbWkkM$;%4AX_g5JH6 zs&3$e8nvD#?rL$5Bfnfl*#eW3OQuJ)v^OZ6F-7QlQWSpK;+ga>4~6pQogd@<0McWB z9aM5PS^pJErx~pLR3slrgx*1=N@Z6??k*k=9DK;QvhP1>=10ywgAu;~aQcSpNaaB? z_Xr8wEeD$PVS}sQNy!tacd+YDKVsnx`JsmWz?Bz@gX%ehd9Vg9NNzZMJ2WK`-lhC#tn^08=DGHo)Yk!fos?^p7TjIGM9+5W_iWOC zf}hnDrBsOKwD7rq;O1LPRgTa1BJGrD1TE(tqe;i&yYRXsFs_vNb&`8kUMn;#GQ3G| zH9xG2pV>BS9+|!WQXTzKGnwvT`h<;U$KHHVO?u^R$WL{{buj(g&s^Uc10RGvb+lRa z#4&oWJAo(e5uB{YqK$Boy!Lm9Dav)3(i*m%vXbEZJN14(7uc{s;~JwB1!hhFLz$+?!KX;VB$U>5|l#xROJrXze3Xy=UjhtU(%i?Hm(U_ z2O1TGrikFDA=H~}rM(?7n}ycxmfYC8uzP`Cz{H7%pExnYa?xw9uH9ERshd6bOzPaf z$j~=UaE*iCI4}S$a#>8rULJigrMwwQc7DfTaQn}~75T9r*gkicH{BPQ+kG$18aK2F z`;r)Kv<<7Dxqr$v6tnylf&+3B@JCust$jMPWt@7_Ryq)y)%)MNG!6RE469(WV|`HN z7u0&!Sx>SOfDs`-ksl2vj@*N`3OabatB{K;D;`$JM$W zmf!0-`nGw`p;Nc(PPvPrnpd+civ+5Q%Vt`uBGb=mCX!*I0d_wG;XLzI6P^+nnC4vI z&NmA(5YM~PF!00ADyh_rgaw~6c=yXr%4G4p}Swgmz$BCSu03Nl;ydY(E9LL3h>b`?0?U55Uz<W~3H;Hw+x}D%pa`zwXq9q9-6VQ0V)_se#i5*CfF!KBn zbYWz`^+3KZ0^*o~(ae1Edc#rza&x|x0#}U*Cr6|nv_2^5|28p(0+Wgw<)n=}KQJ9k z^llt{`l)Q&@3e&vGHe9S;A21eQMR3$!>+ghrp$v!IFS%(rGBc%>^>LTFlJO%y9de` z-s!wGfs;R*A#YKj7@!c{P!d#5h~DYsHsA1Eh;(1m-pv2YN$89^h}8?~7Ol?H4c@Vf zr?qf#KNcjEDuryRKT`H)cUI;q1IpBnk=QA7A@VcEc9$LHz|W4CoQ^u&L_(~LD+Kdh z3=Y1A4N&jC9ff+7P$lxpjiHu8V=|2F8Nd8(Gu5PNcKjhwO{riTU;NUjaX~-jNn&xH zLMB6{x3M}q(X{Z&SgpdBpKxLX+b z3E1XrSF($b%CB4L4=jNvCSL`Z*aY|e9IDVHk!0&aG$53za0HNJ2BQAEFF%p|>|!&? zD{N7`(OSdX5-E8#dU9Hhma!^)t;#mJyUc9yOrhshuR+dFzybF=fJhic$TEjgZ%&Tg z52QXfjP@(-C4zp^ZRX%wXQ6nglAr?ioa=L(^C;F>#K=0lTKbKA@ul&0*jsiR-fO-y z#?9bv)BF@dMwGSLY4egg2wWy1ZU|VtTO&mXN@vXR&Rmy9!+u<~@$RCfG}-095_XyJ zXeNAMDxq8ytw+WxwWxVLrPXP@8rh?^5M$F$uJVF$*yY%UoM;)GlrXePYaY10_D})- zQ{(eD=9e=(;r$ifC)VwzzBeBC@a-QjIxj|>!R$&u68|e#bi?XKn+>mZLvB!dlSp6f z6$>gkUaw#8$GUJi-*)e6rj7iF5C4H~{s9_V&xc#e8a z81FGpb9`Yk&U@buNEjgMu= z^n`yobs=X9{JBDS2nfr)@t6Z5|0+M|`&UC2iaAl5pbI%<4u3z3&#Sk~W?ybjX=z?vj7llEi&W9$4)*hB}6Ah%^;9;>msk&?N` zfmK*{dxLrH&WCS)v7l50bH%W#g5Lx{QqK3^@R`f~dG92a=0F`Z^j=i`ln~G^lMPqZD>B;NWu|=NNC{q)ZR#C*WQe=r!p5(HM(-Z&tnLO5r(nN6 z?GOw9D6QVfdykb?3|0YK&N4f>%%C{EMXi?taZKc@Pkald(U(-9zu7uOP2weyJSC_K*b!8JT_Wc4(e{MH(i zu?u`58Sd=jP6p*Agi#1s2XW2P6kjWg?n)le6XAbm=pkOPX zi{e`%D$4%$ul8=_DP_huX1AJU|C-!n9{g@HOYEk}_`}rwmkT>|W4s?kBk<5%XV&B6 zR&}IU6w{ScuvksnsTm6o)@o|B|IZIOZ4->AvL4q1*MrirdaU{Crt>4K?Po6!zHa2C zd?|0m_^nxR-J#ypb_SpzH|^Pb;%JK8esvk)S8(;8W+IO{KR z$d|_(kZ&X8o0qcqF1RWyy}f!|TV9jh&_?A#L8bD!^JXNleOW3aZK`W_aK9kpW_%rN zyH!_;@0yHJEh2d?Ww+yO&YdLfD-f4gZM^R6HVB_z)l0Bah)60HYa*o!U{Zl65MTBF zF8#VOfAyvRC_zf%sX2OyaUFi6jrI`F;j^fn;TYj$g z_QsJ_l2DV$R_7hr*f-ki*}mFBKXliuT^e18NC_UVThBvj8GObCY5K3)^0zJgcrJ54 zZCs{iZKMzBx`Tx;vRSIC7@~?%%#5_yU&g}n_>SpTSta-!(`4IrUXqJ18rH^Bh{|F0H~tYkWz>R|g@o$K zM8-O6Zt*CY5Zw^9u-K%lVx9!loku zB6Z!UjT>>MJ@0Dy#1+^aCF#A|H+LS?F19)X(WLq-bIY=g4_=d7X1JHKc^{?dAVf+_ z^77(d+t7B$ugnqm$;Y(wkvY9cmsR-MCRpULUFf3t7Ln7D9SxTg6=Zcb-}NG$fD&jl zz9`;m=ia`SHdogO)^^sq6sT*68;8^;&(de)brXjER@^isR4gUz8_q}8sX^ZBYo3&f z*F}dvJ=?vbk_o{(>l|cuS4|56*w{ZsonnPLCB5zc@7tKwM=jY@Pk%)C>{FYJFd$WzKG;=@I)tWCpbITYkhGrDQMa zV|bl?<1!1ukK6sK78f0;Jw_WAS(9sb*qt&7gjb);PR%oSF>OKf4#YznGlcVG=N<_o zueHO{2b)Zz@QJC!n>Omd#;wnfsjx)BQ=4wu+cusy2`jcxHG=V4DuphAcZN8{2P)B8 z*R;2se}O-nh#@GNZag;q7yxJHclqrDlhrHTHZH1M;Wom@m?rN0$0XiIc(Jw1JeU$c zjgKU1t-hI#Le|H;E^yTl@hQ1-b?jChA5zIX&J$+Q8AC#A&-K@nlK*0eFPLKH$Ov?a zNxD2fkrhE4jh(Y+89%(v?Q+gE4e|s}dY6nR_}Qzpc(dDqYoZJVM&y@m_Y{nZj;EMP z%iBKRLW;*s(=Jb~8L1gyn{;{nd4u*&jz=ImpvEj_ocC}yw6?}|<<5xKh0q_*4TUL_ z=C{|VWefTD>Q@2oZ|BO6M^Yf8-#>X8v$0dWj&j`uJEB6}{aOw*Z!*=db=Bfi5L|(o zI{fk1J}gOIX<-Nf0)5KaOfeG>(y+$m(oM@qFupOA>rR%+ zDZcM{S(1iS?I#$&c2HW(I94uIcrZks!|GPHAV#MqThQc5nCP-cWpk^lVl z%RUIX_>?4mV9xljMi%jE=1c^S#oM*O!tjrO@;(i){S?cs#N^OL6>J6BhMIoFqV7EX`>+s50R4MX`fK6ikHR zMZ$9d$DU=4>+hjuxMiu`f#1yN5XK26bB_)@Mo~4a_ny{J^Wl|?Zl63^s(93>EBg#y z{BWN0-rf1gHpe|_1LvHMGG;J+#(t(pQT8IG` zOg?mZ*lVnsf+tAVD%Lo?4?{+3`a%Vp-gs@4rh%Cp_e8MhZ()i=XC1EnVKI`6&dv*74h(~$e95?G9_$kyjUPByKCh}|+~b8N=3{9CVvNdD6j(5! zp5Vae+?`MP@FS!J)ILhlx81bd(c3(eAJ3+y5flcsa%3Fb?DF89a2Stf=n(fm^28k3xM$RfJKh%;x! zO2$FTvc??O!OaIRI2*OrorAkH89sI=pMSco&-scYe^M)ZKN?jZc@yfbU<87@C%#t+ z6(sIyYYZtquz^0K02l{pWVMTd>4@d0#9V@00Y+#Qq6JuV=2-1BS?8P_n0odJA3X%f zEk!>jy!q?}#`%8Wj{s388CB`nN}qJA1=y1*3uXRCpxsS*grovd>#kfK!r)a@#V5VX z&xsG*)}HmD_kS5|c!^H=H`}@5<2kzLMbt({gYv&B{96b=ddU zHs2D6CxUt&MSncO*yC@qqJ4&e|HT1g?s5Cf)4@Lers$l%l@*`c%Rbc4>7Ym2Ch?8x0F>b#-4%uX7W;wqa4`WY5Va}0>sOb2O7lCq1)sm<{zv{^ z0KPF49EJQ%i`)uxMZGxwm)eXU=ob9>jvW6-&@ack^CdrN;Hz}eH>h`>iSYMl5F*Ua zRJ@*bu5a#lDl|jYnaXRRgZDPX{~{%Fm{ROSh46Ni?1??M30ofun?pB&`}0BPfZ;KU zR+$MOOis+KP;S&Nqk9fzHeMNdM%m}jiTB?QUF@#tLhk^E!p}NH@6EC)f zeVuqT=$FC&snLy{%HUOB^%{Zbo*(?}Lc%D_4l5~pJ`68&@7-3j$Ewlc0r8(_pEIE! zpbl>dKlS%J96toytf!?wHjLKjka{oW7)(pzEd(ft1yXF#u3YVWHr%f~MTH0_!40?cw9;gb{}$lq+YOHroSJ+o z@stfU&h{kZjBv($bcFJ_#A|RKj+KYNXJttL62bjGd#;!}?}Q(1ULTf)Qg{s9jhz$v z`)eG3C(&P-d^gDxa`|ZKB|yXTsCxowu@H_Dd9|AM|0GkD#~uNqc>hwGOFlvqqOd<>G9KXXNYirIEV1+s($7A~ z4b1gu7A{qXvYbGE|0+*qPEa0cfMobUk>Y2pjFhR9NMRe|-&rGOo>Nvre~#$CPG+2& zfWJMIw@$^nA-(0H>^@5;g_&>?!Xh;{qZ9pOVIJobzZ1sx zU#Rv|Tzpt zS2e8O}$iz>39h6bqP#+T66!-=*&wRunQb%83| zPAzI=s!W=flsod+acP%U|M{~1{rg9+~|y zj9Y{ezKL?nDCxJ#{=P1jd#k_k&cDOV-21rnOZ+(|G& zHxvK5Bbg=LDE?29{}9Kzkc~9Cf4AU%=12QDjPNy04*_3R2Bdmj68DtFlJhqu^b6PP z`H%Rc{{Jccu*1{X$FLL*NX|!Gem?Q=fW}rAkM?;B{`qa$XJXkmwGVYm+$?;%CpO{4 z#6I$w2>&VMzXtj4*2KSlnT&npf&KlBsQK8;xfkKypYlDf{-k}k;GZPxnri@#J06I) zAze4WhMeQy7yR-1l;h`FL~0*o_Q>D!vJY{l^1mQB>Y8PrM85Ye*E7!nv(54!Ozgwl zg6e=Z;0R}%b=05N9LLCio>zbH*7yVZZzub>eExo`Ts~cc3O6S4xvKKdUWgOChdVGw z{Wa0w+&JD7F!JK~rqd4-=N+8o{9mTu34q~7$o zyzL5cQ}s5HwG{1;tT3AR*u9~QG4?wAGisdkv?<@FU|GdSdDT`UtT zgZ)DzWL1RV3PiJ%*=99C=~FqJ^n68z+Dd0Y6DY!_NbrA&Gb88mERB#y3qLa`{66q- zYeu>HpP6KUOOS!K$}#{Evb>I8%HJ8n|8|mLuFwpU?Kih<6K+rNJ8ye~20TBGmRAWs z^~XB)g-AiP!jGl@+;{(F*)lBeLm2ukjI8T4CX#&n3qS353i|9W`Oqz(OL$H8>%~DT zAIL`ddWwzQTepY&Bf#%6(m(M(U|AoS;42yd7VF?2cUQ>woaFO&BGY~g8c&T0fqS&{ zKb7zk%@EY{NBZQSGXS?A^^3tQ!czUNT0tLgpbeLyJ>EdkEK5Ll)GzzvYfu4zaIm19 zfqjLTAdKf?^3`yP;;YQR*?$)4FV`>cOqdfo@HZ@t0{$sLpSWo|_m8b`ibWRk{tJWu z8=wo^bsr1+&w=^DmC^9%%;m3eD|%AaS9C4J}r0@2U) zvl489ldqmd(U67unbd3=k9h_{Uq4l6Xs@F-&A;?bRE4*-`a}QXSJK!A^$n+wE75?o z`lrKplYBXUGl9Qn!QYC>8RXXz|G~Y})ML1^gZ_R1LM&DBH=p3Se?4Pzgm(p}{nkwA zzbX3uMVT`DQO5TY`<2C4mH%LUI)Lr4o9svZ?u7i}`UTnuUqt$4{FeFW4?q-nTIN0< zsK2j1QobuJr?GkChZ^6%turXvKgEBU_)E`#oj3NsMfy23S)Ig_OFe|K=>7Q3w-)F4 zw^M$3Gg)0+BpF{<7ytNPVw8_((6~a}#J{EJ&kd&~ytq0p7fi? z_tT<}FJ3mwBB1X&@GDwK|B*h&uMLf-*#0Q>KOh;udJ_Jpw^iP-3J|iC`4_6g^zyGN z|L(Lx=IfF9dL!Z{5dX8n&lS996aRl$tFs3v{(8|k*Dw3eEdAv1McosAt?#aL0fAjcF(chs1{iQn4U#f!sNYTgLYO#?1RtbHVf6@;0apg0XD*0Ebpg*zc zy7{#`(*MM467fwg|2U-s{cnhVResn;{$1rir%V1S{{0z^+##zsi0ai~oH5!5a}H{zJtd#}_mWlnrznm{&yj7SjI^)Xkja zuXkY|wg+3W^x_|>AofkqU#i{=4157yg1^}>A3y#h`Xe=dJp=ymHuvWENE%>0%&PI5 z^7$1K>W8blY%@6xk3#&c<34GBF8uGZLS-W1CwwmR#US#rMK8u*!uXr91kO1fbwc>$ zCGZacCr?E7p6EkokgU<*^|+}L`6vUb!{J(cq8Pb>`VF5`Smlx?{5nLxUpb@ zA72v=s0zRt>BlQ7fS)i(H-Ovqo_{|(W9Bw1gf?uVi;ZKSJ7VVlIi zuLg{`NzeJmoF$fC{HORGzHx{6KD$D`7bM>X!cX})|0A(6umkf8_3!yP*FV%9&@@~p z`Me+daNqgcj|V8ZeqR=StZK)?E12_w=4koACW4R9`5W*x@V=|a-xFvfUkG2Qe^vh3 zTJq=l2k&_dKpAkQBNm=N&_0g>H+&^{eqEx&`TdJb{3uoa_Kf{2M?% z%Ek)3Uo2n~_+echQ~vuU|IKLQ{&$-C=l-|0`g?pZzf!)xqAyeu&Jp}qiT_;+{KPGK z0o&mG<@mu*gfFB8++4obgx{}l(7(-TZd?**~3@$a)_-<-aWU+X0LJq`5X zI8naC#ox#BFOYA{V=^`P1Oo4aLRIJmQ-o3f)ZcEIer^-~zhj&7|GxTfL&rl|7V^)U z%r8c3e(@*K??s!mzw37=`PXQ0Q3hWUiX{Hrzr8=6AdDr~&&T3#7=&V>{|!&pPy9Z% zc@zIzMEYU-m9+l#faLE*T^WB>>#t{P{n6Kl=%3=5=o_y}KYo5h{p&k!5zf0DuoLEr zN9E_og#V=?K5rF$pPw-Pp`##ljrk?tq#dsCVx2b@k|~q_F~RfvaHHsdTmDP>Xc`Qg zJsp7kFoo#%;-Cz_KRJ=(|Ap-9_<4mCx(YxOi?8qDNijg|3chkqg!|nRcmq^~_o5bS z0X+nA-ov>T`CTj-e-zVk9w%Od&u{bfvGXyPR5A$50Em;VSue z-v3zj_iJnHzf01eJhJ~28vpbD*P}nz*C&?#?}Pupk*sfcd~B=uJQK)fQtV^5F}3Db zCyRgH2l_?QANe4lSzw}LdluXc(PMk~%7x(XNx#Iua00(ea9lNyh4|M`;CBkXW`ci% z1pb)dZwtS~bn*?NO|RfC8zK0PbL4hNmd*a9X#0t>*$A|fg=0Tp3)l&-YP771hDXH4 z=!*W+%34HPhTlh%JlkQG5$Vs%FhF58!IEjsY{t4i!ugd<JfVEXDnRD}aF5Xpfz6gpEQCbPEujv!+;j@y`s%1J+9y z;dSwsXX9T3KhMtm`2_a+1$d)<*#9udg7*zKpR?xK45OJawk3VGP2Urc+JATP@3VdO zIX%gSe*%6!2Ma!J;0$WI3dfbnD!NO}mj@)h*P2>055N8EPOxd3o73Z8G$ zdpByC^}N3K5Tbqo^tF70b9mh0GHJ6d@msi#Li_WQxo^Bv1kfGe{Xc@|{S3ePA|CoJ z=E}gs_pL7p8gGDqyWjUSaLpDG@+(UGHaoDY{z8nGc%Fhs_zlD6ZD?=iW)1252Q@`0 z-jCc;ic#>ug@6$~(f_kp&-asF*Q5Xh@`?@NS%-kzhwx*qd&O;NzivV5=_B-JNF8^Y ze`ZG<2HfQnSiNeL+@#}s60n3A=MAR+TY;g-xn&J<{wX-ewYo50j1JTP%fK*kffLg| z-vLJ7Cq*l!|K(xdUBSuefEgas|BJvwf1V8bw|e8S7xnj|t>)4|wHz>ft*Px{s2Pbi z!`05!vz_+8*~2khgz zTBP*^c(}fx0gIh)f&cBGf&K8?KDIXvJcjnKK?Cog&gxAAkD~sc1zElsc^(c~o+{Lv zhWV8YzUS05#{5l+OE>jHjXeUK%QS1)kJO9OT^FUGba-caxEY)slGy!jw8y*i0=lZ0 zbem(u=RG2v4Ox4Wj&JY%065s#*40e9J5b+Skiw*!7gD@fs5j~6K|Se`FZ0;%fTBOy zVe!alTB{%(xh+a}K~p-u|Md@O{UCCeXXz`^{)_^3lWuoV$7({Dvgtz>cX*O7W|dPQ z^_EdfA4L3@qn;A?70Y`#ZpPz=(ML=he?oi3b?6+AT;JjoPFVg274te~Y`v>qM2+*s z-~(GiZznfxW_Fnk?O%mm@b(5!WHC-=Mg3a^4VYbKM*Z7`db7(+qHA^`p5dT4Ptyv+ zP{SC<*-^kQe(cAZ=?mB{i+Xzx*wBD2RfgCWl8QpW(6-_46Xl+Hd{1n*pHr8l({cXIkLP9Za0tmHGjAd?Qe@7CgPHg-{|-jsPh}$ z*50J!d*h#kbh84N)thvTpmz(FGU;Z3rQR#ln{?Bo{zlM+E`xb8DX!j>h0@&xoEUll z=a2nJy(nE@obt|zPl55`*m`vIlV73z_aMQ+sK;{>hyt_S5}>|nfh&tsyknwgp+ddI z>9eQ@`K0v4>08KtZ-Iuy zK9a`y;_!jBQI9uFtG(HUc7GOj846s6$2g%keo&Ce>@qF*`LIxLcHz_Fq>Cfb#@&lO zn>Vd63=Gke`}~moSi`v?c2z7+`8LnDnwSV065%uVBTgZ2<#_rwq#OomzY}qr#?A*1 zdgeqt*i2(Z_|>YAh*DYm&!cagi}v>d$A-XV^`^0NP(Re<6&};rJE+&ZPW7fS&h9t^ zFk1L}r zHdbze_A7#465@F2W97F|zepj&*jUN+_To8|Wf-1A5l3I?%Po7&m`!dWE;QR;ReB;Cl)GFU{udgEj18j^k5*~$nr@}OVS$i8Rk3jps0>>f1 zW%b5M59$Z%Q4>W?nbxr03M(81E0}F*g}H!}@4Af)#XpO<=@D^%k1{x9NZeVr5OL*e zO}r*l)KA};=AR+c@u2s^L_fQM2j-!fvxuAIW8@sv&kh-^y?N;_X#XeRI1#w4-t@CG z>h)B2V~2?UWk`tom{C6hc)&%lnd+Q~taB_jQ8Nr}c0!wTn>F-eVk=5P+s9}PmxA){ z;NZ^@qxt9BXpcKxLu?fP%*t=UTjnj)n}7DAeo=6Rrwi1_{FCE&9}e#I`RCu@pFH0( zx+eANXg?3|_s!*AYU7{0CdPL|uaoHi7+|=`{6vH;ORNWPnGxLK33;_Q{U43?zXy)< zfXnb0C-n1Gp~GJTx5c^XpV@;e2{uNP1Ti1c)Hd}`pFgr$L;bT?QUAO@%JhE+C?5+B zR!^ij6z%7i6z@b#CdK=RGTb2@_&lw(Hz^K5`#%B)*5eC#n=^_Hjg!VI5x+^n?_kUg z9G0JXuh;XC;`k=dl;Wpob3(I*Qn2PFP{FQ>S$}TC*L@MY*AIz1D;MIcd_42VB4G;acLhfLVK!n(vf84>&lxwR)3!In=L%{__L3)tl7I zqF(bE3WZ`)C$k!>j%S9A#%XB36lnI%h#rL04>x5ThStlV%_GekN=>e+q&@?jUPW6c zQZs9%&+xddM}gL4ka`VpVC_w6=Dptn$92G!&SrN+{X&x3>P_k$P`{CJDCtbwa2g{M(#ZccnoH~@i6%0`j2Hc=7e{E zf#7(R}iFNAo%Daz-NA#rEDLcDVxQIwl2LhZ)WUqTCb0|MXT(c^RepHjLerzB$| z(c92G?&S`L12#i!Z}W})(f$PB_yD-9-lY2p>gRx6c4+c#KEPR}vbTgWA4qbz>dgl@ zZQ z$x1x!Gt`f1;%K1Q=syAI@~E%$jsBN{^Rt_FH5=Uuy2MYutPiim6*;#ndTj9raDF^m zFB^4Wy8Xi^7`2;NiNkbz4|ID>fx{t@z|X+poCS$Yx37TiN7Aj0Hpcmu;INZ!`>v63 zPVqkGfzN`v`}6OBd9*N_jAo08vEuy!>UmGw-{R_-`SWRLe~>um)d*h`J{$G)XX3Ci z%>4N#aJYl&3G+p5a@)S^p%|e;pj!JcXD{BEI2oj{@fwp*)K(j#(xV z$1L(gA2EK&$wLL}+j+tAu>Ocb{gBv!Z}L}fU?|UH)K^hU<{|7iS3w)b)yv?4tDw3M z4|J@abAxqIeEC_D@R;V{AmMciXmPLM{gJ%&I*4ADOOaxoEbSbT8o2QN{6Q>!mQDIO}fQUubdW43XV$87q~Skcz@-? zg?huh%s5KHH(yb9D<;L&kb>)M{B9JVq3{(LM)%5+Zl5TfNwEd$TWwMF9Fu~cqwhM3 zqDir8KcwIpUC}~)9_4EVhSa!v0Ox&_f_AX8L91UB+~O|GaDL`dOQRn5g9YHgk9;w2 z7sq##g5&#LCAL_-A5tLSR!XsV!4}5tsj$WT;EMNO^NuHvi-o~0*I@BRvO@hrsONQW zu#X?5__9r0+)yF~-?I(c!TNR2plVS+CdZxgw*6mWwpauASIi4;`|kU- z`mMq3?56XEd6m_#i~3Q9b)}&Yz^_LXtO$xrbWZ<^w%Tau*1hrwsqI*%; zg7a9*PlOmPMlt8fzs=&}yQu%TAjQ<+>YoK}O^V^D-?LC}m{0GA6g*SHvj<@v%m*%r z9`YgbFW&M6oiB%aohhNlM$a#C7U0)`KaZoI>3l-8CocB2V)g#4nb-Pk5XXTUrZ3of z5pzs2&)Bz(Wd|Yn65K($*q1m=i^YEB^Lay1#Kt<-sJ0SYtH-v(wyZ4qr>Gx0H~AX$ zV`o#=)5h;c1JBL(oLZQ7K{}MZk^lR+;(VS*F6W&Qvsr&l_zCYWyQ4W5F$}E7YFZ#R zE9Qs1zm|D~b@wC|TmkKI4_vG#%yYtj&>l0PfC~JG1Mh8*=eb(T1Hj7nFw0%7>9MTC z_f!%oSmP3KpZ5m$jrF%S`DZQOQwDziE=~R`u38%ZKLC|`RR2n>AHwF1tx?Zw4cvb* z){Jj{p3M z5gtGY@gV-$ImnT*Z(nU17iq1}t5Fw@Fg(GeI06`sZPrlMpA~$D+G>>`^<-Q7`A^g~ zSAOV4S|I{9`kbu@rEi=wn>8Bz@()-0Vx#zH+Ws5H%@0fJr$POr@D0lmv>3D29MPv7 zhviR>NAnlzO_R@~-dozXZ{46+Z}WE4g~g4NU~s%eGp-IHZcsyhIRh{1sP8;(K3H>a zSlMF5th^_(-=_uX07aPsc<_XJhzIeH5;hvALQ6*!>P<%4Zs9_t{2SURa$@>!H1@5D0<+Gb{|Q?A6yzlb z@K0K{FbbPRCcr2h8#Mnq%ez1D+d3+791qL~8O2~;bP8#K52Cbh9-3z^shE1Anc3K) z(O~`K1)j}|mIR(B3-u<;;;1iXa;s-1pRO?OTmAd+C8Om3eKLNt$vI&+0`p%B6wQm) zNB!!BY-X76f(I^CQvWRKpDEz6_6q}#^I+RPPM&XaLd(yOmLpMve!;Xl3^jIC?M1Vr zwQJt+m(3XnVcvTdzaIG2zLAEF%Ut`t0TK;G{VOq2%@&(s)Yz;Lx#mCob|=^Ij2iz< z(gWM*T+e7X_>~tQ7nTCuKIxcinP-Z!@Y-R-k6vCl|6#u;@q4`)BPPpwz-6vV5in+jKSpxdu)y6(r7JbWsH=h$?_yOE_=BmY=h4xmDhrlcC!fRE; zZ>xzW%hy}%GB8>0fGoTVXXc38WLX%#@n(THlVuk0b|UKW{=~?K%fk7F#T&U}F=aKd~+?i+9S$jKE`wWuL?36&wLkRaqZOVxpq2l zVGOeR-Zo>rvh{2~hF;ynqwLB{PN51+{MTrnr= z7bxL*1b7}+QvU+#@oq}=_hK?W+(yRpAmieY&*++rvy7vRw?rARsvPs1$@l_hYtEHz zjTZL91_hpNE~M|RCtdn-!d0@10d0oKH;F^qKzue|ZncYXqE^@YS zw2_h5b4hX2B);%&oNDLW@66u+Y6QdsQ6A6^t z&T>qaO)*Ary!*Q3^qfBx+Mj~h0M7`+OA`18VW(+^JQTvU#A#-6`nD zduS$4;*R6j(ee$$ZL%DS82=sWZ)xh&Wcdr)^J!TSSF!s2U>DwT>a{Klzf##($GyRk z<>!!PW5{CXc748w_f>=$n|d}`wgAsux9;P^cs>R)-VVDgjrQqkFy{$;f5Bd`OIlA~ z>chdk!I9-~$ii!cw??~~EIWhe1HtoFP5qlJ-vAG%OV4~}#q~TC^TWR?Pne&XjLbPl zsJ;1_)pH!|pDdu8jk)c7>^QW>7kff)GOy@`EZa!`_b2f(67}}H6Q2Ey_ zif7}|>Q{j;Jzi43WWRl^_3XQyEPF$i&)^%sj=Y;)riR{rgyUl~yD*lm9me7aGoBgC zS4j5tllm!8zk%wnNa`m?egEt-u-M-fvK*Gh%LJgcn|L@Z@?bv3@rC(~Utqcre&s&) zQ`q!U)US^Ei()^M5$_o7SqsM(M;zqd>N&oQ4_WquEc?M<4o`COx$vcpB2W0<8|3t{ zbq>_uTH-Tn!)LyR_ICGHAJ5aF{RzUeYs6!+OpE&d$-*=4;`vcKAKMzOkIn2d3G{Z8 zcwRG(4Q3aPFSn~6w*^5)<827)?^gZFN&SSVuadogvJ5Qtc~3uee_TA5v3NNN>jT$- zH@s&V{IZxVGl93;#Ix0#ENj6ox1jzT&GuG5Bii4H`en2Fp{Te1{y#W5d0WV`Q?x(v znk)gdmV$4b-1Kvkg?GtT<*S=ZWLy_A-cwS)YQOW?z!b{~9QAj)ZSw273pz)xU~*ogayl?;t}KDVi*3A5H;=OQIa)!B%}A z0EPt$vJWA!F#@vx0PUY|wl|9Rqy00eN2?GUHoo45;$qEKY-<$%0nF;87 zp*`Q;K;4i(d_Mxd#A`7V0xL&q=lL_Vq`m%u-nJfWynTdvuKNvXV&Iu6-+My7tfg7} zL$tgDv^If+CW&Eq2lX$a{=iJ?%OLgZCEh+8IDEtE!5s^076*MwX4fT*;`_kNCs^6$ zoaj?V@jcY@%`{VhLu+sKj4#ja8zk+iCEdjzapA2gph(O98x-j$e6KjEaMb<@DE=#r0I|70klflxApx`t9J(SS0X|L?n=_3XX&e)oFc zea|`f+*|+F=j^@qe)exY(|Xph*WT|wuQ474RQ)GmwWAxNEk?1LU0s^oCHmeC@0};_ z&9321;&kEpErxioDXl1q$C6R17P?}I;)-#&UHbFB?N1sKpDl^pbyn}C8Gc#O`TcSg zA%`XspDvGhjNyM`Z^=MIqS*+YuX8}LWSox^AM4wkE*VOH5|4dqHXbA7`ZW&5`BKrJ z)?y>Q?la0dgr`ZoSGBN-_%QE-+UtEMvBfC17rt0k?cz7GJH^2r*a9xRD_28qVu zcj7?(E+dIg33MCp;H*`xLPdKcL3_jhR&@REh(NzE_`v9Q572~rPq7JQw1O&4=ot-89r|G2`H%A~=|E{H)T~*C z6q9w2c2k!+3DINUA| z|1^4?x6|A|zY?AIe&c_Z69?1e>(XSkcwZkxMf!~zh0iE!k1K}XRyA%o-&g+e_q&e@ za!vD_Bsb1^3M+AwI1q==Q4Cmon&x~3NZ$twD0_?3YW56i_~8}}50QpHk%oLH!oG}X z8lI|I)|oAuZ!(34=C4*9 zdM(4(qhd$#zdTyRJHbxBRQv<1+*Q%?xrqN#z?Enjs70-XEZPxd!uhGdPU=?()Ef-0j)oYsnQXJ@K z`Q`cs@|({Dj!=AlUr0vr#RA=Yeue1uKEX7hPxxkwMVrZ@(_1v>n`1f6j~Cwj4Z?hW zg*0EMraA8#(Ly(u=Elid=mkR3n{BB+=kd^+*;VMdKG}WCyVvg9^M%)UaU*Ydn58e0 zMMt+-w9f#GJc?``!YpD9dFh%(%xxH*H8qzOxUqiT#=+gcJY2zHsoe`-YFiwexa0x-@2zAVlw zwrFy@%KEQ+3~ziER}JQ#iy6-Mm4**X?((IE_lh$u<+;-280BswzgmsIUXLuyhmXqV zC)aAzG}%eDc}5F;ThT|X)*>InKRzI?))b%0hu4S$wfrn;_~zgn3lcfcBm&A=FjWrCPLq0)q#T(Q!M1N(V8-5$nQ+a96Ozl?^`Pw11bFL!!7eNo>aH!(v zW}Tm1-sM^C@Y}$@xAaR-Qk>X5w#zj>JE#_NqoE@$ui|IvV2NL~XTg7vp7zd%)BiZe z5z)0zt<4@IiOfJ)19@Vw$ojD-OY?0dc-{(>L9lqYI3tlifzm9*p z@VkrlIn|5T@*jnF-7K#xdcVbOVf3RFZ_G3vl!hlHK0i<~!}E*xsP+wM8ZOaSoAySi zFyYrlV4oD$%0@!5{l#Z2^7xeG@Ktgy>?bo274v`Uq46VDJ61 z@l%ErWrm0!5ay_oLOCeRMm{F0z0-YakiL)T*T}jzm6FW5*}AVW?!K?A`-uEDBFl`A zS+|$?JT4v|lMH+EXn3C19a8d!ms$5=(GRWB&ANj`|FQJ3d)(WlBkyJ(CnWb&dKxCm zrou2k!RDt1s@bx;=#Q)HUsZ!h@}6N(O|b?-Eg2uPWf$>@-s`Oz_?s=reMJ0q7gOjB zU-2K2Zr?U5M42JV>xB7ENx>#4d~5G`QElF39^OIp`g?0OKJwn0iY3W@UnxTPp6gQ5 zHl4q1Cq9pgH!)@R>!$6tk-u~(>{~#4i1V4HZfLuWFlUt%w1uJ%_m7?`s;$A8w(k)A zg4n~@Zy8P7JNx3K(w23n=SW-QGa~N2-dn}z5%E4%GK_B8@+A5s(u^pSRjS@#B6cdj8t!8+Db{->l+4hrwco+GNQQCZ~h9>VqFwrLqTW*zI;Dwd?m zx&vk1b7h_JG3#iv{}S(WB*O}sb=!*`{Tz8&D|ol)XPbtRZq~g^^q)!}X;GMUYsk8f zl(t~q$Avk#q)-luJ|jN*HBm1N{hnF(W%Z5RBMK6eJzqFTJ)To6ulmLVgkM9}8GR&V zZx{bhh`0G1l3DkD(T_1r3?AF~-l88{qnmZ_6a5g=r!eadj$=K=`K2`fafRap)RS)# ze83sWqVa!a<-Rq#aXw1)&$iItD>~mt2`s|S@b4GBqH$DtpiWoIvQ!*zdV}@AYK$qOlgf8$4?<_G;1noh6kQU-LHa|CmVMSGvK+uv~jc zNM;L{$k*x0`76Zv6!lj}lyzXEeS1B$m>({*T8sS}*h|dqBeWr)uUnu%d#NTAnSFbGHeql(Jo*~K$gt?)l-~%Yk0e&KC+WW04`U0xU z8Bvno%iP+hZRN2KNL##>_I}S3pDVE(N~vsw(2inyi>bufOR}id6%q9d%x$1 z5A{qv7~Se(S<$1PVXkD>tuFd6TdaG&=qpJdzQAGD-Jss@x1}vu$J-0PD=An9g;@b! zNUd91^tXm~sCjAV--kyUGsB%5);(Q(ejwhg8mHDRDSGs?v>=&vD~kSW)6mHA&U%LE zTS=d)Z~uy{yRWnb>z*deUrGwrL17kw&C`YV9+F?<>OVOa=Ql>K{`p%KnXKRJE$d9J zk&rDf{@)kx6@t$pS=}usdi1lwqjk%OevfGw>1e5^exmOoeTZ9GXDR8l#>KW3O{#^fWyFijB=-lDsRZSb`MMXa%-nH!=a-%;%^f2~K~i=x725OD6F^#ShP^?aqZO z>q(;7rl+6c;!jTThTY9rdFOnaq}U8zUlb2`EBAEuOhmATSxypEveI$MIV(POpg&U|5(z3OqM+Z1>wKQ zvnMm6DBUl+cC=B<;IW51MPu2{k$z@qMTUP^`1p*eumYzFY4Y7Ob&HXYt#zu|OS$c( zzi9+Nlk&Kve6~fa_e$2=L_fJ~*M_I<9B+7Og&+155{dPG(?%#;`#>7W{KK4`=bq6L z(N9$5#IpiiTMtPOTer*lAIiO5b{(TFZ4vZK-*2&NJ!!i|3;pY&|Da~q8$=nV6{A{R zt11T0(zRer*ol+UyJb7S6w{$Ly!XQBJsrkWaj@TqINdntKYxWj%BfzD`mZef z`K}EdhS}BsHLyynV{3L{L$CKf@qDOe7yr>|m=yYsocF%0YvNr5_C1rbwWK`BdVbNN zJ*d%kn3VpWp=uGiUzG6GXp_v|Jb$60bjud{`J(e|(|SU*I!~0GU7w3K+3eNqI!bMj z|16`TY)XF|mt9sCf9xMQ*<1V8Qm)l~@e4~SNM@dio{NNgP)eJne6bvB?hgGTbo1CV z)u#A=rEe5{H`(ZTz9+_=81G1fcKV~@^Qu})ze1&k)fbWvb^U_|rvGK~6539aw)+2M zg-crPYEK`8E8bivNXbEn=)gi(vf3 zMwjh5KHDrx-#_%oRwKM|%CB$UUDlLowUB&rV8pXt@4i}J_|rtUHN6qB-%iq8BJXgx zM83I%#CMl8>jB;-`bna1CW*|UoHH}(rD}eFyCPXupDembgtDtBE|GIj*m#^aKlkUb zdrHa%s$cPo*Di~33-YF5x#`B86tk=wOK%u2=*Ot^dZNEa(p_g-#1BPZy2P9Jh^U37 z^G&7gM&}-95z(!s!}~#TJ38tGcOT=p-@hW#mke~{z^o%iMUS@_T@z*pH-r1sD9&O>R zDen#N#%e8`Zxnudjc%N0i~iyo=j%kNM?%#zyF}B$bbht${!`Q>r9WNtJ6q_>iT+ok zOKZ{+qU0ogQb?YpEfI7yiR;S7okZtZs+BYP6>9a%sAqd#qvxcL`dzKZ<15g0iPcED zPEBX4U;cOWK{dL0?@-b0ohGxyBj;YxFH-A}UWHxRIB6Zs^1Z&eKVpV&H0RRmF~jGV zM_(@^M{QN2k{-@L=;yol{ z2j6$_NVrcDsmCXloXt|cWV^F8_a{|B_WP-m3>Hy!wYKzEpRQJB$}7oS5)u3R$uho#*aqU)@%0((WBIkUA!i)vPS zsqy-{q^$tIszu@zlK8U7)t=;-L{@Z*7Ca;J21bvXTq??<5qW;F(`?^F99}BUr}Tsa-Jp5tmDCX z&%niEX*+Si#;sKPjT$Ar#Q%DrI`X}awq-BygK_XQoyy1hhSx8_gk z+Bu{%C)TU+XMN3D->njz{)%T^+Xmgt|EFj+)$h08Mjeg~)|n2U6!LdQk5()vImr5W z&=hZ2I#{yMjc?_@ER4%$9rUduXG5BY@#mXtjuAN+{XFS;VT`V8lU1FMh|gOMUswU= zKVPkJHahP(onO3N1*k)VHWmZS1o+y|5~?q*dEByQd#dnTmYP?ZyvusyBEWm;nypa`iB2{W z7}dwJd!^yaqAh&+-X-!q=NCu%Dhd6IqOW6gVM%vH8~;}7Y;@k$sn49vtIV7qjGPT= z8ZIV2^|#kX!e!oGd#EOHi73%Zv#%oOy`}G=Tm61kbzXn|Yn*xhJF|v2O=gPzQKJ`d z>Gci~#p}^1R$qA4M{xU^p>g2rYHPP}zFK@XYN1~w`o=Yh-%BLECy9{L6bYNen6xjF zn#8*$alOd-yGg7rqWW4z_uqnMh za<-_4Vl84xwPK$U@s_9SHcfQ?TN6l2X3JZ|XQsH?+c8`*`a_D(|EWpzHfW{USFQfA z8t0J!`H(mtQllGZzH@T8=+(^Xm}tx9Nesf`0>#f>(rx3CJbiUs(_i$y0uo9HNDmN3 zE1?pjB?p2uA}yk%#26hTC1rr5FuIXcIvm~Ij?U5DF!;{T_xt<(1>?0h_kHg@_c_lw z&%O89Q<(DC4^fnSgGgzeh!EY?Q#d%oGOOiyNs&qNFb(0oATpt=haI$Cdyy)ucNakl zf)xAW?gM`szjd4JbIMZW@~c=aW->E@oD_f2OKVK4(>4*V&fm{MXv!s1&4dr8rfQHA zb-1`|Mq74oXOk+vD%~lg^f~<{_+-qV->_7F#k+}1d^|eCL*%vkq)qp4N=9OHF)P#C z<(UoY%PFF}1OuJ-m586T(}Npdm-I=X+uuH-T7PhoD4IJUJiseIV*VH$1Vi%n(g2(M z%8kwy8-28rN1ho&)Up2UdWxiq6`-5U1BS^Bi|dZ8>)7G%fu!zxfUc9)jl?k7$|RHF zZ*3E!cDeMHvE8voSKw#HOZ(J5nj?vcJ`#FvQqmO6-l5X{mYwNJ?rDc%jrLUG)wt?d z>MAj^pqtG=u$B5}Na8I~_MIxC<|jl-Td53;iU;~WXRFRHA&?s0R{x_)ndZ&-H>(Gh zELPHJP&2UjbY9UsVeedg@7s=K~ zDpoXIuwej#vE5sXJiO*716zqZ7+&;C3ete)Gx4Y?Q(i{Iknb@Qzf8p^3Xh1ZWcoR_QueE%Zzi-R69$ugVN0;y zjT@(}lkTNVfz}`L^ZYMUjCs@v5Al(F<-e*I5^1eQ{P|0oGA7TDu4zCzx$H7TNO}Fk z@A{TQ`K;XTq4NcUEv2nynaQN<>JeP%*S8NdKT1BKCU=3w$t8+S%qwOC=$pH~bG>N{ z(N45?&N-GB@M|61@THW5Gu8)}Xe^e>3Fp>(SIWwM&D4>`9|$qC-}Vb@avyG=iL}Kh z_sK$tHs7nFpOR_OkKdZ*sfUN7^yTb#QAqBi2QOt!C+M!-W}MxGO*h~2D+Ats^2&T@ zYXrF7KQm>%5y8(B#)oBI-nUDv+mc5eAkF{wY%~;AXfSCo=gB1JGUYu?`0}@F=*x$@ z-;Q6@7#Xye=2KA#<1PBVcX-cSN}f71OgH#S!i(CY)qWUlu}*-RA87LF=6{7UMvY5{ z(=bRJ$d0}9seLm(7BuW!T&WxKwK|QO-!oCS8lSR4SG&GFUrd>znl$m@v$B|;M#MO#o}4%RE~onR zt@9&j+1gW=Epyt! z)m@Q&#xPNDE?B`stJ6^1R1Bxbh-ehbc6sTsdaQPt`+Jqrr8Y*noZ#V9K%u8|$H&#_ z-*IFyVm;McEBNM~lDSohN)i%b)ve)?Af}H$HDdp&JQWgIXR983Q26|XT53rp=a-4B z%W|vaN7Y*);o4R|!IYQd9@Dv7nr%-|Tc=_UUs6T1PHj4$cwGo6d^aghYPA|Ad+SBe zqvj*v`Ot1oMT=%QkKhjbU=84h*w<=S-Kfpq=c3S>^Qmp1_Qq1863Vh_Q|P<$ae3K# zm|Y!yVyVd%xPpE#Y#}$u>a4BUWU%zzNiQAov87EOFZqwQT+f^N*b1Q-`k)J+!S)=j z(F^xIZc_9jKB>SyB)I}hMORu+ocor(%-mwZzkqymR^+t+9)wddLMLjXL z;n~)otLVl46h@j|2B6dIZ3gL=Su}2?v0;QwC^`o zxNPM*?HBo?L^^m0$fONt#^KKAy=r@pIkk%x+CGvO!lqPxj=u4oBL-)lfwZQsr#sA@}F6 z)$=BbnDds{dMmcva6Jx%(L}98MnhQ@mRhH`aGkUlc!XxbYYhCx7g4?Z!-oFuN z=im0&BFv9zfFdR(+^!tK!%N0oJrWvLVyuo3Fs(|4^-k^6At?M{J1rmX_#4t*c`%=( z4X`6`(WfU8&Hkx1K@RT*l z{~iexhqio?l?;kfElmP?zJj!U0QZTJ2u^{KMVjpiz+r6r?G-A~3AQ zy&8H?&wLa?^G&V*(82F`MIk7_&G(BCp5#(Rm8t)wDZ%y4TaGr?*6fyJi%SHM2SBem zA(3Pw#+tE(!5y}ex6))Hr&Zn{g9fdzMlFW^{PB`&DYVLiw%}8oO}ZG))+Ysd_WP0V zW8CAbN#XbCBMITn?yNGa8ry+@m}MJylEUUjXbrI|UhOy$)BOQ}QF1-FeLyQC5SUDU zn7&4+pM6(5%+2aI*cWQ0DEEruMj{d)-T-dzScxDMQ;8=|$pdB%h{R{qfr0@>C_!qk z@i)U@iCRDGTQgZRk2vGMck@FJ9L+Jl7#wseVqC({8*~JN23}at&yh=O3w_IuY9=pi zHnQYajaeQIO^SC;FeKZ2J~u5G!jHC2>s_vG0A7%^f*4sC760D8O784kfd(1qILab; zEv^ZJ)evj7f~@OhQUTL+VEKN2c=Q>rcB!>a(@Wj9q}rk*Qn(dq`U&|*^1PwdIw{#T z8|=3`Z$AnP*r*!His$C(wkbw({P9SG%72vuS}3m|G?oa-+*+m4gvtd=HgNaZaUyt9 zFvEH-@LlXnM%FCR-|gwj0dgc4>{2nPZil^>G_ zu9MFP0TLD4yJP!501iR>3D4+qQRBH(*(fvvVl zMv0w5-fCVdYoQhT%US=7>x^6@#Vwuc7p7pQ-${=O_OqIl{Jx+I-bbI+$C3=>i?h~? ztWkc*JA+aK%ewaDHpUpS76)Xc9Bg5L+=|_{UXUbXB$R2O`I<1E=`+K);D!nyQEd6} zy8qr)XiUCMKDG^Y`RL9SyxyhIn>NPBjTP=+g?(y^BY-ES2A$NSikjcftTN^z=X>`R&UJNp>jBF#~ zp<->e6+`1i-Rmkea%@#4jk6+sRTcA1Yj=$FB@Id76`=#^B?rr{r~3ER>`E8M)_JFC z1!d?ko8T;@K1P(Y_7JXe{k7tUg#bKBxF}U?4M%)yIn?6ENuwcw@_u7{xQip+@M)3{ zkD1_GoE3>7QP~cgT5Ks>SF#;h`7b_=(f=mU4!*dR!Bz0a&A43QCj)y^Aka?{SZud# z#NL)IH4=dUYJ_hy(Zzt9O$UIA?5rQ{FnT!H?Fv3Az{W70f*Z}6&?G*5#zeGV9z1$* z2?_A%Mgw9LNj__VZ$2j^@hpYrlj^sMeDWE0L}fIl0QaKtYizb3lfTvm*E*JMB7lJW zb*>42M6_|xZ!+6BH=WKazTxBgsriE5_>8PRE>&C**xDDt zM4|S0CYrUdzfu47!TMrdApR*;8<-ezKo^EIJ`$I2lMCodCRWqv(bNlCF%n!1dP3t7 zbjwoxaGQ$&6mVqUh#rK#--CCrY+&ff^r72}p$fTHTZE~>YTv`gpMnciYxh7;TCIQo z>5mZ#D_O8H5qMMO5P@HsN4^MGhN7dl8D>d;qCV%|hUC7Epxo1A52m39c11n&Rn0Z? zBlnI&s8HxcqqF=y@TVAQs0c?6NHJ(2#lUg|dX@1$htNwGoGJWVBf+3*#)nxWk|-Y? zj|gBww9jwcVOQpuv`2jo2!(7;VeByrYc_;H?7k&8b{%>VY(8-dPABVwsulDu;Z?(Z za3A=`&Jwv=KtqiL3$XA7!WZYn1SF<=sSP7}Z6olU(|1SB=bZz1_=Cg~2}D^sM9==L z3SV0@I{fAqryIC0l~5iUDIS%uKHNf7^&lQW`B)LHEME>G6;^vH2d$OmiLOn^>)8Xm zh$s2Y3#rT+_wqlY=8Q{S5wv~KMR-=;t3eDziFH|k2}_l;CDfKsqG&6cF}3FeJePIo zd46~8gV{3d>tbZt2_r3RyT;2q$Umb&laD^1QLElniv!Gq7IeY@_GuYH+6;p)?m#o} z4M4tKZt8c_|D!j!u5cya17TwtqoFf@pW(Cd< zK8&djvtlSTv99o@iM^#+>lLQ{5 zv7X3t;|B+|5VFd2eI=C(2ySV!iX$L^p;P5X%2Qrsi>XDezZ29k3sJPR?Si(qzzIL6 z@$aTjj*5=%yi=sfrQS+*0{2daO?3086I}Xx^f6V}UNG4=) z?F%GuqWd7p*A?axA!=KbY*rgy53$m%MJ&jmTzVjzV?>Y?ca8-R3s|Ys+=d_Awv_3Y zg7)kR?XJBAbDpWa4#OADGGiUHQYId-UWx4B$}d_Rk zHK44&f_@+I7!*asn@a_I$4vdwW8qo{(N6f+rxx=i+2eOYWa-A%*ww_E*GJyhhlAIF zBC8qZ1C8DYuKu^6ntj{F*hVtwJOrX8&_NueoPMdughkTju^j80Xvo;_b@GvRw?dQjl z77D*J`hnij{PdG+6{!p~;@nNaluGk#sQi&_o}qvjM0=}YO@q1IP9_z-mdD3EC`(A++=*t=cb zqW5Cg(Hp@C z>xT^g&|AE_$2H)%#-@WjeBVg0zjSzs5Ja>xdmrRKJS6JB{l|myzWB43k9-1?Vir^L z3ZXbighLqK=6p)3P0mVz+a}(o@ogs$8c%?mG~t6fG69$(W8X#T^3QnppEeN*LZb(` zAjDJkF44X^b30F+BPf#@v4uMqp7JjeeoIn9zJAiOf`C>=SP%Ecn$Nx-N|oZ;pX8U& z=Dqr)EBD`8CBQS3qkbwl>1~I3I%*>(6T4yqBIxf4r0=agOcPI+@fal1r7MajA*ckI zy^oDnS4^b~UPlbKYvS)9`stE`Yf|No2Trj#Hu-J>W|C`&82&<06MB65iyCRx{;8j? zUN~$VgpE9Ccc!%IowWwZUE?LGebm)9K$iF3#N+|l3b02k5Hi?BCZb`Xl1AJm=-^P4 zptaEK1XYn2ybhLJ4XyhA=f1f-{gjgb?)JVN(}!Asu$JG6!MXV};}MJ}J=K8K!AqTB zcKF_~Qbo!?$2`E=8l`jlDn65TAj>W%boix8N)%X0Cu1>LJr~m6h#~JGR>0M6qXx%~ zJRU%)mnRBl$tZp`wA_0pQ2ZlL_@vYn7gznr;B2-a@LXQ*+9xP6+hF=47ZyQj zb@XrfHY>M;S|K#Qt#_r>EY;#SZSQl=S`BfhGhK@cE@Z@va>R(W?FtYSmwH|)d$Gm6 zWxaJ?)cbg)9UpvOQT0w)81FjA_eUXUY5$S+ zKZ2h=|K6h;DnxP}XA)nTZ4~>Il^5ciy#=k#A#;`GI#zBe8ztwYmATli9X3-MZnx%& zJOYv3AR3=VJ{FmWTD4KYmBhhadQ9MK8ZM26V()UG><`T6wV&TPGPSB0IEZaItU!+l zgvu^OM+A04*gnj;4>jFn{KErcwGT6@GaoqPKKsQsuJ85}4~Vk3+#@|af;yQi{20GD znJyEu9&QjKN^5xmw1LP%Ya4Y{%c7}3IDwmh`1puoW9ztc4#=RWW4WMJNec;^dQ?;K z*w}2D5aZGb4>)^2^w`fWx9#H>0Q-M~Ds1$wf0qy~7^2bpjB4km(`H^hS5JGPJVMYW zEYzu1-aJ=wEtGYWf#apoa)zjWfZU&{YUbEjMB2J*uI=wygFJ8J$tqCh-{(>+gA01P zq~XC91L&-ll&=f#Gf&EAz6j7JuLHeOBeJp5X*@i{!W-=VnIDs&)#)0P426Gp4Z z?`5YDCAW_|!hgi-`80l;s{Q|LcEKRae_gymHUzksW93M$RvGJy&o__{f^$oYD2C_7 zCNt(NsMj*C`&s_9QOB-2``G2g0zqPd);8ZFrkOf_yMfj+<&r;fQ27V#Sq?o!`P>d+ z!8yu7FJE(i7nAK$s4ZX|WZ?-O;Zk3CU8fMuFxH6am_uzbpl;W+HA=rwXG;H7d1sF} zA)%z>{&3g-wjY-`QM(FnYW_LwsrJRCsfwT=_@Rkht&*;?oz}74F}?%O!w%VKgJVcC z7+0cREn{)bT7%M2HF@lL@Pc-6nbk#TG%s8!Ss^qSceQMa1Is(H-N*8>Wa6?Vz^k+n zp?MKdl-WO&5iR<<%e*`C`s?6-Fv(4C6hyh(zO>+;^Il8W%c6lY`R%eR-8CcD9WLUL z-GzO7Vw@5LevJYkICMLOB61My&zBdNEEFGRvG}yK-N|K%f6Vg1eRCoCpsURyW)E+O zdyIW8S-}eJf1kSK=bxpbvrwxul6}gZQ{{z>HqDs4RkO$`*sCs5H`Qc<>&iAFwtco4 zaQMDe4ybXCXutweo8+SnPbs#_upa1<{3?Dgd(?c8AlH7R{72Rj`^Pb}9FWE>N+JxO zMoqq3RAC2{3OI^e2N58A>$%?aGcXZ?cWqink|^;~SDY;yReMW+JM1|08$gUPV*jO;Hxhu`hS>h+{#L zH*Xkzx`>j7|2@R;S4F|Pv3l3&!kE2#LovHkV*oL3G+{$8Q)B_@QG$iP&t_vwX7dYA z)&U%Fr~(@7CYrq@^QMd;7qvnd6%fud)<@~ts9hz=MwtR1c4OE-_vI;`;N+IVKd}?b z@cvEYP6Fk9OJdX_1Sr%gH{`OWZ&Qq@tR|o%T@b7TY8hZ?Bl#xwHhWXx?zMO65APHw z6@k6CGU&|RKJ~x1GT8eU0dE#F^k0eoI%ln6q9XXuRWfJP9_~dyjF+|%j)1XIGv?ne zxhgw|v1CNd@8mqW%fUPwd1TE?Nksc&wDJ9$*f_t4=Qw}1%QtVj(d|Tw4GL{0MbD(z zMq0?hJ>M(!Yt0COxrz)OR&NpJ4YvucBo6C*<{I<7^n9Ae^Q=PRpd~?Fb9xfm{P#S* zfj^))Z&^EXM;slF{8KF3A-}ETq){PhVp(B*scsR$B)OAO4Wb{tE}uu@<9WRu6Lf;# zK%_%r7-IFBNrOTWdyNg)PNl4vvT@%L zktprS0iKlEdqwX(Y&q-ONXchV?9{4Q?DsEZzUCVF7F0SiTw)56Kdp=iat#eK*4;G? z&wS0M(e_13VNi%5i@igE+AJ=KZZ}uCpV;2Y4X->8_;DmtzlP(GywIgkaAPras4v;g zO75394)CuP)4!}`qxaNMaehMmD=k#UioII2tKb2j+G|=zEt~Q;LnDZmKChJhUz3ZS zUQBTt<=cBfDP3s1Rs{W-qdMctRWA7o$gQ=XF!_AIzv%P!Fko0T5|6c+QD#5=A0uQg zPdqA7U`LeS4x;`G`zzh?+)Y^UnO!3AD)rS2!JM_3t5T{IBwt{>x<5&}PW|H1N+AEV z1gtY#5SDeMvIN;gn>*t4=pk-M$DWN9#k=6oFVXE_V@kFc;>KXa2Rz${VtmfD6oL@? zH`x;8crY~+TxWAhGFkB*rx6X$7w;>pidMxS3_GD3!3A@g)K3|J0{CroJUy90vErN^ zq83pXbMLdv4q3)5u`Uq(;`xwhCJ(r(9Ajia>?*5|59)~=^Vk3oi26$bJ3KCd%QtkK zfMzvr0d?1TevtK^=#sZGa|or;i#1$e3j`$lT~V|v%>L=w(2N4LSOKQW#Tm!(I~WI> zvnsH&8W<18#l?sm+M0sQzOvjw{9ek-Bts>hyV#PxcL}PE8Y2QVQ_-ykJt1KFGFA29 zV4heaWRl$l8c?~-TU3%w=@%;y!1WYowN=2fTl79b4v1em7MGpXEKm4f7HdY}uX7Ms zNw-MMiSt50-}n&t{3=>?#MG3{>d>W{|Ib7GPs~f&oJyCAc+(^ezV`yLoe3Bl_O@`! z5$q@bFzY^)>7u+BDnb1dK3qCL&Kpq%ev~LCn@<@YoU(H53o?tZvC1FUnH1L$nLp;> zbDJL^dhhZxCn{UH;FCYFoprU2W2t5iE@xJL?vxQkndVOrhfV+G4-yrf9)emJ{UB}0 zc(h$+tZKE=m!Sg`xKbZis`f?KL^+rfLh(iyVg6)B1CNX3*q)xg`V|yJK58Bp2|Y4m zbMM-y?PfiBbk6>E*%~)FNW2;9{A9t(nw7AzTT zg?2HE2o8Mwlt} z4$Q+CW3$-Y+GEnsGn?m>vc%=vL*qUL_+^b)G2_VTtO8itY-@krsKS?bT@WDJf7xWp zg5-XNiqR&KhEYyH?#S!kN??BkWoYsff^5H3y7wshHVL=ZN4csYO0U=QC^zmET4R}! z|GhVm1G*?mu0+@G2r`v9H1Sx64M45g@mrfx9Njuzm#FJHwvio(j$S-=1e>76T)S{b zwENzL5qcxudcrd`;0gO_0%h%?w+ZV}MwlGO?Mz$)3?Am2LchLeEJ8Z)i0 zR$d|~ld%|*+wy>#XuB^WM~UZ^1F6aN-Zu(?)eJAFhEUZh(J$K%Yz5<73_{=dL^%Z^ z@Kn**?7e~eJG0PMJl0IQWv*lnzzUr8+;$i}PPBI~>LFT)YoCc|h%yBtjJ=4CCCY37 z@2wQptoPV9 z>b=KAamO4jki_vO^;)}GHNw<1hD0b%ZvX^<{?IkK(#>jy`dnXx1-bp0dmZBPL(g<> z3sNXAvZmO-&sUh^soBs1TAO6H+sMhk>j)EwM6V0%GYu?ph;=}2Z7-aumHtE55Vz(| zK9&=rV$Ap21P-@25!@l|ek_E?_)(e^mYB2M<5UQ=9a};;H+4{Awez zTUy8w8cKbj_LRiL2WGTY!m2~SS}hYqU;|)`HG1crUxKGDIB^WUpS-I^T-=3^U?M_4*zA9htD! zr=i%xm^n6lyz;~fJ7>W(|KhkG0Im_Xj{^PK95NFH;q`(7Xf`$E(^IM+TK52;xDu2>9YrmJ6WNc`Yi z^nPn4T^qgv4tXFDK% zz~Ov+zo=QjV3qa$iZ(V;yZ;lQ&n98_^D*IHC4o;(`XD=v`4Um32BxS1ak2X#qQDnY z2&<6He@i5eXf2iols7O&17gzkgX!A1I<`Lgvc>yS zUw|L;^HVhZ)3)fzS(nL0SyIVXS^tSSmt*9kPS20W4XEZ)QfmQN1%pFFEPaIqdg~(J zMWmAglz(RHr6HeFdpSk!8=cIUtcaCuFt2!40W#zN{c&hx5-i9l!|d-*E7naeFBhI7 z&3GtY_!nY8f^AEz@2*j5SxO%?m?vX*-5p~~|3PbZHk6QAEA~&5ME?M=QEN9?h92Hz z$3$3+k#;+D0*&KPA)8*k5@U#aU@0TvoG^YL8?E7d~AM@GQ? z@ki#Bw+`SW@Y6VhA<+P&F?G?v*x-s$- zG68_F&40aJL4XCkdqpy>KUndxI%^-g>rVr;SG60LP~m&JSf@%Y{vel z+wh>JyG$&83WwY%{V63!B&;apf$X?LT%bLPB@~x<6|4%M*Ov#A?QY4$D zVZ(utu=@=`bP<%E=PLmoYeDn@pBXp1D6;X?nC0oSym2&k|jw?N-q57CzF<%fLlgJ1CV$a}#=Ev~g6!ke zi`vu8cN{!s6dHk&0Sko-(QYzY_2)Z?MZWcg0ATQtbTr7Y^UL)OB4AC~dYsS<*6Gc>#uF{H9T!m|S)HIk;ul_8^&f8ogQ( z#2~*zGF-Y_$`r3FBrq9vKQ@ntbn8ZoxN9if@J$y#5OrccI3k%XiA-!?AVB7Hn^c52 zUQHW9blTT$vZidpD|0T+r|Ao8k^XHa)rvgy=n@FOY71s&zBelBikFww5J(jXErFkP zCjZR3So^(vEMi9^FR4qpB)1fqL<;+BRC}&yG{}DyX%+1w+YdeK)e~Zbf^;=QX?SfP zc#}?5)`Tab;$`p3+)fEJwPU3xZld-Av&0KKg6 ztOjnoK?0~CqF#xqpO8k}b=NATHGMq?k6dzU#}Id=H}@hv+-OdH5TX=#=Ay z$<~a-d_y3mVoNH6awsl1wwOI9&jLMUUPPnz*3OL(npDdaAMkfBps4jTzrWqr_ReCal&>TQM zbYETGYHAhyR5=(@-$`{m$M;{-YBdwW=?ILOZc_Bavh9$3QeE)c$JF^BZ5 zU8WD=rkWnZ@V)4KX`-BG{)%tF#4K<Zvn59pjXB7ST--ZLY}GozFMJH0z2(wg}EQ&BLITQ8T*Sl^~I3wLIE2m*(jvjCv_&ehQi%M0G z-xrR&adP#$wm5m=1O=7ma$D}3e&WXrFQJ;nk=3_ba=)gg=AdUVp~;j!R~U@xcyQa7 ziQh!3YpBdC?RVno^-%95Z&uo1nf1O}{jJ3ki~+lr3Zp((%~3&sT7D%l;m=lF@*9k# zqdZ1HL-3y>y`)XOV)Y@yy&z;BBM{OkZKi~flHNj}V+PAI)h`?SHz_+rnTMdKuCY50 zj;pQ8P`d7EA!{3twOJNu07$-N=#_`j?&CvI#5w@%NAeR@HNzM6X>FFo06 zuZ=v5*hrj(B2!mR&CSzn6Q{qKq&c}dM(tqZw8rf5Z$EhrFRb6X~gB0XMKL zyHl3hye2zX=HNz({@w94(^T2tGBf^T7NkS}-$&}5i)P0pJ5TY;MuSWFYBJrhbzy#6 zdr75^&XMlbh5S7nVFb3YMT2=J8_pr z`?=)4IKtGhDfJn~ga%S9{aa`%{ctHgC|3HRG2bNwk;5uV8X}4*Ful5YkZoFYnBAF4 zOTKRQx1#@X-M8yvX5u!Mb7H%B(>hbF!s%Y0@g5Xn4>EDG(AN4Td2tpFUSo0Z(rJ|5 z+Q%!cY8qO+m3*py{-fXJ)(F`t*;{n<`<&nRow&`VpsK&aMwu|P7V}i+wiKV4!!nth zTm{^HB)F1K|MizPmg85gvn9J8#cpUF`t-!!|GILQd(Jg}cRiGVFd8&Plt++G zGr0w@iHZJ!klw&g~@xw>DMrXWn+aHOh`mfAggM6=u~%?n)ZPPa&*9 z{JrK7NV=Gdev|zw3ucw~tKp!H75a4t}uCBXG z2i&CrcCA(j_^*^4Hx#veX{#M6+zzfP1$?Kf1K=!ZRM-8(`XLdg-4$cayrc)Pjj2f~ zwFwtkiX#}2y^iW4dnV<-AU_i_UdUcW_Q&Awl+>Tp3pkDq!x+b?ltuVLE-J_OKGNv= z1D|t#HNT!~NK&5acUf`I2FH^Z!%)cU*(SrH_W#JZ*EnObtXG)h@>MPqb^Sh&>60KtQjqn`m=dQ^g(66JKl_WKp83XQJxy&B@%nKdTU#f|J5) z&&dizsQ4FS@_dUbHg5H<4R{u6=J~G_Zucs3iNxohHkD4D<#nfA+M1K9#5kIsSRT@! z0bC(wzRzJHBo%TzWRWx{Kt-}RqkVNKOiFcH`eBVFf(G4LG6k-?LI=u79gYgyr*gn7 zZLhzyuXXaG*hyvejtc2(>}@U_vR0^9F3GAc8&{tzjO+74a%p*Bz*!ZZ204b#Gd3!{ z%`2f+M$vmALna)=KKnf6dZ%(kBF5UxhDL0&KghvN6+&7Sp`{L-v!Zg2>Sd)g2Ub{n zVt&Fu&q7b_Yme4uT`&?~P;HE^F=_8fmyC>fa|%mDXI>Nj^yIBgjzBVRT`3dU7cD!q zSrOF7aM1gPgfX8h!hB&x%lkSVrrG>FQjC~GjkxsV-`2XjK1`aLt(uT;7Zn^;7ON^g zrW4X#s)}oIp&53aCMmG5#D!7@PX?xR?2I8*NXYD z(bRQzTx4%*ci3H1CwcWc@LYK>qJ3yZP=u+1@1FEJ<0@sO_7%;??awj%kf~6~_9x`ssyZSLm2`b=Ra3&7zxOF^%a2+Oj>quvS0mv*5cwLPvcaExm{JiC@|> znW55?KDCzjQjU+&&X_knKKs#-yNTthlr6iJc6c=;{d!^{Y(Xt-w)zy%iP3H!gJ@6e z+jIZe6F&+&Yd9eoFS{0<+E06eVM(Xz9_To(*F;uI+c7MtJxR|Fn&UWP5d34!q_v#a zJ7fqJFOL`0l-_>^yZDPHz_KfR)~H&JvKyoQsZ#jVdDKM_K!babn}y%v5$DXq{C779ieBn(w#6(|KF5I%^Rt&p z53j?owDYSEacg8}gO_ynznXW?T!g**@~kerDuc6jw$}08Dtg6X5B8YJx_3^UnGLoO zze5B5(@tav{CY@ZdW~^5G%8eGI@dQb!Sn^KhmCjXRY^YC=BAryezrD|Xj?eCW~rdYu6;irb@S($o`WgH*{7blXK-}g?FW)WF@4vI>yB}S z8Bm+gc-wM~?)WQ_L4mY%{xo<>5yZl)M-?M70vxrQoIzEE~6_$ z3NAxo7L8(x({5m+!6E5!mpNoyZP=AZenI*P&p|fIZ~-c?90hUovAb>PnDus3O*(&O zA|;gLQ?Ax6ad@d|Z#b)xT29*f{sM{XxX}fIl~!ZSuLmS84PH+-otRuC;GP67G<0mM zkCLTF7wv<_(d4sH7!$)guc8SMuJrt~+$ad*Ja)5||3$|oDjI#&oC%!RevjZ^Z(ZMXccZ4+1)W>1{`m{CLgNtM78HZuykTuCXi}-mW|2 z(;K#Y4p=f_xLijuU{H4)wPDpF&Tq5_hl-7JYs1cL^+K6fuoZacXD<|s_jqPA$wTg@ z>AAfr5ia{Qq%FK<+r`pem%^~_96Laf*!8>B^Xd23*FlvFQ$*LPp|&fW`eux=3+bjH zye{`%`db0aJ4$i6w z5ti~s_OM0Rv1=Sd&AV`?CK#Bl(GHfeZ%u7U*kH|CvkOayY|jwcXYGbUB47h+)sco; z9;4{6dN*$S*ja*)nDxm^j|DV;vgzSG(`oSC^r(E(3ub8%YvwxaRGTr6R96eT>)c#* z(M^629-!ohd%N$EAdLWyyl>}Ca|Ib`Ho$kwr<$93a={ReNL)05l=6e)omGkcT{_$3 z^T-QU_gpy6mt12q0{1mdyDfh$+)g|G_rWHgkGO2>!6LfYY zqhvrQ*{0?&fiF!L;ao%8ydu&W^PVV^r0Ef1=?|Doqa|v1tx?lRnIx+hS?Cd`fy0Sy zx_nm>_9Fbm@U<+7uD8xiuJ^0M(GO!B>|w8cTR_K{&}{p^^o7&1Qx#udq}qzk&bO8T z@8+cZ(tD|q6zjSuBel}>*>}@I?9ljb2l)rnqvw-LUEVhac2dkkk%@W=Qnw~@e5C=Q zpU}tGLG5NOSCd2R=Rz?Kxuzs^S3MD5p*E%IMw~~GS@{(|uSRqzy8L>98C+NC_6gk? z#qN^2u5|rnXu=jMlIw%Lfr61Yc{UzppJ>t-IXYY%NKt>_Zr>i*h3Y z_A$Y;!how1URYNjCu||f7Ret4gZ`}pNwRFASHLMohg^z5GiozJn(B^rD_wN;w}L%+ z3$m_!Y1T9nzFwclp{$4BOx5D-XH|F^r({0u3x2WBSr%zU{JiAerZLh+e2p|$KbVoJ zReysVQh?RL5?y|d1sR%(u04b89&4K-2PS6tS<`#A+(am)ujWXuPN24OO!L}{gfl%e zSH`7HMveAU>&MDb&vw+bAm*#bN#(=Pl`cwW%r$EC@E31sQlhsaY@V}Ig|Bpj^ECY< zxGd_3lR{6cAtT*ev+};U6DB7r|AP|cF6R%2=Ow$DR^Za~PsWQqqx%CR{6*Q59z9>o z;EAT;-TWch={ZvPOQE>y`N(nMFECGN92%p-M|#UX$23;0_z`S~-3$b49J=c57NH@? z^i_7GjKr<0NW_;y(w z)+Dyw68DrfKG`tJB-c%Re`X?PQqAgtDHWSBu4} z4;F+Le_;28Fge=oZV(%z|G1WMVHq2Y3vm9K`P&W0C_G2SpHam6*!{gmo|SFh!90f) z;G|LZt1Pj%iR%+hB*JvP()9S+Zx-Z()$fW;v!&9)@0KN4^_cU+7g8w1ola$t31>r; zg=9DRB?(TuftC*3j#-%GNrP6;Wyu$}PWzlk_RBa&5q*jY`r;Kvx(b<-fknNmQ#W|FLUY{d73<_PwuEYy|F=GAd>T zo4RwSY4-t3jetNpHzwJ;Gb2%Tphc+<+Dm`vAY#lH*Dp<{u&stZn?If7JF<UA@%>c&ooG^i{_i+SWPj z@TMjDcJZ~D2{*D)?5d$;K1bVKtzWzb$sEw2Gv(nbfUS@Wsx^4&F}S)SYd?SDxC&js zKA?6uqgl--#6~K`2?G;iD-CztxBUuFu>uGXWZO@uQ?1hb8ZIy!sMaE_V;lXBYx8|o zwA?#;E{)}GTK6-_5=>9_WgZ?JSZ{MiB}z<|oeksLYe;*@u0F7TdBd9eFmYy`CXDFV zYt=*R8oL0#6|3(RycZRoR1xAYTz8?+%wQOT811o&y*DsChDE@(7>gn>%UJi9O&|#J ztV^PaJYE$yIlW%s7{nk(t-%ephH5TnNlv9}e-a^DjeBd*UBvm-LF5y%8>d=Z7Yyl= z$%}g-uu5neGf9K=a?^oa(CGqneZ6CdeCUoG*_UlJp^i;ShIe`_qqiPj**!NY{%cK2 z*K38z!TSCO?JC z&7!m4W61t5ZF76;qfl|3#;kyWM~LnQVq4gCNxgR8pK@|iAY0(355jGO&iOpycT<#> z%JZ85tD;eD1%d;Px^nmc7hpptW6TZOxiBHll-zv>;Vik;)G zii*QH>Jm4bwEic?A35OtNT8_W>T=g>w2z8kT`oM*l#A1qr5E=f#0_}wU(&KV4(^GtR*>4=Y92yeqYdbo^WrD{Bk(@4c4%pG609n z&j|A~ZEuaZs{Oj>Ltd;K>wiYftM*&RF-AlAsM;oZPQS|)D`$&W`n!&{^_MfG7r)DT zZRDGqPhKe;_xx{)`uRMkIS!&zn%cfsgi?cQq#t^( z_;4T4Umx{;h<=>5xmJ|h=0Eh?U6{XV^Yy=6TydReDu)cl>wef!E7oz{#(5Ja_EI0rua1xoaH#aFj|)#EUie4F~W;rUsVLr4%bOmBTaFZ@i%)H`j0cP&noh!}Q}jkp90G z!D2^SzwwaxZ7l5mefLrI`gM7pw~hO{R`_+#y?^m@a{O|D{BfM*`ui5S`zY0UsJE3P z?_7O+Mc04P!7tn^+#B0&)TJ*D(2w8S(9I?-ZjrnH0P`wsK3~h}M?2zP%J0u|IQV^6xaSR+AE4jT+IS!R)QHQq z-`5EDy$<~vIN*^WG(@Hc!lK7?)9 zJi9HPRkUEe^CQ~){w!z5KEiC6_&N97+2_~jM%pEAkB75zS738_rh~Tn1;gBr^+|tE zCifhSF_r%_y8VFmAu-{*$^2I7$x(irTyU(>TqJ($58xMVsMT?9-pSmVHtaU%WUkweb_1V!AfQ!D02L_ELVUL*=si-6md#3+r-o`h7+?;$?YZ;U{~- z#&MF0V|ATz#_zY$clsg=Gv`VTfFxH_LAYvU7GY z{&L+@8xH-5fk(9Yd@ZLR*J+o7_~}}hLlCROa9fDiPqlqNVsrX&55U^MM&T9~^3qSOu zum5{8ugmEd`aNM^IAGle{g@woA>o&^103rSZc7^u{a7oxOZgkMfvx5_^>qQp!NpZ? zCq`Uu9i9H=(L_J5w{$*)+|z|yG}?+>`7?gEwjKx5Wy9%FCb-Iux_-z_`?d;4sWKJP zrzp>K(1t_5pUPJF&DI9K@=IO67e^oeEb^`Hqw4zc|D!IFUpDNk-#S0yo__qJkzbpB zQYZYz5WRT58*+|07{~v|!QShn-=o@moxi$|s_TdUm>)PUr{4}yFZXwatNVp}WagBE z_@SQ+3uBj$Be`~jpV7~8mE5`=_?hvoABPXiRO}=>{?&@B>_ESh@t<%DM7t~f>T>Z1 zepY1_NU8KIWpYs9Ki1oy3RbDYRdT`MzduD@bsT4w(C_Es!#rYn9Yd|+*)VZmT%qeT zg?)33(<+|pxMjraSBmHU-@DZ9{a~US9CCJWPr>gDT}p*>O2~^>3U{ftts`H*kD~wZ zguO=#!yG~Dk_Ge}zZ-S^EXh47^2^!#1o7hi2-f%N{Ob0;U3{)>wWD5dD?~oijoQ1r`)3b3UKKFqKA&5+MG zs<=;)y@F>S-`D22oZQvK@1@E3k;D0WlP;wVhknnO%ugwnmxy-c^kW{jny`Mq+{RC` z!aVGMg?p`zk)6Xa4;wabN59p@>$CCMApCOUD(egD$GK2lZWVv<;~r&(@@2JwtJ--z z{(OB43@I1)SE+6n70>?f$5wJxyx33Mk+S2SzVWRtS20vuf3EZkYJ?qj(0*Ctcz%q9 zoS*4${hRR=UL~rTPGMPN7&ad9JY{Ls$xmZEyWCVipOAcV)nnK=_5|jO z(vABqUl;IrZu;eBVfnp~m(BU*tK!9Y`M18f@{%Dhekpz_C1)>su}PnR&*4&D9OwMP zv(;@Adnf7_p3hvSc)4`Q_{(@XBHV*R{K7i`JPVM22inUVEVX%}eqny~o=Nn}Zi@F$ zCwAoGg|+M#C;e&84(@4LyJu{`?i}u0(QodX9L}$Mo{N{qB=@6}=$8wmAHSn|=a6{0 zM>2O-yc|2k-YYuV%eu<*v<-_Z_lM^>zi^+t>m>T+Vd?gRBo1=%@*~M4wq8BNFFbdi zu5DPq!M%suNd;vOl4Posn7oo6|a4T?Y}>&&;M=^?q826o)@h8 zUEOCiQ*pRr^sn0d0)Ek0JBEiij;Zhi&#V5WF85T)eWkW*w1L%qVc0lMQjr{o!`%CD z$H`XK&iuKMG@B;%`u^XElr2_Fe3+Y`63fR)x0|AV6Nv-r^;q$Pr|akZn)9%q3v*cF z=Un~z`k?#A`T2Rw3w}HJe)Stq?W^BYEJz@GB%<8wsv8bKtq^jTAm4g0-Sap7r zQNN5yKEKb^?+wE2-obxos7^j9-#EX@e|5i5|M#hVEYs(|y1mRJZq?>g_)WxrD~T6< zf$KL_{@YAG;k_ldql!PLguFOXK2Gl!D*x5{1J;}PKOxKY`LFKhRV4HG+T7lW`j7Zy z4(!KYMb--vTlu$BMnaqoOQuf~she{ihi@@*flkEv?E zzm{Ki*XH`=+Ar$@M`&|g<-fWew+Tc0O>u4hqx~)&V-#%VKQ9^bqIRZ}%73*h#d6{| zH`=d1Q_hXQ@0GpWhb|Y}X63)Se)w+F8;ZjNtr>S1)WAHg#%8TQi|DGs* zpV2*qKL=Y{9G7kuHr>K=u;)ZQ)%JSY#!{$sDfZR2W1=JTzQ){-BE{RaeJ$z#)PMEZ z(geSK#Ebj${_pUmNNe8*C316qVeDXj<)w2t&1u4S0%s4n4@JN2wXG>Te4RSC&cCa0 zE|WYbm-*1%9rUBW8paR(zAIkX;#g{o`vpIbdGR7)*Gq71`oZr=Vg8uN&e?mfa9#Y& z^AuluMwd3ZB8P7{411pj{g{jMd^OsYeXpK%2JYn@my>&aVk_7jPA)BZ<#6c7Z}MFx zdF8+Q_;H`G2PfD{ZoS_wZQy z&vm_RR{UH-(7B)w;{9x==JZn|EHPj!es%p0k$$&p(`HXKCRCV*TnSuNTM58 zD*ftm&k>*BBsy05)#d(LxaG9<>sQIuQ5ZW|Q{;YtD-}P^EQuE00q}G3oPIpt+90Cn#fxX?{`{|!n`&*p z>*fE5Eh;_h`qgo)sj#gcZE53&4NGZD$H77Rfp;lw{B$k+?zjtXJ7G^xVylfGeBLh` zSWo1B9@#nlxF6e1STLkEej zz%p+_PjET8@Jr_#IUMbGB=XAfL#Ebg!#6F#kaGGl{=Q%cKNS!CZaO!~>35Z|Y2VR? zL%%B(1Lq}jEB)&2az9~Sm*6UX^>%rTFb@ogE9_WH8)L`91KJV#-5_4vyDb}WIsK@M zyM*)mw@N>}UZ!F-@uAMyE|Da>tKlG55`HCWe<2?$E0gZ2No03w6KafeONAeh^EP_OQbZuhrXn1~9deY&Ft?tH^mH&! z-l={|ythqwQuXyzq^Akb7t3qOCG^}y{qLFmJg@D{bN_yxYl-JC`*|MOndb-ldGbEO zS^Yfqn52=4^gOZsfPS8z5YL1Ad48fZPkqdx>CX$r)8B_p>PVmJXr!E;AL{3MlX$M( zujk>Nc^=fy^Y5BRroWevcBrdct41o)^TeMY?&rCp#eFD|*sgyQ&`1H{w1nrg`gy7$ zf(|7Tp1<$RQ!l_Z>qu)`Z9MPo%yX%Jp6XxPc(;-`GdvpWTmg%aUPY3gSgZ#-e zXi6kJ<)qY0x)>0kNP~GkUp!wQpEV>r`>JS8cz#>YIBx9kKbhK?O1>}rfPAo?tE*4e z%|R%U=*d*6o{IEzFi-mV1N;5S)GK8&NB(3z<7@H#qeM@pa^vRtM#cQBex4Jmqqm9Y zS0bxsjwWRL(yAl={}L6F)X{`^{#kRywI`V;zjO0vI+La6`xNv3 z|4Jr{C+`&b{|cBap8JaD(f#dxLUnY2c&f^q_0FwRJr(Kc;6CIi@$=t>Cbn}cmNJP_ zoiv!|Rf`Q8LkYa-8g2~**>B_&^uP10-VM|j>E6yNWdaw+#JI|K3IbojN zrT@Di|6ROP>%qdao!S~p5_T=UO{U&kT5$&1oo7oAmf_i5dP@(+bFnRx_c^2eyC#0n zmqC#HHLaYqAayBCAm69n=KR=-liucv6lXJ*t&&-KaHLO(Q|uw>Dqqe^hz>ENauH{ z*YqRr=$HO!A^l6eiRUk`i-#w*xk*3IIuHelm2{`SM7-OLm&uN;`xBnr7yEP8MEc%! zrXcwR)O(`zc$I9~ex5&;o@k*h9l7r5=ZWMyhFXO5738Hg`(wV&GI;*lL^>M8)PlT2 ze3$CCXvMlkDqpZ#NDi+V>@53DgQ*ar6uKI=c$MtOBidC7)NS(T4u-t!HVbo+#$K*Y zxdH}@J|*O|{@UEDU(a<^?DLnPSwe)B5 zWVsFubtEaH|Lm7OyZd zgQ+aSD)9(gyh?UVzdz5eY)>O(pZ*9Tk{{@g6%ebiQYe}BHvac>4Y z%g(Dy7d2N$C-&FT4()ZcYkwVek57K5gBIG-)KC-Kd801SQ^?T{hiFC>E)G!^MitTcYbC~iLq+V1i4m9b08PvM{ zp1-g?Lat~q&D*ZmdeVfRw@A-D`aS>Qe$QVn+c!`NI3zv86Z(0cC7x$Rki zXx1<&=-JuxdI6|-eg6pi$9io_T5(IlbB2j0(}z78DHls8*OBP?j;fB*SkjAHHryI# zkliEX)3Rub{s>vSKSKC+qa+ka!IYT&tl>~0&_Gwi7O#@+H=G$Us-~;?CI-7R5ynGPya8B~gk++UtF}xkH^Xa>-X1@pq^XtOf5RPE*)g|wy6b$;vtisTGV#8Tlq7!sQcaSj{O$V zHg$uA?P=TGuiiGX=yST$7Yby$daznQ&tHfizvBj}lAc{%_maqk7LrPyGYmA}}Cq|%A(;w7giTZHd` zqg2mJsdVzyk4-#k86M&YaH>VwGo{!W`h1J{^oqPlE(mA_BA)%#AIFU!8=U8dct&|hTH{TaM(psh0;_WFZ zIk|4bBPg}^oOUMsxvZ3aqm<4s`Tswn=Ah8Z)uoiJsCBDyv(hPtg&WCA4{D?)Zxi?*FJx<>~(01 zPPF_Eh3h4bVw_fkpjdSL`9LfZbgE|K`L!)y5EP3JQtyuh?MPn^`)B`FPjG|*(bI^S zIqwR+7d@qaX738&;BZekd+SNhQwu?{=vcH@kfLX{4o2xyu^F$@Vi;&5k{jx{X?lj4 zjQl2Q0KIsx`BQAipN^V{JTH36KJ3y&Wc_@J(d%|%QwEeB&a03<-;lPO+4Hi`Gt$IX zLyAS&oR)gt2691_^nE~{szTyLj!wK;vC6TCBMyilC>9;$F(5BgA?thHiRzxrvp4kO zrpeK>zTJt$-(NuqQFb`r0%_-E1w$!KI52Dh@@kcy`+>Yth3solfwD|FWv>xTpDJK#5!(ep8=AR-R;W9OmllR*3{|@Q%(@N=h{c;qO_n-_Y z8-1)LXS4cRg}f-UO3`y7wTPUIl*GIJD93UD^YhXX@se0Hy|ielAcfbl2x2_XJ4lO2 zn(LVgr_-9463$8~KBtsh^i{kh7G&owPy z;2xu)s7h@(ytIqlm5L8@6yg*Rsg=;T@CaPF)|+6*X{j)|cr>d8^e zv#r*n?CANjS~^}$As;tQ4yQed78HiEgX}W9aFG8(dcB@oeA^w**SGsDInRryoF3AL zYvzH{^A7S6t}^z#jZk{m_dVd8(_WK)gS?4Zz@kz*K7E~!V*Wc|_X*0mA#KiI00030 z|9Am}R843TQ4oIHrnRl6|Mt&XqYWxGm8ukK4MMVc*}l5jO?Ee}Imkn3Z+fU8_EH5w zkP1cdD2j)I&>k%IY7f$*h#)8;;z_}Z2oZ!<+TzS4yGh!B3y*y}^UXIi-%Ju@?JU3; zyWzY&1~1kNC#C7b!*SE%wiBj%TExzr4i&EF5lK%C^TB&8IBDQ#2#y+yN_9jeCA_)e zSQ*JLI@~fMx{OB>LeI!C!N;XYBy|j76>w^aMVp$PL`!)VVf>G!ift;4h39)8;1D!1SKggDlf4@iz%(h)kBHg_ChXi^w)6MVU650$z)BWv&*CEJ~(T*DNN zy;c)}`J(4Hn#vQD>(wJrXkHZ!*-ZroW+pV;*c`vX7(KrYuDFret~yOF*>7JQdhaID|=Z7IJOqv&Eo`JEi5h#?&!jH zz?geFsI_l=V++6C)^g>-UO~~ZJdy!22>1TE<++o<+6Z@S4M;T+qYepKTaRrA;NDrY zl|mDWmq#M8;wK(^ak6!(iZOt@UgQy~(nZlvm;994)dTX-_*K&|xaueeNa&4?p07J{ z7-ozs-Ly^1@7FJ(x2V>raN>gNg{~S&st0RLvrnKaR{_Xo=K6=uL`3Jreo>4c2y5iRVk15{#@Jv2C2_~WQ!S0FT7KbnPV zU^Q|yG?3!ifpDyidVIE!3gafYI(n<7_(;c<&5tTlm28T)>`D*iyWKZ44VY3A60(K< zcE)e@&7eH)CQ%X+2&32saP4Qu;dXy^`uPHAR01TG2zaiVu|o{S_rJ_OLX!)O#gni~ zc3Lm&W`GR<;IVb}9s>PvP&-gTQe}r}aI0-ACc54B(M^RZM`&nfRp`x5Kh>l3(pr4} oGyNC+?vmF&u_c6ZQHh<+T7ZCuU(|WM*U`7ICw(H6>Rf+jqM=nfiN z%WkV`8t8XD38LZ`41mJPmZ@x0eK^xKGyGUBZJtGJrRD=uJc`HpYa+mFSB7de611(4e?1x7t83dc& z!6bDmiP$fpzCnOD++~AaNW{m1C7Cw&nHT;_JRgVXru*d*I+BOUAan0jB*|0mCCVKV zh6?l~O1Nm_NmR^} z41uJ4IXNx2Pe#2g#qwr)`Bf`pa;ExtMMu<+TkUYePz~e4aO3e&V&9~+HaVt~NE6E< zMN><{#6qi}WY$OsGOrPM5iEc~jw8j+0G%^??Hu`vS&~AD98l*_njV#c2o)}V$c%7- zV>%2iCMEU34Ct(XP+BFI+*O;y4cQ+$1S5ITc@&rirA=t*Rd5tI+6v`4QvyX8?KI|X zsAA+Ea~(qE;pcha?VZfmH;-0)id66gcw&~Wt~(PD`aTcFb?srSuD7jB7*q>J-ev$5 z{UEa98~RVsuCN5;-?H|pipr;oALL{U7?SL9;c9CEq#Cy*Uior~6x@ktQ4r-Ou^1iE zlt*ZhG%62~i1OJ{O{Z(!E-p_ z_%Isx3|*v>4{-9vY4bI#l!@%^4h+dwiRxvmN1>AYtZAu zHXel$b8BTg>lnS!w6q}?QK>~2{j;@69Sq2L5$>LoL~`9l-skVH*)wn(M~wZqF(2`FD5)r{@Y#;>Qk4j?cE-dI zLo%318ocQgQ0zijs1--B?6~}NTJ9W_nN-3U1yRi|uKiCHe-14HLK{>WPvtP)vQh%I zMC5ed_4(~KN=~F5*oT#oOi}#?LjLMJyLH`847bLjn5M4*O)=Led(_AlBV2EB65|?r zvs!A;i>VMfW8{`U#9N6dwjNu<@6u?>icY!lkDOH!(%8N%nH0}WdQ4W{3#cUVN`U9F zrr;UQlqH`%`+wO?7-&v@N0Zw3|54i0Fp~qEPrUhasX z?q|t6w6pw%PXX%h)RzVm?vz9xPqI7bRQ7-O&keMFdzfG+npHjv5DpC;#l^*hl(Cdt#ALGTHhZNH7Cci+r8`cQ*&WQ1z9ekRgG zGhhpl)13S)eSCAsmuz@WbuvZnFH#-AHbY)7{w}$iuitKj_FOytGoZA1p@+Zs{5^Fe zeN~lK&g-LLcGL7T`;l`K;~f?+~M$GiQRs9Ir#EAlJb7`Sx*aFRYXpGQO$hVcWGh z>@hwwA6L`R@s;qoUjGM)mMcQ8gd(GZtg&D1_#Teqv?E$*NI$^7uTO5qNG-sgnMzNl zb;&4eYesbfGF=W@R79nvD_dEQ!iSdW7pVQ$E`XMrr*a&4{3HBM!yV7KJ83|YaGaCr z=hsxqBV4HRw5DH5+5_YBhx}J15aqiN+wZtuYv#Q-I@YR!Xd_j!p-5UgQz|6GV4tYW z?vvyw1Bw&igZgQkjis27F92{*^Z7o}&Ht=ci38V>OD#!={`M=O2t+@)eX{@)kon+4 z5OmRsNL(idUa%iV`47&1bqoc#`%eTE2j`=D2_8rl_mb}Xoqd+Rw)s2hVx1Q>hAk-; zEhW%DR{PgtBEpBNw;^YI=#vsE-kt9oa^g5~>R9bd84+5f)Hhi3QLodtIQvS__!YvA zm8B=vA5i}QDf(yP<&+EaNY@K?pL*xi;v?s^=l2p* z<+n%_7fR`gcjNP ziKsW{2M?FaCu_=!TnO2VOrD5Vj0xC9JXCv8b6Ea=@*#1cySMW4XhhK0D18!OJ=J_n zlVE}`0nmtX1D-5~Cf^C>3-K@7_wuyf)qdzJn#j$NzhXQ@KkXn$=KA$!mH7RIj+t@) zEBS>lXyBN#SiE#|+gl%iWO#o0eMEkBq7r+;ds+N-`JLaGo(&ZJLj2%L$T#Vo0cQ7q zGZ?xmic*^A0sGCwnu6yIt^>xuP4D0LjSOuc1fL!@b;`fXcfWDg)D$HtN9qF)TmtZY zaK4tGuxOS7jW=F7p1x5g0B|wGfMS+fwY(qPlu$G&(-xt2&oHARK@880InP^$CtUbB z0qzt&sXI!Vl5s{F?ePY-O}7q)TJl~)E^w>yF_S)5C37voj#He^YU`t8y)b60C{^qv zXf{y}esVfYa@a7a|D=^RSqxsDY>E5 zt0n>XSA&@{=LF)D2wKjRXb(Exj~rnJ&R#qm$J!6*V=O;=oVADEGVQv~zWAp9DiW|& zKU1Apys!HE=b3*_K0UY&8dU83r}{$pLw)76{k)3uGfclrCk$?SO@S20?~!X1k;-&xh=J7-(3jGiAKy0MPhD7$>ohX=V zoX>X&^DA>MI+JPSw4(m0zz?2Q;R3tVy=adFpS%-Zv!V!*%fwd&#WJlTa1<5UV9F2S zS&mzkD0TA2P^_}`du9Roz<8YRjw{i6H-D74@iZ62$!@);ctya+uIa1az28y1?1#ga zXLQqdHR3nb7wg)fW2{31CATo1k(0tpx=Xx}Qkc#((bP$=qO7d!k=p|nPtvo;MtaWL z))4?W6mJhK8PdC6yg5)@L9%}X0LRZ?#sgxlI9vTreAahPb}H=iTRks(Eq*-r@?NA% zcs{G$qQ1XHRgo*!^3r#`jA6gi(<*|mQ;|_|Nz$L}?FC=Ld z43S?LW?;r3aF}Zgln2m9oV7GrFDa_x zJzD399!~>zO&zM+NX#Fx9<_ogre{F?%NZ@1YKGk^ot+Fq3XImXW3hf$v{(GL*idBkfTr!ZtQf=3%Fi>SqI)!JrbC-eTCzqujlw+^wP9 zouS-~quh0;%4iiSrDBfFGsL%hAzE<(YW?+NdBvWj0NuvlC&@yf|Yz)+FyV-8HP8 zc{H4dWi-5Bycju79~V+2M%%4NF~7k0HV zU+2d+u%2##xF|T7#T6XhY!8WKvk6bQ(t^WZWyR*HIca`d7dE|Xbd}XVn8gv?sgs(H z&U)cUdl-Uwj4d4gCO&6mkK&r40ev#$tH@5j2 zwa7}JWGE@QY)?TcNWazm$mxp2C6C&%RUkD5qp7z@9rOwrvls-!k*~a{ufPW5R7(>* zglDM@8kYCm#!zLhPh4r&DN((TkY%NHNI=#a=`Io#ti}!bX6*uV_m|toERN7z1V-%G-1vVCBvRF!i7IhF@k#dA;ZOA6MCVi@uSnnwp~`Z!RJx4?>f;Iw9^6u?ytb0 zR^Rp7$`AM1Pt3ZHoYH=N@y4H<2g70RNcwoZRVc%#9(2ZNd>3+EAZ&OR<}39c06W<@ zq(*_!aIO4Dj8x0*-Av!Oi3?A(jVKgMwT1%QQJf8L9p!dz!#4 zK)qBAQ6|Os6Imnpe;CKFG5Wv@Npl!oK~{*VJ7#P3%9?11D?Z`y=E8zO+@Ets)zqhT z=IBkG1YYsV`54^it8Ka>ComaBSTlIm2Ehi2RvIX1!^e0AxB{N*IFky_`q_!aLD!DC zZ|qsCr;X{=BRyR^h{j$9EZ~#4xY@zhW1X;V`M9JR67UCcme6SuLYFiayXu9#-jOK% z&BZ8ikfPugJ*Tk34-HWbVAV4r9^ud@dQ~K<^Zx20Mwq83N98%bauKS)JR8^k+rJfb z>G6mm%%&1AE19GMS#($P{q5+2oZNz900-pwXN33~ent}+d)+`rlC+TDg+E12pxj*z zchR>^L=C5yAcY^Qc$+|)M>1+FLyQKnvfb9CEg1MtT=~6DZeq-<7zp9B}p{yG|?4bgNqj=-VlTe0s?kj9oH!bZq;pz-CXiw!}_cXFS5%)ws3 zV&|X?d*om*M@$bY4GfN%Rs!bNmIb~zY|EUN%V)l%W;>hz6V zbc76G(&P^R&BNz01)n%e64)~kIWI^Kj=$7s`Sy1rS)I|qX9Bm%{&QgsV-#{=rc>K< z&RB{KJd?={fwvxx`&4Y2kfYA|jfPIWCh!c%NIVd%!1yC?Ud(yUlragJ(lZ ztlZp{(@D=F8S}<=VLw-x!CsxGAwnCC)yNDkjW{2=Ltu=CrlXsn;j5f;hN|loMz`@E zrxu-%-)x@PWgOiUP#y`K$t!?>s87LPfQu|WBGGgoC``Ols}$`U*&#a!L@YTC-0N|i z2Z4&>l;>f^_kJ_l6)g_uN(AsanxehVjdcleZ?Sf8Biqs=y}&8TSGFfWXGcqZs83dy1iPhs4LvAhP6$2F|jr8iBF|{w=I`v3OOPnC_=YIe6uh=N@ma$U}g91?kk&@ZSB zHcN;5xvzS)k6Vk{(9gK zAC0;1FS8N48{hVFO3nmub*^^M39n_wJV6XhU+Vx1c^x%#B$HT|ayh;$z`mIU5u=#CjRMk(Nw~7$}?8m%w+~%gS_QlKC}Hx z2#B2m+JUYRyYrgvzV}Q4FzM{-8pVMNYe#@U8yn}dnU>{X9y^^Jj~ut@PI7 zg!3c14F8hLL;^&qa_HFN*q>DRoj9yjgF(gI59isZvq| zQK@mAJwawUUJ>{+B!-#(N;?IU#JJ$$3j#B4#nqvFn*>rjAd`5%FdXApdQwIIFd)ob zifyf3+k@zzOH1K_*hqSKAk!aIf%f$a2!H%q?fpG|mcqXO=fYCFNM3fK<`|AhhT$VV(xmVL_dxDdx4>5N18 zyin^lp|Qsp=3q+npU(5?{d&HrZPX1Upfi5mvK=-@dGx)G z_E*BvER|Zh1Tag3#VlC^DfRD3M=m;`Qi_VnCntDMp;SDpV|2^iH@G}R7fgM0%M#G} z^L>HJqCctz?`w8u;AJQZQ(^2FlFwStEvbNfW^M*XKOtuABZ*4!5}~{vHa?rp=yfVf zf~v7^*oG26Zxz5PF>1D0YM0I6#%G<@p)JZNFox|Oe0j~LSFzeGVL#=dS82Q3$Yrv- z!H4)Rzv9%C)b~WKXMKG#NM4;YB3A#VZs5HM<;G=~Xk{7@fH|54%O0qMV6C#kHa`3` zQ19kT;d$QDEeox>!GV-1Zi|*2)FGj7bfTZYnMlU%C6>Hp38gpWs!M75+DBM;s~*2H zhJ^bd1K2dVS<;paL)tV{dL{m74Q?2?N-y*Ovj*0-k1C0b<{ny z_87HU-C(*jFPzD;?bcf$KrGor$bcCSp?+o1b%p8&RyPF!0SRye(;F5kC@hzmrxabvdom#Oef zx2LizA;)X3(k}ElGelfLg^Ck=_;P38{L&wzk0()`<5KeeW&!`6&Kf?!a>P{PG#6Ti z$EQhl{*l$^-oq3InReI^}V<@Ko zD5J>4--!EqjoLNFl==ATNK#o*hI(gkOu& z{62N8JrTZv+wW^WNwarhwU4#!s_?aT@EF}fT1}*1QE#vQXZ8$B!0Rf)nyPhj>e_CA zm+W^GPvsi4yrxgEb!KB0!9dEg*3T^`XZ1$6vlPh)|X&M_Pt}(6YH06nN z*W@0Z=3vjiwyl&oY{6YAPFZ$iDQsiXIOSfRBuB3AQk`5UA@K{DhGmQ5>NoC=tf$hc zym}?6=G#}ytp%tnS_(v3-8SyF$}_}7c1%}6QDrMLu+Be6GUA)H6p+rW&3j6NJCt>D z5gXoDHuq(?`Ce~ZAlInsrdp5P6YF>dN^bD(l49buY7Y*Sj@xxQd5gKf_H&01aaM9< zKl=Li(R0K%NSnR;_$Svh7`)1wVel@7B?q!^Z+}1|L4RmA1#?qS^)^W&P3l^Dgz1|c z`JOHk0vH(Hw8-^c_DmKglqq{D^%_%PzIxlePCp1KyX#~)3U9nK=;Qjd#s^D?bfh?p ze%v!_u^XxW6S@tY^$nWNhp-8QQ6i2Urhft$zY1`|p#mWG4)zifh_gO(6<^5q?q6WG z%t9x3zHB?PIOy3zSpO6wn;ys z1{;$icJ5exOEo&2=s2%d??o5li9GyYInD(h`eQG8pF*hBv7}g_q7a8JQ_+mC>E+xn zg~X;-Z6|+Y+%a1TV8vQR@MLA558z{8h!a>`^@k4TZe1N{3O}2ymZKUgkAdkO6YWg6 z&qL`pl#(1jOR9A0zT)i#oHJfrhRo!Ok)6A)Q!bq~l!`k{N1mF;cedAA^`3YRKbxBY z&-*iu0Cu>>_A;EQSAzkgECx-YT;DCE2rR2XW?GD$oqdx}nz)?f_}|@0xw@MkO8tRK z&r_;sMG|4|zcd&I4`hi8bjQ_uI3nu}n%{;0{VP3#60DQc{L2|rgr#6Szzf~g#j7Ct zsY_1iK=2SwF&f489PZFIpeQOQXveto3C?N6RpksR2*T-vM2@hMycpjf-Kh0RSM?(f z9650fCbCum%{0zKrBmw;OmnN8wAhnK8l_C>C;uPk`UnbMfJF3);8n!3H(6AEiP86x zcV3O`^)dx5!LUjOkBkm;s5hZakzffedZnwQNw*TqGW0G)Sx5%VSqx?Hh%E0LnQ}wU zIm&N-pof1siFp?Uj*JVdC|rx4IcHk*dfYeX&QDapQor(;ZbPX#JY+qy*;d zBs@6wjVZ+mIfV4MxHgCf1{?O)q#<}6Mnh7Q4i&|s+xYyLDSzO?wrNv|H|%y`9sQ6N zY$W%a%WDXFuK~s|Z8t?sm5>YLVrA@3nF5u=B_^>yXPpS$t%Z%UHa;taRVc{=xr~%( z{X--<<_K)+@7&VD0e+`ZDh^HGK5qF+(ZVUqQtk0Qr(BlP7H3qYOuB=(@3`K$jp zvq?P0xKu&jfdWjl{}}BrxQcrn%U+lU;1J}R4F&JA*=vB!=7rayGDk1gDRba%#$DEiJk^clLvUm}ST zz+b%sTT)>Gvwy_>o&bOX@ZgOSSk`aVxji%QQxTVa3xfNk^%2%Jo*%zZ_KNPa5nsXs z`A`JWSSCH|<~{oF{N_BszFs0cq$Y19yd_I+#6N9^K7_9;`0^=FQ_%Jc{L__V;YR2kwxl=m5ib$Qy}(8CWnCf|_Bbtd1IN=V zG1WqRg(TI;z$lsJXGO6t`^Wf1=OP5iYZ)r;?|K?;xw$B6#iOG#)1VbZOqC*CMLbcu8jzWFWk-z|NZ%(*kn`$Md+6iDkud{9}w z+WI1VKq`nLnfNupyY|3q4E!|Ig!%S`b$wn7PXN-wu7U=j`1%0$SBZN-?kd%~2;blm z(_i@ynI!c0S@rKpHC`uN(*y|!-W%%zpSHMSv52Ra!9T#ym;!TAYvioR3Y_qneG%BL zxX7m!VR0s%i0gKO3)prGWKr@XUlAf^MLxZUEXaDm?|32%!e4cVYQ=pC_oGpIk$C|T z!SCEqKdkI-8;9WGW{w{4{RrL=J>)r{lv56BkKW!;2U(0o6bf4M!7?b@Z#J*J0l#L z6`HzxXCDd>pW-+}7uv%!kA-KjSe6sFunWh8 z@U?j2e)cH&jW}U_!3BOUXT)8vz$51_7I~Md+}MsUWH*yFS*K4dOC}?zh@!u~WXD^l zlSG^WG6Z0f-UphKL3$Tdq@u3LT&Wf_Fc!HD$716d!@vTDod8Ydm&+6L!7wW&{$?>B>b$Joe-Iloh@?Z}@Ze^GUC@ zDKn-%!Vd;VR4Z=Sz>~-?N5>aq3w$4Q3&@lq;tYPDv8K}ZsAP)jIxT^|V}7{Nd2a65 z`gW;?>`aI5_rCL0Bx&C)MIHP{M4_~=@Ly``!ES10I& zoGeeJ^b!h4nqv8q99jN}q`pT7@?cK~{P2GD_h7RaudEfXLfKERlv&UkL^93186FBY zN?>ussIq=fS@>kpcX*TlLTG^SC;U)=L>IxnAjvl9onC~8h%eQCF3LCI9b<%pq%Y$u z&lorU(@#kqm~Mw3f`GNV!KEHItE$PYl5aTH4-ykWe5ou)>Yh&Gko4Wj1OWCZm$Cqp zNLK6AcD!fizHkfYX3&BF?ylWH98@CS+&An-7_vdkL1V!eq`UK<6bfiR7-e;$IFfET zKFGJ1(xU+3ETA_WVjP{mxgPirE8mpdyWxUvveG+eP;v`ye2T+8ns|5Jic3em?3wR4oZ3wJ6aJo?b z_b2pZv$l^P#ZHbo@y=eixUG0Mpm~T9Sm+`Mu1-)=Ab`-f#J5U~=#(6{=iQ9xMOo2#3Q&%S* z$#J5$0tI$L{s6=t&_EmPJf;9x0w@ysx1kx4HSq{0p{YsBJU;0Fs(asb(3>i!9?C1j ze+!i_qcNbwizg0?ES9RQ4#EVBt5Wh<`G|MST1jLEV81Eo-4y#!5dQQXdVJ$AF^FnI7$xBDiQo-@yz`y2 zAOjGL8cC5wK|1QDmaWAZh-K+`r+n$$I#myN`6~{!{u;?J;~z<6j(@4Jk55Mue?w|MDevWHbnHE|O1p39^AHC+QUQY@gY%1`63=j!hY`M!> zDUaOC%C_8+6aeOG#Md-XTKBjy*p0oqXsWqB*f(;TWFtiPSDN5UPQmdnHRNpkbXAN_0Pl$-#>6$8(Kv^k%o}UeGgCE50ZsXTx2$DdWr5-PFO^bA;4yfk_NJNu^z+tx##e>b^{cEZWp)&f6mxi_sb61RvwoVff0u>Jn$v~P7#6K42 z^vq_ho$zQnv&!Yy*FTU}Qz)12rs!9wA3FC6LpbQ-WrxWtmnx=Y8O~P!bsOHV%%A%HA^Sp`&52W$^S%$y6@O1w=GE6$IW06SjB7o9# z*9wLI3W*D#S$&O(6U1(vf7{tbeCNl@4d68>!@Gc+8CkBu!Kpk!ua@k@!`cnFo)pns zJ9H3891E^M|0Y#zrHC>iET51zdcRmDKoAil>5@r<63i!(->~)`G8zyS!9yLbI^}g= zyRR`xf0;SIy0W^_TkEd!)ADgRX_zrdZ}qtI%a!(gjnU{P(w=%^ec@5ZcTuACePgj8 z@_Zhr5+HJ5_ib~G!cdpRZ(QLYHWBXIkiWo?NX6M1ieG8S&wRhbp0Au{}3zrKs5Xp8w1+uu^jKB>WJihmccI9`Jx0XX#xiczv$Am4}M>qBvt~ zO{6&1z}+`~a}oG_ekX6pPQ`dq_u>-gN$=xN3bkn7wk6ZxlUEnCQ9Vf?sxhd;G4?MN zZa&&hZ7GmT?@p$vY-Brg5iIfgkJ)#V<|`@bbB}^%M7Q^(cfWA!|D60*`lt4<`lzZa z=A_@}v$KIg1zq`2hr6t=?y}D4DDU#wW9sU7-XGS0&Ib|hsJB$e#p}o$g+EPnS90%b zozU@bsbLvUTL5rt73u2G-Qi&B(cKe#JHPR+9Ql z%V$;VDe={*82V`xE;|S-^@%AcYD<|9Ym=0#CvEkb%O~mMmFu+mHo(#&H#4{Q&#R>= zCR>hkmw(=?=;X>v3wZ5mJ}DMGqS!D%nQky>)&Y4EQs_Tu9 z6IMJeW5ZWGcWH~<>^FT~gq`J$SGndJOs7|M&HwQymC0Hf>Kfs^J&|b?t8~x2OR4gz z29fcK#kHfz%B!paIbkfrU8VF|je^<}6DQ#%*E1o7GHOX-_Y9Vhj)AhNtRvm+I*qv7+?6$l9`lmt?DE(NE=w&TM+E1 zrYyhN7QLUFwoJ5q0$AGJPX>Exc#`60+b1slGk-HAA7tyBSmW=D0bj&E*0`BYt0K0KwVs;?rtnRL|H%KkAhj`n(GKQ_&PbgMScr)ufq zwFU59taF|*MU!fxMYEyc1yGtjpBS9KubGrrO?&I)kSwVexb=1V7W(M2l z{U`hVwgH5wnZ6;N6DPI7dgcSIJtIuVCqJF6i|40t7t@CFI%#{1crG%Q9)?pd+DX5; zV&wcwNf__H7bo&3iEdLgM$LZqbmy!-*IfAAe@wW1T;5aZWlf_#&>@sQ z<7A?KpS+is`Df1tsF-}4ejQW>RO%jc`Fwqr*g)h z=$nQR*y??5;P;${`SzY)3ruF1{o-Qze!ZMM+W6vI{!C82Y3x;FT-A7fetH{S1!UKJ zLSMX29~sya^m?^-`}a5-d{OBDr7i-RHf|nvOed)>h=>C0i3|ue_2y=G< zrjfs51qz0&q9~21I#v%!Gzpoi=4%vF82rzQ=$$&){W&pnQq58q24g6=0~k_7xi{9u zBcuSN}O@_ zXa?d@$qsnTL_*DEpq107>IQ?Zh?y1UDJM)LN=rt{sK2~JkTIJ5e;~xERLZLwk#KN| zpJ_m_;0!!AC_Vh8i9iTp{JAnrtC!k8;4LOzoo{B1l1ihgzpPQuPL@##x}rLg^QaYB8b)x{Hpm37=UIu*JSe+TK7EQRyOG@p@7Kxs`XG~Y8QU!fAZ-+NUF zeRc#n?LWUBsr_d}@m9eI$^r5IVC(i2>vjcSV53-R`vl)@c(#BmH~Y6_qV$n&T}KOP4R)8>P3F|P4lQ%uycq^^8nEG0n{s*Im{Hxd~mxGu@7`h z1KRoHU(ZLVcF0Y=X_gzmS{y1IKGFRSyeQV0ggYySe2_g~sQ2ta?ekHu%;%oz=7CsE zTe3|CfzO+$SE~hEItw4Jr(Y_OEd-W!6x%xeowAYce3pD^mI2^bJxN{VBHItLZ3ZCa zvuzgTR56g{hQJLp(Gg{>A+nBPZ#0u<+UDH_j}zuG;WT!bg(id9KCGX-7sv_)dG8_jP&iW^u?EY_5fv7zN{ z_&^uwG}=^(p5vVL%1padaXX!dXM1B!Ea!qLcNZ~b=f_nRN(p5ZYFu>#j%YvV{i%AV znp&dM&MBP>Q-JL}QHCCO~`^lYYdrog27 zeNH^*do5PBm$EY+#HcUkRPi0RaZ$(*4VmA+z&3Rq4B9Aa+Dt-ZP zTcZsm;O3Vu{NtO~%@#kFZk{mr6K^}JoPYCiLY5e;@vq<_jF4pt5*mCxp8ZiAqW!Yu zzj2@u@RB?ljeJ*afu^2d6kSQPA38o8ckaGv5zX^(BI67#^$&Puc-pA z-Q*?hlz`5DLdk`Rz8da~=#?x5|(#KSW7%oBU^NK8S@z_k|5z;VuT_EgY+Y~d7E>bB=v zMbslk5@i}hH}9trC6U~EK7(Cs^J)Vup90m1{+CF45beHq{hR#w?|e)e)Ft67@R6)c zQt=Ql7-fQ}?f&jmSuWlHXN0{Ua>aqfl15bZiHs#vZL$w2AZiB{RebSyhG&}&?&Jd- z^s7`S;{4t_fHkSSr^yKH@F|8OOMLJ_2t z#Lds%BBc4-$g}@1a?#XS=tJ1L_>WlpE1d-(ET|GWG=Z9oB*datp{pA87KV(Xgmmgj zkctQ+7sa9RKj5HH4Vj^~Ib%RGPlIWc3BjmbiO@J5`PcLUN#W3hNZnc*qO1m)mhy+S zu0%C8kfQVtJUxw?nW1zAEtsr~8jeyJE+1wkqVRxbd!R5i2Qy9k-6ePldNoz)E-5l? zgz?ue>J_9A<1eBA1x^fTFBKdW3k_Omnei28n^*)@l+yJS9XR^`(gr3c7Jo6qDe!se}aDLf+sQcV3&P zxu6Dor4dOBtR{q5!W!O06b@GY5~-1q`OOjX9fKw>*WEGLNkbS`ZuPJjhM5r@s=j6E zwDD%D{0^c@4Ol!?V7F9b7F@VQ+RPXs+=*%XUMY`UamSH`=*TJ$Wfc1#GU(++y3eKG z!D$?gk}~H?1ovo*+MOL1p=0N^NWyMY&>|38J6k`gq6wlHmgWh~H490^nN6-%YM50M z-t>fPPGPODYYJ-E=-|~V6O#qDv@f7RmpK!DS$`D#>L?Mn-O>_jM#NB3x(dQyMqy_( zU(%*{7@J~Nt-i~wBEGPI)Don#yq)@EXIXEfZYOK;o4AuVh<{#f!%ob^Vb1XiAsOSa z7RQJI{ct%`SU*>bPoGUO9n}(c%Rx~cy)M8!uS%t`h$C*~4aV)H`;F!f#i3-8P&Grm zIt#tPP4+u3S6H-@@ArW#1c!-YhJ;19UcR6WPqi(G!Dl>Ft9<7CLBR5;R zMwm<$|5~$YoO$ID-TMlfG6|YG2%Xcp{+RmldttND#YNFo$XU!a39M){paHC?B((VubZE909V~jrcE3>yi-P1rjkWpJ1YUy-q^2@s~^J(&G>JkcKBp zV&+iswefLB(Q)~l929@(KEwz~%oxO%X@?5~<}OD||DD$apQLsu{5<_TEGRJ?cR^xI zu0?W~x{YFsETRS-*vwwT`Z0#lS%@KC0lMAmKF5muHffCAvswPtG}_9ui&-%6d}=DzR1UZV@0Xr2ro-W1wn&B1Baj>KBkK}SCyZG;TIe`@DD+h=@O{2M2oU z>kG*sH%1^O!{2jUW^D`JWS4t@PJ(T{iKl^-MNoK=c?XiC?H(uI2tNrN^9)=Wd2p>m z08J=a9yum>sH&+^$~$0g867rid4-9%Qz zF~;3KX1zK~-@T%{mcaQ!GVa^sI7Ur&)&w}ZGE5$^%8?Oyn_1Nc>*myU1M6SFasnc3%oE#UYW$=?(cy4kk1e5)9pIwgp zZTwq6aKS?{q4U`gDlZhOkL9XoSe`8s@)^lPZ78wW5w z#=yO7cCTgL7>q&}LVhTr7M0P!8S&}%Uz)fiGaPwPVvTO6Zx!qJ#Z*3 z?S=E+f~H`^amz)hu7w73cpxlvNLIl;Zx8XRq_EOmsjFQ2w?QsM;5W`M!oc0|&9m^` z(0+ip#~Voi#Lz-xiV5d?s8Wzc*%~f#+@I%`=)k5FqAh38r>jZHtdk(Dce1&*_FQ?rbO@w&qq4rrUx5h=dUEPZHkpN8Nv8Kx_zls z9MUz6IEBh@sRY{xaEJN!ZUM7`h-&At`!@`gMxil1i7WzsPcUvj zfsWFZvIh_2pb9Hg!mh-xa@tSXTReA^*>v44;5}!sR*j$nm+>Zbd{wmvqyG7wL`!$2 zGs0s^*PB4K7Ah2Gn-0K%zS!4(JE38evzL8b0-53j%_xU3%p?5eB>`ftgC)V^#b+q; zKKJa#P2mvEn{)pb=f-{XlKX40d?7hy!lEc=t7R!U1sV8fhf+*9?_YHH!}&Lm)-aG2 z#D-;=jxtX``p(;C3p>H;nUI&ei+d7V#K}zHpgzKn;SmsNmk!URzZz%2>$r>oFf-iePx0DBOOG}-9+~YLg&?AWug%c&yYf%pec5@G;CyF zzXoFwwP3&f1P{x9-NI}xDr(n1zOu#roC-ah{^eAr=RUHoa_;!@%5fdUwyuu6Jnv;V zs}Dgz`*4XoR|BH;Sv|xL1-)W#D!h?X_!j)9Bw-VQ8CyTI9K({Swx;__pn=5olIgR0%pJLqYX|RN~IjNSxyWg(k-$#1+vQBo|h=Qu^uektvQmg*=~iy`C$| ztF$^@A)bzf$F(8;$m=1-sgucTjWQWTh}hA#e8{3?jIkFlEGs z8;n4Xjyg>)t>rU9Vz5je&?A!LVBhG9*Qrky2zU8`&z3F+X9NPlNw z-8nJ+p8!4%!SUh>FX9tGczkxPAM$lSJfJWLBDn8zm^haiSJ7x!)_t64Z=sqJbi_~i?izy zA=6~)bvtf4Ae{Ru%_B0NU6-w1f_(&6hMosm8jT` z$aO`1KzLeoHXxk-dfMF$)}M;$bYE8wcx@T9BUFv&*b%BebAh0-b$8i@>{8-f%%OHf z4v6M^5q#x<$Fn02h^p}d2Sk~&&<{D>4;cl-=eRLoEFDhSGVWJ&gdeiltLJ52#F1Xa zQGP>~q>%ZGoJ-%A1HzGwhaLwO_2Y4%!O>ymkV5hmesQn#Lzem>NBbem0O39Y7C?O? z{qBdCjtRsq55%nq#H|d(9S0mYF|b|fuEg@kG@SI;X zREan{6_NiS-iTKs&Phdd=X#5&b5jwejcBFhJUc>(Ip2;@W?W!LC@t&k2&LsxFXD|} z#GAZ`%Yg7r`fu?{c^eS6Go5nD+wDS>OWxrSqI~jB2Sn-ls8`SBej%T5K$NwgaX^$Q zpLIY~g+Awpd_INL{CMtbfYhIrzva-QDs-a*qAK)l2Sioq2M&l*^dmsHUY0z=IUAu<8B_hbo2tB_O`ueM^d|EN7e`9Yrh7rz8P-w zB7WmV{1ymUT=y)(@BEP4y?XBOB7W~h{K1R3(~J0{7jc&takm%oCoke2FXGQ$#Jyg` zUx4tm?q9t^{^mv8=SAG_H{=1Ykbig)|MVgr^dcSt!sD|;uoqW9G!Mg&;vnznI;>h`O20iv|9)wWI4r-5oFLZf|QF?i=l&*VfVV!nV%#{QX0K6as(F?Ub*OXCTdu z+vJY&(qmVhwDNRS97S=S`yG;;xr&S0yga%cX;wRbiKHqt$z$abr)F#MJB|w&CF>AB z<0{Kl4R?WK91<)LxjrszS!RLsD<>I4{lt~ANw20AoTMdo@%qYLd@efW=`vqlV?)xDwKwg@1sg;3`Y_j{|LrtA z?<->GRL7rrcq4xmp7qhkQ~H+=<#oryotxYTUsm$*Zk_m=G~d@i*T=zwH=V>1yV~ap zrAsha>Y6&?uT80XFc{yV80 zocjv&PVvC%oIW2`sU%UM0QL;eqmJj$5x!L<-B1FihLJPeV|$B9F5EsWjITZ&)!G-aqQm`^h- z?`HYIi4shZB@zQJKQ#OxQG*LAG4Y3CiJtGw+-E+@=?#qfw(N74m z>9?(4(T8S2)F%@`TDJn-%9=qcqo(UL(+NCZ^|Kx?Rq%Qgpo;Vs+$Z<)l$>C3!3Od;{mZ>5#q*obAG0em`DR~l@ALXKQJtc zGmE@wm`;YM;Zr5%(~n^DWS)+oH+EU7NjNKI2WC1v0p$6@q4)lV*Y;yJng*~44hlR+ zx01T08M+4|Kh@0pjJY6->2Mmr8=h9Ln`$2Ceqtq2U%&L{5Fn)#s+YF%rGTC_qLki$ z47$WwC8fw=J>L!dL{7s;#bCl;z43lKeZ&|VY?qif@JZEIK@}@#^kGqt&a6eCiD5Lb z!FJ%aV41FARqUZLg(aE3y5p2WGs)Sjw_QK9Ahhjl>{(kM^R|_jcgG{;iZVfboklz6LiQhfd+&{Rq5_|;6)kLr17Gee!a81;ygA% z7~WKGI7$h7V%jg?o&%{UB87EDSs*HD_2`Tilh$K}yC0-1WY4Gm%f132bio0U{23$R zs%~T8s75Zf7O|I}T(Rw4>=+vD&@#5RB+%@fHw(mGqP6bY_&I%I%XF$!SQh&Tl}k-kXkC^bRWGJIvE zm`0RI|Gs^4ARQ$m(rZNUMmYx;E5pT9!r5yYYd6qW#*1aUW*6WHX6UA_ooFTCI2tgY zshbJi?E)=k=s)fK4?)YjWIaouic&)LJ+Tk|&qa%EX|C=g<2#ExjH7kf`Q{@4%cRT; zZxFcnOl9opw5x1 zkE=d*2K%H%REI?llg-mzG(W~eh_M_|-)yrsV@ZmXpKI`Xf-0vF?;W^u9XP3Gz9}?T zuW;eZ%wBpf55w&o9QYZ-32@`n?Oz}z$J2nGY1ht%B6A@Q3!ySvR1kgE3ui0Ol5$cv z7$fJ92%6*w5_>nX9FQAqV>u$!AeOeI?E4L!jdeRC6NB&>Al{@O#qGr}!F00MblsxN z6s1Hi+WLvzsfZDM2_g9*+&R7?)FUyfqZ1##`$dSd7*R(E8GF=`3@a_q znjT{yjl?AFY=Zi3#AjNJLC=y_Gw9Y50UnM4M~I75DPdEdMTit5t{$)8G?3}ys4i75 zUw4%>tJ!k>Yv>X;hG_FRj<^nMEBuf{^vW0r>m}3A1u*x#cMEgG**>gfCr^2e4k7v zz<{42Vk3RmlyvOGB}n>EbzQZQ@16`oD;>%$x^CCpl><8gGj`h07^o~^)17Q?y@fq6 zJ4}c3lnfs~v}ofdkOoC0SGCe%;l!%RnBC=7^D#@(Sn5-lXn~iZ`dSBGaTTp_*eU7o zhOEkOEQa1n2MN{F7nbJ!%2-?(%Xe&91MWzC=ALMvYjL2dbT_=;6YMRo%avfx^XFqi5T=(t=zjuDP z?{g+`lE3=duEVEEGuX)V(t)op_k*~LgxwdKiwiWeY}WI$55_eDTe_f?2f31rZu*NY TimV69$giB#?!^B900960ynFcf literal 19454 zcmZ6yWmp_d&@PMxNN@=5B)A0#?oMz^f&};A?z^}KclY2B2+qPnaCe8r-EGlj56}C4 z=luAtxu)*c>YnPJnx3v|JOl)UY7E3z>iT*~z2Y|u7grlc2O2IOj!zt1G*a$1cIGtP zJlr&&_&B+^Ik`V^@z7{lxOmu@SDE@uncJWq1b^!xLME&yLlbD3(ZekCCwiFazd$s^;Yp)6FmRE~nVnobW ziIbSvIy5lhdqlb)EFrkPaVX`%4x%fX1V zdNzAnM^JJ-&H%mtFApUUo?hyVO+USQX>aJVS7K@ThMz~|uMO$x-g*_@Ip;l%xC@!m z=y$4GG4y$9(Toq<1+(S5#h9C4MCnB{w1+b>6@_n0PO~v#DwHZXcC0uG;;C03Me!63 zIK00L>d6f>QjIM*n|@@UWn>g6DDWa5FYU3Q-X)QFZ7%g;_p>vm9vDk&FJ-2%s7n4` zir#3t81o--hghZpeI6aFPeav3$dO1SqaMQx^J7Sq+?R0mgsBEqRVOlOs+q*n8NTcb z6wdn0T5k*griKd{`3yx}mE{|W8Dtfl&!UqzT_4tvV`>V7@jF4CYO+3Y2RMs!6pxeh zDksb$)iG2AvYvsSRyNH&RhH=@IFsP*R%^uFMLF8Eu`S%zQ^X>BV9RL%dxHtc&9@G$ zAza)^S32`c33pu~bGCox0iQTKd9%>MPhq)4>AGBgx^PDJO=)@myY;@L=S%gYSr^T) zJ(aINUPYv5wQYXjcx3HktnV%HSf9*z7^!~bGSogi#Vu5GYuy=3@WG})OtAYcR^N(~ z7$wIO(*TVSJx9YRXKAF_~^g9h0L#2OL_=m0z-`7CA&O z((jbF1toa?6Vt1_k`57TLTB$3ig(=sEYnF#L@EaVE5iRL%l`>j<5WRhcBQAxJWy@t zqCPBSH(u?`m2&c*qxU{Hk*T4dG37Ma9EJ<4uJpT3Ik10MJqERT>8h5Jrb$rZ6aU=) zlKVTT@~`x#(2cD0-)Nas);{@% zf&agNvF}ya*p!U`+J;)+Mu_q0)y#J_R#*epI-P*k+M|}_Xo2Jl0l&}y1q*_UutTVK zLsx^KDT2kMhy)+~>J_6#GwoC4x{B;DLQZVPhT1j7ov{Y>X(ka&tWgGF`Rm|wZ@Utv z`!dEQ<*xici;#jX zn-6&w`{8Lm8A5I^ZwFVrCQ^NiBI>M&uQWS0c)lgue#H5Q|EqdUUL}iGh#q-%hyJh_ zBzM&rWaQy;xZ1~5e{AQE#B=IxR6CWiXqm>tLMa3{UG8KV;PdIkGq>|8q3pa{2*0S* zOzxQw*_^gdcgjc_@VN1tXTajJb>AT<9McrPz1Dlx7;+!sj(wMet~d0wk(cRTL!&^$ zW^Uml`*A7dLOQ3McX8)y6Rm=W;<&Ygw(#pxa{tGyhYYl;mkAfou9p%!s~e5);G6Hz zUaS=Zn9@_U^HS+P+S!Z)_cgMahIS47zUi=% zs#Nr`k#6oT6Fb%jtFBzLlDCDKo!^!0yx=AFaqUX@Uyo_Aq30YwOEP7c`Iz#7s@AfY z?$8(W_M2L$Q7h0ML1iWFMsYc5py}>; z)K}x`x@zc!p$^Esr&cXa9p_z@k>}mjCU*EDUAtBWEd{Bt>@zOA!1b>9M_y8&%#_5m zXNUQ(J!#+{VcmY2FYel7{s6y`Z`ql3r`J&Vf1@M(6u1TnqqYyL{UUS*E~832_&K|s zskL)`SLs6g&}z>}c8gXeXe88acP>Y8=gwj7O8ujhsTDqd-fzH{ODyL#0$ijH2K*w%}Yud-1eETi&$Ek)OK>qp${&=DWS;u>zZ*M`=5K>{ZTKK zthE{PoZnVVSf2lV8(LTaq5LuX{l~-H_Cq4RvSDJ`GV`{^9=+)Ah<=@>@oI{Z2}LD^ zvSLggK2fH2#@gkIQ|7eD4z~H$-gy_poK>&uPnLY`!#y2)4B!8Y+Aqz!Xla0ja*{N_ zGT{%u_Nl0HJV*+&8`qS$CjP58yyMmTnK-G8LsH6Cc;eh_0& z+{FmjOG?SFFdAOZy?zLJSXY6qK|cuR*y`94m;w}G9y@;Xa2KtTpRgqdP~w{EbQG%I z5%t?z7S5OQkpYoE?&8QKc_O~28ra@@yLzlJU!LoGzTO%S@1%*+oaZ|UJpvsrS*8hB zTvVkOOP^mQ2qNS=VU&Kh=>Q$LmV0DsF>nO(8v z)v@*d`T~>3Y)^C?9^kV*wp9W2fgz6?bZNt8#sk_z_=3&Z7Pa7nT`-L=LHBJ}Pxh2nIl+zwWlN*+Bf402s z{TZm=HZb-4N_-cm_p24Wmcd$looUs)dE!0}2G@%9gQBON|5+cTK51INsm_ypku!Ev zlcwu$)P3GhH&PK>xwG|n`UM0Af$|W{W+pnuHe0ZHuTo$shZ+rtOHG$H!*Il_8dQcu zdxn2;>C`uBVn44)7P&Z*&7o?uOCYJp7`>|<^ zw?ho#k{Q-V;+sx!w0drU4q&2Zb2%_9f%AzG$p0i()R3K56(`6ahe)-3)ignc;0%NU zw8XSt#YzwpxleELNf$6aW+s8MtL4b?)y61k;3Z)dygNt(yI(I&4!EE93_MDVkNCir zUFh6c4lc$N*ei8P1b$#G{|pzq&h_Z+d@}4|*)6?#ZGx8dH@e^rFi~r0U0L;&>FW!q zZ1{={)VJG^kQd>e*|^ua|0@#3eozZlYf!$4Cr=1pw6K~<&0+01NokiWqIXv6|EpL} znCI%0Yl?j}1GQSCxC_61wEB3Aa}21T5?^Cd6*rw68v+r^vSMjzGIT#He5`36SxCe2 zHiDJsUGuC6aK_LVy`YOdJU-i4zLew=lfZT_GoD$^QsJIK^~;$uqtoSihTfnAt5=0% znq>pNR~YER9^$Vjh`&oYp8~tHiUv6}-OA^uyr8Le0F(S;hl80MCjVzhllNczQ`UeP zFFP+STSiF}AfB?(g1o1h@5{G&&Xn3$FBVM+oemg{#k35B>x}eD!!!)M;!`5-kN(i7 zVR-yy-inyH{y?dM^5;CWPmVDaaR0~FfxHNi8kiHVVFPE^2Yxt(+lIp}WXzc5`F&g6+)I*&X-)gp`a0fo?~ourfzgU$)@PQTp1`G*O{^AXSNI4e;) zL2BoPiSKapXO$cgDvJ5Xfd#nT>64zd^0Q^K6?LedF{MGu?hf9tt{a&|wq2O(C;m^@ zKCvY@NmsEG*V8&b_Wnp?LgTO*W33#9*qlu3-9Jh76v41Cs&f{2$UfeH%Mg^zzpPb$ ztDcDYXBdO#t>H$?QD3_EujjW48$DK?Oz)?8Q{x8XZ}oiD^8N3A#ALj2!=!y%C*$Uf z5#3kUSah(~Qe1{i5b4`a5$~S@^iO%LUpnXJA07lwl{n0ypzCP=)g9yv zNoVF$A~jg&uyFJ5NuIi8*OFV{S9wj~vuIce-tF)1{XQdLfrEcah3fZLN-vN-$itJo z9tXjcFHX2sgwr0!)g%_j)%5i?i}hToHAvcA*%Oivr4%of6k%k05~x4+4*^d-Fjl2+{($9nqKG6JhRJgR&L>kT_QQiIGo9 zXZlX!&FXmhd*jK*HwU^p@6cV#obldSSJnnr2cZ54K@#DZe)C8Emj|c&MB=iv7o`y5 zf>4B7raOjHSKH}6Ce6Vt$sL6qm!)b8kJjy(;7TFDqvvSN6(kF*3Y%*$6^nkXdBuIj z=*RK@@N@KIY}i~l)=dL>^Frl*V9{B6dcs;qI=Ki|fBd^F0!@9gbo^<krlnJvy62lk6K-_QToi7EhDcg{rXLP|imLVcW_|hVvHXubmDu zx^h?IcQ{D`j~}6?r-w02e0lei2c0|5y+IT-Dd;uDI}hkTE%WbbQ zH#1MeTD2$-v(#>j{E=xNA9UP`eVxp2M|f!Af=GGImmA}=J?~|x-%GJEbHxxIQs*qq zhjq(w{uh^YwK$~?EB%yu%);Sv@@_;;*y_RC$~|;8bFao=I$T#u4J@Z?Cw58u`$7hR zN^yEdc*N5}4TT*pIRA!)jy<9e^D=fi?q%;> zsEU<=Ocf3ADa)pKU&mOG41+c`nZ#6%=uhJS)q8xz88o?nd)6#Q7xzrn%d;2dQi`IV z!qqGMB>%WzPnjEWG0KRCqddN2l!M3PI;l?-?iN+5>36vFwB0U>Jv9DhjfI9)&*=#& z;~XQJs>DBNF655|1OyX#Ldzg?tP9n89b(q!jKjf1#E26=i!Xy2T&Gsmn=@AeNKd=7 z>{K0MT538Hh#Vb@`&NSWR!@GJZ#Rk+w_B2@(&VAH@eVRGw>1*B!Ia4N2_Um5XRfWn zN}JtUL|EK+D%~l(o=%b}yT#Kp5W)r+O=_m}BU@dAAj(L>jQHm!OMCRihVea#_+*fTz#4b`M_fBLoI4C+Zo75q<>~aP zj-OazAYcjq21McyDL1Sq@J{y&))NswQutL}LXkA)zWRpmKX)AoWtt|S+lTphQ7u)u zEw)rSO}kTkI&L?ro2I$gHSQJ<}~^**DhP~cROO~vlpjYsJE)dL8ofS(TU9DC0vArb3{uNc6; z<=I7kDZCMLPkA8(W;FW67t8BNeXTul3PnjP8XJ_pb6*dNkm0+^?)V;GOuD?}&6{~A zeTb)>eyG{G{eum((adQy7lSFz!$9yZ+$CLe=c!WtrDB^joe^Q-pN1X z-uijCyP{~WTpv%MBqP%}hwr+I>Q3%=jH>C8rgeA?bSoz|u^R2e+wDgvvFx+F1{~U} zi`-j?ovKA`^$!L(CTsF&-iolR2_b*9PLleoX5vNyb19*B;UFO`(Lbe zqsa8jR`l1fx_tTH+8`VA9Gr;;(T|ZK;EUil;M}_a&Cq_j<8T>B2$?VM)q@YiKIiL5 zlTK`oUyjG*gfz4*j<)&68GreEBvrU32pZ=N}nTiKU|FXJ9Yf3C&GI56r-W!d6#iQlS<5_j)a5f}_{ zxP)^@xmJ2>#||wU+7i*xN(=A*luVp19TNU{My7w^HHsfLQRNJ!t2S7rZowH#?zvtb zkh7>F*qf>)mJXg9f_sP?CR|NIXZ~!%Qs{1@sh3CbI=;m+X;FM zl;yB)8I{-!U0@f)yje@*;+SXP9+!W7@XaxqF_=$5YVIiEUY1%NXXX{Wu35^eN5XHA zi0^5TFym1s_ss@|eA9CQiGtQTNK==STr`Ft2$o8ZrRGf^n?Ha`auG^|z!nLO9N|w0w^4k17;)igvSKcS1v-WNIq$z!wkJ z`Yii5(M@#K)Bq=w?N#mZL*kD4lgOWpY96DKW^_WVWl^nVf`64{tkCATiFw0y%gg>2 zwju8n?j3HCD&+(dF2_(%Vt!D!0$ha4zFU9;$$Ut%ebh(1Axz{=hA&g&b+02V5D3O&tPsx$;)q!?K*Fq&pqy;ytN$nu~=S#UzR1rVHa=s zE*h_2phdgx=+Z>9uxc9$`JWMQz{?(Z7C9ZD%uWWvow{?vD2jb#qjbatz=nr z)O_e`7xRuUgA^1*b(mqL3?X@!E`Ar{+}x026>*Wwr|$Dl*m6{> zZHE;(g*t10dN01v;<`GGM|y9IP1PY2a><=AkTw=lG1zZ0aA0xCyB1lP@W$LSME~_z z|JMy2pXWL`@Nx1{fBU*gC8?X$qRX@*OYMY_5|&CgQNvemBe3 zgrIXnOSgN!hatDQxVufjpQ>JGY-`<=_z$`S?)8z5p8;8c6G)sG^tl(u*fWnlmz_(U zWmrn2P_wSg!UH5#IlulcVh->@S4q|YRGIpa^ru{3E96iNjn0dR|AGy?B3+WE;?QK$qBGmBs2p$941tgCg zZTlY&rTtpxo4(ny?p#0=xXs+rzh)M`ks?$3US4S5Y-1lfRR-34&-%dh?O3&3UCb<5 z{Rh`gxrUtwD>KJS2zo$faD&~TQ;Y>oR}0ICAm@;Ri(wJrMaZY%sRc|q#H^1aU!H6e zrO(X;8EF%SP<}pr*HY9|?G{!~4Hbx{#a~ZfmbH9#NFl7ehki0g8f(=)r+88OK3*;H ztSs}x`c16X5IeTYCRs+%(LdwmFYXVfYHUpEWyR5v{aZ}TgYWS}19KhT#l(8?pT_$Z z18F${o#PLPvlgL>A)h%us;0h=b4x;#=P?Sj_T%{sexKmDwM;l?A_;m48lNaPtU7D z&SBWS3!6S~r#TTsNf#m`;HW+hQhsl@?n)j9aMy$G-2_anb~>nuN0!iTa#~RFth_k3 zj-A=%eTWXqTMVNFo`zX|DB+2t-q5$i%KOnC3>Y&WHi2M+jucVB1 zt@D+*Lx715Khm5C;z1?+SPS>;bJjmxefb0pjTM%(p}zL2+q~<$rMx(dUXeVc-dl?i zfW631!X$A5A<^+uL7*JG{iT=Y85irB4)rGClY1sF8hv0~G!}r*oCEbGD?cZHMzR(a z_U@oDzZR*_=!UF!LOSF_fQ?}->ba&2iTE4m%_IP+jp`h$6O56BQ}%H?KdpP7^Pk!R z4FGS8pJu$Cj}9QZwJ!N=*IO(7JP<*RE!f1l5(HYowbJ)Py!6GhBL5M6PZ(hobI%(A zCcd;pN)1JJie8Fw2=(ns*7K(`yG zh!D~I_JFAIl|Ue!S1rot`0KT z@BMQJ9uWPKlh&2}A`O|-jdI#i^3iRQ^*tQ3c)e=6l-8eqnxpd|;h858TvdFB;I^UG zjXHlCk&OLe{JaQ&%{Lw#BlM@1XEi{?hEXPl^Ydu{#nZZ=(=fTj#G4M38==m}Bh#l<)FDG+HRyFo0~~i(>5KkmnGP>Or);%D4vYT7X6ghN{Jn z0JOB>k9^bW_WmDM3scVyuv93)e%Te}(Fd@>0$nJ-5C^d1DnY-gaC1`}L{=6{X(;`i?1JHjXWpc*rHC z@}bQzbK*Q$!FWBfWgf?)f1#e%FJ=mA+nKB@KSyg(aHc2sG-FW;1w$iaZF6c`=4-e0 zz%^zO;_kY7->5l{_@;M{TIP$k$%<~F!T@Em9c(LyC%o_uLJ39EdtKe z56%U~7NEK*<&4GQpt`Jsy(GwhB^ zi6=>3X!EDDOXFwCo5=jTV6nG1wfUgX2c82h2{?Ki`9ViMh_IbJSzi(R6Sl1X+@%8o z(biOG`Pi?x{jDZ=YYPq4rAJ^h&Hx=iV9Qa$@6Fc4!0lW^_C9SV#pPW8j^@$xImR9>J)(^pj@nQek1*jopmij6CG$&ngN$DxHVESN}LK|`q^ zH7RwV+aiO8Ly|=|s+wqA_{SRkKr5;zq(i)W2{+aO0bt;Te~YS2wkvkt5rtG#w0rTy zV$IG6A^Je87YbswXnQgG(9bD+qMzPS2~e6vnjNtpru$LgQv}yU%jegKkhYI}LY*)1 zDbK=rqp%+syCL=Yk)(!`27)on>-c`5AOeoOP-`-hl7Ii^k2PLR98KKSGwSmj=5vMK zhyI&f8$ocu=%xZ3{kb{tN`uhC>$3fXT+%yxE>M8F^HRr_fhjJ29dTIRI%uEx#wg|n z>BnEZeXil0{OWv>VSk>jUNP#M#(d&{D+O=yPeWEO8ZAP&`yiE9eGU+1+HWik1mG@U z;Gsp<^vNpYOgkd@zhSx)Kkt?W@K67h%Fhw-?F3F@RdPBCm?>RRzytp~2=3E@>$+yl?&t!_l z18$z;f3rQUHz(I@r*N3Z2>;2`0RMoe9El=|jGykDhaTf>{B;0P(yCpNz=@;T!ANFVA<1_@sHHovC z87q(@91~(ZX_LQ-`xkoXCM`H5zsIfo!cfmizfIvu?_ z%EZ~>*h$?Q#<<7%{)x%2MHZ#LF`aakY@HCsNcJt`k>ErVrA&UR(UMqy+eYSweXt-I zhtz?06PoXLvx4O#dLW{n3%hvU%FWd(P{*vwI*kxMs^Q!G3s^C zT+iNniH!4VQQOQ7z3YD#T`Ud0`gMdbsV^y6-*uZS;A>oS!d-z5JmdIUkSx7~rr5M& zyeGz|mTEf6o;!6h8@B1(Qt$Y4po*vQK;BuVgf_Lq?dC<)$Q?h!Z@wEV74-Ld)OvaQ zH+hLRTfv)zIZpkhIS7Q$^Pz&!fVE9X@4H9Uk2S7RcZ;X&w2rF3mHv&!X%P3#c9YO0 z)W6=}ss*^ecDnSn@=S=rKA+57rbc#&ezqK2;<;!;I?v~01`U5;iSnEU8D&1g0#lr3 zO=`ney;tPdfF?)A&GhB#wM!zgkCeSH>O)F9fZ(d1hSQ9>x(Qj>pRN<1*T0%aKTKQ< zt{f*FIIz{~IDGZsz7ll3QarOMOixjhR)*Pq_%5u{;5T13&KYR{E*9hxpU&^UpiODY zS55067^?isbLhHW>iwE*e4>0+VK1+$3C@@onoUWYJ=bSr*pnwqHmcYqT=^+mGHTkX z2FX1?W_5p<)md&Vj77`vYVlTMH12cq9!9GAXzlTSC#ab6 zfvu%1>DwpHA*+h?yklNZ0xm?GPuHg0cWdU9_-sNB5?j$K7YYUjIaldM+13NAd4 z=*{iUrSLCpXI1xK{dj$pYrdxDubOHQfp4&+Wbbu8Tlgh3D=)LL#}GW^fRiU~a4I7R zgmBU|SdI28NS}6oRxVxR1n6hFOrz%uYYSg|#6eTg7YlNHPCJg@DM-_O)a9u?OtS=X zQGMF(5Twf7F8GfG3K#7C_;b1!w3FLw2=Tg3ggI;rCHdmF|Hv6{1GNdw4#n_KxHEJE z4AS@^SBfrNO+{Ji$;;C4K+b3``=O$*!m3m;D!~=&p7#QLshH}ogXQ=%*dFJ2td^Pc zQaA0jc07*KpOtnqVezbjA;0zz0ZCc#qC6U>p~?ku>K&&o;_FZNQc($?c0zLPzC3Df zd(021$QtHM^y?euj9WsW>x>NZXU|kYwy~cbzxAOMn+O}gf6ssf8mD@fenuJ{U7gtL z?@zQ{#-E^_3LRe^4U;<>8hF31H@%Me4O>sk&*D(@`&Y16^lHWz+|AlJ9Q7BvjdHyo zX1Q>d_vyjo@-;sURv2Iw8X?QypEGur)`8{6R&m)NqGNw&16U%UY4T{InDXS<&48R< z=xNkvBJOjUXq3OmN1=yz&6W1Yk01jp%{vud0GH#;KzYn>yZQ8{kI+?vORQ_%f#O{G zuV?#FS4O--4+&u{OmMYIzZ&pDlF{uK4X|k59kk}jclhbb_37l1F*EN8`158T>Yq4< zfBgZg1WKBT58vNaQ-L+*z<>9@L_Q9Dhg)`zIzpiscX6<_wI7g|GlyL9sjC4-@u`>H zENbf02ZG!4SPp6km~*F|pwu=l(iJv#47LgX0d#pf-R7CtcQl%vgBLQFgB|_$pbsZj zwsTA8N&DxnvqRuME%;G;bHm^Q-0wEe8gK@_d>F6-)~uhcm#5~H0rFsDey!m8=Gs?V zHZ%kD+&6!jbqxRG{=D}9eSEm`f35S>vMVWg z0$o!Wy~n?vZ2zU%#5yTa9x-9y1wE7N_Gc|pFZjBJA|amy|0bh|?Qmm>;foE0-U<+>QI(JwyL z5rRbv5$}3#g7iWj#7g9}*+dCiF?9`~s?&l+badh&Ns-z|Tl`f)0u1c)i-lWXg&Bf2 z&h^N=Z3G|S*t!P2L#QbMNfVf~FX`w~72RLnw!$}5gJrRvS+0ncKD*Xihejm`VZvsu97=U zU};R}ryl)7#8*&7aK>$fA~PVNTvq$H@dIH^R0(O%D%PLh+O9D+9i*EaF zP@~o$GOLFBaSNG0(kXZ}xnSxpc=bnFci04m)<(5egYD6v_pC+J$;p;viG=!GCukOw zDg8AOgE&hTiVylTn55gxUxcg_?0I?vEl_|9akSFfQIu%X&l(y(6}fjysIh3CD-Q+O zsw1>L^q&*V!~W}t?h2*`^KO@nRgC6`AhCqkY~|wl=_ty$k6}QBCEF+0-5nqt?WJ}9 zhN)j1XBEXQ`%&bP&nt%>(%hA$v9*Qk0I$cL7g^Z#_RcftfC`QP0!pA%DA`zD9cDdVx7EbKgQ76+y_|?Ipdo zi04oA5akPi`W7W31V;(9A9PoQf9`Yv__0Na3QS!N%M|7de zdC7rx-hhAp?n0IQvIgZm8UK8}OJ8fNgAkOY1ZobvyTU&|-B|mv)fjlUhF?nv%29%C zI3A#JvRrneL#`D;wLy1JiJh=~N7c7??i+?WTmElBExqlCAYFP0f7kJAv9}NcMG#t- zuU+Jw+J$AB*Zsh>vu)&^!38$!B>)q`K@TzN60YcN#}nz;x)M*|GOuj%ADTjc`O6xn zTwEVnX?Go~^wA}Ob@-Q6Dk-5b^nz~mkXb#$gpe{2v*W%a1HOj{U!l|bIoc)O!NeA~ z=NVccsv7ed{zy;2)fX#0Ff%16%S?npz%6HNK(m9FQG@HK0xu7hmtoz7r>4`^*brl)5HM)^1MOKoTeLJ!PIuds zhrG%^d+f#ntL(zpB~^Mud^eNb%H=iNwS{WHE-9`=;3Upuqt!GT%|c!rVBoCju_JIM&|7TO`; zSC@b+dE!@9HhO+O-9!1X1MMHe|K78jzRPI_5SXkcKFpru0Nd}~KjX#ttew|cwkvn4 z!3-VgsIY5CIKnLk`U7r0WDR5%b$flh9w@5JyE4lE5aPl2J#}WT`&IKpirN#op zJ@^)^PrtE?e|7z!wKr}O`7+e^$_yK5bk{s$PV-Ea^-D8TNSWUzKkih3id_4HF{r59 zNMFQjB&g%Pcfgl)%1zEbait-|g!cDfN@5LxS4Sc`owVCHM%}y(n_gCsmEy~?11I{m z8i3^m;lp(}uqpc&Wfsu?(sy({_*tlFEc@hqXr*5h4(WCr`0&;__~-<>w0Y}g5Z^;j zPNnJ3Z^pTWw;Bz2<;?+?h?P#`*5IyHhu^DJ zS(WyeM0P}n14g-@$!>Uy#!tiTJ4DzZGKo)HX@_mRv!Te^A?1nTU!vJhiyX#P!oI;y zOw+!r`QAqiEb&zFez~Wk$AYPhxrKE=Yr8SMY=`RwU%HVH_ux;5^7Yav^LUc{zn6t=H!}*fI8N0w_)B&+5q1ZUcDuT-*v8UJ|yo z8M!OMP@f1#76lSl(u;kg_~LGI)$tBlB_HKR;-~1B1h!FH_`YzrZQk(Y_8g`BvrGXn zZdL95dnvH^Tfgv6P}c#Vfjv{E`mh{-=^h(N7xNQC%6*K;JGCr$p0`xVK1nEgq+`ZA-2 z=6D}PSDfGaVzk=cy3W?YUdgJArhPd`WJ+VjzPNWuvd7&cy@m!j_Rh9|rgr@8)lv5H zWu`Y>6>*q1U0J9lnzyM(`mJPcI^itX678XrwX9J%SY(QD=cy*YbD7Z6KOWo`q}R?` z7P^Nz=j@eUn(@Jop6y6 z9Kl5#L6rE%cR4{vNZK}MMXt@+M*%w#2cOYoTH$VJGB$!EI7@V_R;a*J!YAtvVfdUQ?uM~&l;leyQ6!rg{3eDaxy z!jH+A_7O=TBY3{OJ3N4FtDSxSxzSTHfUJFtdtb*4^rCcHT8ueyGo zeE$8@b0VF3bte7@BBy=FTkMldO}P)rIp>ve?|K86YuzgY)824l6+GOqgJ{tLpf|rH zB|HKzVbUqs^-eS(Y8j~@IV;XN_ zZ#eh5vc$PT)@A(Pzx`r&Df<=^6F#3?ZvGY*Ym8ukpT$Y42#Jx8wr1w9Y6Wg^POyl{bk{6G8X@QZDO+Sw6(X^H{BJ1!%I!((IbI8~+~}>^ zHJ$1m@!$E%9k%d90qO*D3S3^Y325r|I~u>03|CEMwL1kPLq9GLj!)0@Dv(BOQ~85z zvIISx<-D;RCKB+FF-@y1k zFGfGBA%zrGG+MCZAXS+V8$XY|>}YH4epWd2i(1bo1q?a>2C1+k$Yj)zL$13NjP4}h z)?*?8)EH22XQ24bYXL-nLNs*{_1axV^!m}AC04E5@v=O|~eCv<<{1J>3 zWOVhKhl61Efn~gXUnCrV&gO#kzSHFb`Fe_0J&N7d8+oq27-%OslGu4>rcbn`d=MeK zl;PqagRj5O>k&*@U?;i~8*yC^K@YJ9s4KqA)qGsQGdPm{vBxCG?EUw(2XoD2l?@xs z*Z%Q9Pl>G`V?Y6#VODA<2^ab)BUn5bF$jFs-ORlU04Jg$Y%(LC9X`s1t@1ws(PERl z^le8rkRH_d6o`Ao1OBz)6H-$!jfx|787*1vW}zk6A8RWP5w+uodZD9pC|%>eZ^vDG zhiR*dDNW1sjag^Am$|2OZZo;86LiqX?%+(0M11$gOS{Kv?ZT0Ed3aQz?PK*P2Lu-v?l30N6$jyxI$+|`PJWpj0kVH931q#^~>F`2jm|4?cKDg&N?m; zZg*%YKhijZNSkj1PA{1l${AIQTszvB5xJ7ba|_QjTZsyj&8oc*!v`(3aV$swM}G1&F`~6|qbA`Bp0}gFQ(4hJZ z_4vzNL;HE9Z%5P35?)3B^$Ggdya$QN#kNvAmbh|J&xhF)C(EcoFngX7 zW8E!tVbMK9OHj_PtldSbi$_$t!H+-Np!DyHcoiJ`2;ID3>oQGehYC8l6iB01J3Fo7 zV047N-!P+siBhIgYP|VFawf(Jxtz5@gYdC|`YPkS=JjqX zC$c7(1-PaWTQT{1^73neqYzDn)VjNbwxl>h$kT3MXP-ZEUtP_67z)$8&0a#3u7Df7 zq=+dLPDs-Ufn~kdT3YRIE!2PAZ^B>8%+#7ug=a~zHGeET;FCDYy7GAaK&g5nY*j+n zuz4%y?@-EGiAkb6hSSB7Ot3Yce4dB}`Q?DlDJ3Tl?Hz2+luSVX5gr^-Y}Q|W#w9y% zB^HyJ2BpwGj<N(g@N$hETgSQeW9fun}sqUyz35Tbx?GF3V2$pZ`w)4-4@0kZS

Y*$eGTR57pd zE4kPKQKnq(fT)7r>wqY?eH0MKnUg|Y6@>a&5bEPWs80l;t`0(dG6?l4H%fW^f7~eL z_(Rc0Jl$j?@}aBd9idHL#AYwzVj%cPaj+j>qvTg|mkkfOU;n=>)#rY^{0?u4@AM)* zks{nD=2xc(_j@y+0|HY*=?)JJ317ja&ohakK78G@&;9MS-vU?P48QXt{@086KOkUn z-Ma|C_d{;;mUFuoafcUirx$UT7x4!#;%+bE9xvivFXBEg;*Va$pS*}a1L0}izj&4W z)r7jeJekO#a<{^3RZ(~Ee}i`W8$$7e6ZSzP_lJQhQWgS@R{W^2pn!s25P^)Q<^ z3;b$pTgUS{+n(3i+R`%EJ<_wFrLF6^E$yxO?+*b|2>d#?Q@=ufD2nsc?-Aw9Rov9(`O$5Nv)cKONUBT|eVN?i)Eq5-%W(svbiL3IITjF06R4H$ zG9b8rsDF*(<90pDn8(`@aSnL_5OlJ6F$Is>kM19HK4!lT9_E+c47UG7JVvi^mF2F6 zr@%2T2^I+5qJsqjgA0L>qBoyc$d4l4Jrxmn;m7>Qh5#-1S7l48O3H>N$X3g%J**2?f&Kw*};GtuCSAJA{Ev&qyWT{x}qPG*zDIhQyd?1#v zM+)8?d6@UcCK6*8xPR-`O?!bdj*b@ZmrxUDdT*7-5kMTSm>WpOF{5tc2$VQ~se5r-}kq5VK;+Opyo`j1$+=s*mgI-(J)6z9M!`HT~2>TluZ< ztdBmL;=kIG*Bvi+PPz}SQTp-QI`Q>sy1Rk0J_;H9(n&1T)jn6LvIK*zuBj7#d}q?@ zCS|-mEf<@CLK!$kHR&-`_O-y`r+thc=nv5$^z+DH0RBy>PWs1w z9~ZqXm3u1e)O!Br(1-P+fE#bQ52pILCbC>yMsFy^+F8JVE7gOwufPx0SH}IR0lT;X z#N)5{KwQ{R#Z12o@-J5D_aS{^nnqdC|D^h7g2%M@QT&1R-0(l0;w1l=l>f<;kGIPV z?&y?$KIKb&@b7F3{+*ZNL?7*C`F~GwzfI}WQ$F?^CSUw3<+Y@IX-AGR)*Jifte(3e zeP^PNI>vo*FNE7bt2}QHLv-_X;t*UDWB2p+;upS68EOmW(+ta}Sw1<9=RTNt@S0cC z2X%cQVjp2&dB(%oXLw&IcKG_a&ewhZ{{a91|NnRaq*rNd6;%|z!`f1|vahuuRtiN5 zBBcw3dGqGIH>I;MGY=^-j!J<7ra-U}5|j{v1Y;s7#1NNYf~5)iM@315U_^n07;yQa z;RlHtTu_OLKMY{>d}rp)>uZ6QFv*?!=AQ4Kd-ijmCms_0gbqLM}?nF!Ll z73fyh3{s71x=u5l!1Gl<>+xbmTkGbvYg$(|m#%GnrXJh)nVrXJKCN}{kv+8+9+A@2^ z^Wi+6Rk!4+YN6&lYR?k|OibEvTx{L01n#5=t*#4-| znAB7^8JMc2rgaxI(TB!Jv0oA5#t#k|j$ z3$yqfP6K$u(=xiL=5g*P9wX{&m;M+6q?AJS(pJ6{&~t$(rT6cHE^$^#DRNlPcLP6> z)38DeCj8YK@3qq;#?oNB#Jqt|s=f-USV5x?i+XfcEdospqk#>!1Fr?kbPcOw4~;1- z$&6JUrxp5=oV|?g`k@7(ZD(UwbBL6+jV%NV$=Qglja7bX#5kG<9K6|qPiZ-dLc?!j zi!cf=MI5HX&#s%OLxzboAS|j%ch3Va%BUud7cKPb?cEjUu?fQPri|ezli3r~fBxni zNJSATtSd^bsHD}SGh0nsj}`8IkW$B)~=(iB^!Q=P)H=ue|iJVEc*+von$S(8u(B7{j( zFXqv&g&RiR#ELX@Qb!#LvXA!z(q- z5VwQ|G>d!_mwUJ~NZ83HqKD5YHvIVNV`s2WT10hN&w-9=|(JcJm_;qvu1YcrOl zNco9`6kRMv(fi#4SFQsm)yy}A%IX!?zr^gN=khSztHFVvF&y1DKH2^`WSu|*dZt}F z8;ZR0pl`D2wxQPil-cRqD{-$)tJ z4Frzp%V#UczyBCODMxhQiK{pi7$}z0yGr~x8?vB3!@m(yN%6|*oqwT>i{gB$7c&BJ z0;gBOkr%GvOIGJxLPC_^BXMF~18J5%R9#nX;)^ANU_*l~bE;(n zx=q}g!A@yl?n8?~i)4kv zHcyAQTb(W{s;}2!F?2>cNT{A(Uz+O^x_d3GJS{AFMprHF`JDSiDeFRo_BeQ%~kl^ZwFRlzicnJIzw?dBe(3UoC;`OP1S76R}CvlWssNl?1wIm>F)jD z_RbIYe9k1!;a5)VI{X=F1{;}PI`H-7eh@c_u(?8Wae;=%W<5XWU|a&Qr3+dq;X*N{ W`A@bek{T!@zcBWp<^KTy0RR88M;A%} diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 0bcd05ae187fa2b6f0983ef05c027929b7d7ec73..faf24da8cefd392683974b8c95c0ff579d2d3c9b 100755 GIT binary patch delta 17986 zcmZU(1ymeO(>9zyfCOEFy9EyrAh-vI0D(XV9^6^n*$EE8-61%H;I?=|kN}Ir;_kB8 z;`;O4_w#-4`<;KzoSEvHnm#>K-CbA7dBoF-n5VHH0VM{MC;vHg<^H)nq5Ze{q(c7R zw&&CREHr(hft!aMRP=BDQx5ydfd&N&k4IS4G}RRDzC(2e2&+X8AFKM;uhq;gi5&BD zeQ4g)%^k3|c$4AR)^oAW%bSyxm1WQ)27}Fnv-rDO;PXG1pu9zyecA!kAN@`%SW>!H z=g)QY)>)an{fi~?H>Oq4Rpf|)+MHm(vx_cLlot0=YC(L}w2>nY7U(&VyESD`PmLI{ zXNpMbW%}fM^7&ZNq`P;BKt$a7r)WdE<5S>KHB_!pXUqa$OrA(b7%Cy7frFl}dF4cDz@5AV! ze68e=GSAkeQxouWNp?)7Cf$V~P!bw8ji-YRnA!uH!ctwG}IpDPNOuBHCkp zS+A68YA06eu{+`-V5*+oD<1KOj4l1AxiyQuJdpZz@;=Ev2c8E4vLUX4v)E%b z^V?^ATk(Eo*SuuF0rQ_b#;w1ecw`XTKY`|+<=A*hE5`9t=C=xD=W)hhGHMPXy&fPI zJ1&!U{68aar5i8qKYB@aul16Et!G8LZ-!@yvacy#!2BA8%<%P}2y@S5Y_6mg^Y})y zTl&699!S)>ZeJRYUm!L(v6W+uM9CrHnAdDtJH$h2F%CG!dUervc^5x!eoIFt{@P2s zXE+5r_ITV(P$48nc`@M_`6|%-R+CJe`Og;P;lO26ytdgbAz3@;AAwh3Mb+dT4|C6W zY_&ufb1#A*O=PnI9R8c%-pJDuu`DO6Ba-Vj5T)cAO9Uc!Qc8mZbD%lwPI1>%y85rdiycK5uo~YJj z4aIZDJH#Kv6ET)4d`kGqsq?X>;ZgbC@n?(_O8m)oY(laP#lhH(iFS-Lx;0T|dVTKk z2u2YF_r(2IGoUryQ>rd~RFmi@PKd9j&nRA)L}PIGeodv2v|9KKx_Ak4;SXy7%U9za{FT#4|ZS<4*Z1XgTPod^4&$CN1ew+ZE`Ry3bLQ5 zRRq~y;&dA0#GI`-tpo&#FzJ7Fyzjhv;h_m-=%gY(h;_sW7NMPoten4S)P{<60tjM< zV#oGQ1jwPqaV}_%ZJv0gDx~)sWb648uloNG>@Pyk=-@}6X-pnF{)brg^>6;8iv{^v zD2ewt>%)D>SDm=VPZU=TgWnt|(jHL#=iGD$xrl@bQFUcLYT%iZifP+7cmFWdQBDv7 z<-Zr;k#qv1{Vx_S2=PQ+0^V0b)0K+m6fKo$3fdy=5<+*1{{2KT(=_P+Vg0YC|B|jL zT|#oC|9wGf8zT?AY-$buXA4l&2mW4YItRRRl^5NVIP!m7m3#3(szhA07YIA9m99zV zC6abb=OlMsMG=;x(ChnrF}|xc{LQA+QNycERf&9)#o-RCkVDC&v%HEaZv2dTJagQB`M#()S?^&z7hFh%<)G zJvUuM=f(?w8cG5`S$}E8vomTdaHe$KM*04`F1pfDcJj@q^_&w6QXY5ed2lSv1^$Q} z)N$p|j_40wd=6tZ{GBlT6YYObY}$hbu4l#=lx*QA&+|pYPH6iBS@2e~A2dK4QldWo zpOOAmc=Su`QtPN&3iLFckJE_eWV!h%w;^DJH6?o~CHk=~&KNYTfX{=yO2(oQA|*Qa z^>aig`hGhR?uFD5^cB3~&`dPUk{EP+Xf7)FzAG>+6leMml0Q`*ge19zR!BvbO?KMH zcZr6)*`xv?vBSL3yF^hX-NngW}?kjk*tZPtDp!vevM+Hf@y;D!bAls2fBMUss=*xk^W;I!mr48QY)ok zQ?#45kId&;lH1STF@uEtQvst;pc^>4{fyR9xm8lI{qwey=;2x5S zv;?h@$Vf}exU`5!+uwGF#lWY`jHUHFN$?fO6 z!mBzc#`70akrYisN^My5p)Mnk*# z0^}}vir3}&#O1lqGAYoOSi^DFwcL2lRfqw}nt`1rPszEKfA- z?L0dUq^Dh~vW%7M0?cAep@`hJ~a zbh@LD^w6NKFny!(5-Hp%2&Ub115F8pT~T)V;;vq!I~fF|_CEeq&>Cr%8>UI7G{H7z zC^DF@8CxmGQFtX(k5NZ`nu77f z*l$koarlGMfIR5>cg)F`ShKIMTzgVSsd`@AdJ3Sfdinkg`_tUiP=yBp;a*A3KS+rh zg+AYP2v7*6S+i+9k|2b<(F9qO$~#t}h92XpxE6;VbK*IrF&-b_uIR^)5E*X^%T9mI zMw1bdnwA7|qf{?fJ}JHH*r8uC!FOQm_utMxaBTa92Z%|weoSXwwRj;Bw)GUW2Sjgt z!1aSu9he@i8EjN`(y-l{%lgrdIg0(xrKGIzIhFG8CS9{`SNP+}`l>%r0ShEE=hlhW z_-@P~QSa3W$IF$?JWA;2pcG6DhuG7kF2AS8Qt?nOT3>0wQv(kcJc-k%6qwgxg4-I} z6R7ktIl$x2K|A}irJf!al4%J#w9^iQ&UG5eBw~dXEZly07{`D^;B^??9J(u;~}TEus@e2T-T`d zcyJ#I#!>l&T*J#;jOSwf5m1rN!^bUZyX*jnrGaceefLmKk1Je9cqO$i!*Z{$r^aQjnv*(iCdmLD zO{Xe7P1r?2vFyD5N+sLl=LdR!C_ZrX>+H~g)^xWK#5KqtwZ7V&pz7hiwVIM$ z>Gk4Z?e{NH_V|k-0jK!l_IrRH*ER;&eO#-eB^Yi8i9s|D!H!ch*Je@zaWq|GVjoUI z9!>uR5$&c+*Kb^?igUy=2mT`6bBg8`_SI!0&Uvbp99kho=RDez@06=w@Fo^`Rshy zWl77MICKd(H!Ylt_5h&04?$ZMe{PY`bq6xOwSV5V^yU|TfLbt4YbdHQjf}`t7}W9+ zPri_)Tvb-yB;3H~|w&Lc}qDmB1kMqa%B81OZeMJ1JL1M6gG1NR7 z+Syp1XCQGE zYI8W$xzm{QH>Dj1<>s&B&hnQBiH_{SSj3O>+A{c$*m0{PwAX+T_o8Z`Gm7Zohofl_ z1;Fw`BD2vEDo;mENjqaylVfJoCm!F%=<_0)7VbGu!ff>-7tXA^f)$ESW8@ZUwbJM@ZSW zvX8tN#5ZJZdgt7<&eP`G+4LU5B6rK8t!&q%7N4lr6?(YXW zmzu`t#msv>rcS)W65*Oi#V#m&YF_sR3qORI15Hmc^vz}}{}|o;r%QpbTg0 zhdZR88~2a<{+roAX)xF01utK$nMOv3(wlPkPi|=a6E>X@`|r{hXyZo;KmnufOOU_H z+V>5~mB>5Z03dUn2Cog@{zH)RP|+$U}V7hqRU2_4P>) z86YA@iN&~uUo|9IzY|_=v3@0zfyHm;ObJXf{KGr~WS{<=T!U@kv-T}=2F%&pjCigU z{ZbL&57A>5_;4z+DbxWa_ij7S_?-!*A?pGZ?>~~SRPPQ`5dkviw3;vJ$Wt}Auy`-I zM!hd{x^kwrA1?EYy*qDjaBeiK( zB!(($o5UetyLM>W>F8m5q*r>sMxy_rLqXbzo0?l~`4_Yc^NCB4c=o9~9p37xYpZYF zPhvANKm8qZ!Xy->r;qAAr9~pvcmlIy8=r2YoM6Is zosZ4zjO8NZqrrgYUPHujXp68t%{;hyw#`NPV{}hbz*TC!3W2o3N^uS+wXn4*EGKt< zYCi|g^eV&sDGb6{vR(0cef^%0`oJWCzs;(jX5tR4@<}aKf_3Z3>)y%Z7>(t3O|P}k zsTaun-U4w9{H%U1W@WL3O3knaqfT(^3XgU|+{dZs4drPG%v?>$sZ-81>tj~K?j8pWU5NwkiKkMlgzq2h{ zj>FzK?`A#sCIuM#fj(7oXCcu-G-p6R3rFT;0ixl`IgRS~tTkROt$u<^Wi6(044leb zEjJ>q!Yj@@DK+;l!}cyaT0idv5W@JA{pI@clk#VBIt$*LJYJjX)OFvRfJ&!(PM6RY z-;Z*`H#wUpA$#*#TdjrkUw(gzI4r=2UisUZ+m5e8^20DZWy!PWZZr7hadcZweePBS%z1zjaXt%I zrk`@uy8zpxYf*3Lu6%DSyz|1q@n{k=&nztW3mk5_)g2ps2}cxpF3gj`bR4Hxa*`OCkDQ=y32kcZx(9=S{+$gs&imQF^~wQ*5FvRB*EWgG(n2b4Z{JLf zd3ZN+-{;|S?EbyJV2+cT{E`g{1>@$F$BT;N#Gf@e*QNY-Y2FVXy+U!sHhAVt#l6PF z&XtHx8?7$@B|P(s%1X&*Sg^q#8l$Vm7_B`WdHrt}O@D>P%k%2|6TDRY+3v-FqAkZX ziRJc=3Z>8KQJiFkZ;w5^-R3qt3kcE>Io62nPvX<&#vc?pmik-4nRAOZSfn*o-{)T0 zNg}*;TDBN!53%3yhZ1m$u^;_`HV;mmoTd*QA1&9c zw&E`%eST*vUTK`)`{rFdEuW8@`J*o&Ab{+gAXr;Bn5IpzZ7f%N?L?#}BTS={@vb&T z*wMB0_LrZo@Nu$zRGYiRuJc{iKur=Wi+5h12JRKxAm^qx^g@WE;`4?Fz=@gz{V-tb zG{QNpz;ZC^Mvwd{Ip_7;9+ zn4j88y_W%fZ`&|Ra|Ss)D)$*+G(NXF$HrTI#~|`ii&?%o{*-sv*K?3-E)}H$nXQ>O ziv`r5uI$v+bEcs;98Dnrp>ylqbO$%}MJSYhhL3Fn%o(>Zx%)nB2|KP>GKZ~9!th0LM4aPCsu(`QMF#B@TCO{*(`I!FJvho1e!ezKkT0N+(Xv9F8I zt4gD`bed<$1w0Hmn#B_z#Vx*M-(I~aE{%Lms;J zl(I!f^I=8PB@;Waz2x8`Keeq@Kken>u^Yc#x^&OctzX6`x+NegEjD#L+;DTnMRBk? zD{dj?%<|QM7?-1mV?Zr;D|Fz)c~szzqB-DV*7!2yC?;Q!MTgGLsH| z@9hi`NIAn_K*$o`S&SGkh|W)Myv(`owcIlBd+m?RuHo_ksw+>u=8__doio!@*t;|M z@CRYN~2-tX)*AnIA}&1tG%W4RBj{MUdU#eDoVw{bXCNMz#R zV0jOeFLdBNB)^>6LI6AaI?Q52xt_<8$Fe~ZGY>iC%9R^iLI_jK^D0(LEej;+c&S`@ zy?}5zPm5qncIo?jMqC>Yxl6x*8jS;pxLs>YbSw?u`y0>sf~j@m1XfzLQ@t$_xa{h* z4ToJ**vZo|;@heu`DtOI0Inxplpa45FuDM^VvP2!Ez9x;-xPEElPRmw2Q_v_v2Mz{ zMp1!5yVRk6)(fifdB3N#o<>g9#B{hq-dUbjCwhrmEe8wDLFBFyW9a2vrqH?`5<`Tb zh+w>kxJ|cm?N4}T<-iudk)G-c&V^%cKOwS&VzV;bPqkqAG>GE0QdwD&9!#~TIR)T% z9G~E`S{o4a-F713a0xJpnL%7Ra2R#Vb6Ck7KZj7HN!e;g@*N{;?9Elm%G|!gZ<3uu z8}wf6coe-7@HtKY&3{=FAPC=gPIBXg%cew(=1x-uZg8<##yw(?m-cG)$O|e4O>WnpS{9)U zMHMal)!Oi2-3+_3NC7{=H}3(YcDvW8D@#t`WW-2uQJoI2jgwBmfGxsT|D_QF;gWZs z;pjS<^JgT(zAJaePO9`*{uF|lmRx(4b1GN4*&>@H^VZ9r)so2}tycNZ!4qWmH5X?! zCv_Y)LY8w(hN~?)pYp=oDO*A0#WY%xI%ltr)yY$yObZNq{@mEn;C~AIcF1STf3JtT zj8)Iw`-|;R1t|<(R>Kj|O{<|9->tzd{h~O9^k-*EXv$)ypieQFJSns@Vo`0cVcfGi zW)3`-9Y|#mFNXlb(MDyV#1vMhb5{hf{W#KXeOvAC)NeS))jz7c*XlN|SC`5b!-(gs z%+o4t-xm`%Osp0mAOrwHeO$Fg>Cn)QFl)}Z)-8s4t7B+*J@xxQ??q8Je}hv$)7AUU znDFTjKKjlsZXI#+YgM7i)cSUreW_l;%(GXsyUGa7bj}j?mAhLrsP{akUpnO1dB*v~ z0%USsuQGPBWgo1PaIU&_j0O?WW;rCj`m5RA9f<_Rr4FK{0>Jy8YXCHK}!DjQYu3D(2MaitSS1G#WcPM}*Jjl8UtZ=00b!1B;rXe@B00uh~RUbC=^Ug^Diq z|M1JtDuN9=^Z;`L>l9~v^L1rj)ZBQj+_bIL+8hakYv!6BKICOD%cwJSX((+DTD%K0 zjZN!Ll;gU8xb!p@F{&ll-uWNU51F94pQ7>)HAIW>fA^4S{^)z;E;Lr@Cic)_f`ted z1ONI|e8M^?E`=Xr5`}YgNqN!@j8-~m6`uWUxi2SVT zysd7AG&{WE;OjAdCro6&TAb5<*7ua(R z_I}e`nY47$&D7DARL7|e<6m;dq0d{mhv`yh>|fL)XPgE_vbA$dv|P*Oil!Je;(>Pc zb?@;EU_9w0oGdauY3ZKzjX=bw1G!=OPly8<8HU0`#P9Xbrv6%agfw{_GEDWE$^}Gy zCS?l}6{6l?$BueUcAvM0;0x^a-|CjT_8j)b^4WrCaH?hFlPqzq2&^Bg1O_Wl+Vz7O z&Uu3_JEWDBj$$igyfgw}gc;mkruVYx5SidGpd;4&P?BS6aq?70L)>C8;FQpGIQ-g? zrpDuDdqH>4G>#)-`(PsyUMSo+G8^vuJ7UTPab5MJrLUpBxRqwQ1<^`QZBPtq$G)2T zebt|aEfCYYL!kji7tV<1SCckY)qzelKSz*Xl_%b}zRch*wWKfdop;D4HcuiDdnKZ2W;cCX44d&phK6_9aa z6y*+yc)6ss~rom?T-wy8GG4)Sf-T77F9=^AT^h)xd|LymfeBub%p>ZNH zDXmrU&k*htQ5p}$obBqC$<_7^Qu#Ts)(04CKSrU`H2sCn&2!T-6IH9Ks-xyXyLXEz zQ$_F@fxoeF{Q`I!DSyVtuE^gH6NF#j71-udAt$MS;axY$n7-NQYX{A zg5JDokxPQD&k0e&OJ0Be*tTyP1?W{PH=4E<0)H^PwZ-CjeXfoaGJ1SPZQs^A{Z%N> z_FE<^t%=iKP!pv;A-(`>gu#itdfEuux5(Ve|6UBb4A5jW+J~)r3}D7JL5U2S(lQ;U z$Y6ms3snXA5|=GJ_umZ!kF^n&R05fhUuzl#`D=EYL-xpzHCPtsinhw|`gZ5TBoCox z0P<-zeOj_-{Q|m3%$`1BB4NoYn$nXp*RQ2UwLz)o%W~VzfAAqn=*BGW3L^$_8c9HosljZDy6ff~53gu4M;}kKNx2*gYRO#d!WpvC z%D65#U5!#7+*$3Nv#aZq&WLOj+*`c`GYOf~22HxMO@s zyVnJ+&K;t9mt84iv_TIKE^#068}g;wq$tPoDJ-VHT0F^$Q@fhUW*Pd-5YB%Ns9P0W z5J1RvA}B3swqSk|CkZTM4qqV?o8!*9HQ!fDeYpwu$uIEOXJ+ z%u8E-mo_CS0q26E9ju&_UlnAmcXeHFIgY5cRV)i;Qn(yLe9~B6ZxI+?Z?lQmkxQS4 z+|D=liXHgfvueBdNj8m7K1|G%|3iMT!eo0g5~#yyHPdF@+V~!<3tZ9*WTZRQdHZF zYV&2o0EkygMl+3TZbE%dmGKu{%$8r2gtv*kXYNJrwkghgy>dUccN7>bFdWC(-ToeN z2HiAF_YQ{0S-JNoQoRT0#Ewk|R>#F#6OA@)WkQ*9){lr;LO zu46@9)vuVdEka4|OrtoDip$8>KA?Trmmb;~U}NTXl33XBVgQhHbi7IN6-G**zcB1$ zbw103s0NoL8)G1x)D=>q}-@cfY@l(!EhXFFg@Mr-&=1C@r&>4sZTiSwYH)*8`miW%{EF#+Kho2=?k}8UD zMKTt1-)Gv)(LvIETa!I@r|6biS#0YDhM@cI_R>=e<6crBo0_Zlw(UNvk;%iNcH|9T z)F(52>VOl}+6&X15`OHPmc6OMHEJB8{UiIDjOFqT2|Oi7jjqfgo~c8d}7P#&dR1Kk-J+`-7+sbvE3qcBc@q3Clza#$l(&t=s_&? z?g@8I%z!P0d*4Z8Xn;ES4AL@_2i8TjG8;31{(Cx_)lkKSmFg zBmkQ$FNO^4p$XR`TUEW$6e`gO+q0R=Z>%$=cx|bjkv_MZWIh~sl6rFI%Er^pY~Lsv zRNpD{^hDkT=U!YMC7}~$-HS3mcPTh37_N?7VT;QWH2umPJeZ~Aq8Dwxmx8d~{z{fE z7TWkJdg`0#M(GsqhhJgUn(oV*s%9j8cN@T!ln7=$l_X>wwce|7F4|sKsE%$0CZgFE zn7a2;V#S^#ljVz=;(5A2+&7%1KU>0T1*;LEN1Z06b@x`xiub4)U^$-;o}MtKU;DWf zd>P=y_x$eS{Qky`57SJVE+06&@h8-jDYDt;Kf6?54W_;PE8yYw9edmz*&a8ltJ?^; zR7Q1&MCXkW%~g%pGH7=D1(5MCn(Ij?5i=J-UNl=@eZN}Vw=Nxr2f3E*ed%v>j4$*< z8_;ytpY&ifSV~N~yYTyNPV>^*T=i$UZRXmVbVZr1jc`t3i<2GSkhO|S#MKDwZJ<{s zv$dbB4_iZvynOQ3y2b!pJ+w)zj%OQKQmW*A+vUd#PJ5eRleJye-SIPLk=qDacDve% zIW*FfVL>(hyMxeon88ovgW}=qy4Oc2H$IKbl@Tus|p=%uMkeS)}R-vU8i>{k6 zu#bf+ht}2kH7bk1J0RaO=B*{m&>cos9kO3fIofyL>RRL0@%Z*@0HT`YI9Q<`Zor%+Jdtw@}x6b$m|nCiA*=!i@um zJ9WCjOjej0`jy$JwZfo9m+4roA8k+2XHC@?8XB>304s3im;Kn_C1Rv3zFr*<9)t~; z*vV_QiPG2+hc<9zM9l&olD}W23cMWRvwqhM4c92L@jy zG8J4d)W`R(lgz2gsd>pM?-P~a@gn;A7=(rf`{oyteY3#Kqt#2z|4Xw$`CBo+wzQZ{ z&*9)kvK^Z{ET@u2RGD;Y>W{VjUWmoMVq&~J;=ILkszvKPa6vJ*-5bE;YJ<&KT;w7h zy8+eRnJ%SbeeRlEO;0~|Xj7puZN?Bm2W&$^%4-A)H39`}8hBGrYE08#gB3XH+)nE{74O3)XMj6Ajfww26S`gp zo3X0^jD@w%QwLJG-UIo1hy}sH$yA}d$z_!~3k}nXX1BL^nJww90F{(hJmXZhN599> z`YlDpaTVXE5HTFgu}b?t(85D!<*a7(2kW7OrS(v^`!O;2)Xb{&`%iPmb?)*%M$o`TitPE{*+3m8`P%9Ny$o8R>)(W7Ld;jBKbWz;xteI^ZLRw( zmVRRUvRMm46$2=1WXs8*9k0*$l`Nkyiy~Ft3_n(5dN1K^c9T>d{T@mxHAq3U-ncAX zHiN^lA|T~ajbq(l=c3Zux;^Z0=+@WLahy%po(4kBw^Gp0Mjqrf?t*GI+|h4RnwOvo zsL{aNd|$m5@>#y{y-VeC)Ah`}aCi!=f)}a`C7~K}R#zU!n8LAB>9dyByQMi1)9p=B z}dGIla}nG4$%jwz(2C5z4^c#6KBa@rxEp#7Cs4%QiO8fBx{ z)wzUs&v-Te)p^FL6(@dwnYPD$sk3()8qP3~+6-nrF7Dqpe+au%O?taUr8-gYzyqP; z&o;7SdoQHhy2Vi+8oRbJ+PZ0vGAqzX;3rBlXvmO0`V;%pxE}{N-DZ0@BDs9^yKasUvdmBaM9a3B+~6yfY5}x;Q zhx2%G57!JPOK3Qk%%eZl6BEx@Gz#=#sfX}{mwY*iUqaZA9&z~!*}qe6zPS>8>j;6d zd3$@%*OAzd$4DjN*uiQd_P=FqIj=A`RSh!D8o%xu925V}N`v8)M>$^ivl-{v$S}He z`Se->Mi%rc?l|k)qKmUepPbO&FVF#(J?3JIb9Pd{=9jwh!iXWsa_7(Ly)M8Z)UA}{ z_Q>9Q(4zS^&aQ!4awo{fE1Pe1!G13x!|18A8;deUC*sQHtWCH5@GcPYX}USAc*0sO ziP>$9*RNS)#TV66>o<;W+zds(%f^|U@E*}%2s)jnn?51lTZ`q36hq`+_TJ0$ANK2p z2Usy!wB#$WTL(?da{ZkXW>4TYM6}LWi$Y`q!eA7m-yRh3LMBsL)&*Xrd<` z-wW$l`cQ>u4bhO3i<#C3p1odFC!ls5O-jIX5I#UwI4yAIzIulid z9@hoc4?$`QcNvOdvEmU%x*G0JX=?1Y( z(ZKKeK%0@pWc#M>ct{ z**_=QrB*$;?wP!1t+Hj)wo#S6ys0@Hrz_bydM*v$UDH2JN=HTOd5De7ZhNhk#TnZBJ|vMn@mGk)n>Mk&$1K!C^xb) z=EgdL65@%FIO0GLMI$k7@sIB5{kZUEP0{a#mdGEw&|t&#@eN(2sR11d>IQZt|It#< zyJHev@B6jxs0$P@`MG^dUt7&)A$f1}ab#7g?(Em)ifB`@MWo%aN}2eY{kHAG>-5YU z62w-ma^Uu_rmalNhh;&8&h}aV_K2y_0;fiTINy7z>;+)XDIi?VyWS$+g5d7&G`y>q z8%ASM%wq}olx@Ba@d6!(YX(&cd>$^QQ82Wo+Y1O4Nvv-S0gp9md zY?(;0ZMDwS1=Y59yBD#o7(zGECrn|EtmvjVCoUonEV4!%2_FA;+FN~gdb|FiefwTd zWd3d;DjU!Xz=>$iS*t)ssH=+icDQ!fyT5Nv-qlm1ox8IxQO!El=f4vfj4q7p98Yc+ zw9vgxvK%~GRj#&Myi4y1t4`1Fbdnx7wNTY8d8U%MuT@9Q!%Ox4n_{`t(@N zp(6e^*TM&=T<_-e_3n!f|7eTYhL@CiMNOLgsROziG|jcBBcc>-hsn!eGYW@g=dY0G z*c0x%3`l9O!G_aj#OF&L_vSGu>rHf;4Oz$b77TL$n|+w^+Y~%-)NjW(q^^$X^@Pcu z^|FC{f)INf%vErxHP<$o_7Yt_1qQa(?w6yWqnKcm`{Cx-3Xb4pVgQRg`eDSzOe3m4szb)RKDXfuTvQyVsb3OkUg zSRUR(kQVWik>+593Hb;;vpf~Lr>%el;8dZUQYtq)u0NSCR&Fr$uEnT=yfq`VflJwd zH?*eXb4F3K`S4Iv<3~v-pZUDo!v39TaPB;r1>QJo`^89hi>v zsPi(^VnFbgGN;9&;A4hdRTkZ5i)$g~l%r^p7l)6>+v@T8e3o~8IJmxU9srv#a7Bi9 zd5KkAq4O71^@9nlw+O2$sj0kr7msVF#ZPy}*-kekyu0y(peV2`>_?qNw8~(~ErzwX zr%Tk&2~RZ^a4qkL->en~AHI-uME+FFCdqMbP_u9u(&Z>Qzj}Im)lVV3a6`!4V*6?; zWa{trcM;1Mhmu0YL`7Sw*`h3mPXR;X6{P_h)hRgTz#i8|(#%vC_%vzjkIBqYuBC}H zUl_RB-AfL9(qO)HdiIyi-lLpqhHY-_s=b(Xp^C7s_R4R_#qI$wM@56*hY<1BTwG0f zSz1~To|k+W?P$D&Cs?_ZIF|@~u0x)vqgQ_#8{mDrkv;`*X|^ z12qXROyEBMvxM~r^N;@|!hxq~0ZX4^Zn54z*2M(fqMbC651G|Z1P`hRR4$#?%CN-9 z`k%U?H-ARyN2X{jHyyrx=+F$*G)+W#=p|%H@&#kMUin-MODH|C_ZKz_xzDZcB_K~8ZTqX*4FpT zk4v@jLQHQ-`N8m(W|BbU?!xWZ5W zU)s8BTj-F!%$Z2(2weOTrR3j{k8&+~>|xhpQ~N)Gew%-buKop_x78>T9hO@Um&{oZ z!x9N%gMScaA__o+HgPyvx>WYDL;FIzi5#e)+P&EQAJ$3Sb(`>+kK6xd7DFmI+b3)P zeQ+FwMO6F`>c;*L>W-eBKOGia`$6&#*riQIv2jV5oiE@Q=x(OHM>ni*QnYu_$jF%3 zWO^iT?G`yjOz$ZtaW1@vH%)wIt!ghGBQ>*Xqp(OG!Ns~CvSJoq$H&HcrM*+f$vGu2 z-sbQx7NDKd*`T!yzpzNL)nYN}R^|{hv1RO41zrrNhl$i2ZZa_yi$uxiMyb85Bbhy+##Mynx z`?PAv{b0P9Ux#ZZcTzjFg8Z)HG(R~7dF#$|UqL9KHKjtubh_|2MS+{6DFt$2!UH`~r9DLU&%d zkg@OmMgL}KP%z)yB~3X=7bZ^J=xAViIY%kW36E8#1bV@aWZrta8m7+)ytp#I?b_ov z+{{iwl-Ap$|1c+k@e72DZ*VH`%D>8$QVF>F{NNbWfPU6eg8B<1Ti43dA-$_`*Lg1N= zvd{1MA8H*4#d(=#QBF);h=&60zC$sU5AWF9ymd4?-Z}_vr6S`(3*WyhR4y)vtvtL` zxzrp)=b@@8H|fI~E-Xg(PVEl`7dc3$#FKBY&4?zJ@u)Xryr}a{pmL}NLiN|1PdT}$ z?7n;J{Ve(GBXHn(hAU?88vaof{42+hs=yy?3S-L2%K4T!1k+X(V>$#@ri32aUM1eb zDt$}J@c8CjRBYwhX;9Gw9#Jj7(PZaQjJ3n+!WsAox?)6nWsEQg7w4Ai?l?Dw6UK|{ zD&O!nxT3NE9L|BV7-ELUmAStH-t#_G(T(DwD}6e6+aY1dw%EEsF7H$ylH&D{OrLz( zD9*Hqe;l?~2r~)Ka0aePQ$8nuC59`?@qXH5s^r0f9`yvfBF-rrkZLLkQSi6Jqu)0! ztS{qIZZ(2_K(4zmUNRTi+5aqYEeKaDuF4x;z*(QAL%ZUNTK6Acbb$;LCbExHRS-Wk}{z0oqdHlzS}5sDGgA$cae?_R`U=mNJhrRT&FWAhk57_T9+ zWo~ScA&**$5?S_!D?>PKJbOnmq*|9yi)t=#OO;Y_hf~lBzZntyhXERt6*tu0^vk2+ zaD3llZ;V$p<4a@LhN9E1%*~C&7rBhHQ&%@{{FpDw?bCPR)@bS&cxq!ewKs5#iX?&3 zPgVR-%h&|A_THEmQsG{NvKZs{h zgrQceuh9cvi-U^16-EE|#qY0-pdJ?ZbkVsnG)GV=>yn*Tm)q)XdW7#r_FO_uwt~Xb zIg~p7pPAa(*exyuQ>~^uw(yMx&D?o$Twz0%+CV^<4+x(aQ=8?z5V0McxrP*^(Ybkk z1B^Qbr=4sW5iIro9*k^7NnSn~CD$`{u7Cr%FwX^#_WsqJC&%X@n2WCd#?uJHR3G0O zp8a#*;oMrg%P02Z{q6@Jvuwg|)C@8P=WFHo*m&})h#%Sja>U-B(`H_`JqPJS%vku) z`jie5Fiq&*Gi0>hA_W_E(0!fVI2OVaq0rV53CKXiBOS&mgeBMrHhA8zcWvp}QV1o> zQu5CpL^j3Hs#WN~L-hMhdv4kq@i=sIvkHY{R>bhGKdRi2Wr~$NgW2@1on<|yw^Dtu z>EUi|VAfE_h+v^72rH)to<9(O{L0r}Uj#gXV}mahUk)c{Q{nDYzVNcn^q+8GK zg47yBgN@%9$_b5~J{hS2?2XSkdOIPti)w`t?&t{}&P=qdoK;hnM#02xkl$LU9pM`{ z?8CJU&BI%Kj*f-y`RGq;f9gclIhLIAMUzUE@16GTSjzqJGAeT8AT8l_h=x zyB(|1Wwuss3)Xj?W9T;n-8gEvm0Lk)^&h3myn}75rv+<)bW|O**Q@>;@?&XhH}#&R zm;2EE>4!^tU3c0rJh=GarhP}OZJ@rch_Hc&Vq}!4(C1aj1Zi^fl&%)rFvn&IO-FzKjQUe3?{EZT6%oZkuXH+^s_}1`cS6W-` z$cc*{bSF^#^tC*UiE-M+9_HG*Z%}b%OQ$q){@hadW>JIYTAjf_i#)5dz*@avnNC^a zgS$l=3!B>o7RHuRiFF`w4OZ)1is-%S6R4ygoRP@((Wa71!R?yH8ut753d=#f*~K|2pG#e$SwL;1x)4@Ma8=z&yy8*)WoO zjjQ?U$5Wi!`QIkJV+t$&g9Vc|BcmxR=_;m0U&_J%-+()CHoU%ezwug(S!?%MjDzrB+$bT8ZT?NP) zeZ%7Egq=@c*hSg>(3Y>t^0QjsQP%6T+oZ|x+q3eUE(({vKCX$5*7eV9@2>fkbY}0b zf2Z%C>zv2`n77@o{l&+yMUoe`Y`N=@b$iK{qjih^Ox2kA-b?rP?p>ZQzqAz8-Pmi9 zI=|kGuhd%M(w7ar)%BvkN}ez@@3-e#RgR2L|Mk)}Pib?J zOP9>VK3@NI@yjOemvt(8PFhTPwO(f7(|Xe~q4KO&?s!w7>MSKsxu$QtybFVKSZvt7ob7D~BC>_WV&s^qM$1Z;99Q?#ut0 z_2T=-s*2RLf7-U~&O36^&p-Q*+Ovh?*$Q`FhlL8B|FW@f?*2WGqxC#j9++_UmQ907 z&vAL#V*+bC>!z$(s{6erd8YDzgUzQVuU8OHueS!b%6}DIzW?sYDmHEKLSXwK2XHI= zOK;Gxh``yiOH}{O3Yk6o#3o4(duLdKz0Rxaxa$JTqL=4= z-h1m`_1#Ze1#s;LKM&^z$H8Y9c zyfpLbRnGVSWUom7C12f${!6>QKF&Z?R~cR!SVuFUT?h?AZ14?gu5Q%=6AwU6drn_I zHY?6ZUpd0*KjVbf!0tzVPBgU6_qj7Y#`$5cof_k;4`0_);FTJ+CiKCGtPbx!hf`#T z2BP%cw+@tb|_!^t|ldd<_rC)b!yRoOn8a!93R$y?eeJf`;B{<}5pTEzP8^$* zYJ3@;hLC;s_UFOVSa9CzK&(7@mQ+JL4`1BIUMwTIo>W6D{F~8jf>Du?Wy+e;+_H*& zn2a8co4S395upadw_u-yjSZF+;b2}V=h$O9ZqeN%nqHVuQ^*Hc{4vF%)@AC*ynOK9 z(76yk2|2e$e`jJFBezTeAc=^~O{V~yxWUcBC&{A7A^}cKrpFf)v2TrBC*zju zKTF);k8L!5a2yO^@hgr~gDR+ivO8gehCn_!5M2y zu`V4fEhga{cl~|noN{nHwkg|i4H^%pV@X$EDf)OubwHl-{nBqFNjq3cq99&|;(#ti zb4rvY%1Cq2G4_C>R-z-}x-ITQoadJmm0gGF;X&`FVY{#RV_$n0DIE6oQ%=98X!Y;K zjL;rXr)cf=_8{F^#hs|rHA}qwR&NI3u_6?YtdrsheLMO&*T+DK>6J@%R+ZqOdh zs3{mPDH%(61e>%1gfagLdDH@BECE8~$5dgBK`@62X@3PtM>Vi{m2GV;JhDT&tBB$7 z8hP{x*&zBIvx|Q+mQZ>`i+{3*@JJNtE;7bmQ6}lGGKK?LXfpz&xs;Jh8`FWhC;7hX z_!}06Atr>|hBVY+_Qwlf4C$DHp>TSx-dj*~D)J@~J2r&d;%lhGj5bD#zE>bSJ#vT> z=3I?Br9OSc3;0Ib&o?KH9MDu~xEjb5>cKWAj~wtaZtX9mW6V=k!96Wfl$T_Y+!zod z#7)h<7F4*^y>c-EA7})W`Kl+eMmAdaSW+BJ9$FmdRJdHaL(Q zb(@@e^!Y>BIp-YI9SmPUqXJi1b9H8KD&Z0L{{iWYKU~xayTSDs3o4kFuLD80Z$R>I zK#Xrd!dYh0T%nf71BUb(Bb0i!K!iT(;)b?@<>mnJcTVjxOkTABEf*JT(?>G6b zY#=khpOb=F?{lOZppAlC1QRN-X)A4@`yCI;=PHf~LkwiD3~-?U0fq3O+@WrSkcSTj zB=0;V7;wA;_Xe4Ekph`dg(7(;KKBC1YyY^8%u5V-q8U6PfHHiSjh^~qBgk>37=EQQ zax1?qu%YC0$W@%`!62ZB>u>T?X=GT^F=g02(q^@oEBOO`pA%xRWJeO@A;PsRLFnIW zB^QXA!?z%fxq~6bjjmzHWpi<_`9pwfq8nK+X{L(q5L2ir28N~Bg6}HE$Nooj2rE)3`z|d$U~}=!ch90o zB##JJjEkz*9bWT~!e; z%1|~_u+kaAOa%jzByXh9r?6;@o;|zl4p`(^s~MVHATjlM+`N6hhZs+VcDMV zzYh$3@*AK4OQn)OQZS!=2JY%$Kp8^aa3O7|25X4SXa0l~^U~kMAtK@!JpL0OA$+I| zAJJ!4^$$WiLOp2T6Jv-83Tw-g-g&6M$dLjt^iF67>4ig^=Dpwwi{wlQ(;CP(gZ#n< zL4QTCn0<`u~P{ux%{IoW7;QH0(LjWzs#c?!K1ro;m>(C2y1J3|g6T}M{ly3kg zZOpAV`jH42cfQGuLL+LVyR;Zk>`*ug#1qk=;|;T(-vsW=b>Abgeq^b!{{(*E*k8n-cPQ2eJjGaK$FEbR< z)+4Q8#F)oLCKlFKBdwr)dC+~qP}7&(cOp9~!-?cIX#F)P>s9rW4Q(j=9pn+kVa#qr zs0_8MIaVEsH1b{`^yPwMr_*yQV8UD=TTkel9q7?XkVhi@m2u&ff$r+qCZ8{n_ne{k z99)|A@!JxEA7h*3zhtceSA0G?#|5Hu&2x>DjxpYq@j`mfD7UJ-zZ30Jm#Hwz`{5yx z_P-8=0{$8LnsB;2#ugC5i}p`?i^TjS9O?5vV5Dpv0^|Wb`b9*dnU;)XrR}5z1<%q z1L9YkZUir-Q1*ujm!fl|_ky=V80HgL=+1xbp0dMEuk7px&9O1U%JKBzl|tMYy@Nk@f>lubBF$*uT)(A00c8@h4!meA;KXjFU{~30i2G|q5Ka` zjFtbW2JMrvr4JE-Kb#=$M|()d1)~UFfv|Uk%J3aS zJkM?8K&~(^ZHPW`jNUd<4AS*)xz!Dx0N|Ot{QGCKjd6<00EuNXS24_&KDO|@>yFaT zx7tiFcZ6p0dA9?AFdd9DOU6*Hl{nP!m#OD?66gBa>q=5zTnopb3gVyF9h zUYaBk;(-b=%Ck2U`os}A@=a0yDv6e7)*!?)x&O|GSo@n!Bwu0_jI5KAn-8|I1c!kXBR5M`xgU{!}mZ1=D%?|7)jZ_bC(%Ao)=1eKlpDXZ$EZFwApfJv=5b$E9|ID^O6hl!Yri1v9}k)+ zeH+P{8_6P@5h3*-Hdg`wsqe4s~a*k}gHHRiSGAK|0jW>jgj9xsFG2 zMS5Iee<$XdJfrK`;0K1nJW1D}ey-y%)hmR~2ro+fuW&I;bG&8JwOW1INTyJx%pD=F z8HBkc{Wh3Llb>B!5OCb(5403dB8!nd(>I|)uFyisoyYQOo5=vSJh*_ z1x*ay+_CDppCjvuLfJorvcKWd!JOynX%g(kxqB^m_!>2gEs{Q6jVlu1RdM-AKONWX z1Mg3Tk7`0(+HuLlBX5$WgWrdE+)HlYym!&$T4^0aX%uRL_H5{a6q>So5RvyNSFksN zk>_P!tqkvutO4LowOWcFMuO-U>AN$=uK<>nI|9~y|6$6E}rAaFgF%0n? zUflt59!Zzb&=Uexk#j3a?@)67N0O}d8-UC&y#M-6vPYn&3eY2fd{25D@Gn@9w!p}n z>6ty5ENHb%U?|tTvRdz(uXn^N9we+CR$GB7M9ZII2JOL^R%d5 zoh+3WT1MS;@Nb5<(C(J&=GCeBl>~2p_MO|rKru(xpG4JC2hUTF*NU;QM*8%=r}-YvbP8rvL-MC76IgXuL5%D(D~7C zK>PaizEU_0B|DQPePVR*`tMqAK3Cxr)Da`Ed>VT^dK3@e;G^4CQ zq?J&fPmH)$ReFnW6j58SFW9*-Vb~!8oK%jpff2gdyl0RmT;s}f4(#bff*Nfosna{+tf5-f* zBkd_ms_TdR+OCw}FSq9Nw#S_&+%H!Yy72fnQm^xU760xjyefSQ0^i@CF$jXcm*oF@ z7nuEOZjE{Oh{_`zn>IXRR;RT>ZWYIAdDiIM}Jt3hM-R@j-U96)q#%$ z{4}E=vn>#uCK0)pufDm9d0lw?K%U~Go`q-sa4`0`0tO#aU&v>jKWqAtPg+Dj4WY84 zMRWFu4qq0wUxSA>my|9qNJ1!cd+b~lK5Qq9Xf&f>pv@vDkLxc;r%=G)gufl;{U2Yl@2 z#QiHD47_xu*t)73d)osQ&ZGDgsjDjgtd}hy=a{2+5g38tHIL7a{C`}wfH#eCN!e1Bz|yno=Tf!yi+^TUy$G+0R)g$nUy9{oDS{MO6bpG)P# z?vyYxF(QJzzWNNPD<5(aKT;X=|Co3p+tv)ub-xDhFpUYXPzHPQtWZ#GuI*mg+CH-s z3Aazx%e$lB@DC3+Fd7#Q9Y7c-KWtWR^`>umK3pepf%aCy`5WgCB4=|x2#={(_Vpng z#FOEix5V zO>4_Lq$EuUT!NgLW*saHWr$O=3J8``33dgnw7r@G>E#_g&h^Zc%k$=k$`^c%c@K5? z47B$YRvdjy^bGsab7cu}Oj+m5y?c#@&#~N0Mre+wiyy;8Cezvq50DLm@(~QK_CWcA z{i*VCKjy+tgiQ~cwQE^X6k z-$N&UCqrEAJ>P-*stL^6NYQX}q0-8lf9Ga$--|VxzHC0l&fs+xY<1jhCsWLkK8ELX zyBAJ)%>c65QMXQa8d_<&jpT)b_u-ub^Z{T3%MbJ>CH!BDApHXP29c9z3EbLTev_`7 z?FSwQf&`t(WjT;qO(-qi&wcE&(!dWJlo^A`)-tFx5vUd z>3R|BbACko2I(^g>zja1&yi^4B~zmP9a+otIHf77h-U4d+Z(dPNC`#khRGc3>8^Hj z>f~PDO0a>HNUw?Oy&D<9Cbq0q@|KdEB!q!$RS_>k#E1)ISWdi zUY&OU;UCqjOqD)BZB04*E+BC31WZ~Ge0H`Z;Q~6kKq`_^JPVMp=l4V*+ zAb?3Ol3(H>Uo;)hxdpw_Af07mslavbIPxQWq(JL*Ou)uZo_%?>VuVF*Y*s)Ff9Clb z)YL;@cqwx*corTrH$NnfPZDw&P|bpJ=0w|8Ei<-J zn1Cv$1W7)f1WmV<)JA$>#^=hGvZSq4WO1ww=}ssYhGvWhZ|#Z*OJCyYq#-8n3d-(J z_rq7SokJAiBNuMY`+dn8{qbI9dz{O+I0DoxCp(8}fa<-J<-~8fSqdk{(`Xtr^{nGo z(_*ax*Ur>5|E!k(XdNNy-=A(jB6{CfaAfzboq27C-cn~I!Q7wjcz8)bzrlT5#)pDi zC({AM#p4PEWC|jI)}8AME|@-U$@y9H%@<0CWwz|*nM%V&9tizO651AVmW@oXuzLUr zzFm&9j>oX=&?D`~TWm+0jfzk`{$9pR>9T>J51Tr~|Ejk_rLOVYY4()F(B{VG^x2Jp z?2z(o+vh7*#B^RYB6BzB4(}VEHP2;h=xyV$Coy6BY31}&yWOnls;fr?pR)=sV)BbW zFC?v0byW67gAtn96L3tEj%UJrymRNQ&a$}ytzduh+0AU$G7+Sm$1I2dhojNkv9g6zV&p*)H@@7k2! zR=I*IDguR~#?tMu+|7FppxLC|@~Q4@1N9wiH<{CCttdGka$a( zysT}xuE^RU^||BPz7r#hWrJ3AZfhckTN5alGUss!4R9Ni0RrSo}SLf^*fBpi{%DsF=;`L-(9oZbvytW zhiIBi+QS5}&WApS1Nl=7csbqgg_IyO zR9Q_O3yI~#M}@?2?M4UC$uhR2TnCe0!4J8;6B|ye5yJA-fP3<%f{gsmC9TxaFqXDt zb5o5+x?imy>gN~*__H5_4K=`s6a^WcS(!lzFf?*=I;#ZsQ8ArkRbl2}TlnC{r`}XvbwOsQFWANzO?BnPx=R6s7AEuqNE-rE@TRKX{PPjn74C-B}v*&0PK(JkK6!IoA3^A?c zRX=KhtE{E3*M;%rDv6~ksUUA9N-Hg0Nx0lKaX0<^*ovbI9LZt)n!`9xS=UlehD31# zFx07h-zb=hnl3NYf0t!=g?oc#XQg4&+9d8 zFg3jY;c%iMdE8-oWGyLNd+eikb@xdrQ1$Z)mXl!X(9u!{`%}N zI>`+X$}kJbg=+>yrAPSbf@=Dn1axHU^y*Bs+J#iYr& zW13ww@RHY{JN==U;=)|3re`j~PZ0c75OUpCNqiy7a|Bv( zifG<{Jp4?wE$G@6meVW7_AuH3!4Ze1c&J_GE2^PR6-%9Rc;Ht+9=`pW&2h@CztfR+ z=FEV9cqTV|ZS7stAMsc*4o$r;Xd^!q&AQqn%j-YR&0J3vA1B=Qp@VK3@RvqTJM!}m zd>^1p<{Ik~z#0Eh`*^@>J+i2j3h#H|P4zg&%tGTXs(15F*ND%RBn999S%Sk2cnc~W z${?L-Yqq5UUXQ2UooR)hf28jDi+7U=1?%kKgPL0jqi33~%zzisA}NFG={XG2&Z)1K@Ap zSJaOaT>$W%?8Al2_Mq=wbZ6dnT0aMC9-y+%WPkdnPj5`bU$LE5I~klrJhGS9_9?;_4$j?K}*rX*t<&%;zgEL$4Q zk;(Sk=94YgeeHNUZQL!t$&q&5=CKnx0Zt!dNXJ&VF1&bA;X>XYk|pk<8xw4@CoNux ze!8mxFY{sOuvhYA*+totc@o{%9o$-Jkzys#G@)PDNRF#WkKBI4T5(x>1LStP+?^?! zRe1Vw!k^YpnOot_=w=1it{X4^-0F4Q<52mD=?ay9^vg-A!{C9b>uQ?Tm64P-&rXka zi7JVd_7MRtmHzJ#6$|kt{!UE9kd^s{vZo~n zoHL&5{su4X=Tv~^hD+UUo*H{+?@6JyNeZs@xW(^})D<8dM$}dlLjlZRnH5$BYaR?F z+#L5^06on5_2*w{rlEh0&(PuUva}|`? zNo)+6iy;lCoxNWU+je#Ocw*Ntg^yvwOsU%BsFj|zSppxtduru*Ci>#8;hv-TpIYS{ z4pYujRdKv@INfS?VK%(sV;#DE9xSEuy2435LkfjqK`eMsvr#v97920k=LgEhd#w)4 zLlMteOJB-U z2va^U(t4who;Jf266e(Ti-$VQ>*(a%TRI&H)ofXQIa}#=H}z|VGv?7t$D};G%coF^ z?bl|%sI2q0!YNt4=V;_Hd9?bpgtgYmr9*w~PskrGBG2i1@i!`Ma5X*P%jL+<;aQna zP*zp=m!Oq8Z?Wv|a98D=A-WrLXrNZ7t~57?tSQo|4C^%iTz{UvzSijvXCyQ_P>SGN zpz-)dXKZsG|Kh#)MLGA}5$jpVW*w(49l<)3YP}v8m%J{(FCB_T2D zqB{F4XjAadLHr%>{6X|yP;=sl(*b7q~(rUk^ zb*JdIZ`>A_C@~Zc5LM9-yFo^!l!D)0o{-O)OWIN{tsGLwJIR$M4BzPk3#D8lm&-?_ zBu_FOf@tQ(ZK9-jV}mKPx&m}>CKfh+2aOka^eE{r#Hh7#&3u`OxkUU_Km-z~wOuS< z`J$kC$HYs5MxPl=lGrwmO6fLz|L&_UuVbDn;4HPzlvVQ?UNzh$&j$_t5>@UJB7fhk zkWI$fIoOD0doiHDQ>+ae^xW3rbD#SeWQ}q0pWOJTDhFSIyiEzH&e7W-ud=NbN?9=rtPcOA zXu5B`Q2gSVg0^ivD5fdCi^{!@YO>mN(pD!5F& znFd7e37 zX|nR83(ZDOJrpu@NK%gUiMg(MF2ojGDjyN9x(m)Hurx1XRd`IevXTAb4Rid0Hl{98 zt1)t=JB{K*`Nwh5ng2M`K^uBTo01^5dXY;62UJ;JtbKAcqEka=lw=RrnHi#_`?XR# zQJAP~8pV2T!Q#K3Kuv*um03$5GFX{OP&Oav`{8Tf!AO-S7FK2Ii3nN(lvJY#-rEzIIzUvJf^ zo$A5MKHSx`&Ro;9H7=xgd)bl6SX|0!i(Xz1pY&;Zn@&!^>@$6GN6K;weSsTi8kDG# zJXKD!?-I!h$3oH10AqoEv~45m+-d&o<&@-S4w=haXymovD4A3N>)XLtE=9{^;jRMu4p} zlzFp$Q7&$7D(fFvNw0it{&3A%xt+pI^UM5#{q$%@y7-Mwkypx^CjNGiZZju;?ytN! zE_kWURZ-t}diufQoXn5o(V#YE(F3P!Lrv{<$SNFRZfj3V7B z+tyLUeUItI4^ptn6QJqn(VDNXo`#-2CG(Pta}#kxw%MsIUo*Obc**R_60_%kRdu6F zD#Ls}W{Iy;U9boKth_^2-%N_rxw&ADKN4{E^o{WhzSvK4*KTwEie|-85ZuJ0F$}9d zuqy`;qpujZy2Aeyx{_S3w}yuFfqu_58(7}xyI5WGId(k@Fw!Wc&(#eJ=~$fYl>!YF z7(iK3a-&5Ler)^?CE=_T%TMvOkmm6ny0qd8LL}Xk+qnKdY4IOKTcWz|44jk^J_#m3 z?a@)lW34x~2LWs_Dv^>wR#we8-$1FtzSjcmdOgR+Mqd{^>O3fKOm%Z(;5p_gQhIt^ zG3?nP$2D%Qnw}^xFRLaHza<%lZVAse-s>V@TlHeKmE?I*>tzGfe5sSe5z<^|K_kK9 z<)eILqFjx(*M95ufE|;~wd*=PL+|sifDC4a-BIkRuyGI7Y>S9kOQ-yjUori9(xRO6 z6+5UM5w~3z9iNifaEv{!Q$oPkzoQW|nKMH;8XR*CY@h`kzKN7sOvWMbTe<1RYP1Om~ebd##x2pb2J zBU^a`X~&NaA(CU&)rOSj{NlJ#W%VwEa$BWC+rJE^iAoQ`eaUGfroAhoR?YnjcU zT4v2|!M(>T^U&KzyLSWfji(1g2p5|j%lAak)6AN(OKbW0u}m^L zEj1tf&U|*TL~eTxI5%wGqx~H~B|N#+zrK1C{_mCo_}h1>IM11;I78~$7xe-u?e~AM zMd1`cm(E9lqh3k!M7igOB5%Ts97VlSn8bZRUTIW zaKWiZ9k|){_E{Of{TO)hG^d?dh7cONrarot#0{;( zK{Z>O>bd$u#?V)~5S-SrFM?H*Px?$aSai@9%+|LUeQDh~__{Anp`*vtt;`2+Ue;!p zK(uz6Ng7D9?g>~W>DDfqBN)xxiiO8lsC+EJ6J#i-nhs7w_P`2i7wOTBL5-^0ZI`H1 ztF6sxA6skH$HrH*<z^b;EVApvcVCCH2slYb3fSbg(76wrQ1pf#l>4M6C?eOu-s|@|(K;v)P`~DhT z|JaV1auL=%P&tj0b8DIGVJk0Mh|9i<24I;cxM(M&Jjf>E3~=@GFS3UlQP6wgztN8TqYx-RJx3A+Arj{2OX zOX4k!hPrIc@nf~FJFzEVJw`34`@y6MNRi1BZWu!HR|D5V!B51+)o>Qf_f?wozMkMa zK&^dKtb4IYW2ZEorwti?ck=ghHIbQicv;=@J$k3iWHilLlz9Cn$7a)MzL}x_iesk+ zwnbIzdwJVs>{)M^&^m41BIH6ob2|uH8dKP~pU1_LRqKrecq&7*)#xeF%X=*j{jpIi za*K#YrZhgOeb9!*-W(USq~?^(hbrQB1>aez1Yy(Mci^oa$Hvkhc9&E26p}2jLHaR`PSADxjdP`Qtn4NsliC0wRTAEw5@+UL7l$QiK>EQ4 zT8I9^P(+)$30MCJC#v6GxD<{)U&e>`#z7>E7yKb_1NLnqYP7GrzS!&-zx!J)4!yd!31!7-35Umyj@7AXD|<0&t;15% z!Hv$wz4zDAhDNmOspzVI!}$P`N}cD{JGe)>XR=?a)$Ocm-r6=ak%SwJ@2M4dzCzO8 zwDu<<{wT9FQSgpnYd3u%(HP%*rzb|-4VH&Y|0p`60N!R#2JRUMJi)O}N;E=gW?l|i znBq$xyn(nd8}#L>paXBOpBSy_tG@(@cL#I_E1NTddg$GXTFvwVt+H$bS~JB{;|jEH zMi5RXX+uZ~OB-z6cV6+;!Imon29I(inEhXwwBA*;8rY@4QW*Ii z@~yt5l`E#b#W!)UTO<6IMXU0=qj7bxZaoUtcq}#yys5dil}dwX@+NY(o)6Z~ITMW~ zuH;&uGKma0-Mg8rvV3aTWLh6T`Xgz>kX@riIDwgDRCiPT#icC%mUDfY%6q4HZ?{N7 zcYTpvY~6WOVr#N4{Z{GsgBDtTYC+y>^enD%h>Rxh#8@V9T}ik#HvYbv&?zl8p40iU zEWdd^VyE6`l$JqDu$V)9&ou>NFF4>G@C-uY(V?rnl^fZEPGk>_p_1%si4;Fgb}L(W zedW1=Ogf+B+3}sZJvrg{N4>@px0|l>Vq4zdOPLG#&?M%;7AfuS@$=|u(rbpV`S!)p zdBto+U+guBbpsqj*N%B6>gHa1(?p#~Sm zh2>Xf28slF{n2mUIp%!8*Ml}?$8c!vgO_X7Ncau%*^XE3vMPz~>KD@p4bJK}C7H%6 zaJuj6Z+re8FS6AjCBUQxMKD$K0_EQ{L*P?vcFJUTMntlv?GZ}$g;9L=hUB(bu+7XJ zK~OBn+-x!w!&)a<`QiOyfP-_q+aE1-ta-z9f8av<(&w=iKBU>Ut8hl;F;w=@eGM)L0fc3;w0>}J`Y#rg6GGaO{_-60}d~G8`G@e=uqIG0B(MI$T=%oDEv7-s`NfUe4y{@eUu?P)8_^M3r zdy>fCzmRR0*eo!TSakosIjNcKW+|jIc4x}EK9-6A%H+XxotOCRZBqH0QBJ-lSj&$4 zT1&lneL7u@^CTcMJ__`ZBy zKuV*Gk%Fk|SLJ9{-)rx$W4s1Ds~{@5K^ltu(-TPJa;m^a?`C>Ep>A*wvHZofwVKu5 zk=8Z7kL+W)nbt~A5ze-v;nmradP@b7&e60UZQQ}Ra7Qv9tIIJgnYB+-toh^!v|3Mr zmb6~CrFXJ9aaa!Z*iIRC^qyfL!YPv=Cakwkt<2g_&?i(7R;c1R#2)*2 z#$Kq1k89b|oyEIfvubu`vO92kq&qy3;o9+&rOj zixyABuE)IyO1-AGd|+d)yR|cAH68lY1v}l%R+l}YUaf`_Y0pU4Cg|Q~MX>+8GBnsX zAvyZg<)yoqEw_2E{|EYGS6m|?_j=i7a+s>~|88GVA$H`y7;jhfDjnfUS#oz$7})=u z9P&m%9BV7T-7PfR*PHlbwYch4_5OWG2ibv`|6|D0o9XA;uZk*1`VUzrEAk7~ds>vq z-aRIzUuj>0=+6aj5V20AK47i4nm#Ms!Aj>h1}faGe&~e!FgY^iP8V?#rDwN5&Y>^}6nwSGTr(Ue-|4_s@*i zJEoJ`^-9#|XEeX{8lNkORdoOB`2HVZ|Nr>GVc54D?Htcpf*Du6pC8Y_xU(YvoJFHl z6nbRjyzX3?dA7fIV^IGWDLoILoVDxDKHI+_v@df1hvgsA!S7Db=Fq3P_<5(`DT#3R zjnn_fF#k{IU3!&WfU|l9!q_MGiv-bs{q|YPLe>(Bgt0ThdC%L}H}gxX|6uZZvV+R@ zf~P;JOTY3s$n_(O&?GHHV*e!=e*Bk3(psWN`}hANK5=`5{le!hG!4W#K(Z>P``3g& zQ~bF(beLHvA5?vzWFNkD7aKz7I?laG`CCm%1m5EEh9-&rJ(~4xqC9SdC{E)K?=>0@ zQTj0PHR@c~OWK@1C(P-DHQ=pS;&>znZW%mf^{y|Qj51}M-Hsvap&(Jf zNZBOSgW*>eAGJ6`G0Z+p6pdOn?vV&jJ?ti_c}%I|-6fn>zs*4#-C}K%TF_BStUhf? zX`s#dikY0g9n3X=Fa_Ju$_4-Exno?UFr*(n4Jct{ALM`mc7EG%7b|X*rq8h!%J$e!fdkmzlGWL5Kd%GheIIkN6)TgYpq13{PQ)y1YM8L9k6?yihOyW+PGa*RvZ zJVA|XcwkcN$Ln{D$A%LR@&yI6w@=@-rPR6nvtZ27ldr<*FTQ+1O}wP8-7S<~rZr7h zGX}Gt>${^?ybNQ^huDKViM4-gxT^7R)Fi9I7DWL~%O^+;&}rTvTH-XjBuks&qI}y5_wmHtc0N|8 zV#hdOzMP187T;#0Evu!Q$uY8#9_)&Z{`@uLk-B1prJF-}UoWQEY6wN4q;&1X&$C6M z#3n(CgZiipnL)F%5Pp@Foq`=NXENV;HqdYKG?s~@RAC}F!5|zkP;OYqETE8fw%IQ0 zh=IRPOqm=kPQ#*FI< z|Ex!E8;7G*|CGnI8ZlS+e$TRl+iVC6)kW5tv{M&WUq_!-K7YIy9mBUTN#wqp7So@h z{%5*%ZptcBlhACM{C*pd+8K9mm-&(FSG12r$qoo0BUPS7o{Ly4Qg<~WkhNH|y42sV zpXBDW<+{zi>pRy_B#;@%(IB-XLfd-xlgOSMr+-79pE^P7RpZ)WMW6VYmaIVG^~d+^ zsEv8u<+hhqa2o>F)tbVbTuPQ>8=&Fuc(14#>egh-JxbE7FJGE(7fEK?yU64&|D^fD zmL|zPa%$(J&&_KxTM5{|2lD;?$aZVI#@mtjnSRIf5a77Enovul4Gqa}7w z8tqcAThv*h?K!K~;jc}!`Cw04h?YXt0YyarAW$*eJhdL=Ok6xFDKbUSW+}IOzSCUa z;?Y==dvW%lVD96Kc+D--A&ZcPM$yR130Hm1Nf2w)yfYUKU=zsADCh3JsCn^3aW%nbJzTvugYiHG4EyB~LA#nEg3$2ob2#4%J(LBL)to7PfX{)rG{G7FzPOoob zYaR({ll~893wxfnHxe8ei`1Zf-O&o1UxJ5LE||d}qsabEn$r{OYUeTB)l+mo;c_n)Lw4k-g0H_}C#C_Zay*KB)QKrrUau<^9D_>g=V z%($n4CEoN2S<7w4ZE4fk)ttMF`a}M~*sp>z%V-{#forn+8bj_{X*4P5RrMUsmF(Hu zu@vv0R!2{SVS)-Iok(pHJ@ajcc>R1-QS?tUq>``vg7k2f z|4)Ir&}>cW$sQk{7hE7#G*imsR5^GF&Wkh(cKAq3O_nyC@b!odK+&jzjyx)W z_c(ey#0InoT6mTlxzNd(F)gc$QR(*V1Qp$L-##ZQ%P1UXs}nq}2ppuf&7!dT=59FA z5?8=n7|Q^2S;uuGp^2<$M6KYo6}vsGMu)QVrgL~Y4v&qv7N#?f$4e}eUp!i{%~q}M z6~5};qWbR9w>>Ez3s3IM3lcPxpWO=rnwxt`QNq%fxC2{duwcgsjP*@Zy_l{%Mlupw zlu=v#B3|5;Fn1I#jS$rQ(BG(!Bp0#sylw+I6^_;4NRkTzT^N@8i(k%+5FO!3zZ_P$ zp2R$JB(VMoSa=RxFSujD-IdrMN1tar-#gB9eAJO7m_MxL03SN@d|$BK@gJ(t*9Ihn z+fMAV@e@amq$v%@RW0IV5~QTP0b4~g%bC>c6dDUU=lJ)lBw;Nrp?ah=&VAlj|5J=a zF|4y-vQFlKNBsVy^^sDz6o*~*UzMi@`_yc~+n6q1VlOWEFCBNI`h@A_EKb9>kDAV{ z)&ldgv-tLrFFwq|RJegcr(bdLw>h(lwL7&XC= zgVyg8z0`WgpX3r&e(V;fcrbbn1V=>2uU)0K3d&0(=&jXvHRM8-`n~4%Bvry|`*IdP z)En;Rh3(&sh(tO`Wkq$&JeBc}jsg1$D4Wfua*s4Swr#^U$xS+ddybI}`_}Vrn@-fL z_p$~5YqMTBa9)!A87pmlEA8ZrW#I~JTvL?rJ|K9BG>=eQZlD>?e}+%HLpq@>pkCntF^ZF^5qN6YfoPK zq_kcx+c$sC8jZC)mTB4k{d}w%MHdWo^WQw2o9Xmu-mL##zqi($xH~&x@y&yIOKx|| zt$$u$_(x^A&LiLF>((k3zjB`@t$RrG_o9kB8iyA2zHK{fUvPC|p=IP9&nt_{MWbxW ztiRv7-QmQy=i!s3!oU{4ed3Gh8^3Lx(fWR>`J6L5)Q^2#`rPuDn$LHkYpE77r}tdC z_G3Cv-?n}GR)2Q$_TFM=_`T$}hw~>Fqdy(;QUbHp?({4^sw@oLZT8SX;%A-y|2D1l zTYvg%FQ~)bmISs`%SAv9*jL=1`xh_r3IaCffo*aJR!G}1T6zw!L0SLcsMaiC^HFdm zsP%a1er4$@5JTwLY;Z#oD0*#XIJET%Y;gjclfSIP7p>C6w&3N%e|JV;qm=#JSN`rV z%-cf5AkEiu%>`yEP~%It{{8(G(op^7bZLLR>|aT4aHF;5<07b{Nu|$15RF%0v-jT_ zub`))V8??SsGwE3kd-xFjq;%n+P~jgp|A3H#S*Rg;C{`IbPc$D_K&0fObmlHjK3&{ zpNgOE4)%?~EO00Q{j=%ZYFM)w;>h^z=R&5Tbp@c@2i;7-KoZy8_w=_t@Vt=!|0@`4 z0(N^bJBsX0ew4geA?im?P)EcP;n@OgX_FOo&ZRwiC&6+sQ8;k=k-HPtOig(DIC;$) zk^hHI@o(IC**e=GlBL_ae8=-S*2R8|&lLC>)cebIn`&iGue^CHZC~)!eUs!?e?Aqd z&nMI+(9mi+d*YghPu4GyJ#Oojc3{E#H_uM%+?O(Jo$|ZgckK+_9btEJxt3KdJtuwZ zaj5Ibl2ujJFV9Pdep>H!{m_c)(v|HeGk4zMYfEHb-)vyDzC^FdQImOgryrZ+44&XA z(_-E&@?1DmVAH7!Z_n@X=$`V#STEdt{|Po>H%`@^S8iO6i=U}dFFYmah1jo)rpqFv zRNW;Kq_eroK73hwzS;MUc7&Sa;c53+=0|L3xZ(Wnm#DN(U&Z6rmIH!()AJrzJKvb0 u@W6d%UX>sBX5VlrCOe)0k6v5(`Zu#q8cnObld18}bcRGR?=N0Zm;wOvSc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DT00RR910041500000 z0071U00000000_500000004La?0svDWJz{bHDJuPU%NBA>o?r>?9BFfr>ZM6tE#I8 zE#B;`>h9dF*JM_APkUvGTUocN(vy{0n~(0P@%o7|@WVm^BVjN|`~iVv^Aa-r09nGa zkt~ETGO{f5G&V*^_S#r}V6TVoi^q*~?~Tm7^~#>^&8x1?xN%RMIC0{{i4!MY@4ox) zyMKtkFW_$m&$VkaFW{%rIX-E&!hW;U4ryJ8vZZPOYLFd5p`D49cKk79P+d;q6 zY4u(UTAjmYBRCke8{}XwxE{9mgHHb_>IS{eLH|kEjRHm)a0Z+GUQpiH-VRQ}Zodsc zjpKTZkQ&D)x$U>yXu!Qt!m!CtS? zZJsFMD<5{Fh?&1;`M!awMb$*X9m8IiQUHTW&F}E!q}6PMd#xzIugW2GIAadI7F>VQ z>>q)(06T8#vc85gg#F;uR(=!g24b?g*8#$l0VG5V#dXPAU3Hr0z2G=(4-Udcf6xUl z_fBm&Sn0r`qOKcWkhhS7Z~#iW&3DNAX0P9E?hX2#Zf`CKwp&q%47Fe{)0SY~PDlPO;pJL+`7N$W)s+KHaqRv)#xA`wEDr_R%Z`AQhh|u>1niiBiOuCnXR! zJQUjx;8(SdwN`l2L)SyAOnpuLN4x92DUO;@7UruERE629kLu#c$ER8pNAaJq6t8y< zb!_tgYcIg){p4UHyLOj|`aud}~ zV~ArGj!*t?>MuQJ`QLi1Ldn0=?8B&4SnpJKGFabduv&~9@z@;rYezxkUqnnGR@+ zNCBn(J4bGmT|CT<5e&82dELwyzhd4_Kg7qSN!zJVAx{!3NlsCLX;&(mpN zI1Tch8l<9Tr@>qt_*LEd*>0>wI8;xuu~3`*FP(;ZZaeITtya{6iz0T@`0}NSyRe8~ z7=fmY%Hb6R{Y@JqY`7=xD33-B-P$m2a5#GQ4%<=i@nICyqMoMVKGm?*HN(iDj=C^k zrU-Jo6kG+C##dm!*=oL{om23(thM{i{;90URkSF02BX)5J9OqK*AN^`-6p(g^Z^C^ zC!L@@INpo8Jvf7UaDmYggdW$#p|6FL4oP5OAWajFtp*%r0*1~wc*K-M^{i*^u=zNG zhw$_yq9;-LeTcPp5;d9!a2En0C=J3^ryb1&J0e3(1pR)!=DzYl4$Z&c>0_9A?!4iX zf@UGb^h3d$H>;>3Yfx&Ed(?z)wA(m3ozs;vGo#uh4H-E&%Nthi_v zI1I71yQDY*Hhws4(Kh-VO#Hde9`_D~C3gc~z4X?zmfab0U@rvDP(hQG+Q79M5D}T@ zJ?R*n+F_Y`nnvW{0L5N;3)HwScF?Kz;~C(6Gf-Zmev!H;*^_6!P9u`sn)2hlzz^Lv zDE`m#kb;xF+s*du7AEdEe4uQ3n(b?d{?^xTaw@xbQ}?hp8z-&(n|dx)JI~CAxszu5 zOC5LKk6=7HsAF;yGrB`^QO1n*{N(+m?eO#3Zuk(1*LrAGPuS8D!36S<{8Z09p&2^I zke3l$!@L_NfMLb1My(KYn)~|*b8_?^r!@66zD|<-U#UvVn*XGWE!1zqK=Rb1Fp%J~ zOdCjFhrD9*CFATGrqVq)$yh#U92`T#7TfKrRS+sR^`9ydkbjb^Abw$t1vqZFf19~x z6l9`~Ghe3>?*)CDt+i>rOzTCjoS?DzUXc$d7>p^|?^9hS2F}53@HyQ%ey&=l^kNWA zSJzs*(NW8YcHq2tJqR|MZD{{ia2rkne2yRXn%AgirE#pv{!}ALl`ip%2-c!Q`gFPh zi;hsUF??)K9@x%`zyDcl_YWg_15SbFNh^9gfe9Zn4>+x{{F3V%OfMDN6$!5+bM^Fn z^qwY18i{UU`tlxFpme$QqeY2Ll~zc#%~gLec88gRbUR(63n$(D^1e?KqmAcfmeOle zZciCDPGF|3YTByCYL%&woKZ+z-^l3*xPQiUjvr;`NKe0U#o>6wkiP%)6 zrXn>Jsd263EZ?UsYTBZvE$S>SW*qFaMNM1Ov(Td4IDtO2+s*xEca#r}o1d@IzdKI; z&-;Cf+&dPYvSrGa{I0~Qv`nSti$Pjk=f#PJ>dmd-cG!L^P_u zXJbs;!?Zn2+rzXyoXs&b)f!W+G1VGVtufUaUVoKT4pUVrxiKs}<?IvcOMi*Nx*XcS~k=d-#qh5saOlP%`{jUg`&hCIE8NY!)^=0}pGc)DEln30cKb388_ z5bq6b1pRKBh;o{;O;Q-ZcS$N{jR44FWQ$I?o?B-Nv)n zJS;Hd@Yg)1w>p3Itm;cYZS}alI*J+k+KWz`ceWmNp7a!L{mw~Hz}*)8qZ>UikawRR zg^%^c7Ok+0>#6#f#pijyVSL^%Ya%9|quC7cnFs)3>XW6JUe!Cjs+SF8dR1@cs@`k1 zjeHZDi#@Zn7u2F-EUUq>+k-CEM|{nlZRYZq>kG2(csmD!=BH<}bP_g4HA4~M@@bsy zYO~C7OzP7)hMWh}R@+!b%6KjXKL@jSJ2N8M}JQUl(|s5V5;%zPey zzrp~!Kn;caTY8L{`EwAaZ^z#ZRipm)xQPptVcx_r{}|u-r_$fQvk~mII*qq+|!kBT$?#7BmjtJMf0S$vUW3gHmyJ57$pWfHMGh-Dr?`{1i%a z^K*sZ_MnNeez8;x=9g|3iZ_e%g;G%8#z3|Hso-I>7p(0!c}b2=_?7sn;amx#3N}M~ zgE@N$eY1fE;|WhYos-#C^cdHuuIZZ>hl4Zuo$$EXI@QVfzEOS?)Vp_mV~>94ZHQ~z z8^wkBox=QlWl_hHHZ$zszzG*E!#z4>?lj?1&``VtXsEQ$yoj&G(tL0;0P^5Qu(A}q z92k?3eR`?|D7jlEIR3j4fEWi(R6`oY3_C2=0UlyawF`AmAdwb;Q(z=p=%TTeK|9EJ zWe~!{R)A|4x^N@#zQ$MR!r}QIc-X||7f`$SS1cH@nJ=nus%34^6VwlTZ|NxZ8ky^F zbJjjh3tUodb}I-mVO2cfP6V9?(b>%qBAQueM22iK-nXE-uha9);qvN(-OaU~^=f@* zcWZlRxBh5z=ictaNA>E%M|XE?Ya8Wl4b0wgtF6Oa^tE+x;6BXK?}LXxHq81dudi=C z+^yfM-q``JyU1j%w!5)byIbA7yIZc*w(9lWd)2jCxmLkXohW8zSWV^Dlkn6C;Fs`y z(C_eDG7FiVx|e%>!)3Ewu6&x=tlhb@Rol^tiHZxx^qXoX(SCDqtluR%8CIz8?`-W7 z<=SeTMST~I%(gLBhPrs9`3{szHwrb*e8jW8mzhItt-f`?R#^kj$~92$2s;z+iLbJ? zv0YsUzw6bzo8_JRwYA;qs>a79bPEgf%ZrP*3QMKZ(yhh0!ct**WqxsKzA#^0TwHSb z+Uk@HkzwBIaHqOiUfgG^*m@HtxU42C!4RU!k}n z1`mO(?5ncp(gaQxwY=C_H>A*cVBa48H=P?X8Ct!8f5N z?{9CfKiYk;wZ2onySA&E?%n(A<((~)0cjmCMKS|UnQYg#KDAas3%b9veSc?nwOYg5 zR*l|qJQOs{q~Po-eIuZXOekH6PlhR5Q07|?*1+M_`=kXkMnU zye3b^>Ufe|1FdnpyaYZ|+Zvp4m$7;uRaX)EBtcz1u^?1^ZEY1lc!;1_GV(6kvSgYJ zv!wN%^3ED`KKiQ7wOv$IWvyP1l{70Sd-GI2+P;d|+4HW|tM%O^m4#vnU-9Ii@=pRx z_uy0@==@e|)dy>vx>qM|g-8{CY~9Mz)1-h+IA}$}8exIgTHy(gOXIwf#?29B8E#K? z9$U9Vqq~EP%CQA=UjxvR+K$4vf(K@-vjLxR_f&s;2|wECt8Cv_Sc4m|lzHhlroap` zV^7i&Rr6Cl%$~iH_BB&8!m{T-)0?r%<5tcNsWe{*Hf|$;M}QllAcUUgQvecTS;uIE zMtduS%S9hT1J3am%)00pvy9ygPJ<>xWO2od(|~iVLf*fD-Kl-@OHSHl@>tL@**tD7 z`{*{{v^rItr12Gv`jnC)!;Ytg6`j^AM|44%tI=^iXRqImheb5dx9URVXvHETF&1On z*|xHw?ypA#p3&mL{^p&q9nChD_x28!!|1?plvzA%w)Z*d_~tedX=MQuNx!f~Hgil4^qYg@J*&nzF{j@#|K7(bn_Sq?4vxddQL}CO z1@>O1iBY;X!nd2pgJWv;&J)`;2$(<@4lU3V4(`KSwaZe!JhLrF@od?nb7`aF(6f1t`xD0mH~j#7iOB-Zj?uhSa9 zyWucW&8|21x}oibskpT}YVNT{#4h7BGSyGhpTtoQHzBgYz14LLwm`fFrWNA7%{qzG z)dcgicTH*(>~mC&=+`r}5Sr<%n%UU^{+B&CuITWAOI5AXX?KFIxnNUcR()Zq}L=5-V#uvkZghm$Vy(&2z!kX(}oVMNbr(N&^v86j=G(8XV428 zttjl?Z1Kz!myFab7&GmoE?t-_VaZg32qE@SinnVG+ z-P54K(V#i6xYxu`r`tF>4K`yM*=1<4tdJpi!^4hg zy%JcxVq)35(tCZ|&FZKQZ98Th)7gIBN>=Loy z6;)sQ^p6;u>iKc9HoBTP?t=inGr(v}lsh)pRS|VRB5Zc{F6P>1gz&JRDwbA)8~E>4 z6=SN)Xh_i(C^go!5z)j@D3yX6_|ITqE(OdX6y`+)W*=^>EQyHHt>8xKmWf~=gA_7B zf=)BK7&*5@`SDEFjAI{Lz|0I4t@16w zVyU{b^*YtU!nK*T>(i^LIOnTMi7FDh@4gm*p+pVyn7VQLU2UzRX z56n6-Xh03FI#TOKRVIghVNcH$O0XHvoR<9o{4hZoZSVL5hj+oVUw^B4qVp8RWsWeY zbvzZ77R!KLjh!XXAE}_>o1J|StUuVQ7)ya7(*05(z zLt|5lquRz)Fl-NpwjSAGjAEY0Au05ZW}T*%y4Ds7wznAqyw8x}VmR1WlOeI>H)>cc|PeQEMY+)KZ z_I;@>0Ykl3!MqN2lYQ zU3E*1+VtXos}FXzS9R>!;Aoe|xv7>s3(bJVwTWC41SVc+58cHoi4X(SNVPEzD0{)Z z5G(S(HR^WaB18ce>Z_wfbOxGES%Wf6y0+mG=%SHeFwT(OS0NssN=HhmxO1T{e zN*(x+^A+2upV|8-FyS%b(C>&&FV>!kf>ZSxJ#c%_n^i5k((E<{&AxTCB;XS-H071v z76!?V65N*L`KIUGu9mE=YeiChye72q?=x`XYbQ|t;t*A=hmSBNnZ5*`*k z=AnFeM}%L%R#kXT#E~bPNG(m zyTSm7CsDG*OMtH)CK_w#44n)IFK%#&>VzYXo*pb~)6tp!-FE3nh$s0Li;*3U|bzcl(hc->xBL46=o79C~MEuimRu`SKnk;2E(`l2) znHg%+#6mhYYNPs4uI_AaZ~~(fj)Wdp*Ub)2;Ld`e1ve_|pT1wOnE`pC!F<%A&=+y( zmGUC z@C8qTPFih{{DbDZ zj`kd*Rh<=kOt*R!yFA#*yIEakE*HDHcd8k)hF7g-O(l{`T1jB#6jB6(r1~JZ`=&~& zyw%{V*|HSbA!_t?Y=28tmehr0IfhiQ#O!ReQ6;f@SM3$*p8n(8P3wqHVxhUDcfr9> z*hg)_KsK6LcmpLB32~zT*;sH|ns%bbQM>tByXI46He&e~rNVZnW)&x9sc60_t1Ch$ zhHbtuU;u|_C>mxs+Ee>dfF6E!+kXxvM_G85bhIQnYECYY`2up7nfYQExKcw_APNxJ)o5>UsH%lt z=$^8fs@uv{G`7#AnYvB2N?mjialA!$yJ~wLDUnq~O^badYN)$)61A0UX}g8l3RLR; zlMs%oh$p9ufm=e@6E7T^*$KtO0uQ!2^I^9*KW}DQN5pbEGJ%2q;s=P`Ev&4R5XR$) zdJ)m}@BoThDggzZ)Sd~lIWAv&8|MYU?O@4IAQ;0#<-M@!N%>h4)2~-O#Ee!H=MD9w zd`vvHK&e5Ke}d@53kQu=G;OVKT570AJ6U0%_Oiulbvh>o8(a0_yq$DUzTF&xuZ=@H zN8uz<#YN-4OT65`m-0R*!F#>g9n6RgaOS7Bc40PF+wiV%j=Wah7{hGJx&l|Q!9llk zjN#%FjSJuKNcooG7x8V|y0YR?kV-N#&F0olaF=a#d(b3{Pml7#XURYqdw%(UQwu#hxloSFe;;*Yc*MayBXN zu4DQ2dftSp`n0&$wjKs&ODxK{&NZ)jGi8MsYU^8fc9O}YgvcXo!gy${Q*k!yq#)7qt)V5)kHe%QO@jjz@DMVUF5Y9WwRz>}of}?5%SS z$Rf#b00LC1lyQKYIP91W5O|WkfAWovafL(;Is;>JXHdv|Wth6pSSVte9HzKDDw{M6 zZ_^*cH$4cYlN)O+(5~YN_X;Q6?Jin{W<)Qr0081pN@<-#8xR8h3wC10!g%svm};9x zuh{omJ+g!x1RBXx=h49R9_@Ax4wU~qHsn-{x!m}%wZamjlz3qq0*~n)r#i#>26XuL zY#LomNrMcFq>|oiR_ngT; zQPji>4P#rq&3ANPXEmg!iHSkD2wtson$4Hm;ut3G zWi$fhF0 z>_F7|4w&g|E?gTCxBa9_Ouli=hU2W9{Y%0n-#)=q$uXPIsc;i&rCEjo@#;EVZdm{s zei@%JTA1AkPvCbrM12E~9#JOz_!>(>pb{@H0if(#8EnKeJ@2+5_UTdh>@dX2 zXFN_V4;iN>nU;NXyKOo4tk&mx92M+hEAXyqgPC|UGRednQ6kyE6I;#HE9R3w7$-1@ z{ZNcG046~!yyBBTZJa>lAcBIrR1S6>WD0FIt<9m3{gk540K5;yu^lCXc(_g{_gYYz zO~W=IvkNdw@sbl4BbJ61*|Xze(>G~j5pzpJMN!y5hG&vq8LHLGB6IM2dnwy`q%&O_ znpo0GmYas?eB&;}(Mf`D=Uy6GSm9JR9-=fgd%>llMy6;@7=&HhWj8K!Y3QP1&_`WH zp;Y@r*OZ=XX(*ds0b4cf-v5OKx0#<4GkOUoj;1lD#|wxnE-3Ky-hVZGN*ty!epRV* z2&IqDkeeQ;S(3|6Nx_KI2Ta}Q;R$-XUI2JJ@5dkMUPzNonGEoUM+6CO=E~Up;{u<6 z5E_Pd5FYX;=P3sCd>eFX62)+ny-vvxb!*Xap4#(M*rRH|nA&qR!p9I@eyn%xVaO77 z;i#dNZWf~7=<|G&oA+)$FcT(<1q&}Tzh(YkTA|*{v zS~YmAq}>kN#@j>Td=nnEPIsR>5+Vhr)&xJw#C|zGa;m_r{nw!MnItNMn+Ter1woxKXqGgDASe|Su!dqu*s$<<2(E7KBnajx4iD)O zM3^2eMP*TereUv;VDfvTTHn(7k_`pHN+xMy>hXs+b2pWZx;_pa+ zD-+>b`7`t1ra8VY&g-qsvzl|gQ6uFvS zQM-a(p{4yq3fL>8m-@T?a7~aaYFUurCs)9V;1!Zf_D<3%`F`ZLrI*!P=c8s7ozZpA zhAbxVx*qBO<_e@htw7R9l)JW8b<3A^^u@fC9{`fd0LuljuCd<7PrRs#(>rRHqA8JG zr(!obd4HnEd$xNx?-T9b?h|9}`xzi&4YTrybsiYlz4JZH;)FO~jbqS@Qy z=u*vIRJhUff)T??bJqB$Q1^8=K}BkBi5^WzzK{q3@RYke$=!4Gc+~kQePXifmZ)Y? ze9`c;BPL14MZy!Vd|Q0!*Rb0fglIG9FTUZ#MT#ubKBeNz=>U6<;_%`N@i3ZE7U3e{ z2^XJgpTci^hopR2=z1ahqAPrEn!YK$tovnAWD)e2{<)}oJFlebUZ}OPrGNIjd`P~X zrDExCiCPw=_jh{FMcvyuFID$Ksfp4Ho``mD0GQ7D87>ljI$F=ppsBhSwJb_6VxPCt z+xa+EdeOCyE&X%R?(OWJD!pIp37!amXWQ5{J)WH_RHYXcE`t8j8vv$z2HU-|b#Es} zI!nUA;V-_>i_doD+u}=W@0Q=%^Vj~f-SRm$Y*)&4{NSMoFO4m{kcely!`G9+sVNP6 z*(L`%f))PYb5QwrDyH&TV9}rZ3oMlWIm=G7CU(ZBb*4Q&g~2J?E>JSnnHK^(ubwP)G}7ja?!1LM4jeC3J!m|JWS9 zvbMlcE=#|)h5#OFIkUt`PjV-g9os7;MOVk5;Fkyrc8J(Z;EYrxSIU?M&IJD8zLzB)aQ~hiOC}|pz;fZV2rRZo=e8ad8HLm z*s+Wejq|x=jGS^>5rrMg7(c-CqhsW3)QTvq2nucTMbwn!MAeF4#|Rz?#t7*WzK-Wa zV(P02JH{T_n;O%JPFyGqy*?j&PHhx7sfO3OR~Z#jJ&Agu+QG4=pIc<5-E6&v0R zqnUo8$bo3_xp)+!8JyL<~dnT@RjUZ7H!n9OZm~<+DX1P+qlTk^#E3vJEJ>1GOgJHuj0eCe6xODph_a*l4?*DxZ?8o>9+zf#ui#*0 zUy%;mqqiNj3y}|ZH&?4hk*KHWN*3w zGG556;W;ymcMxAbWC?XO8<_jGNVxxecA3@5fz_H zIw?LGc~&$T?g;%tDr#<*>?KM!GxV;GQj{1uQen~fgiOiPDkesjkt9V{wp&-sD#vm( zDXQ##eMOaMi-K8li~q#PGWjdAL~AtBY)0*;QHez(Pl~Q=AJ10!(#}R5KX_8D&?!V0 zA|9&_{jm~);i3^8MM1Vd(G;v!ng3N$V8ldGkk#3m0(&UN)$qVbgrdN&pkLZqH5Nr$ zWF4Dbh=|Tu4MH8;HY8M^5>UB@G)={re&W2tJ{H6-RaRlsVA7;j#q#D!k|tEMZAxj< zf}wljb!RegmTswDKc)mACFAz^k7 zZi0kKt)A`LEXU604!Vd=F9}P#nCIHpZoaSrJODKl(Rlx0UKH0<0}5)&j#*xa653KYZSnW7VFkn5-NPbgxUH{(9k7~g#2_-|DSm-k_@s-FBG#x zcb6ne&PGmxD51O;#8@v$l$>gt1X03Xw>Q&et4~_RlORgi>Q<{~iIQW8Nf0IMbgS$I zQS5u&QNir=u3+w#W=DJssuFZzqgzd%BB~wl`kNS0MxDFvSCAB&148V*b5LaHMW&yY zjPiC=xDd6{)tUqLL=B~ZME7P&tt_?p2#!fr%#z7nNsj2-BvJA1@QD#+^s}oS7qY@K znF&jK2c%+;=wbzX+@+Beq5PK8xqGsujA@DYERrs!FcJK-P$u<(Bnd zPK+$!Ch?r~Z@D#bvJ6`kx5OCEL@OJ+{29CaXkyF~E|SG;7ia6x-kmuyvV@s!Z2+Mi z472R=v58S7>}+crWT`qQuP)*ruxEWzwyb@SCCrX1&&aL|5hk?!(vUG+`7?G5H%Y>T zrne?TmSc7tH%Y=WcDJ(?uHNEZ&e+?6Ddd830t%INC04&Zv{{L>X>)=O)B4Y;z%L@s z_B>@pShmMAgq_noxDa7NjV}qurKl+wF4loFL2+J|ihO9VXI&GMBuwh^Y{zFj0qYQX zJd>nLxJ|5qm6H9M+bm0o%kMV1kc0_M?m1?Ygn%yFCMQUjP~^9axM%rgo8$!P5;nW% z0tUk`EBKS6%O2lA6?V(-z(hS-Q(=O139H?6Ux(qB)nF5(%gB`CmtW z5NT4AXL~*pg4I1zV@`-Jp~t!rbxTlMyigMo(H(?kdZ##@kNRJ#MzcezVgKYEiG*>xb<|gb#SUE89rExayI6 zYd1Unp!_&&w!*!ZNy_Xo-!S_iSbtE#CJ?;h$(NQ;*e?@2P-)JPs4%yDoFYrj zr&5A?W1CM(P^;R*%!6B z-Z^YGf=bkC^)z!?PwN%b{2^*S>Y7+8-1~Png4?Z5<1G_M(ZT*=k?ujW+aH9jAQ`)U ze`j{9-8v1{D+cxjq_i2NK7D}M-`}}uKf6Tl$Cpaj?VbjeqY&GgV{D{tJ8Zla?Yp=S zBJR$h)e1zpu?-rrcvKOad*BDS5yx^4;d6Iu%eC@`f|^mgv`X{Ajbds3RUM@px3D#2 zJ2zpra}y+nLUAFuf&UB!B?pKwuL@#*5fSr?CW>^FZUr|=w?qjP!5H_`_Whg95!_|WE zz11os*DjKnX`iIm7LG(L(xOOfP~ z>Rc5^c}T7h97-=+X4V8A3U0~BB@-4nSLIpYT(xI`bBUV;&LwY-AGtZbk=V64lyyo4 zrFVJgLw`{Rcxa{BJxruIy=`w4YrQ z;t(*l;k&KQUfANtnVApaPruXY(;0LdQGnCE_SMH3j>^r~^=3N~qvj{EpP3l9tDC!a z&R&m|OBw-luh4g(U->OQV}-+UgIs_x#ofyKrwxMt5a&Z5)Ouz2&0D*VO1qn4EN+~X zM5pisQQNtnXye&)FQmOE!$0%xyYB)FkIiFIRQvCxg@`qDG4$Gw}emGOzN;8<=$z#F&8viQP{;Ro;=+8@4h@x5aI1Nd0e0% zh8~b)#cRPJrJKb}GMrzy46aXQilQstR0O$UcNDyWu#~RXg1Td#eQ9+<({S=I@_!7; z-Av>Vh284=H3(lgzkdNF`mth;ri6+!r6prcQ@TLKeMP3dY6&z|CY<5tZ%RLD%OTq< z3L>Q36of89&9}2vOK`znR~$Vc5&Ysi<#9y-Y$;i8rzLIfwH(f`fM~NqqDv*Q?0sz z4dM83%Dn8%m=FIp&Tckl$1lZkzZr)h2c{2oAy;S@*J0aH&+o=5{z@!F_S?+Nzl(z| z?Z1rSkksV%9Wk5>{A>*Og&1xSfBu6w?wy#H_~VST1zeoV&Bc9FjK#(MaGbA8;mKm= zZBOJcd+>cQ#^>^I_K=Hv;(;r9@co;(LL7ZR5yQE-FOA_`9+eo*#kmwNuH>QRJ7at< z#ozS6xvvgi!^5jz%t=cR)$cIr&{uo#IT?4*zvao}!ycOdqo-`Xa$I)Yf``6eh-q=< za2BD9`>P(lEO_|x?>%|^h9~Y{d+>Qk5yxXXT6}Q7^)X6fg4Is)(iJZIWZdeZ)Q z&a`>y^TGYPr@&wDkt<)?pYx>s!8k35H`4Axu8lx zkzJ?dW0GflJoqm$*Bsohd*c3X&a%}#wEUPS?WPCrpLyVR99ePbM?Lh_;;%07k34YO z?sFXaCmy)X_^S*2w5LLrV)=7$oYF}@KjumMh9~Xc@W35<;QqP?t{=mpK&CCwph-XO ztNY@M`(gJv4tc6==KsX`#$YqPe1F`1jzhl}QyNFj{HiDJL!OL%H2=OQtuGH>+Hdfb z3_f9lgB%rJif&P_wycnKj2C0g_3`C9JZZn*lhz0K zy&kxq^x#`})gOm`Ee<)VTqyqN)oV`+?j|yJlEpAX<~dEk6``0Bjt$@d3y;`>fd+VAtE{dNyrUcUHfKK0=H0Z+a@ z9-Mg6<}I&}mhbW4`|g~y`0)Kb557kpe7<(%!-u39eek26JZhdizRv^qIS-tV&pulI zfd}7%ocR8dC#}z?m6w)(>cO|+q2*tB;6CYr^X2Jg z%ZAHKi<|g%mEfc04fi_8S2E1c6_(Aa7EZ`o%t1Te zsq&(V4tv<3bf^Z)xE*bjMSILVXD){mQ06L_Ar#p2xkncvfx(eL zk)FpNo{!;gI0brL$y#dFQqe3n_{hk#+uf)a^_jw+4PSS-Gv~hafh_6_lI^rvqqz?beWvC;+-W&dv%T4`X$!;x|?ZNRL zHsnFjo0c0+06!6!HA`$*8-rHAdD4pBw)^*bh{Qwg@K(!*&Het7ikV^aydCzU7MH}8 zyHTj4scrZcG})|r{qCUAA9U?fI&QJ7hmR@p-tSyUQZuc{rs1~0wYS4#kQGS!^y)Bt z#KWdmdfikO^~yr9*X$eO*6+eQvuRpCUn+MVVVMmD`fT z$IOe#*9G^Q$nAq7H?HC?e4D6Ps?OrP%yda)$|BzqW#l`y-o<6+`=WSH>sV?f^$;4II2`8JlIy6we8Uf^BHpaaA#JLC%b z{!Y-~K43#UE1^WxMYMw(K|vs=QsLt07IZ&$G&ef!Hp(Fiy--?;%doKG@*#I>3GRR| z;LbeBUzm4*9|xf8*7cU^wN`W-wfnswxKT#`i#w)aQLW4^+HJhwm`qc?O-S8YeIkgr z3yTiejc6EI#2+4K1v9dw;>0`Kkh`MpsONd2X&pv7HM?t-L*bZAsCKcvZmWwgZ zWao@*VeJ_^&W%bLX^aGf%)`iMO`zD|^`pehl*!eaiZz9DRi$Dx%|yj!+KGxa4aG@1 zIqKSay24Ey- zn4n^fd^j#J*4_h|EJe|jEd^`J#&rK500960cmY(BJ4?e*6vt0$U)tJ7u)c5>1P6OEZXE?8cnn#x3|c80CVK%No&Y@KJ=d&G^`Va8VNk8EX~bBu zOd_e&DoYDA@1!&kh`=r0M_X$@EJbuv6jE1c&1Hos%;08Gd@jd}}60mSW$$;{ctDP|12);z=Hyf9#Mt@g*)FV@W`=5RIBDC$ZNO+plH_8xb3 zQF@bdP`7^}2u~Qx9v(FPs91-+0G%#*`x&&c#b8dGKqTp6j+L_?!4LQN93$j}EtVrH zRfx*R7qXGg_b0&??LOGHO}cDy0#}Nm%y_lLO!ykqqF%C$N{{lv14j4Hr>o3|&x#dY oD629xxLerfX4S$f`+oeZco`Ae7(0ILuvzuKsoT>Z00030|Bg0W2><{9 literal 16327 zcmYj&b8u%(uy$}>30W820rwr$(y-S^(_kFTaq_cPV?Ox5(6>Y3?2 z2N?(ms2UddpLF@xg#T%EGiMho2YVuBRt6>pW+GuXD_c_{7FHG_CJshs7Dg5(W>z8< zGiP@z6Eh-3BNH1UW+D}7A`LTRA~91d*MH2NwEuhOL_1>*`YX6~C7ppX>IM-2a4s_s{po%@6W-Pe?B|-%8C?S45uk%WKgR!bi0| zWtkY|R@)CH(uexd70mBLmn((6t7yru@Yj!kj9$jwFT}~6n}f7~$up0gfS-@Mhp*8q z+=Q+yF0$jlEs5`ughu)YCih_YZ^N zC(dV$M7R;}>gBV}6jW{d$-2W2GsgWPn>{|kQ!wt)O3=W$wNVR~fhLsnl|NdzP&;nn zZWQDSp*y4#)2W!yOW(s=Qn#Vtc{2j9Q16D_i$3D|7C@bq3(Gb0(2%i>!2LPc{)Z|m zSpVhk4_8cKpb|uOzj`lbGDk~ma1ztC2T+-luEL+L8s(6jg}=O~d2sLjLY4SWLBVZ4 zF^m!XP=ACRXJZQi3>ltZ;*|@wh|k#D@dUq7`=vJdV*n;Y2Mm^0_=vLPw5GM~d^O?S z`mJj)A9Mb7J9Y?hh4Kc`p!3Z$6kzF2)x0;b!&u!yGPsQ^pLdpnyQO#0N8sBXC#DQQk&lKT@Fw0 zPBsp+H^bfSM631^#4Is|ppp@LcWz39MV3*s!9rE0_JZf()$R`09oCR7v71&Ngpg1D zcTQM&$2d?VJvad?v$E#?h;k_b3P0dr2{gqeJs5)Ur*Kg(TaJ!cPm5`j0W04iB!BJ8 zoD+NDCLf>PPJlvl`BO07%M|MGVBk8lqoF#?i5sD9IkL84q-0MDVk|f7YX!yajm!ow!b~2*N4*FKzKcKRWpf zmE2RnU#M3v8XMye)CI@=xVfO3+VLhi~$m@|eUmU*ABeDC&m= zgZ|)fJQ@~v<18xhGWj7bi$sKHS=kq?TfsR^4&061n0_~yr2Z6-&?JBIRtv;vhd#+S zCjj1wgO`jCBSwz<40vJQ(PNp<5;+V7!$oYh>`iDh^eL?-*YB{--%z6IOlYl3`-GHb9{v8PEt!9S^e zo?!O~>5dW@zG4{fzA~yL6s|M7bW4`{ow1F2SpSL2%z+r$@B3M-&bu1c4iR}m!-Z`g z`s*j)b{;Z;)IH`0h{nV!3W(`*ZtUw!LTuLM2~G02!8b0u96_2 zpjO(Bw(NUUs7$WI{iG#i6&Cz1;nfnzd?BTj$o!GxHzHNtNo8w1ft^TDLRLsEojH~a zmJx95$d4aS+8^VP+-yJot?@?3p$}mSFqxrf3y9zj+f@ZoRYK)b*GjNGb8TL(Jf*Z| z=Hks#I4sVN9QK;+@gv}=j`C!uP!#|pOmJMI2dHJm`0w5K;T8y|9}!S1wfCK78bA12 zeYYm?orC%mVoM0P-SCYBx}IjtpDgjuY5r%V*7VNy5%!>(|qe=zUk(&zHn4xLS_9A38)7&*a5;7?qCIeuzosnsAB-rrSPhu=6W^AJO1X%C$n)zg<4$LCzef4RK0 z&o3gruQ~0VpF2EMJSsS|D6hVhY*77BS!C!^vjc3>FBGPa?1h;yZOyQerz|<=dU3Ss#i$6YeBuELvy$Xm!cIH_=xW*nY+v{&Pqs?p|N4D8*HC0ey~ z`}?)gZ}$p*%k8ylqhFYr@?1yrp%P1`)-lLPtQn1PHXI3xfuFDL^6Hq&SC@kx@YA?_ zO(^mR@d;F&D*d(7%KF&+`RFP{oZOk{3+Ne9ql&Rqt+?0#okHb zN=Yqm^5vF{zUbnbQ|xX>-$dZO0kdv#9Hk>JWaNgta6VcuMM({G2%qw3LnUE-dqm26 z+V}G9I|HlN$6tbVTm{>7s@(uk*U?$VW$|0~|`L{zGo zmvH`{*n85DI$}~wNyG&Vs4&1E#Khz|OF5fpYVxkOb!1yWx1qbP&X>kh>)wQYoNL1Q zoib*-$KIzp@_rK~TD|Nbbh~qrVU%v)d#V%U^aqm?h&fHN(k!lFIlfRgakVFpXi-Vz zabJU^6NcJlzg2z)9|yv^42BfS5aM5$-#%L5cMmF+xr-$7Y3-IaT@2r)c9Oev^81!1 zLJi9!l4JW5jW>EyLATVEE@IuF5xl{j!X=VwbHHg2OG{ zzdalhs?^32-265ep388;q+|gELT><`SMC%yZ8i^R;A3nxfj?Wyx~T(ke`NH1GnmGq z*EvZo<-h&eSA*p6AU%Y2U6{2`+g!XKiNghqRH&z)`sdy(kPfYm!j^s7&_F}ZN!7&{ z-mNZOr*8tuv3o-WpUmD_SXqzylOZ>&1yh2l5lftzt}sjd4i*K{*CiLO9j#Gh!=V<8 z=3UFU@EHV`5)vLlZc#Wk`$7(0y#!6*Er#y>`&p+0kGg;H(Oz@%2lQ4c1ZIJcYEqW1 zXOPIvPfq5A1`lniJ5EM39zA8Ps{GEPbXr5GJ+OuN;<|ivlJ0P0r4a*8wB5qcr-FR5;!5QPj_Z4vJHGQ4M=u&l8GA6K#tDeoV5@ zX1M4j=Ga9?0HSK;#@r$!N~$oqclvG1qB05k<}B|vW#Sz&Qk%=}msV0u&`K!IEV4r} zcXdm%Sa^MqTTs2j4-M1CQV`%;2nlg7Jy&>}(8E}OKiu|I9-rZyTaHU%ao#|Ivd?Wi ziJTV=gj9m5m3ao=wt(xn##F+&du-p=ZN4$un%}Fm1VgUrm!rJt8t#ap8L6~de2CM!& z%N%rg=MiMgnGIj=c7@7M}o!QW8ew{I!AI}8uFn^nu;XJiU(ChNT zU6B8NqxL(;2)rIpP{2liwa zBXW_4Kp^TPWY#<6W9}+kk%1FuPE=vY+VtYz7(SZ@YRN>9xOkd7*-|Lc=G#FXsmlE}eYvC~J4YzN@?!(_#S zz=?V}g-dzPNV{#;q=VoDB|@|ZtAy>R{aydMT56#knAn-(6?o8#3moCJE6QG^G+Fm! zioZ*|EZeErdVy>O%mpsq5K4fhgWVJyvj9%aXA9oeP860F*oxO9jR+q<+6SQE==E%@ zi-KXmh*_P)jWn8A|65o}_`FTrgfX~TTyL^0AP1{^WsC6%%WR~!d1CB;%v2a<^@18< z$wTf;BEo@vqOG`Pah`!qu%V;f+1sgQRi$weyH$NPZ2z@qez1KV9R7439PZ&^lM*}M z5_Du~Z$2T(ydr0ZkJpCS=z6hB{0F|#U;5%g2hsiFrNRTJ&kZv})w&ZmWqs@Xcxy|! zy+y6|X1h||x>y@q(5)an!-zd)JszM!8feh}BGfzKa7Jh9e$H|61fMqLUj9kZSiafb zsAh9IC_2Qh@h-=nWZBEkwS0q;14p~a8(XbcP*p{)wdgT7YKv>KRnf_-eIq6W%{gq7 zeSkdktZ$6aEbV7gs$LUlQH)dO5t0-AGN`e=zFJcgyz_LvHKlA)s=iiTW96$G+S10= z+tAdc+tys?Xyav7<5*eO5cI4u*jqe=o>p5eKZb;TJOt%YkyZS(b@im&-k`Lm8l<{a z=I>Fiw6(sN-ry!}UoW4+ijJ9&GenL&!PM_$16eGgPWgnjZet-BQ=d_?wBqGNC$-5S zUXW;1Qft4(VAs>`)2mx!fA(0_5ZWI4@h&$o!KC)b>N`zLWsy4}N&4?966*IA2hEXB z)>5lG4UROlDv3Vb#Z?`?duD>wVxl;9i4@#r=Mg>BK&c1EL=_%UYilct`_Lm8%T`_8 zfW{VHltS655tS*Q+xGDEhAmL+x_rid6c!&?LqqMjKq`e}L)np;hlxeE(w2UBjgqEC z*+7)bqLSyUavftL4Yoh}uMHhUsvrjug=<@fTEWfk-sWwpt?J8)5+4tX?!{FW>_5wm z#ggWI*a=tb%l}T?O@DKSpXE(Fsao)fd}wU4$-A$Ve&xAQvebH!3SrbbipM%H zw7a+K)5qG-Q?7m&_RU>7HC45^Qxj;ZD~DqlJh@~mzij3i@__Qn?ck@2CNwvMmQq3m zq?9;wHIXXx%d7Sv5s}d_W4fYS=rSk(bXMI;)~bIW<}~co-Idgiq`PyetkmVd?@R71 z52$pXx>CHGd$LLz6m|PF_)HYvN`Xs!9BGhT{cW1hzs5_hmm+nDl|uy^?aj`tl=~>~ znn;ae>-tjMJqJ)nxa^9YTtu~kG*s}dFg&bWJ)F8Z-I=d#fV7o2lwRc>cVgT)c2VLK zo<`E{3S)cjpW_B_HUo<%D;t;rW`D4K5>v}E{m+e@FPExlGcuk0zu~`i7TdIrq-ZU| zo0trsIP5Rty&Q#4wYu;IE8>(`Oqarh+jJj^*}AE#Mbq8pm?@AC@Z=Yu42775vAz+jR*5SW3EDw{v!VR&EtMWBasN0+Lb*Mk5PBM!z-U+MWQqRB^Zr zK40=IbOpbA)G$dTXfcq>>#;VbTT zb1(L|$<=82<3XifRK*q+sd8}G?vTpA1I+ZJ4`jw(vMJAkg3XW9*=lpALxp>}xwadv zV;=0^@fR-q@Knd#U~_i4YzdlqN(>Bd%rdcxZAg7v-T1gl%x?r*^nwGWe09dp;=nvt z_f~7bbvES!2A-js?XmuP8wGFlN7O8Yr|T}DQu>q%DQk-E=Z|}fy@=Kznm~i_LE9Q8 zgK`HeEpC3&LhX)$;t(J)LE-I=+)eYQrZgM>H64*oI3cEGhrHg9p>55AeQs!Az=Gq$2cUreP zWf1_9L9H38bOGMjMWT4P>V2a?>3DLuNRGm^eNZ%5IYL%&c%3~kS9U;_;1X3MjSWS^ z64M($@3AV~3I6~HGE)I*IL_Kk6AZ2DhE4H+=}V5h?QrKbLTizzzaJJ1_-;!mHO%0e&5O1{!Q$rWC0I%eAnRLRluexMQs3!zU z#QzZ^Qjc;a1+-~`}BR72l_tkOiyK2q^k2XyDye4kwh zs&O}MjEYb>`)vMDr-D_O+cifYBx_)_@^BUnTto>Hz z!GJMxkG|Mho`Beh4s6CY6;M)7*e&_GM5*63Q!{w~i~-9!e|6$u_s%4{CI#wY?K6~3 z=B-~=Lw4gNk#%=xINmo2xvk5h!O#fCeZ65vH>t1@>WygmRbHPO8$l5!e5dXR`hyL3 z$*3NLOM9G>QNsYvsh%b}INGN%)lr&IFhV`aCfkG~gW6=AQ$lVM7m&`tfcTIhs~J=M&)5r`lKr5Ju|9j+$8Z)!Z-Dxui-_FFurwszH=Ou-9AE;|KQxm_+m*$wszw zk5|yXGNM+o+!h^>mY`P=gs#VEjOD%@yem%qKy=dr|M12)V&If6ag&>1GFvztVk5@r z8k~a$SFJ`G(cq&#S7sy}(ICwl_M*7p(LDL=6wY6NEos32x*1=}H>YPds2#7lLF?t` z+T{Swp-S;M4-K+!h}#7RB!bAr%a1^Jo~Pn8oP~a=6SB3exL&^PTBcC6m#6Z-y5@xD! zuAZOz(T|T*p|c1aNTJg`-ShPPyR9hl@Wn7=&jy9m4TbttyH^HeR_Ln?5_h;tHf8eu zMx`!mEgTVohvh_*BaJ9(>?~N2X|kx;RqYl> z+$4B3SBqw7-9P@j)T*C~=6fC*)-}JeZ^#S4UDG!8Tuu{(F61`}1EsD`+~guju{ke> z^EDrHuk&+_!WTU4NL+e{VICG5=q`}pOJ+=pahd-WL>bEUBwS&~&?6vy4-!1_}P2h71llCl!;OUieZU^RO zg4ykOcvgBh81SL*igr+#Sxb>qxM7w8m77do(S6kutrE#nQn`<8*iylLdlq7|#yGgC z(&h{8VeRET(G==1;l~B*dk8|9GkiRG4$p`Z$RHncl1-Xa8LbZ~BGe~omd@Ug7uZRM}y;+(C;-;3U*cI_+o*^ii zDEEj?o#r+#cfsswA`~49yS|U*yUmA}%S&#d!-Wl$iZN#kEh66gW1Gjnw(h;F|821? zb}VVs`OBWXenoZ+p+=SaGC%MPer}CX&FDH==q`~MJ-bqKQa*4jezQDyw;=Rv_4=rt zRI%bJE^(WXk<(QO3C+=@8Iax)RN{OmRgn10RkyBl##B$2TY4W(7s$K|fHvOK%L7z` z_gaT=rp`SAmFZegp5+WdJbFmxZ&nW<>QlBk3w5H-S=bm6H}y-{tpZisZNrx<3Y&~} zUBLNv`LD@&-DXMlB*_|8^4~`)lE|{L=U=%NAG4@+oT(YI?~q8%mi&8J6>Glga$(`91^3y6F^w0M=xL^IVkD&7gOsi@)&= zcmvtLQ@W9d!qJB6uswX34r2`(&8Fm+OYm+=+C}D=K&Nuo?Li)I^EPswsJO@78;c6b z$L<`xwA+~*ew?5%RR>vzhW^l{xSfXvGw>>; zYq$2RTL@elFO_Hf!bhIa8b*V7|9wO1-dfku5y(WKuqYEz6Ar1ks0>YY+Vm`&Foo=K zq<48AF4CWvC+rA;m|`$cLV0u|B^$5zgv6?*l*qZ5bWd7NA;{K)#2UVq>jx=p3*4g<$+&Wu`(i8R-q8>V|O9s*fUs= zeW5$fV_#B*Bx2`xAy1kkD;)u+LTl+E8EMx6^RhxLi^L;Q2RyolP#%`c}FG3O!Qhw?wQGFjF%`Y;LwyXMiCU28#4o*(?ThQ^`oeB(N{( z`fI_#)r*$Qn#(FDpBs(E0K4Rx6(cJBJB*qEF@XXeu<=NFCx^&*)-${g-E?6}(mfgJ z+R3e+k39!V2NKAYtgL-93lZ|`CnzU9nn{2M>vR2h4)(5FJ)VwO!a)3fk($M^LZWjk z81x8R0gfBpq~^=dw7M>ts#t$Dtj~m}r+m839vHueUr8KOWu6E?Qocc*It?bO5+4#T*)Du=2vS-3<7@m_2N1duI>n37*eeG~<5O zhK~BTbaxkAV4_2RE%MA|%zD_W|Hv@W4!4J4II+4wl9RR7C>Q%w(`VL(=tR9mv%tzh znIR^xuZJA&9oCaN{aqCTNsB^t?~2Brp<4WVA&y zXQ~JVl5_hBa)TNXn@aqmQUH@Yx}+T=YEm3y`cHsjLW1 z@1fHCJorJNk`w;LhWX1Vg=+nnU)(pW{)Q0&9;jtG|s}9~r${9}fmI z2!QUoB6{}=KxXXEGytWG*w_}e7-|Ulm*rT_`KatN-rUsGSWabu<+%KOLJljiK=CKw zp9$APbZg0DKmneje|F6=VzvZpTA-owjbf-ArL89c(5G)4r;B7!dlWwS_qn3}V^ysfY z129hn1W}pmO&-*Aq7HUnizGVLj3*fjF+4-DNz0pa;wSS)ot=;~zBIsgX+vhK^jX8g6Ay~uN5Y-Bm?}R1zQY)q;lVf88l&I!pxwjDj---w^s-yIhKja z$hmpKOergIf^twXvkVwU2vA53_#5_9GSD+I-I$jau;ZUixf`uqfFkTWQWA;rxca4f`?2@(dpGp+h9uU%- znJn$CpoA1Ds0`tU66=iiqLFmBY>S{c_A`lB*&^Ul#57{YkYxQGR4;UR3>xx@G^s6- zgoKKqU;=4Pna;L)G|;)}qGH4xrP8PXI|)D<6a&rk7RS933jjXlV9Mkg6pHC>mJp=Mj7am3r zReW@!vC>&ky;o4!#3Ptz7ZLSyB}~5;F^upp(KCu)cLhb7WqLxPdB(s*L8yN{rIhJ# zy8jL+)El-2b_++X38`p61!;iy7fg#Oj13?(rs*2jA|)Tujdf068b!WZJ3X= zFrMo#HbB7uDG@0}RhF)Bbi}x$A4dHBD|@Q}q|4vkGM1p9P;XcP1@nzF&N5b$yRB0) z#B9>f`kx!T#j2=|mlitdPM=@M@`geRU>$WwA^I9!9aO}ipX&-}$l)=EwzE>+x zZYut2P#Frv{iB5x%hj%sPr>66MD*|Lmmdt2^YxXR5i1ukh{sCzQks{5n>`PBlcfJY{9(e#IEN?rOt^61Bu<@-HUB14F6VXbJKNx)Xq*G zX%cK^BFx^L3#6O0Wfaj@H5Ae7uqtP2crMs*L1V861>4vGb3&HZ{Vf@OS{^_iu~ zAdjMcmqqq%vB~Y~QSo0;^A$qV9BqYysrK%VvM3oF6uLgTTnnO9f3khP-73xWn^Qg2 zoZ4ox!7C?#G#^N;g%r>nqN>;tbR(`1B*ZH-_V8LG z9FBm-)K~k`?l=z}pV4p@$JDPo3iu=aL$q{xRd1AG&Pbzs8fwwIwzBn!c44aJYAJjl zDhd^7(K%8$EpeveqBl{HDRw6Ym_bYri@f(bpxnH*yDQcKTw9MeIR)IoQ%>tl8r>?C zzdCd5rc#ylK8Jc@O>tFmoy6uj-jzR7e-67zT5E*VU>0NQ?YS&G%AJ{Q)kcs+|LuL8 z5!>3ZB3FA6uFlbVx9FV+KirU_N%hxSY@q7yUGQNz-}NTWzch-{=r#6xc{{h-R$rHtTIZsr|C(JX+{opiVn~?H8Hr zbu-@+3-)Z`_2tLR&X}vyur&9@3!)`?#Z&#xX(;!_(0n{8NG735{sy0rZ=|@hQQ0l3 zHjS6S?|}G@^lE-Wdphn;4w%@+`cv*hd^-~xAd}YntlshIKho0sIn%POakR5pRx-f| z=R^GXeQ%)n-ALjv6fkhyqx{*NypK7ACNy^uxoXjQ@3vRHuhN~%bRotpwkPKXn`Ege zm&@rtz+Ow%+w#mr|5i|BA>9Ma<-i@@FPL(#QFoaizYU3a*03SXnrWcgG5a|qG*LTI zEFx2I|24p9Ea#|c_8&iGCRK3EO_ET=U%}Dka5;tE%6oc+p?;gQiWD%BD!Hh#evDLJG!UZj3_WHi5Xm$CH4%>*pP zH*n#9UmRi0keeJf%~w!eX2c-JKD3+0WIJi2hw>*e`v;y#jEs(zsVQk9qpcrKlu?g) zRjBpGA@iZcAkUNg;?G15F0LrM$MDy2n(Rf&>rxT-V%bwefm8`GwuR|-)eTB z&hu{W5H*lA->lA8x==s)cCf{jpI{toW_9p5$aDc7SZ6(0zl%dEG{UVZf17ftjU2mJ zC&N9zxh~aH(RuAAunleJkxG-Hdffs!g6~mC*yvlPg7_4QJbXA~*i*=?s)g?-P%*lS zbG-&U!H$2BNY+NWUIC4hSmmFMB;~J`L@~C`k4hq?sqPh~bjv%o4#(rjY!Iq5;3}3) zEPtSmcQnq>Zny=5s(DL0MON8IIY?%t1nple)o_79DVkVk4hEPfTN?^NB^hf2$`&@V z!-=Bet@uGg%e~ds9z1Ze3VVS;5PwErtK;|D5b8vFbM$bjwnl^gm=f{P%MNxXj@%%m zCB|wQ@#M9{B!Nx;Fb4(Z(@5x61PGhM&tw=i1iwOa94CMErvRMxI52U=tijY%gcgXFq0ae+gp4PlQ_fnVDGBF85oQucRrgO}B^Gt7 zH=sYFyuZZIu;Pf#rQJ-UD~;6`CG96AScoO<#mzDn6&$;d2?(8;hixAzMWK*N(9q%J z{h{{K92y*DNh}&2_qd4CDi)2S5KGyrtt~^bQ(}^_zstkYq6iR6wTz|Eu2%KNNzr7x zFFGB6xw8#r08*q zT;^kG067uGec{ny2B+CTI|2iXErAD#7M@A!jXd-p0 zYlX@Mk0q+3Uu02AWhzd!P@735!6N;KXIf&-q$;D-=1|F|7=%>OGgs!MmmPm;HP|{O z7Gb|R%SqkFxXPOP)*Ci86b^)z1=hIx8qM@5E+ySms*YUCPo$e3L5b;2dZ-tL3^(V1 zOqQL~E-Jzb2k6+PB;cZ$Q|?hnrZ1kq)l4~G8ejjNIDG^+vci1e&n2If7nS>CB3!7$ ze^fpm-Hy#T#GF5adEZUMO%XqxjW=?cP@syb?gSlYwV;kC!Xq>emxX5bh71GG>yb1F zHip8{dXfk-#N<_U3P#N;s|+_`(xAC?Rt_S|A!7hw89QsZsC$0 zQC??>wO{5)e7|ok2i`d#4lV0{D-JT4iPsZ%qumkH(BuI|-Esd#0FB%VbN6&^Q71W3 zpt}ENA0HAi5lkVGruVDFa+3EzGD6dNcx7y{P|vsEblk%`jKPXrHi99408=i#dIt<% zZ(b5BkKz2sWkxJf$o(qRIQ8^;UUY12R0@ea`K18sqBt^9#V(0Rdi5f@AWsIByn6qi z#n!EI>4AlO1nED+nfe-R!Rkv#QqbC&UjL?@eap!8zDf{7Q)jt=84}sXH-&hz(n-w+ zy07#kFtO1#H`Tm<##yP3xEC*tZiM!k^%SsAL0ARWT=!U%RNQX5>d+=jJ1si(JBO5N z1HZ4aDE8N-^+;${oviu5ygqRGDrtviKY@n@nV6-o%-I0{T6MNn&ha$*jN?Xd0J;nu zp{R*Dt{Ww|f~;`}MGL=QSeg8k{bL@M(tyss)`298XHU^p6NPvxQzQRG2fEfiQJGYN z8KSzHGdVc}Ls)q=K^o1>Iiz4sVBe>P@wXv{I?p6Et5 z%i8H|EUkgb7LLGKgfK1lx7EQi6!(^9_2s@%~|F_tr-An(ckPv9*mL>cb#s5F9yi=bFLu)^HA5 zCjypSw-G*tI_FET@u)iAc#Fk(@TuU5Cf3d>J!A^Jw1N`DHciNBGHwd=w^{v}4hjmX z^u?pMxEW87W#WBL3aK>5EKN|ECZQtu1V&t^ znTH9EG3a@6TlX1oxG)3tTS|#E{@&l2#%niyA--BFMnSI7m0K z;}dDkh7^)3Q1P(kZQ3)d6$yA2Sx~u(I`V_^n&>>f+&dRe(dj)IY8AzIU;JrGY0uvp zOOy9sxujEZ7@8KSBy!gGzB3ZSbsZAclhAYIZ0*e=p{m#&xx#8Na`yBKbb2;<^&;Sj zUQhfiCrB_4!AVEKEFi}_r&2cKTc&SMo;IR)PL-(7I$QFJ(cabif|qQh zzI_LJYvT7qa`BrwooiSB3j9Wu_}9&H%I5KJVQU}#?_Vq&zjx7RJguNZzgYVHQjYN= zVO(f2rCg`8d$3KNXvoVrD9>UAss5t6X+ju=Vf;O(~AMjBn=K8;l z6R!PfuMr2_|HSF-SWViyt(W$Dul4mrBy$8$mLjr#CrLzrM?u)BvqpM=$o*tlul(*;~@1NAF za}IREsA7Ac2}(Kc*Q#5t=2ymm3%K&_m(+|R!?cZ8b4m_>dG;gTD?^7Fsh4+xNIp& z=v$*_CH>u~6Ce&^(aHo5sveZR9l#8yiteDRe+rSfUdIhr3nJ$bf)6pCS!r>sJrmSC z6>JsWp9tn@X$OlB`iQ4#2fzZYw8Jn7To2=eD6<yjni$dBz;T<%bcl47o$oNxKyI&#f6OJa&C+AfV~hWhg>jn z&d6yC9ZM8Uupy+PsomxQOuwq>6HCTdHm(DK8<4_00txvbmY2V{>RPTxem7UU;c0H@ z{sUM40mFZQ{#kZ)^87O4bE;}o5Z2xH8{}s76a4c3e>6XfLrP{wu*O+}O2WO)NC#Gl zcc6J2Eoq?kjE15_`c}hkS~`7DM<5}@ivT84?<;ldS zoc1j!hOf?#YjPS_Ow3rjOs~z}5!eL$W#Udx95;zDiDaExyS_`XZGU_d5&aY|j<#AI zt_0{V?O`Bmpiy!LP(|@i{0m|&X1f18=feGDz3c=K8hY9i$aEq`cV-PtXfo|ZTio9h znz3rF`n9!nW_TpJ6xFbAwaeUJv?ro@5HeiaM@2fZmIH#4|EqB8&e}>CP0rgyztY)% zrRfrM5WVp+C?Op%fk>%$VZiUXHl|C3MZ>1`H)qg}7now24b~(Dpc_%^)k6M@!5{P2 zVI_Mpr0%_m6ZkQrW|7orolZ}37r`vFV=SM3(T=}Xcp^+p7m*b58rJhZi7Lt4;ck$$=)JM8*I%eb6E$9f~ zD_v4vnld*uTdj4Po+C{^=l4K-RYGz~V7UJHBQK~9>D9@VdNFA~37tH2G-pxBj6L=m z-ENIPcDI-8wU)t3_^p<>B{va^y#o5_evb~k5bn;L2@zz0hy4yn|b#d=zkOan>cRPCzfTm{{ z%9FWmZz7c1)!k$y<8yS1=XW%4%uAZ}@ZR+`bK%G;oT=mJAL={dGy7=G)*t z`bcbOM~l;7sQx(L_g_xB8R6smN+W37c^dq>AwvLG@x*j~6F6%#PRyD(*rD`wauU4x z9ejFRaYpEM=(P<<3pkPbSjcT6P#$zjjT0c;yz#l;1=D!enh@XpvKW^2*+_am8J6W` z@Dpe=ctLyB;bXa$`QRmZ_g@OWsik=Rvm0f4@8I+L5>243?={e{H#R`4A$XpkS2~A( z7bn7SorY4=-nMf)__dmD<})EOp%9y~OsD1;LiC7App8Qf^>zQk=g5w@so=fa!teMq z3HV$RUDgGWKR3_IyDhTwod5mJp0MW_xZ5*!H+ogEA2wie>N%nqEwIDw`FL? z)6#3`-vm5&zh^}ze(nZ|X(SpI2tQ2}dM@HV$sTp2MvdI_4-Y0GsqL2m!7Y^2608#X zYa@^^pjt#-AM@{~r)m687ZU(XNVm*N0|SDjh=;lT=!X;l=1Jiif<22*JDxbmwuRr!Q<`u3SZZIdUy|`XZmhsywid2kt3BG@pQfvw2&d%N81z_c_=qoF? zDetZ?b^?=dYUiT=MI_hPk1ag6=^%AQV#0#|_4_^j-{@a;kUI&Gd9_oox5QR8-CueN z1o@QlxscbjUc0`&&vW~@Ct@c1yic?Dpe7|xbN40$zIk`MA5w^$sgTnn!M%r4AKU3x zerzB6{)0&)|ERq;zbid=eUDyobDJptqg+ORK<4uKK7OenZl0$%0nx2s_%^+I-Y(?& za`L}@yC7~h{F_mDd{6D;?BxTn>hR@E05GyC z@I$PguVYdKZd$4VeiEdEIW7E+gU|UV_~3!93&WA3$}*>S?OAd*v`)0QU*{C%*OS;& zGkz{a@t2=%Mc*@U=a`6L6E52))`EeA;UQhF4p%M3(6!o$3G3KU#@AbWu~#Ek5Na}p z%dC{Uy(67+^Z)?|9loncl>zs)K*NQ0M85V(xBg^ciP|pRMj3 z<~jHlXe?N0>)KP00(clzN7IF$Z6z>h7Sw?e!wcY^-g?xeZ@GSLrAxY#3&>U!bDR9}>(ZLn&StGT!Lglhni%G0M0jrUw# z#Mov^5$kTix@>+A^K4m3G3;jfbldhLF9}ZoHp8A`sGqT0Ns{SJLAhR7VXCr`PIsbR2E!w4io+-2xZm}CCz8C5oG zsbA1oAM4yUE(rz^lnaeC^i$HxZ9R+bqfMwv8F1z#swZjhWru3z= z>9PjP*!}whGw{7$M^!LpwV0etQ%g%INCzSJYPBlTDvG~4IShPz2KMxb9CG@;D$U*` zwrJx=`Vd_I7?$VPq%?$gDKcBT(h|~)G!+AA2h>;>G8zWnt3*WCM#$IPx#5Ieiy8N5X~eT_|#1Ioh_V9T+(nC2f(rUT82w2ab*snw~h zMMK#9QgAJq=Bqwr4%j9(b;-z=wZod$9v@iz6*t#Ls=uhpS`m1Sc&Ca6HqYr*KJU^+ z%V~CVO!PaXqMgY$+Zgmli{QIp#Kyd`Txe(FdWk2?!t$CQh8#gW?LVf4`lx^{gb z^C6=3@@cyFWz*%$tOS7yR;v!t8se;T<`?zZv8{Cb+gV{X6R)~;#jG;bV zj3{Xl$0aVWeGA`u3)qD3T}TMqiED<#_U}}ueY={M@#h8e_pj6>70c*gHcsxhIiw0+ zaYe2nK-X7kh#^ZaFuTocC^oqg3$=n1Q!%i@xqR|Hoc@6X0{Z#!1XfM#lmRHB4J%mN zR95?eUBFrhIo4^y=!Ik*b1YL>Wt9k6%YZ>rQi5Yr3Sm0UZ3XDYXT1KIyRl@QjHvlW%vT@dmbY3QdjuBz>9)-UD zXK;7v!>yM56La@bWj<2;3%m#z#eG=y+4cd7Rb1C5*T`8PtEt`nTI_w+{SxrQ7?U15-fx0<`FTAxBqmKAeA;@ju z+}A?}&cj}#u^1+8g)ICvMtwVXbK7ta;SY_!cnHFc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G533jhEB001+c0RR91 z003(R00000008Bm0RR91004La%zbru6v-BEH-z9U?(WlpoWT-waTb?F7I$~|B>@5n z8VD9x+zA%k-5r9v!{QEs0R7JI6g89N-uu4y=d15aWu{NpspEC3y1K@;ZQK0G)xU8K zF8*clmyurxD9yZ%(}RQTh_ zbwzVr`K)O89_=25$5WtR<$41794Vg@%4dZwI`1BG{j=PodQte*_5b8LRWv-&p{nf@ z!INI@QCFhh^HQLx1*)zqdLGJkB_+kR;!a`kFd&&9a*z6~s8wx~2sQ;(v?&Rz>mQ_* z>MNPt86{Vgl%h-Kqg;bB~`_>>QTu=$>*)eP_Pqxl;ZgUiwhE7uiK0i*ta?#b(uqB&E75CZ8w#7M75?ayC@x+^<3iz7 zy(^E1jx&1O=sc?HiZ3Ner5&YZ)w|+CeOC9Y&#D)7zp`P)qoP(}h_0!4hNmkg{3l=0 zwWcsDJPL!lqPSD~Quq};>bk1cl?)==e?>kDv(mo$9Np5CloXGu7j@4M`v;Hb-+%o@ z@qZ>>IKcndB@JIF;b9ow{2ycNH@^R7Jj1xo|MmAvzi{CDSN?i{H1byZp0V- zj3hhO(vwC(R3^V^J>%w5dhpFg2EN(IHhw&ncwB`(z5MKGBiRSvPzPw=qdN7aC>8V^ zM~^aZbWGOZTa4T&2t@UddC^J77{cc=A8fzGxA;SYNN)KGtv4U^^`2X+f`C8$wEaHi zVsJ|SGoN#1G#+)D4z`(1`!iOs_^ZDsTJL6}?RR{$5x-Fn3VP-*ttXj=|2MQw3!<42 ze`83z4Ky?D1iI6|zM~|D29!Sd@D88C7nP+Ij%@U-Hy5Z%0O-6+dO#n?M$>?;_{4VHh4jHWT43^N>IpcSPj zSRU%Z)sM+_Y2_n}Mm))BwbEc1h0&f(%cyt1A_Y-rI=SnMbpjVqa)R$N{AVtB7)jIb zW^mSho6DN5r8WzFKjz9Vl+~9~m!3%t!cSYcU&_e1-0fr#&~(p58dxl`^ZSQztDx?? z50JkDE~ocSy7w-M?lrAqt!Jsl1K;VH2y-$hN%GO326a9qQrpISM}hCp==K9FRV(y< z_OgynnzX!ppHV3eSM#YPeJGfThUWWeRnUE(0^euYt;`{})4m=rvmm`6&oSsm>ovA?IAN{FJ2ccx7vB$Vox@*Dp-kS?U5rJ6Wwt24|K1uw%--o4i!>Kwx6!Z)xcje+okznf zv0E493nEk#hLVfVxr9rjLW!P zw>gcsCWxiFLhgOO#g>5mc9pMp?^^{0%+8@g?sQOI4x-bMX=^IWVl^udox&P5uJb)+ zrvz=O#j8frx{3Bscz|&ql^#nv9?s*~s3{Kz-WLE5|L9FiyyH;jH|?!N2y`gvP;F+g zo$N#x8ZvGbfw6qr1^1)j8QfEGLlyeqwJauMi`WIs&bVjk6lQFADFMG`G0IpnmbGD5 zXCaxIv?rNcIksxjasqDy)~em^bQyZTEGw;?Ue8LVNxKTXWw|oj9coImYfcr?5a6Xu zg-SNEA=~?>RiZPUm&PRR*IoQ?{4`(h!H?81S5uQF;4Dy^CJj+vxI-PYb>Bx|sbA4mh*wqW znKd8XS+dlUMtsd^;=uxo#68LKucsLuo>BJh{?-cJx*C*q5~RIKHI5?s?1)MEUWAp7 zAT8yg+>|tBa7@Z8ARx|Rz1Y50^LvljOaiX2DM$aRXqm%WF6zFM!W?{nTw6>10jsT2 z8vKE^VVnf=d$qRG!R8lfUDoc_0u9!v4P(dkF;w0oLMT6?W!{?c8hPS#)wo3-3i}os zlXAK37|YbmwZzx^-kI_O9?8)`T(GMLqZdEal>b|7o?{0FKa^roOxk4FW5~Mtl<9s! zYIHEr`u#si8{BXTT`Y82NUN(!Q#eqs$diZCx1Ai5G&gwHYG3bUmwW}xR~2cAkvo)R zCvv&qu@*_Km%8uy@PM4C@-+yi=L@=0RtmMAX)q#_8O2f2-f(v@jU01|0>iUgIW_nS zI{yJ{slC1t%_y%7`KYG+01SBz`2G=5k`ksK7nAb3(4~-{W|yybg~iGRgSAi#12h(T z(T1G;?P5m*-S-HndMJ>8pWKqJCn`#XizK(2X>em{i0Vh`l5~9FNNPQ(hvlomNLY

GROq>9Jg}HwM64EEW6sQeW?mo{I7!n(`JvGY_KE z=1_dBz+YX%0>1`g$AnlsWKFqs&3XEtM8(^&E>Fu3T{hSS|&ry&Tt)zPv3= zAKP|w4;Dg$WP4{kN6=s8x5!|TUXzvth~>+?R!%D0F4kZHh>aPXnP---_vW@La0P18 zIBl@aQOvikrDnaE=*XT(s5K0>R6jc*;_l|qn$*5Ye(5`_?z=aL<}BRbTsM~YvuBDn&KTzv+^Q>E1p?%;6dlCBr3Wm_7^S9}*_yeuc8mzGx z#>b~2v?2NSm_l`fUoKDNdPebat$t6;CGzJo?+lHGo&X3ZkYr)(UA3E%Ok76R}yF6Pq|`QL9)( ztlV~xql3L;rmuJPn<^pep-HO)((6Y>^OAPWW;t?cefNW%_k_~Vtgc5DLcFAJW-NB; z9=`_FXb?-^?wi){@bzBpRQevL`@X@GNQFu3d;024j=5Ujn^->fdmatUh;MBu&Vpi; zZ^vC#o_%t@T;AK8p$L1yEk7kCPf!noiFj$MHI#Wj>va z&KwPM9hS_~S?+^FvRu-Py=8gX4s_LTnlH5si#3MIZBk9>k<)uZZWVfZYsQ8_YV}cR z#+u$b>}an;PfK(=8w9L>(S3<*kwGrVc(wDf&c-IrwVQnuFzzs9xnPLkm~OlA0iwD$QtL@9jkuXRFN}o+O&T(f^}6rYzl;(cm9c~>w@LMWxvzK4h04F5^ZhFG0P?mBcM0|A zjy8@p67Dq9k;qdQ@Bf`bpJf$|)qVeKl}g{is{miT=#NU?SZ6bkG6-@vSO<^NMFJfI zv{>BWrbTK|r3n_LE7#cKAtZLW8NV;BJTr$5*UxN4X)h^)WNRApVb;8xmjW70r1#Ih zxb=MoPSk_BvlpEEN}cCs5~-EcQge54B+7@ZER$lIq8mJSeKzlH=TzvK^1m4y-e{cT zA##3{Av_s2Qb8p*tU5Ghp+)Tm#q;XQX^?YENa_+8X{ib88zRfWDuWvzhcsT}hL0;;JU7QmuQh0~|8SY=r@b#XU zSsC(0?lP9f^dxs#r8yR3R_ls|kV5ms!{5lcZ3Y?n)I<~hF04gBvp+q!s&QooO)jOt zaru5(rOL+wFb_Zq+Dn?ZjANzL=CGeX*eT)PKDozJ0GBkawR@%U=hsX1H$&lV;5FSxm=%zeG7N zG_w}#rN4CC(7p`v*KQm|BfQnppJv-w2pW?>`zh~@q;YH2dg?V^;}V(ALFTa^7S(r7 zI1LTCLACq!w&HxU{bW701Qc2lq33Ru36$=`V-X9Z;|&T`~%aWiCEaw zacys5)8dr|RnkLhRWOA=*=r(Y|5lBh4L-PM7U3)wJ2CFLu<{+{I-1eBa99(|VA5o% z7iMD~2Bv}W##6ARWZs!46e9D#A(j_1SN(;2_{ODHdo7nB=rSAnuDxLmtq=Q1{R6gI z*)-offj0+aJoWr$igPxls6-R42H&YsOj@NKn9m(Vd zi^gss;?0KA*Ui{O$5SdW5_a@zeB=-2Ax3Tw*|V7*9aDYB*UKbyTFSyS4PV4@BD@EYt<*)>5wc3Y>t&@(qzmN+Aed2TlJf zdmULd_PEe@Yv}vYjLy_P(ud|&|7cwoy13~{Xrn8;oRK2mUFy>!lXGsuQC22qOdiG* z!T9I{%KL^)r^Lxk(KpngQg(w#c0hc;Lg9^Qu6HnPRzk&=Sh)G3HfPx z4D(H)_-@3(L#(9{~c%BUj&`+nO+$47+wv_!lvp6E>AG_05MF=Z`l59p z2Juh#`q9`%Y8?B*6p1mtgpvM^dvxEM<4?3UYnAb{8b5;{#`qbRG6c~CpKxk6KEO($ zJ(S1hU!%eBWs|8z*4M%}uaPK)2D|)Q^Zo7fTSr-qyb&al6V<02<7oZHN)&M@hgVGy zgAxWSyo2gN&y3FM9_5|aQiNKre5@wxMukXo*KE48_z`)=KVbc#r54C&?8*?lBXbyC zNcxcqyr^ld(H`0le81v*O#4R*I&eRf8rOMl)z;?X2M12bMA$EKyd$sEnVbRlyIUEV zZxO1AUeJSi)MpYUUaZEkznO0lYDq}#cesJ(yLOd8M+Mz?YZv9KhS#J@DZOZMm08v~ z4NinWkO^bmp30NRbX z)PF#A+W78-HBnQ}w&rJyL6w6&v{z69=T+mZHA1&`llF4X6^b`0i8FFR1FM4u_eZR5 z%9I$-SMww4V<*Iz((t6`=S^-}3(a?cfPaeI@lJJeiGVTq#mUW(vXD6|)IEd#7Jt2? zutt6YcpJhTCKqi+V-^>o+UcuV+q5bAqT%ZATy6EOOzQ$e<>`4mmRee*u>oj}JjC2p zw?A!4pppX*zVJL3gWK^Z;|3d}t<(3WbLv`5sMkCIgl6v`tRr&vo4 z?%R&bV3*84*Z$Md7uJW85)N{L!FJeu-WISuD~-t3%Sx!TyoIpG75k{b+8T_-$hb;FbbE@-qB4F8IXkV24!JNhKO9|SPmX?b z?9>_yV;YTFh{&^d1yZ)Je^3r1m-PqtD5VkfjRi6DOJq&zv}7#x?^Drw=;|CdeP{jI z3o5pxGWljwSomPKA^!us9neFXr-79I{SInBX}(okC;us#a@7aXf~YBbCQYw6i$4&|Dpz>|206?4Fo zb8|9e{IozzYMx~#Ep2hY>cFJQP_u}YW#@+A7Z%%3eLAabPYcbsgl_9#jjR3D-PARw z0=qQ!2$UDW-MtU&rVW`D_#^v;3{;p@sI3@U<`1S^wDxE(kyM)(J`6KO%gr=(cS5K4 zy$#kXomx~xO!Yut7m{qEyIv}#LT92CeoSne%lVs~{=h~$@|yy~)+CMPMFXA&@>k>b zw~ge?qrlLCltX|d0`lzk23l}jrLlE+!X>#waqhzA%)@q(mT0xVwMmbHCqbG>VPl+m zl(wu&OwhL=zYHrJ1wZWmVJ@w7DsU&Briw}w1*k8j-b~+DCUmY28)JRbwl)DC`T;?6 zS(n-cRgIBLD(OT@b%kxhOwcco1{_uMP;e?j9R0n%YbBea^xY0l*MnQ{s=kK?=U3oB zc0r+hK8U`>_t={9u)IC26BlgfSp|pFx0vF>^FbzhcrQEF%ioVlj zN=TjKPnFg%rZ+HUnOd>NY#iOR_Q0g_da$J~OIn{krk+7q6- zYx7ZgZd^iDTPyGiZEIN}pVc7r&t;D(Y*{*I?~l{0#5y7)XEHJ}`R4UR;j}tH4e!Ua ze;>}}SO$>tHu zc#i-R=UgED^j3C$oUKcx7FgYmWZN;dhbDPF+w%J*3u7M!iy?T7ZLeKGS9@RP`9U(v zmDZHU#A6<;c|<#+A{8c6r}b5>rrH$qqwjs_dsUIPG?y2uGdpKmeVH^FEUuyN@?bvX zYbco))xv^HB?mEl3L(MC*HOGblvoNepL+?i&%z z{2fv7ui@*dbH3}8cwBQUEvrOwrYeB{&40$~IAbYuZSa_HyB_|BID_Z}o8A!!aJr$KC*Bbj5CcY7jg}uD(UANA10c$5QIy2N>gF!Mn z*uh&VMWN4tHw&A+Q7+X&@?CJ5=DZEDu!&HLJj5;T!JnTcpH5*nmDFnLzIh#)11o~2 z&&MQ`^z&-z+ciZNfv%4Y#?|-mvaew5Pf*^z?sV$#+PxGcqXDkqP?>*sV35rNu5DIpKbeNyRyh;y$DdIX zCO(zT)!y-ZG8OOgM#QpBCngUN*J{902c(Ih;A`oek1IB}x@v352l?@%2R~!bwm>?u zP7O8lw6$b|_kH4^Y1TLvL3h%mcV0OcY$fKYhS>Q%gwqHn&B)SuHO1ZkR{9n_LFPRA z^AlqJYIm-@ng-2O;9fem$g}FAXq|OT1eLbaInQSew)W}RvKjF_1b%p}(`pKd6Dy7N z22blT#DK94o_?zDT{`PI1A~7I^0mgglp1gC)3GHj zTZ!p+gaB1<&fjcN!8 zjSfaM1HicL!FZiq#Q?kd&di!OyfDN@Z>`yujL?jT-FhpVqGTQ?&`lO@ZEBT#YT|FD z&@T8IQai?#9ny9xbzh}Yi`U#*^eyyBrCVRU+QPkR;RcVWA4I1LtB72S!@Wf0(LDGn zA?Vgg^Ix$_Xk)7h7B6Cuj6d-trJtWz95?~HpoIHE&}Bim>2J5jP~OrC`CBGUkpGR4 zwi4Cdcqi$0r^L<@XYRN+fi{J7|6%6MK20Mi`}QI-v&hfpU?5*}fbTuv`$J$nYO`gd zn8OVo-i_RDuJ}{*)_HZn9*d|r0)0ns&8BI;g-WwC)Al@|d0ab!dT&sDYqpK1%#-mL zo>co)1SN}7U|5$ZwI(dJH$+!sYXs$bpumu$#B<(%?q^KF!ePM72wHzpfphWwZjHT# zoxj_Cg5p(A>`XN}$a>{6RZvw6eQl1MO%HNhqWneIS#9+2o*doc!L5BV%_dr3B$Y_b z)%RQs1RFtR-p?Cp>#xbZ%Ti@hQJ=q>RmyFm4pmg^f*hG-ni>Ei+Td<&4%KH3wASgq z0Ws2Yo?>P_FqtOxP;t$J+X&xFFdw@Nb9fXOOt0>$q=;A4`~-P5Ui>hpBLUabwJuNB zTHK?*3^m(W+;(^5lSzB7q>6tZ6n#fAEsXzH;X%*e^z)uaSK})%#N;mWZPV11v?@e_ zT@Ebw6vsgIJ#Zdnbo@84+|zsaTuOK6fb>0rbtD>lgIHY>m7b4QrZE2E}%mjUeUF^^DJILRb{V;8@Bdfz%r^h?KahKoy6jY zt*UHA$}$tyG9hbWx^cZXt@FJlsib80JPqVICiK$&<8eBht+3b{YecE!Dv)=FFWmM# zL8J02u*=rUu>j2JKryM{4GQU#$7QL~`7;=bd^$Sr20aN;U`?5#PwLl0kJE^cg`{;B z9=^nwO2C)|e0=j}x_R{#&3-vjwnIo{ItHQz+^AeIr7x)x6PK5SNKk@8M;8dDa4!XB zMJavvL|o%t4er;C@EED04(RLI1@VyC-}NXbbnZs?5_e+o{gO@3*pOl^%^vWC_Wf*T z#n(Zz3i$p2u_Vb6Ks)YU5PfgucqKt|2juyhzqycaK77fFqm9W20(!}D%v}B9I#utK z#QDBYb!(J1rfkT#cJn=U8493Q8`Qc3o^c5BrdaFl0j2BKIjV=c$L~=H4CNrDL_TwUKF=(J+CKCoT`?gMsx5GEJONfh!F} zSNeNznm$Xl#x`V`cq|1~5@58Cb@o{8ezoloe0MzblCx)f>b{3Gf9u{9RI@TQ8qX64O>X^#4`l|qh1QO}@q?x!u?F_uKI_U)-# zMo|B+YI>el_uULL7Q9_#_|&`Nz3Z9Un0Fk;hrYi+)o-Cy9W-Be@R#=m<#`ESzq2>N zA;8aqQfXcV=ZnUCHZgIc+nC5X!KRjZzamo{2lPa= z5^k=5n4je7pR{ax0SR0uw5`1XBro4$HYw7PN-tk2$UQhHh&dDhRmmA_>(+b-dGIGi87eUp3@IB_ocmcFGv(ngLrd+Ir+wz_#IJw?6 zfJYkBwTB8=D!trVv-VAEhYr|3*yv2Gwi%cI-b!ujByuio-^;yurYuMs0jc%LJeJ1h zRMFw5_RujN%u^m1vPOQi+D_}c?mG<}WH=12=A+G2?`(YM$@tZ+cA7EX_i7uw`@t|4 zEgMO%d#UGw*;#7BSQnuA#66h0^^L$~)>KXTTa1rwF{S&laUoq9dxw0|Pq1vQk|BtS zhY;7&{yB}(Csy;4!Az^DBoG2C1JlyTua9VG_0cL3fk{>Vco*7PaxUe~#gFc^`};F; z<0+6IS~QHFe^RmKtj_j$54dd%<>oHR3;Z_9iqE9U@O~T$UBE)M+XV#E?1w6MfDeh_ z<{+Gwg)bC;x`=|0E6U$!V_F8O<%ch5nGbut)atHX@Bom{269i|l~iotMLJouua!oF z)4;vnLEm>qj-gRIchH_yp|Y4Gw)TRZ)A$Ygu9S8TU0$H3=TMOpPpCSaTbl*q&!PLX z71%?Azai_Xi@q-`okP~I^_DwqEuzp=XnmRaFf?g1M(wrQz&=o}L284dxQcpvnr047 z>^%N_yEQ;legVP>;&0}n17Y-h;a9pBc+C2#ZLJc5lDuB<+&)7E9wv6iSdQ6;VI&{B zsa-f#AeHq}`FRf=u*+kmD421Xgc+xa5O?kG6*tg}+@3LW2P81&0Lc8$h8w70F|}}bpKVj5 zc8rlL0qL+8khi?Nj3^r01#6jtn143=2pZ8!y(W$AtJ1nM%%L~NSsmA`m17(WwG(mI zzsiTDwWx1#2YEhzZ0*xB*FeL#N$;s$yQK19KY{i!0soG^=SQ`p68r)xu7t8*h>r>Q zAo|vksYJ$gj^`RVZ^ZOB62K@!^ITBEj9HI5HeNwM3SrNJh$o_b8ZvG(U$O7iFf zdakkiQjIk0s?;sH)tLJCm9fanD2Ls_GXXYY(15_xGvs zJ1y%`W^*%;O-%sv+EVjQttWfHWuOXY>()2R zj>X!&I>6yGR)M4h?3_$K!E>ec7zRspn9E+G;M!UWzxA|gYX_Ew3E0-m$k&tU=9qp| zy2o2M{?hGj&&bsV8)EjE2;WhIoN(>x^|9xF?ofIE!7c13jDvBDjh*qCfP5#uo9+zO+)f8L{iPu;Y> zYgw;lt1_1{f%ZSY9j9b-J)Iv1#`RjRDeoD@tvll8CUGL^ZE-JWft>whxm73^EZ8z( zly4tG8za>+b8WpWQI*@7{oo5p4~Eg7rS8%0x}&WmIwCItX%E5trRxhRTaeN>EKCH( zZ}j>ZSy+E|>ah!HB`I(Z&Iv>%{GtNChKvD4%e+!h57~Jq=8ztPWG@7_awBHY$m42b zeLOZ@F^6erJr1pPWLh*Ysr6R#ZNVH$g1yo$gX!Syt~9sSc=szH6+v1VFc$J*ES>V$ zPK&avvfjop2jSt*9*Ze{_B%B6eQzt3c7sevYaSsq)_p#f-o97*Mq`m@GQ^e3zv)PrU)&Zf0D{l_n zc6)@9Z%@`y&$JIHS&8fxZzNWQ-|aT|84x4CySWHuY!*VhzCO1`vHOcqkAbQJe2ZQD z$1Um|QcjWs2u*_1V3?UC%8~U?(1Xtk%%rHyV+xGa2R(G?b%Kr?c&DF&N)fc&S=ZR)m6fi+*USYl&V!-v}4 zroB%USmce1B79UvmLs>R&Lst2p>1shhMGIDC@u5Fi$=SjIxK`8y@BA1WL`jlK5B~@ zbO4M>Ht5POn|VG(?z=0o<+>h$TSJ%gakGw0L$cJzHcl0pk})2mGjnGwDm;oR&lxMN zyRjjQ8`NUNb~Uuth8$gP0mU15vxD(Q&eb%d z+8rvsC8s63Hv!J%!BqtRnY+jM(zd?SX+XA{*56vH?_jALfTC8V9n@u4LT3igR@OH? zSX|`$%qtj)ntmEZ1KTS@Ua$G?jpUr|LS?k!p(dKk%|7R$om^%g5aiA9lxdS0P0 zO8slWl(#vkbZ#YgtE^hLKDu$J+n8jV*DXlx^S^;q;gLEHfYqpc>^4(n%chVP$pfiP zM+N4sc#1JExPLQL+SYEQ@N-}$A_eOirpc5V=@V3<#EtThSIB7ViUwcgcxmT_6QylA znl854O0_Dd_DT-(K^cwo_$#w%v?!j~**94wXZIhQ-Q(CEzD1?6J)(Bg)&~#ic38O8 zQ6t}vxu7RY!FbnW8g+lihCFAhdp=eGDsiHUnz@x84Nv66V6jmvv?)Y37bdgq^#tmj zUp=}@rxiLBcEnqQ{Os!Yc2mHqhh*HTYUR`l^@XZ=Zs%_#9_UZW4yp_r2HF&i)kjr{ z|CCTA<6UFb6(j#UEc{6e0KlT0e$tq8B`~5Pi>pLX&gl3S8Lie4GkXck3u&eQqV)T{sZ)~iRu`?Y$7s#l8~81f zkSX*!@D%Ov?9iV8h~yfl9^HS((qyI93FV_23o4@YEHyV{Ie=UP(*lYKo#QDZa>d99v0jL>|SV)5A@5O=@z zI7xkze&=Uo`>mTA`J5<*{EHEFVUewry;KyT>@8pnFmiD@8;Z#Q+in2o918KNSA|WySGxhWmBZ@Mtbo@4RaVQ zMC>VGq!{#!#cAf!>W&J$j8h9Cjh~m;#$q_p=U>RT?;UNm)Dy1S7$2pFTctuM@h5jw zRD++Z^U?c4$V{Pj&buu2cL=Nj2q<|egi7U5U|uj#9(oXrt>MaE=-Zq2@J@~6C-+!I zw1?vHgX(3+=y3Wc|9kqGYN2~ECkPFtf5*$&G}c$SKVqgds_tsN|N9(zFhPM46`5hf z*y?J1oEHthINh;DPzGc0l}~%e%%RsE6}T7U6d&t@kS1VlEmNlEaX%gx$T9?&IenQuY*k#cM)TE90F?Y(!{;#Wpum;6nSQ00LMoOsC^TMA>1z!~24ppt#uTYZ;UubcXAWQbz0ewY8ov-lkPNjmWW3_|iGh@9qR?h_qtuv!K z`|k=$)buJL<65W3k_%}42vw;$!o1ZpH-CXm8uIx5znUTz zsEh-Q%!LmZutQNyF&NUt<8hZMcIfbzBdrd5!y&L~m=n#pQjS`k%tooJd3a6JgT->h zwW3gHor|W^s#4JTG-!vFLbq;;xOSQ=BhTFhbn?tk!jcGvcX59ZqRNfw0c6fsZ^k6& z(Lg-Z2cFNb)%hEhQzvj%u9n}q=Ew#skG2+nZfeV8!*S{NQJ5pUjKCgzRXDu~#;QYY zqdoT1`!Ndqj?F=k|AU*R;2xbL4=7s3y?zd^lcXzc@KDd@(55;687r|8GZ12l zU8HX>DAb25`KE6{ey(OUxRRTZyDYWr>uxfOmC#cT`i{pkG{-&)q=yqGi>0!8M6-`Y zi1~#F1W~zf3XC~@tjMHeOQuu19b0tPQxD48ipZI7S{M3jR4FQ4bFMW>+va|@1oI$L zsNe^Ez6XSUAH9Ye4_4q;%(sM| zADjmmGLO(Q$LyUorWSL)@h2kyp0q9HFiMqF$^0mrw`dISV4I&H(dc&Ws{{6S2&foC zW5}H65=tzCF%a;v(e4R$)X|lWikkFQ7$3PYyULT6H1Ll?bokI$Ydv=<7agb$c?myfP(mFHTkC`jOAFO2drylM zp%zSK!!ceTH7+I|M_1AYyNpR@?;FOf3kz`G~-IsgS^>k)u&??_6fu) zU2<)r3p3u+s3nK~+ktxd{@g_?CMI@%GdIWsPjMoCOM_2WLE<#J>t;IUr}l_q%|pBd z&*pfbjV3h=7Lc0Q`2|1=gYS0@gQ;L8H5%Y{01Q4Hh7Vt6wb_$4Or~mY=7~@t5*aLz zV;HNrKERB4$p$voU(t+A&2<~ycoja_1^GI(dd zztMJHOUl==5S@>lX=UboO)RyY2gj`5Tp8x;CDh_t92v(T70Gh>nXPS$JwBYcgx0Q8 zU|bYGVwP>Q0F0@7`z4fPo&x9L-bChU5%KQAqI7g9+-icwZIrbT#L^n0L4ps#bi;3f z7%~t`-xna3g~+3eJ)1;}C%cm(i4JbQV}BA`=i`q2jl<|6db!R@km$ghy#0*Q=;6N_ zlM}7$!i%GqLYlGjXw3(5yBUiyem6nrnSknKk*+kRp&CoNYK^Tx=ox@YYYcm1`BWEG zPP%+oA9IrC@I3c^2OlO{nbnqcQz%L5Q#7&gcZ>H5#V!fv#CMKO=OtS)!nB9FZ@L`O zj?O<(y9UB}01^*<2qX1_hw8pBHH+)zS~$!CBOM73eNf2Ld1+xj=Of-q=}L+^V;t+j zm65gS3~IhgZ7R@w$*V8MG%OF=b!X7&c?$f2jY-m)^{#a$H<9X-VCV{!EJGE&@T+i2 zp^{BKU|cStc1}^zfirHUEW?LJu+*0QN>S&COcXvOt`~A@DQ#e8d_0Apk@%D0T$jt? z9R9hcl}^uU_|;H>l^&W;>D}7aRs_$1CEPo(%##u0_qn~}Xykq6-~M`t{Y4@Fi|#}o z%(sNxn@p9G@VO+x6!}h#1{|)`G<6_zX!AL8{>J_ylj-ZoSc3(>q-C4_FvaM_r7^~0 z+q`%8e~cy9(MZlZiQalN+qsM-xge3=T)DFQ@!JC&J+;gqz&Rhn$EvKVNsB8wC_KR5 z`bUGa!a1|R$F5K9M;WuOqjMAQxsOP5_gKO2HJP2&5ovK)ma&57(6fQ`xVI8&HI|wL z%|6h^b7)lyb<&FZl7Nd)gQ1NB9^A*=kNv1~fO?|I&v%uVNZ$=%uG)d$XCCd?r$g=y z2&^|4ThC|a?5mxhp5N+b9o6x?ESk3g^0=u+QLh00$yD!GRD}17;HsnVUFbV1PB2}MqhczDTwBf%l(+dpxNnz=1s_*(p(13y ziOoR;m|w64j81~)eQ1nb%KbnD(>3gnmLi)Kyn#Yb*M1h+XC1-NiP9f=L0L9M)9>d+ zdYkeKO}-rD-t+$lQ*QXNhuS|51=8?Jm*iL*oOvfIsR5$-Sa^O1J5g_18CNNkf006| zq$jj77~v^;Ja;`#8GyL<43Q~%Nyv=JKs5mk(;+hHyT8G*e zbjYUu9js6pL*D0%w&p zlVuJpp@&}`Fbr7B z3|2c1VMsDS--a&Bp@-N-Y^^n-!yHu6%XwCm*c$)t#jmInU7@ZCS8arq=ndsFjujWN z@ZJS~V<{@_-=PO9x+&-3ozFsm!_1A>i+U_nk7}`zL*jV^Og1A13+>;@ZZCEOXrW$b zgEyOk^6HLOw0vR_DtUj3)mwuRdyV7hJM*2%ROaCU8oefgS3d0qylKcbilg<*pPy+{ z|Dw)?_EoE`9xS#4d>H0>^3WctP%Wu5|J2r2J~ju@7$-1>jn|=!N4ElLuD{yI5vWI^ zS+L=|MZp;Rc#=?3};OTAtx0s_XeWB}{*A@ChAL^GQ0%p^!&1MeK8142yAE5lhxP|! z9Z;p(Jeh*_sN~=lvm&O*kDK@?Y%r#ghrd~2ebf3*hTNeUcV&kDTuDnpFVV%I=2jRB zRl=T7@EMUaL+a6#J+VTLmzyMCo3vS)88uIbcn4rU&4OU%cnvl{wapg0`w@3)q#MsRf9ZRVN)4 zpR^d~7_K#T08t0bn?Ezup6y#c9i&4M3k@W*`4BOCi#r?gO8VzET- zNz{D`4Iiq4M;7i|9C!yN?z^E?-FJjzt>d`v`x#iS1g$!|x2LPGiqR9}qWkPtH{ZwX zvovx>LT8fnljOO9_*fW>v@NQSN!QZjATGw)1a78huDcKeePc;?E2fO2P*!dgJ0GX_F_Dh9;*f_>~IiE-N$xe_dv*9Ju{NV zmdNBRxod{y`Wb-ToZy(URr+8kpIKY!26>(wX`R;N*fbBOeLbxCe8&kic(95NFg010 zXbcuUL$ZC7Po(j$6c}%Xe?n}!j`TedBpbab)8;EtpqzJB`A3J!s3{k4pZzU%l;d-X z)Qrjlcvpe6|C$uV!0Gx@kfrR7YjRm*T z+tK%>#PjG@nFtEnJJC9-rN-|D7?Jz7#hw(Rd7}?jb5g};riLT zi&$g%ws{n%`hL1Jx4+dZ#waNFJWd@%-nZ1lH{LxFyWAcbM5`^eNX;&*95@wIWp0MX zw54L&!pkhGJY@mcSCCrhY0KnJJ*-EFb|{`mSq8$#X1x!jq!H`nF;YT3FHtw4&ev@; zl^v+&CE0b~=g{pmRNBW{+D>`eBEh2&6v}TC^TYpm18McO0g^Kn)jsA%t|>3jKfcB3 ze(dsk;Uqd)vn~~%cg*^zH6}Ol)+v88VbcxtdE*<}AJSSze|Kt;2E$zF@c`OWR5_8B zis6Cr`yv#&vVQ>GPO8AA_<}^mp^%U4h1Pwyk9Wd)rAhmnp>`3N^UiIXX>`D6YTjY1 zdp$KCEFlEdx3E*Rr&?m?$0ZYGTYwDEyk(eQw};f)PFqRIf1Qu)(l;I(sx_l0g+r-O zJoV(h0eh9$`Ax))gFvoHtD0-H^;#=51$f%e3NjM&kD?YWb4XqQ{jSrwb&T6U6g1-R zTuwQ|)t;g(e7_(s4`rq0!QQ3NsG9}cyL#s$uA#A!bz(cZno%hfM|Df<_K0f&)-E_@ z$YBRhies1Hvt8)g@e))Z?-y&6jtAv=NHWfFWiIXaj-I~G=WPCJrX|PCq5W~TVY3K^ z*w9=8V~EP0%X&BC6&XX-4rLuGUu*Id`S0Vr^j`bB{!wc{H$l^cYEB)2e-% zR*d8yhi{sFYOJ8vE3VS?=6_gcb?X68)-oo-j{C~e7ht#@asEHFWh88qBEMCIgs%P)uT@~$PF{Y{Y5pAb} z@{E6tqZ@N$O;fSpZQ@uurI%lr@K5ykH+O62fYPIv;_MufQk6z*5r|f3f`=6x858}w z`Jk&&Cgvq+%VjA0fFz9o1h z0b~`%?{-Ujk@s#ji*2D3(FswEn>RGLLyt$*=I-GguOpb_p_k>WhET<8?wz$lb17It zOYr@BW&ZWD=HrDl?Aw;K#>JN_rj}c?*1_+S#xAvAw4;i1eJH2tZ)IiM6kD4QZ9G9@ zlK67~J-(opz_8gytmP*MAv*|GuUpfYSr$Tyl;7|qm&21`ly5wr{;7JEPRemTwSI2)6g!&!{qXApnv2@7e| z5jDi3F+^n)#yD04p7j3E0!rkgz&O`J;yG?nrPTAE^x(F`8GmyFYlDtVk+ZPy2 zX9HEH3G0?gPZ9oL^7~`|L*{+pp>bn-XnO8aWZo8L));+9&zb(<0bPcg%5Z~~pgel{ zlPQ;gU5vG1SBGRZ<(M+@t!g*(U=WR?zs%C#yV9{_$_2BrzQvGnuB6eERp44E9_$Rmjs*VG|Qz>!aa~wvDv{77zbx-VE`}ur4`rJSTc-NB0_a@uT>K2Z0LTmJtY%i z4#HE#S}#V^p%v<7rB198A?-DsXdDlPE*HeM0N2Y5ZsdAf!193;t!Y|gHDFAy8JDrp zb37G(f1X~>ZDmF2S?ms$r@0X~FCD}0I%HO2smAw<%#l;7(_ggvqi@$c*y$nj-yn0{ z_hLS4e;!N>fsv)B={MNxCi@1 zWIysPp};wrRGAYA(SN#T`0^Ez?pIj>OYNwwUSEad$!Fdz#wRE9#~A+aYP}VocEOsX z7Z(^upWE_KJ34qOtHd)mU)ciBZ-z>%1PPg+wp4c;oscc8o4|_?2vohD1Lc3P%*|>$ z5<3r+@o}F1W3^R5TT3C}-GPw%&z65^t^Y%sQ_bIs)M?EnNaQR+<48W6xk4+o=5a!2 zTzvYWZ9f79*Ee}OOD6Vl;$4Rm+P`l?=C#qo%W8{g+pp6hU<5++Fi<6*D!yAtQ-7*O zjWaqTj{#Kz*7}YSk;6gN22?e>3?}EfSP{7{jHwQ)*hRYJH6eac0VWf@Eatu?A_rh> z4hH$U@7ZGux_6+t+jypJPl+=&mdxV}qmzi-7*z4=-ZA4jUI~+U&acJuuSuha=w&3^ zxwQ5KRqdfR-FJ*P*PDy@U~GH%NS#?<$4K8Cs#HAZ!?yj5xg3#QS*7+$VZrFS8u^?}9`@rq>Wec7E$`yIA;bw`!b2%0U>dfwe_ba|>x z{*jUHp8*&W#j#}}?7VRnPv`GhvN=oq)!qH<{SHQ%7fikxk}8;XHd52IKH8Z02Mlau zrw89NzVS~AZtZX)A;Vz;5^}3UYAq2R2Bw`!rQWLXn>Q?}tfvrDWp)H)NPAFtp9df84iOLc@}-7R8R+*r1Fe@@ zV;ewp5sU`4uaBkL$=6ViyW^}bS}cM>s>+T<)aZhm3l99>BT)=va<{JXPhYAKq>QP$ zHl`-9VLsU1y)2Qf(nH5?okG{~;P$z|*tR%BXyM!na-e+#2M-ZTV+@kzVdvSx`cSbG z`$a6SbZ#w7Q3%kjKmQwUdFd&1eVC$v#|3SoKZ9<|Pi1w}u|*(KS%rdV&#yDc2eUay zLbeMdQO*Bp4wacIdvk1az@}d@hwNxL9g{M>sDb4eUPe{r9vXIskLl%@2R#ihK1f#4 z>n5#PHpBVhS$=2e{n-{~O0i0+sq4!voetD(9_frXt9#iMh@f{c4Lm(Mz*07sNxZ|Q-j-ZVU$Z?$i?wFe(?$P zb)f=dSDPe7iy<)nMZ1_QgRU$Wl>KL^!>mYD~+PRx9ntzn5#HG+e4D=Pd_?-Ngdb4K~_B;cZ0P;W9$Mej2yHt+qwB)cX79a`0BgHYd12L81WB6 z@OuoXq8C0CB6dvGed8@l_5w98=>}a+LY4Im|CZ%~u@W7)GnGOog}ZzMV%>T~{=eM6 zw6mT8<`Be|Y9s=l>4 z5?xzOkxO^DUxX|M8_tO;PMK}=s&piEPTSwDl9#S5@nU-jk@H;d7!->$h zX6)dJ_Hwk0c<5c={RDk?>^YWF-c<+rv*jvwgeR#cO&CVmDk?C-sLcJ{;Mkue(q@CW zm!pba9%+s7TRDa)nV}AQL1P{}R>&1@5RRbP5c+mr9ZI)CD^SGCVU{PWOt6)Qz!EWX zvpTPUH#obUs*ek^OzD_!*ayZwb8EZ%y_IzO_DveLD!%(T{6%nsbFjy!cZyP)(Gw}) zQBDh6Hv~CCmd$Uz@lR4l)S%fNCQ>%v)z$!kan%AtO)!#!#rbB?(|cE`$hYoRRSmx9 z8fq5z>PZta|3mw)rEwozksUs^hRHW0|IJMeXLhH1X|GzI+8kCuS&N~zC6%_*yH4?) zVLiWFy)<|aKJvCsx-u^kj%BG_OzngCx5Ry4{^)$+TMg{DH%&A!F8W3F) zsqW|YJt<{|f2e-@D2t!YD8709(cf4LjYZb|Ml{diJbd(w6|SR0f2=d%m?b0Y*X^_^ z!wMId>3bauQwx%_P9|<|HDQ^gc)&l_(?*&lJ zZfdYt%ol`mIiGYW`qt)v6SYdREM@fP5!kT#CklDJ_h&Ea`(BOyd6*V?F8GQmH}PO6 zwq2{(k`D2_YV6p8XN@vI-{TzF_`VOB>mNSO-pRuYXY)%5Wrdnz^#Kh%o!Ji;b>b{;ZXndG}dErH<;5lJOeGYjS& zkfwu2jCjs3uNd|WcwF>dz4$KCp$V*IkoH%QsEash1?~mwEit8*Qb&i882CF!?>olN zvdIsl{t1M)s`R5Rl~p|FR8iU51jxJ!kZZ~j&pEEC)w%qxp!s+806siI#q*Ckp7Voq ze$^inBdsy4<(AR2dtSaUOx~-_XMz1%%Y`Y9V3mka`FwHPXaq+~cV#FA7G5bs%{694 zgo+bwZ2ab~tM!r_v#cy0zl1X=iuD~IqFY-igbIwlLysK$tZ#f(Zuo+zmh6k#g)i0MAdh0}n;#SZud_r}@{hYfp)yd_ zfH_n{RpRD&Dsg+32-P)E40Y2)_otl`i&$ss&_lCa8DReNIsx=E>f}l$uHA z?W09&qUcn;%+}8shW`UGf8VPjbRf+vO0;B)`>+s^Q*#gyQeid~=%s1Nm`mM!pl&B(V<5dej@nyqD%JWxN`BkUDl{*B|g1dpmf zJ{8sLqj#vvxMa@V>tjV0*kx5 zEE3@Ty4~rSmzV7Bm-Em0=bty{(7a4dS65fvs+Q^L7XA$-E2~62@#f=bij_-#H@8FC zyCv!!6IxRN`)0fK&VvZixOf1|2g0(HjvPZDHbgBqt$D2RykyP|n`FKR-Zu?`Dy>D@vsP@g?~B^`ItJ5-&2oVnwtE-$ z?g$U<=fk6u9%(^kQWc;$L%-{PDz#JvhU>C{v~H(9Qk~3B z1AETnm@XkX=f!4PT)VS%#?oIoBvQjv&x1$D!H&mJs$!0f#(q(`*PtES1P@L6XAphg zc2L;+tTL!z{xgQiO7dyHZ*p3nLI`pK1kLkbno*4T1!(-uW%lk6g8UvqGr_@SboKi= zF{y!FXGL3rRj`hf(D?&CjxOmEDS1-Xxo8D3zvjBdiM3ua(oFDTSo1u*-F+3MRUAil9kQP{e09md=G}B2k~+3~ramuZ@Z=HhILmL`K0B0Y=R)uk@A5VxGrtB*+!d&kW5oQ5v-*|@J zVuc?ZE#wV+H>aHdn%yty7<>oI16=VrvF_lp=B(6M+4x6l5mwxlitkIhs16yPm6!Uy z1Y1^TP(G%Jns&1HKw1{}l)%~wW-c7~HsU4kU>j_mh4o9WWzGdk=b2G9Z^#hja&@Rn z?@Jog&*8F%Yc}(4VQ=Zn;`9b4(5z)3{SlOK+MrguNC@rPEhkJ{vzG|0jYKHV6V7eu z&avMqYfJtto_B9ssk}rm4~MH{)jN_;Q~Bc0`fOH^Ke71HBbATj2*!u3ys2h%Z;?ej zAM%g!d<^1wT%mZoj`;OY^DU9Qq#ers8=|?tFPW*lWG1{M9t^7d8*iEBE1kcBNqi90 z)mx^)w_^KQLu3Jx(>^Rq$bnc!i+2T#tL6;*MG*HAc;6b}aq*vv=|x_-tqmqEg@>j^ zoyJ4)1s}&Vo(B~W9|Sg4IOiGWV8?z=wA}g#`eB9C`BCO6Mv{jS9z#^i0)41UNR zJE>e^29Fl4V1$JHpVIlXw@;lJ5pr1~SY=SbS0rnOHlsZ=*NM1RSQ(V3ZFp-L zB&y!uga341;em>{Dx(U#H@r*RSE4Iz?$JPO1nkd6ihBEkLP(B1982k@%2nL_H*RT~ zA9(EKe~#VX#?qeOB$@jTGOq0k=clgV8O1#Jvnu)-EB>QbA+Y!{q$2&M&!Q|>^7>U( zXt@WaRz>_N^UP_S{?O8BId!t0mPHe2;d9M`q*6~^T13Wv*%HC)1%KYSC)jZv2EEdL zG36U0-5DA_`Az@^Ke4VEi2G%kM4y8lcqzht;Q<2+%Xh&fZ<$_;guXEN_lH1Hf@;9Ini}F$9`c3tR zO13ZH8v|xbbEO^ie?5oB#Z2rsNag0G3oZvwGw>-T*%KOJn+l3I*x1NGfC*e&55Qv5 zl@ZjloDBE8DqV`!5)FSYBr2w2(U1?5h5KJbBl!+V(Pe$8#~!(W1zTo`!1WOj&j;qJ zu}GBFVSgaT-)g%Y{FM#AZcaR&diDyWkxkd>TorloR+>SsZBR@9sT-)kPao*U#(er3 zD{4kOrfCz-Hll6qXVH;;_4L*ZCQ&hqs+CMOf-dKhNab(R{%I1c`1I}e8c{oU{j$GP z{>O6ZY~=Qw*P{hH+CAkLF{KU*7sMb3ti672?CaU*4ZGA6uTVd@No{-W^%p4@o z{yU|-Y%>=|WB1~h5{PU0FaJ)x3rgm%SeF9lZJ^H!{Fk*Rc*Sq;>?7f_f`>o5T7%jO z=W{Hb*BRfKF8?7rqAZkKWa6Eo?9TtA0dCtjiQ4r5iwC^^e10xDHL#U)+nu739APc2 zp|>|Nl3Ms65#Am>qPKT@z#RMRvmep17bWcMHH}V9x3T`-ifCe#v$fc+2+l{?nT z@m(SLbjzR-rHTJmE72Y!PF#cl3TttGpJDejhXE+L5mUqJ)}Uwg{lrG07dqP&b11tVBsivf{)1w?Djs)@8uQ7Kinczg)=aw7$* zzgSrw?ynlE-ADV_FC};;m}3hU<>=x+h6W{^B(&C+O(I%gKEa1$3m0Wg#|+PY!Z|k* z&O4xMQ8rZ<+MBpH)qk=~$SS1W~zH*QlVUQ8D$C$jZ++#=P!B$2ZC7fX~T=s*S ztv*Ldo*!<&E2DXtY1Pkka(qUkY@^=dXf$Ea60876aZa@PJElCzWTei^${Bd+nx<_>PGvDnS~73x zf_=dEEZm??olexQt3)cuc4R_kD|ehJZN#b|(fXIhjN@SV!o(sYDNBqA!rrKfz~5f@ z?ogDl{{2X5GfR^HWPv@vr1~uzhShS4ch;gvE7>=(B>hl46CI7QSKp$-y+ze>dHN3K9 zeap|JD?nlXNX}*KOAC0(GK%Bx>$7ecL=J&koQ|QC?%)WK zyE2mn*7$=0-qz7Q_x$FygvA7yut-+hiQM;M^rYh*WoM9!_f)DX8@W-o$Ze}7yw^~A zoKEH*9n~Z%Xcqb~!@0&Yn(+Cd^Quvm4Y|obV3)oiDp`xUj^^+FKxN*%(swE^vCD4o z;00|xm(j?bq=z^MTeJrJbvAFEihy0=*d%KE=z&m6ewJK7u@c-MFLbUd1|TzU*+;gS z_pH^K(&m>yUdKE|?xE1T~Les{`sou?8_S3A)-~&2?*N`Zs#%j{vZY1p@Zm0i= zUMI{-nKBR2Yp9ke8H7#`=E={Eq-xt93$<`2Y44X1`T>|LwO~31NEJ$5fZRMo#*?Z! zTGIL(zlz*!FsYWN$lV=@${l>Gy6B&K^zQwt^`uNGC0c55Zh=%=a;4Ow2NyYeixNAOkclz3J$}4_!+UTC)KA!Mw(ZZjDjOH`(+G&_^&y{p%zaX zG@||mWL%r@&J~mp6%(Jx+=QFDlQ*yl-=ShvS=~~|>R%&j%S{6xexdZ|A( z%dtdg&0?;Id}^c{xhq#iFh78X00y#fj??NMC=V@x7I+;*Q>WF{=~q{;W;L-aqf}Ag z(8hG*`eY%R*nmWoi+;g9^i#_^E6};5as^s(uD39#cqAkGslQGM@b5V#kB}V0RAEqC za)lPGtlgr@o(wqDK_jkK)|P2=OHZ#%(gh&>2;l;&UZ?6w6B^Ci`Rlwdg4?wTorBr^ z8`1f!>qXfa8EfLKd9FgRgSV&PA$*H>{$^SEMpL0dE9Ao{91l*>lErgnJjvm}CN4q# z;7PWaiyuOqe`N099gcZPp_^zdki3xFbsM^ICvSq8voUEMIwp(Qybh(7>or#Byv3iq zKEvLF(F_-xvy7fxzC=fcx7K$@(wca3*Z1KReoKbjgi31>O!&V}HE#H)tGGj?vLnXK7>+z?k=On zUoO$eaec(Tk|HYpz!=v01MD3pjw5}n9OMFtVpcL7abqO3wyOSkqN|d;mu0nQCHYxR z>w57H^-7-F=-vOM&OGIHg^lG%4U3KMyoIv%{zPf=Ez}DzHbo1Z3+{i8A9dX6JcoRS z%bo|~ktkd6NP}lm4qZciN=vd&1aG(h{8rAPkeQMk&bgI~M?BJG+8XLmL6X0!&c8nt zb_1fh4;^r}ju4GcX5hDf+zECohF`R^1B?DzZn$7|U`;u2!^b_GJJlSv$aEa;KVVJ; zTG}DI_)@|;@Y&`WW5Phnmrs5vffqcYfsO&u-a$0Q9Q%-sP|@K#Y?%-OsGY>#r0A-@ z#6%;mcE~sk*6-pPPOkhrC`N`#I?uPuYXSe~_=P8>wXyRXQH8^y;-Kq7$|U%b#Kp$| zXj+CceW`@6Tq}8l*@=25L9xTp#Hz9nj2NL~V?>Xh=9*2jspxUpK(k~n4>xNyZ!|6I zEy*~L%yVfg?VRl9{JoM4{aznW+*j8XWir z*TZ_*ip4WL;TK^NWaQa4-g$aZH;VCx>n@I$V+|rzvY)&(TcsZsmS_;9(x5V9s?gYbxhTtH-soG2_5v|A z2JA9*%RqXO_>>S0ZGcCCAFd3bhK8JP;dfM0^54KbJ`#7y^Qyqr63jm!algJQFX2vE zuy%|SOY(|)-Z*)NS6;l`d=Nff333ID7(<=rm!r{dXY1D3z-IYlr)4zd)&0GKR#?O4jn|ac_%s1NZ;q>}xo_T>m9-`!@>A65EG8Cq z4e3F@Z(1R=#z2)OnT=xCqISyt(fMaA$OBGE!t%CxKwUz z7Q%r`mVF->E(C|2^0GP2*&)Al3}>={Lk6~`D*y&nxtErkZBGr@T1!}ADur&?AV&hEvY5^M-Hom^%qT&{N6fRmBrVh;o*9sC z4n6wouGrrpzluyCxyGv zA-5mE6m4$zJofL%yNHYX(dJHpvNN$=?5zCj5o=A9&CBwGv^y|~!rJ*iPC2uyR#0T} z=Wy>BRI)Q^CyP?=jeqMWRp)`u0bm@CeBi7eIJ(qIewqTwE%y)koLD%2N z8Stu+a4t$v77O#6;+&vxZVNm+<}dUpZ63lF#@U&z8l*-XMZfypP5Zb>Tk;Lzwx=1^y@FQ5(jGSx`(G8ujqitxSUhgGyS$1^&S z;+{T4k^Rwgj|ruo>Gq0lRLv+}uGdr3t-Jy^Si1|&CSA~ka&K5Gl*-)XYeW8!Omp}` zv#MgZOP3NA6H#$VI6vtJ7As$MpxMo3^N!Ongd1Ff@_D&hWCt#)8jGZ$g!8{h7s?j7 z+hGcU`{FJuDyHcP$rEU;OMWL=gH0mJ=C{qkT31+AfvHf>U!7AGn2y1d+adJqJ5-8R-^fJqGws#~ zDxH@{Dfkw{Rnj~_ZSM2&Me%y+BNdnuK%eEUBa=htKXs`IKo z*_Mofu?RX}P;(eH-yq!pys&lF`!?P0eMfr5^-PSUa`(1>$vq&`zsY$2p-0!fbV45c9@ zkz2fS>Aj&Zg@>#Y zNDWj@BuZ!wgQ|*Q(+qxv<$0-1Gq79!xJcyesMtiuWSZ6QB4z3Ght9i}x-~A>IE=ZL z>^M(UDxooZ%ocsWV$HMq?7-+d4DUaif+l~Va*YS-^ORDHq0SSag;S#j(D52!qOUka zy?l$0S!h1incoJz?@AB$$~PX^6vyryh>{c>;DYF33pY?QXxf`Lo#@#z>4FDYA^~KZ ztbpvlbfu1s`#1>Q z7*X4uoJ5rw6Oq0;;Ob6p%Cl78ukkZ{Bs=>y9(E^NXyK!vy9l1+&3IjrnBTF~!cu8JdY0Wqsii(+(lHP$+{?Bq z)`fjcm?Zj&3Hijs(dPaS@;WqB|6DO%?f7pdiJrNoH9_74_jeaf!CX*f;!X}xS}P2Y z=I})7ETy%hh?jVk9!V`$*_~mr7L4ZTl^PRu7N1SImu>0%1aN+un~q45TV$SL;Bt{f zn_H~A{AV(Mr1ih9R4XX*cgQ>=0q*W)w^v$g$`l9c9ZB*XN^9K##e1Gu%gF&-IB+H| z#&rT(QvrM0@oY~1N?2Ym(U_iKoICQyC5my(K?f?e)2A!hhOzcFe3aq(QeX<@xpG@X zrjk5ini@0ze5jJmG(UQ3# z|2Hz$^UEvR+=&%6lH`_X(U<*}!~K)2oRd$gce!E*s{dwyf&2hf}Zkj$Lo^h*9LH)6M0Va5?K`S^tQ6FlO zJYwOFnw^U%!;SgqEO~U^MMGXS+3?yvh<2=Ndz$-hnJ`IQWiem)>PNsK*@27xsoU8f z)r;&fiG#n&;o~NwBd3;Sa2hRHRq!9kY5qO8)u2UUZh@%+G$J@eTXu8wDx#44vo?gq z=2^Mj-GJxM<+TL4m!fB+++gOTVBM*DT))HK8xTRZFA1fLyPpW9o=q6hrK$}t5lcd^ zeGlc`og~?*biM-}lT^_8*ik;Tw!buqg*6d9yMcMMWC6uW5Hnh`&|2w#B*RM_V-fSC z&7A-l#T6|X_IB}DfOl?pliV^%2ylK0XmKZVtdu(6YUx~csUl5Un&`KPvVDgK(VU1b z6~+dlpLzzZj36tERrfpRJgg#-LxI`SC6N$@N|O*bdI*@uK(G$IWzZ_6M;kZ5GaCcLoPQ{NQtos6tok zorUZSa*s3^yY<<}@U+SnM9=G>_a{P7U(hqR#KS>lGOuGP{)qRtTbwzT4>Q(G_~msP(>Gc^W;;_maLe z{21MYO33;Tw0M z^PGpvm4PL3R9zC_-#BeKA^Bf?L%jD-%|c*9dwYmHzU3U}U?o~06eh&qkhc~UO`eD1 z47q8GW>+j;tlPYDK2c=R&cGV6pw#?6CQbC0YpE>JOfdff$z3x7{9pBxOA_#zl@M(w zobxaeHB22@b+MD*Gsue%jc|!huw#3!ru0J!-Z5a!9(xLGI51oUB}~73k;-I_BSb5x zv}Q{l*fl@pJvUmY#S+aX2aF5w|2v`7+Fd1DZPe)*0=5zjQ$f}n&B17DQX*ihsi5DA zZPUf7bTDSYdG#RNf8~t*lx*ThfK9r|%ra`2o3*eutNJP@&%($H=Llng4Q~L~x(UU&YSOn3d-URf7_LcxVi*QJ z&dIy!r?A*Y#jAUDhYB8DF|MzKk*$4FI2~%2Opl|;vIwLTF8oZ@1A2>!tyend z88SXz6iM}?MXAU0F_Cp%2vSu*l9G{HF}|!=0adXR$XFAKS2};2d!e(BVqOp#*8)uI zzBQtuP4m(7-FNh#qKa0!&mZ*j^*F}rN=Nj}QOS?aou_wg5(u>Ls$S1)aYcjP-jeQb znZ$nR$D&UEpL``+ER)z|<^DZ$O>l0H%G|XikeZx!($%F0^z4evAA9gR3QRs6Exm!_ zoZ%GRn5`tw?-_f&xW40jB6&Qf5q$%)!Fp^$*QG`qwh8%%#_z`yh=LqHLmbqXhHjIJK^z3o>gJ5%Vja%|bCMdenOro6fNV z_RA1U_n)#XK!{dP)!V^fa>WFq6jCSWJ>bl#z8C;+Ek6tD_!iiXPM#|yW(LJ(aDs&7 zBe|gCtJBogZU4ro;%sfeGn!& zfyA9`<$en%`WuNm*~%@ncZ+#P63xn8927s#igi(vp9O{Y){a~;D>rA>dnKJVC^F6t>W5(`iq=tl#lUCdp;cuCTX#{2_?Cjm*TD~uFq09&jzKY0Gf~0X-Nz9 zX#x)I6&ybEoUr2_{tD@unVuFHF6?cI#xpVemIbdobzB}2ZP^$i3+8j6u#~90(i-0E#Z_8sj5<979NtB| z*<0|bp1o5b_O6Dn1Zytm5NiE+tXMVa{bcukX6_L3I!XF~RLoY53@V`H^j38s^^%hFBGBD^lG$vhO9dyd0{AZJ}g^7-sQ;i3QLfc4s`NxVEds=jdJH_b%zH@gA3DC(3N$>q1>sr%fc0?6f*)(%7HCrIi~%S|swjE(G}Hgj`!fdA*i z63DHU)@-uU+VYtFosAWkcFw-qxNPQueTPxC{o91(m6hcDHkIFhBgu2apDH$88d>r~sM9$l!85s+ySu0$<87*k z3o4UzE#KBQ$$ZNV^g@HFeEA6?_aDk6&8(=XO!BzETxY+iCYiFf7Nx(Fho%+nt%s_5 z+Z9WeYBbGgC}WEiG;Q+BIws`{^@uGtdB@}c|0*}6NtXOiRt(1!N)4{bI+!Mmkrl&d z^a5*@t%@mwVgahPGtq6tYJOo8Y%M_bn=(wlrA(3;jU*qs$%Hk0L))e&^Rw)2 zOTNEkBBNuP!r~*2EI#)Ah+j(!HzJC?JhDLrjXyREc1&Fn;QxJw9Ja7$xf!%FnmJ{X z&z&Ya-zc5G!=OU2m{7196&~%RqWc%=){4WKAoW1pt<`cioq2nXPQ(t>Z}Tjbn7r!^ zwM;-v?K`_Kr5Pq?V^Ov}c_)}FFh%+}O;AMgM?hcNG*ucj3+votPE$$V`cQV~RVBHd zd*FM2TI?T#T84z_i=$#~U-%BXS^Onc<&Vp+aAXpaw}-->!%KQr8OKX81OL#fnh$bFGV7FWQ)BeeN{A&Dq zc@F-Blg~Sr7eg^fD+Wh<@#{#MbwKu8bR~INFy95qW2E@Y|J54Vl~kEE;oD-_7V)Z+~0VVV1dOP_5?26F%{Ef?iY(D!BmO)O8qGx zi}XRDohMJHRutG=`j`*r_5hR0jWxk6kLUdP*v`%A;6u447>gl=6CHpxL^80l;$EFgCJk+#qDWx4Y@PLL58BJ7?$r#1-V19jDq4D zRJny^^awGzFzkKvb1q#F=67?G8{2knY}`oh1vl!4&A2RhNcu3{}VaViMbjxG3_Fu#2JmNJ*^=A2U zR0}q=!Ya>U4uB{Kisx0vEIQU9$92+YnYcLc2eo{fT4U>MiQ7CtRq1{mB){O{*qXTZH(m><72l*$kyf7~>->IGkg(ZN zP6%CmZX|6Thi7#yOyP4yPI&$_9k<89b)vnnc8-`oycADXflFaO*(JRE7h87{OHdXd z7A!HE6`BNJBsz4!a-MN8b_GOXEdVVJbCzudj-wW!_J`SetkG+9FtyYlx|H?!Y5K;3 z9gp`+l~^7ei5i|Rg`MiDR#~PlB~i4K#2U^CD`!E|Ckqz5NxOB9=vIzbg2mOrhkJCg zPI{Hkh+Lq(S|DAsB)6ycpC*~akE-PEx5p7qnoBlr7(8;yCbsMxLNWfs6RfQq1MV7; zW@!7(YpjNV%j!VW)LN$iwXNS2FK>^?*JkD4huEn@?e;7gt;L=Yu)Zh3>Zx~8Q)#Ht z{Q7z1aPA=ZBy=eg)XFc6}@nS>H)qs;u&N7t0b=_Q) zgbtI)J7ETtx~dY%ou%4WF%y>snP%jl@RGc%Hiai{rD-uo0yHvTJ(Q&>P)kA8p3oEl zrk1N=RK;@ zhW(TVmOD%fC_NDE45B*(wG=Iz9)W*?e{Fq2e|Ns80{*qqv=6-A?;mAxOAyz}N2zHL znyWMV*+@%Ld9?qkFp&E7BkjN75&Tektg8YMc*n0KS`rxN#o8rIf!c`^9bVLI8Fpfk zTBznoc4~_f7YrKS-_;)n`EdFOmT~XZdKHz#g(`hFT34M!ouQ zQLG0W$S$7F8oxeAk75gB;ZO@YUVQmms7MoVhEP~3U!(!Syyc#I3sWp1*N$!lZ~7mo zBIJG_ggD9>eQ)J;Qz^lTs?$$-_1eI1RNjpVk z!9lR+{V`FV|D9x{m6O+J*`JvkvM-l{sAOd3Jy_J~hRLEvw~{VcZl4o`_5L-~P&=|c zsbf~VL&dee8^oGyl;9sBIBlxqg4aa)lN>|u$lsR93(j+GxbdukS8@fY*ykO>O^&$1 z3NP^AAGnB2flF-$20s5NEr;rnIaN@xpC*}2T>woJ+1Gn8ckQ5AE5n@oF`dkeB1~$^5E{~< zWb9@3NY)1{hD0XXB0 z;QP8VQvxflfhJD1?}55>N5V4%SiC$gpe<3xLt6=&O~UXPX?QqTQZ|60Zu0XmL&J58Sd97`)ylmRsj( zSv+P@k%6e{AF}ElL+hsT>Je^1ya|4OpVpKbp+L-aM5;~SnXf1U^A~>-9ujq5ieYFV-8x) zoMyz#eal+JudERN1?OEWaZ;u@`luNATb;(`9TqNQBHB0$ zo4wUd)e&UwsSTpN%Uu|_k0j03#%u7^ML>Y(XXLeD-BdrPis)fL$%f!1((taJV8P;* zAYbu_3s5X0#rzBB>VT9S$wqQOlcdH*>*@ge1sn*zE<3I~VRmhpFHcLILn;JyZD?ju z_PX)@J=~di-Pn!0mUe6G_9gkJWJ#Xzk`yYIJ{;LU($#m48vY;Rm%xmHL=gTk;=LvM zi|~%Y2`i%um(}&5H6QCkKjFDEoxi<-G5V+La{K6iofiv`Ngfei)?u%ph^Y92O+UC~zD5WmV-EhP=P*i3x z4)i#-&wUVH2`N#smrl1riZQmiPqRDwU(*(Jz7e5MZ^W~MdbWl3_ze{{h5aFwTk-r#7CGu1>jpW-BQ zmpWOGex5eRH0iCwdKHkHJK0tY@WVeK%jRM>HLGFki^@aN=0LlfQD*5OM7xT~>tMD! z39~S1A?!`trlZ($hTClR2fndb3b&+<7vD#(?vvbvzct!bLG_y}eek#E+Bm8buM@A@ z`yW0hQ`(Y?8*z_QIvOO`26*wdk^ zo+t%_+$2|1G~>m7;#=cs&2<#}sa&sovv=S0uY7r{`#b&2Pdoh&_&NiepKQfnVprb; zfA8p1Lj|g54f&33G0Nwn3;Efiwj8{b3QU3Xw2mc(5Ou!(T!t--PSFal;9y zor*6a?q%*5tW27;7ZULm;c{=N->V`GE>hW6-ls2eKs1%&)El-(pTL z@Z;ZsANYjNd=B5>Yl0PJ4oMzjK<%Z*@L@87eXLkJjZ9r^k#ig^Rrc#}yY;cg&+Rg& znl|BOj**=L>=`r93$6L}GOA zzAKHSPUcdrMM_S`U6UjV{(kEwe|$%^AMJc=Cg{GoR=rYIiOg)Ca|z3R#YTMQa@_bj z30K?Yf(ywD?qB^;S?%;AJmny{IxPK$1DA3@#|i31ik#YH4F3&xH^fx(wz{s^`;%;p z;{^9Q@nmepX+wQsy*K*8FU-jL$Zy0bsS%t)8m?@>E?v9s8ZC&-bf%|9=*8Ne7Itr% z8X3;cb;5t(tEK{_mh5>E?5uppqKiKBVyULr;o~! z(=1=#nsKsyko1Ia8k$n23LrVX$C@~OPwvpoE^$5bFG;I*A1p8@PH#8#_`rOqs^iW| z`c5f$71F=HQ9Zw8Dih#uy9hn{mI0;36iV2-y&n-v4xRYytU-V>EE7*FxffNDemZyQuu}!m} zBUPw8Lr#@$nVjHnT7jEfjBnW;_ z`qFIAs{L>VTI@lEyjV`=xa2%~*(VJAqAeLg#W|_V6Tba_9Qr*ujP&{@wUq_~c3ng^WwUN;zbD)g5VZ$}1-5)@fY2&hDqbH>SqztiCs~ z8xnV;Fg<&De!Zh$C2B8w5>;v}dj5y?RUF1wVKD5K@Ty*0=hnj^Zt3@uNNlQAcviD7 zdavtoik&=cHOJYSE=jQ=niuTuG4&5{%u({>9)VGQ1y~Ne)H+{*_lGq%KXYe(7=g{^ znYq8ibqaAawIbf_m+kgkjL7zC4@5^Db4~ckVwP_c@>~2Ou;4GvZepwPLNsDw;SQeC zh51iGZ0284Mms4-Mv2MnUiM+4RDv9XhVU?y2&5-JwC^i5ZW`Z>OZzdw19>qTz0K(Y zz%U`8eBaH^+Gfr4p_Qt-p2^tVJ0~Czp@7`PCH1!TM4(MDL$VW;@dDOUS&q-!YRv8vjuu(fgG#*Vg3KMT>?M2rRV+H^-!( z{y6NXe%tzHlsRQaul&PZTa<{d)QpKv&iq8%jZPBb#e&xwaOLwY?y93w?>gCv|N4Vz zOL}l7ott5PPzRC;@E`sQ323f@MaJYCEhC8`i=FnD?Xq@{HhYKlliK4-re#f$V&JUE zGiOpoeZN>&?#>X5lmX(f=bG@giS(Mv#$raESqs3c{2P9w(y_!0p$ zs2mGV^b1Am${tRHGv3q_zV$qz31)(R?}z$3VjpabviU+gZ2cl) zTcGhRGF$3q(zoNgFeN5icvMi;@fa*_C}k-%2qiz+l)u<>;=@x6l31I}rTeOVXm+3c zWz#HqU9JN|J&eGNO1W%0Ot#J~P(x2s-lT$qz!x?E7_N&}fT2~)J0fFDfiOkuwfZMy zoQjX8@$TPD=9B}5<-Wfi+|&M*-qS_pGRHPLR1-Zz37)%J45KHaSW;K@g2f-B>5B(bxbd2X<06*- zftfuMWPsf5Y2P~gFwrnH{(?}|z?<=tE?r-}W4GTE@p0Ghz1|&Di{X4JH!*9wfQla|t_(OOdaW03Ql0+G_ z3!b!gvEi6y4Y}9-@h}+Va5tMsm5W^~N*{R}%f>+5)#Y_)wCMr&*DiDCFEZg}%|ltB zaVMuMm8hz&DFe>mom0}}-y2iEOT3D*ZJi9ErWY5e#-R((fFRQ<)omFz-*&<4sJNAK z$`%|ECGTX+8zjffZ>-`yr;l-1BA2~9$v);J1;y1Z8YkHy+_gbUDN5TT zD`%;Zj*mK$%-09fvz`7kMJGY^Xe=(o^GKZ?UHRo;|F1s!#5A@MSCFZ{o+y>X` z0~%;I3S`vlsw{I9D8DdPSjq{2g z?W#TS(SIz#9q5Y1?n&E8Myc`__hun%clsmii6?5-J#)XbjqxG!`2_q@lj#)s!>@y8 z8+j^1W7haW_Tl5M@PQVH`6vHrN(&14Lr#Kt)PoCUYcRoVKJ8*QQxkkYkoZIc4DEjl zG{R^uIosG06?*y;iR!g3$XY52)gg?xwV9uO-BT|1nAm^XnwTpM)uQn+7b!M_FB}Z= zou9sY#8J7>$VI9|mx6fDoN^Q-m>O*e(#;RCin3;utjJr`t9Q|IB&s}ih*wAVI`dkJ z=*;{#kB)IxvwlsFmT2K;93 zmDCHhWI7nAM?jWPC+p3pG5(BVG2VZpQN6FOeMMB2z?c1;_sQ$EF=gg-sjYQY)UPF+ zO~91M%8OSnt-!I<&#w{F;<~-%DejG%3wtyw`=Y5(^p26vbJm4JmMyfJn&JtGh?ILM zIbS2WN38mbc;$YdrH8{mWQ(`3RS;VE8HK1ivg#eGae6c&a;iROM-g1}8A^__ zY3PH)k=0O|OxBJIa2Wn*WqrTw?z2M)cR`oPhbuK7YXKd}WRZEqp3-VEWT)l77rE=V zr5>In^UN4sn)>xXTl4ZiUGkV-~&W4tuoor-B zPTGYcG(%i6;W-3o3N=neXX=!-XR1|+##2l!k%++_Pb9qNNoDHf9gIEX%@Z>36%|G^ zA++$H2``AHm&*h^6RG=OF@?@Y^rNx{Achu*MAm-AWsBF~PFC}*aLR$k!i3o>^AI|bQtmD-{2_1aP-WYy=d51)N6;99$``rZv)nJR+M1viSZ@H6C1clV^-y_2~%m->tW?5Dau5WVY4(5)s<~wgq74R zb7(`npWMhEQ0E%jdSoCvAxJfeVLL?q&v=8-i~tPy zqYft^OSbB8-XT*TAB86n8# zN$Vmx$Lm={-V7XU)zv2(xaXZm2heFex_?^=YwuuG{FShYC9*&H$=5j0CyEP~5;w5{8h{3WKRt^~r6|Y*&8R`FTg;Gc2bXj(_F#ar8^rC@h!7 z=X_Dyx~UqL=q!42e7Qqrfc7A}U7{+-dUE;lP}SZg41O-1F+5fcfmnbZDO@oNM2X*t>|u=*XZq&!+XsrMehQ+Y19afq53JKs5d3!7EIBkn&=#uv7b8_+V=_ZWmo z-e;63MY|7vKnLZU{!uu<;ha|w65^{x%5Pyg@E6K(PFw)QK@Con6; z&MpiMbpwXAUHvu%=)3g3@s4BV|E(MfM8?w;9|2Z^l((v#;AvP+pTrvDdnra4)H81{ zYe0vU?EZ(|v`cW93r$Z!_iC-{&^tB?A7P5-ByM%+qok#FX|mYxx$#u0Z&RAGu$(d(>E1aXN^a_Tr_-n}Wel>stu>>7x{5 zQRkaSKhDEGXLEWie-<6`ME+e96y-PvMb={<7_dP~KTAPbC5;pkMbo$M z`K0gkSSNh$C$47s`!z`e>Fr0rr(PGrU4#)@Ba`Qy3gCHW8Aa0$h&4Hg;LLsLTy=H@ z#raY;-k`o)#uHv3ldLx0wW$hSP8z0%?p6W2Bv7t`L}G!C1_}@ZY^RRQUgMI0o{2F# z5(aw2zqONj{ybhqdC*C*gxAz0_8aOIc|gCS+<&DXygn+-^`~$z?SYQO*Na3Q@zFJ_ zD%J)LR4~#_pL}w2-hBPi#@Leg;wOsJ_zkrY)cbc;Y!SLL!4w+cO}fJa8i~g-%pZ)i@Hz{#60Su)Te!Bcv~n7OFrHi%Uhtifnohy zSD_Q#yLBPPIb+rNscBwA=z+`)|ZjY%t6EjZr2_r!m9J zG^e!~=?}#eF&6PZf4PN!;D6?vXNdVks;1RH*~%uY`0Fo!_pC^~q7M-@qni4ingXg? z^FdOxJ&jW>s4Li{aOqHif)g`@;*pkV5dMR}D_4T{;w&xVjN1cVrRfWfR`gpA1 z+0Ddi<`(_|9gdpFYdcnq?ra#twv8Sj}R-!2GzByb11NtX<}%-y6PRg z_^eK<*FAuVd-C5xnv&6%xKLPu+N{;+hJ%XS?)GPPnk`$4LbnDO0(K{&$`V0r?3}0R zv9O6gGhNwJ{4ZF$t~n$Lc7j6e%j)cD#L{?Bji??qD_>E1$HXce^?PGz^0{l+ZB-K+ zM@UIviMx>G>jCKy^7iRz2s}1QuCBl_^{DAIGYj zQl6L4Kg&QIoL4;w?6zILR5k1uR{E~!o>A@}>z?fx3KMIXoL32#?&xHLd?Jk*It?mz z?mmqTdT|sqzmNGy7`;@L>0LIr%h3VyO^&_VoqqG|+ChOWUn&V3hd;phe0kAEum&Fa zxb@w_@h8PC-Wn3AGObx6s^bnH+=Uls{>jq1E0goxH|0dm&lxf?F?1oCj4LR0Gsa?8 zwK#O427%)bT;w?^WQ`SJFVF3eo0YsW9R)W>+WDJQpo;-}*zGDg`#{qBFaDGb$f-0O z4CEDzH=0&^pGU2C1cI-gq2g+Rr}P>YW&J_G&q1}KN3y-&IjG4ZECL^{wGf7fbuO?0 z_7SLM8{6LP7AYn_jiqR5-o_kzyV~8ob9&OpEjmb}-*JiN82(9sY6~~L)o#co9($jS zgyLo)mlrKqKR8Qh>C1sz?Je)$VM<$>%Abk-y1rG0LkgKGs%yJF=T1*dXT?jGnNeZy zqCol09*=!`r=nbSYfa+4O5^NzOn{uyH0ogDg<&F{0CFqk6wL5WV)x~H)=GWP17 z!#fr@;)}N%i&*OHC9H~tSJ!LYfKOHDO><3in`3~hZv7me`fKbO!GZ3WU6v!)yBPaE zf80DotO5UrV`^Ai9 zxnuA1;efPOcF>>s8*8$h@hn9(LT>WeRxa&R8zuDP*>I6$3udFh{ zBJ3J^W~v#Tn&%%#T%q8K8{R;}sms+72(xC?OtuLs!i5uT$>vxq#6zV~G=&wY1TPi;GwQ`3KivM44jd$&1xcv<9hC@fIFqbHhSL5%6T2^e#ld&hRZ3&=M`qFOpRYvYM2E*KB`~Q z3Pa7BU@H9)JMM9<;0;a)K$)8-pR;N}`nO*8{Pd^1S^iRmM4Qg1;GEbydgF02l5Rz0 z%~IZqAVCnBbFS9fh|29NcXGSyqQVjP`CO#lt+~AT_dPnmxug4UzJi$)S~tptR-8Lp zZm-Cn`v8{Tr#JWVjPqBmJ05WD8Gn7NZGlPtlse*0IS}o_G~b@Iq$g9;@v| zXx>$Rm+Oz3DNbYf7zBbG4((Obih9Snw63d0IP#S$Tsks-7C7uO%e%@oC5fN|inF>) zBI1jhX|@c>t+=-tv)7q|XlLT}G{y_U+c){*-U~X1C7W?9BUS!ucO=GKBxL;u_mXI` z7`unA`I@F}tAVj{%0ON^_qcZLkvG3?9+X1|!85E`Tf76@EFnT}Jr_aYpc9m%4$9Fb z!z+#bbsc<|s$PyqN18E>Evc!kc()|!&}kLiOgt{j>7EEX(^6C#pALjsh&r!r6kSo1 z-qcPz{tH<=7tSa4+;gb0)Vg>wgEP_;g8EQNodLe=@oXVQ!znq(`N_1blgfE{=(?O! zu(XvFf9_)!Z}<c!X5NMNvo^=Bn>teEcV`LgKkWIX*_h2-O!R1@o_Vi+168b*iiRur6#-feF;I1nAK z>X$9?nKj84PCqm_9Zr|sji|`A`1~~V&)vY!BU{}$E+dC$5L@L3Q4v>ew@|q4{6K8H z|19on1ZcG%0g%4+M4t>B6MuD!qqN4!?%pdMN+N75dBu?@FR z`1T1@p>K%dT2`WsZQkFecd_p@V08BBMD|DfWEZXuTEtPtCrEvSCm!iYA_?=U7v~X! z_n1&J5`N(RGlNXeK&_B6+99{8D%@+W8UmSkn|s5837WJ^$qCNI5N`^T79aK5u0Gcu z{tpmxON{SXkoN~hL2y^b4-Qr8%~Mz%+<26A)CFnz$7*dAq#MZ)BNG_>Ml&|Hc}pX= z@I#G3Efax-l_nDDAei!atq-cu*GQ&98IMR9xwxPp6#C2;PHROdBk^D(aXG%m&k=m< zc}F9+h{F?)@aY481}jBL;I4R}mip)Cl;FR{b&_TMxC;h{Gi--Us@RV3emxi$BjFn7 z3&V6wGOzl|50LgN!j+=L@TuGpcB_!HIKm%Z9NiQ4ks#YfJ08*R11fQ7yyaDDm%5T{ zDxQfufN?PHhtY|LU&9sA$}uN+R9qYlD+LXskyyfLYq0wMMJ?-~*q9ycc!f;)RK(t` zwW5j9SiqrIb&|>0VjF9?08-JGFr%-hfaA56Z{`EBshyEfjY0P$w)?_L!*JU$rq)Wl zrO2|VN#VxQrIvcU|1XqF_V)T>cW*_WMuI>J9KB9|7~MGMnPmpiUN_>XH7~Y-=$F*C zi6$HqWu{OJ7@?jQ6#zKX2eg(Z%#?KvZPsMh1gJly?_rt$DC1u&gX41?BPhO+E!dq` z8;Y;J7f-pmwLPHTLg+WbmFq8qDL)MGZyTc+cEHX@v^s>`D^_A8M^MAa8w;zcag{Br zayzBBafqw@^6>51)F81^rdB}Ie_Z|aV$!r!*TGK5FWKeOi4poVHK86ckgm7YP~fcHk>EC=(*)8u5%2)6@$I>BGwEzvA@Z zjNH?y>($w9kQ#~k$S_h~CYnXa{*@C)zjio4HIlsu_n0(^TYt5XwL`$M9)aVt9zA0v z1O)^aq!3e=>f_NKdN@$0kK>VI)(DHcgIdGN`MV!_Akx5A3q9a2)M-7+~U~rH3T8|&A;$_bt zhf03+F&*RB58NxI5t(Y?h=g2)(BbO4Ab^^BRYi0((PL<=X`7L-6iw=@Xxa1edo{Dt z$1eB@HXTb*8B>dB4IH$R0kYsB0V0L7i^m4U#pC+2+b@V2_@m*4GUbaV?WGjb`6S$4 z&`1x0DtZVtK7vO`UBV!W?b)lXK6EsxOf%TIAZVw?1lTZ zHA{}^UiMT3(Bh7_J=d5~x+rGX+z3;!!59QXoW8cd$1sjU{qo2-K}pF9%P4heuS%MZ zh8Zr$m|vfWEJ9$~IPfgi$83z5U+1=eZfxQ9GL8d{ei!yJxNBO?(aIfT*Tzwy)IkPO zC$BuS@p@m_*xe+_F5=ax17#1%6`V66CJoabVB0%x`K-C1vKE|@{Pl)rBp+$}Vt0b8ehZx2xS(8WY71TCkintFs<_R&%6?^>yi8rLeqfJ8vY zYe?j1kxnYIkw!Y#4l~$AUN7U}m?lQw)aZ64FJV4)XF6e4HCLaeDj<;U7<@v{`xTea zJ7K`OyCbOJ`5O8s8mf+^H*-^Z0#jJss*Qjq3VEgP;A}d)RS8Nja(5OS(UVBpdxWp~ zb#(2}<)RL(3&;Sknk-vBrJ0_X8NekxK0eTX=ZELTlJ0(>AlOJ@bAWVy@Mo@=aNdtO z_8Z|%Ji^QoUR{-y$}9&XYbJ{B-V6)oqz&2{>_cI8)0HB(JwQV61^r_%*sfB>TW2h* ziy}AtD+Qrv!?i}0SD996ep1J_$I zqm++?Mc1E;_6nxG=~ddbx;Fxm+Xa zaRj0ca6#in$_LMKUx=6_iWQ2UnX!pzm(1Y#qZIt^!ab>Avm}V(22U9+K|`%V6!w+RF~KiW zUIMA?Fs8hOyL$rlC1jgMyYDk36f;|r`9Qi!2}H+7m!|Xn@~u5;fa^SpG&F|1a)^Q< z_XG5Zag1?)4Hj&5WY!O?r?0%k$SdRCWcW>xUd=7xtae9F*Zpk-GU%YyG&H0jK?o6~41p@)?hS=BW^j;A znk6@0grEVut2s8iy)Yp_ASB{r25FEolFJ=Y=#0GmO`t71j|wT|A6j3kH7phcHe;??6V^T%IR z#Z&WHQsQm+4z_!_F)lJvnMZg@jL3F){$=oWs*u90V$a|G+peOT3ZPrSKGKsc$C%Pg za*jwpB5_5M$S_a=hn~=^#KR~E2nZxFYr7fbmF4l|xMO-Xg_H0q0;{-ukA!#i-UsPZbAN~vPMpXNi^WP7dAo(d z|4Nl6|5}lJk>}hMgRtkKuY^~JLHTHSp@17^qd`;g<73a>X(!13L3%Tu+?avXgtYvV4fZj3 z)Asa3G@9_giFDD9$3`iT;ib4^o5@Mq|T#2vX=_ngH&95*?fiytW!dZ4VvCp&(+Pvctc4>x-p&K%rPU6IDsrs{RG zW})`B`__S|+KCSnTich%-T9xW-ys4)c?#Q^4nBNl-4Pqc)dlo(H}sWFeikHYsG5H_ zZpE_=`>Cn;WSR(Tp;G0_k^f3o{NPY+`-;&d-1f^GPy7bahe?yo>15($k&R`Kkdo$y zMw`FKIDwog0Os_0san+eGk%0j{C<@xDgSR5nR1R-ePQxwq}*&i*5YO96v^Xcr@Dd> z9tWP1N7~6+Qonfp$l`w@3@*+pF@}u9sA#egfRGyn5l*>9HAHWr zST1-%%%IB0;;FM9KG720&^QjVN@^QaO-gZlU%N0S2th zZHS&ij&o7DHFHC)O0^;ii;K0-p^Dlx^BlOgos=iIxC^l_O%QjY*j-J>YFiM$>*zlr z_(zli7;-UI5AarEG#Pk|Fb&-S*i_)xT2Mz58CU0J7}O~nPKzO80(Tef_*opN;uEL$vi+MQ$4gpOdTTvBa4H*Bje3M=Ht<2Y5+&k%^DwwnLI=oRt2_v;UFiddt3s~|~=E08p6|GkTQn=YPC z8|;o3RIAxGD=n2aDW2SVn?;r zAIw91wG(vpE2u`E_8-^D-wnFP6gLQsVEXA2?6TJ-YU|?IioC11gxwo4|DPf5yt}y2w zYw0w_u*RlIFQtr7tC*aX$@=6-tI;{*jp@^C5d(xJu$06WxI7LHT=a&;GHNI=w}Ovt zTB5jUx@AC_*R$iu^?j`Qx)#75=Nu_pncev3-2ZOH&v0?m9D^KO#LIBQLn}2Yy&r$*UOs-#P98>FW&`;Px-U5|IBpWDD1Dng7DdxFE6|JG=tWFMwSdmZ6E*bJ&w(l z{2@<^n7dZno&8>L`IcL1hsWEKwx&Jt@Ivv}oPV2g9yzmtZRpAT^lmk0q%RFjK*7Drl?_BJO=Mw>k$ zw)ZIlpJS}?Um3L6og1V@<-f9qRZ&+BWg*HLRCAyWzx^6Hv#-9U}j;NMi z3;Gshcu(NE+yM_rMBs05160*oVHV7Kute|*Ul5PSLmEEuQ=oZGjPtc&ED~(|OMlcS z_K~U_19&GMB+3308S-SF^IELCcu>^nR-AwSkHc(rrThXzFU)&QO z>4T1S^Ol&ASP{-(d`1>?!GQC@y)db!O`^fG7-e<5nyLDP_3E|-xrU*hI9%qB-chge z4&QD88t~M(ZBwOYVyvhXd>2e|9+4>PKMYyZAZ%#(q-*VDiq8OArCWnGLEMx*jv@?1I@8x1?8V+>dJW#tLABIi*-EvgB<6l>**Ca@tYA{ z`?i`cAA(!oZrvJz4Ml@0h1Ap|qME*o;`!}<&qV_vsoxd4@07AH90ZcCVI*%W1$$;H z=3YkKVfjwrYe9DSyOdnJ9FUG}eh`g&)>vzizez}E9VHr$} z&2);X9Zj)RoI_bto;};sj2RYFu2YO+X^m&9xB&h3i53z7q6Kr;b%xP>l3rv}x;RDb zI&ed{^{_A*bIY<&QQSonk>MZkC}wcS)6p`UQ^^mG;>_$jdEaM#<(!2CUd;*Rr=m*+E&7 z3r51x28*7g)vcG0NN>~7!z}Bc;g9OFX78mWetJi-7bxiG-}Hm5)o(ll}Udf zfYugE(Zf}wBZr53?Gu*N#~^qQP0sB^wJZN_I<~jq5XAf2^_ICz;FiCF@h#Fo} z)@8m+a%nGzG!izIl)AcrtTM@QD#$nP9L`TSRahzyfCR@O#NG@ouoArZsyvCQmeOEs zXBpBV^84%RfXd>#!|}`L8vvpZ5Jz3EDp5VDD4-xzA3X`W&z7=eSX`}Z^PF3&Psx4o z5t8`mZmZd7swm`7fCi>wk_<`5l~78U>lzDX9&z!74&kxA2`E)L>*0gF7O%T zai+k+VxlK9%&^9$keb%r>~lYpV(^~>afXNOzPViZyvI=(lFx(_JxkTFlG`HZQolN> zww$D<%4t=ODLU;@7%B!U8yPk^6KSR; zJ5;TS&)6R7Zvz9xRFt+xX(Fja4QbvSi(O*EaLXwA+1{nCp!4JtB0eaVTuBq~-gP3V zAGVF_g4ym5`3}F=$ZxUOmNWmck<=5^PqR9B1C)s>PznVSo+cn^Gyk3xf(1K+mxl4F7-XYbc2GYxICQp$a*Jk| zQL)Lzhnlse4qJsQ`_N7#)ZejbsX>;-L*i=gX)Nl6Iw!>Qo_N?gpV^?Zz>)Y@pwp%~ z@{jy;qL`N9=DE#UydcT6K$Rm+=_#UG_Lg|EgIcliv%x30+f?uFjV$IciVRt0-(z2S zi9A~aKhi<{jlj~zZVW4^0C(q5;SGfT3aVidz=u`I#1?FuETYaq_J391I6Pc$tnFPL zv66%+S*DBMk^S8lrb#b$K z%o}bH=FFQB#UC#Q6SoTi8apwr->YUVE5DC8-sBzuWZ23fAs>N(TdnVr)lpiVdV(iP zqbvSBx@TxW_7jXKsbx+=C)Z%Xzx*az&~ zTYw&tD=Br}>`aSi+jZ(mfTA&Bb{_5KdU}I`pgqO@0Ox#K%z=ODIsjBw$U1w47~A;2 z9AwqA)Z|VXChc+~M_g9^R}vfPj`Zd<>hj1wLuxAA=y>e$7ur!uJIFpYLc1zw?yWWy zl`Ln1nbW5u;C}=CEnvP{+tG{$(tXd_mnAdR=QXMA`(C8fm9wwMoc%+s!`|Uo8)gbS zw?-y~mlX3!qoAk$tJV&>^}DW3>0PQYZ_w9 z3bGR!kTC0?anC9cjd&9bVR7eC0S?c*e5dYpAZd5`Cd@VJ6N# zw3$sHoRO5t#d$UN8bAhR^j$tVQFY&yj7;9*@(L6+o^6Hd`VZFo%4O|gqc8pt)tZfy zzb<~Dg)o{(k2#W#78$P=fsZnJjIg>y{W-^IYJTCcnX>N5M~fNd8hOS!UAr{QS$M6S zV_oM4z$wW@?A*9CYg(+^_rpHB@>6fXJVjPxNz{{}Ota9oE#ygi_(LV*LNvw+mdspd zG+-9VxAibtvpDa7$vP$vpj}>=1YEuz_mdMVB`+sCE0z}A(nxa1N5M2sihp=QRTkTS zN^9z~HGZlSh!GLAe82_2OnC=6&VH`cTFAfd{>P|{lPXAF#!41~0RUpL-DBWJkOU<3}s=dOFhJ*J0H$XRl~@aAvX0~cbYF>DcY zUC1be2@quT#?E=a>PU0#Ckh0pn$@moS&ieixuj+!a*A<6mNEbaTKdm_ozYn@=IdLN zifm0M41a##A6+)tt%Wotv%lFIE`2S~9DyoUNaf%!M} zMU$tH#lADP_{yr88e%|y?DXMGMn|1@4HbRnD5@hoI%Dm*Ay+x&DZUJ5Y-KE|rL8Iq znYe@|JWjRB2x62-yq>C{vZUoKu0wX5ifJqYs?C*BN@GHy?>CD5>llV!REOQ6v2XJh z`Wv19Wu?pURlkGQxkRhfY_xB*ZyG?C0%U-!oqxdmX)1#zy%LHK9?G6Mo$FRPn?^{G zZR%nOcT)BYb1t;GMqeS!7V~g?&$hJJ%l~N$5J3e)3XAjo)Lw|O*HhIar{Tt{UM96E zv(j)mQ~oNj;*dclCMWne&ze=>*v?1+W$Wi79x>Ps``KXmY&QuM;)ZH+PVQ9zRc^ZCRfad3@BYHF;mgqfM3usQ8ALB)hkww`rOTxU&k)ZN|*pGp1O1ww2h zz9=mVJcVPmcE*4?|7-0N84AXg&=zpB&efPY3};@BJSr~3itL8KtV5oY3VWm^kI8&? zgDO(S%hQsk}BtGe4*vw}xhO`_WoPc6so^gr>l0h{v4? zM9KmaD(4qL1gfRFu6CE5Zv5@nVUPFCus!WuqOc$9HqzM4^dx~KdM~~ud9bC6m%kRk4d-ZAcbl$lA}MPA6zm8zwn|w2#$L;d}Q?VLr2QS zvL(Mp75DopiL7f_FJ+WKhVlI(YTmgQ|9Ej~%nxGGX+#8a!Tpuhb`nD>#2wQJOtDGEK@bd90%%$|q#hj1wQC_OJSyJvL zmQ7=jW!)~rsf|36cbQ#WlgiZ*Vdgsa8aA%>{9CSn*oyhb@;*BENg{TWk1^hHZ*rwy zr>eO718^M60Fc?SXEqOjGQ+i$3-4(Q@Gt_jk>fct^w)7~uPCkzN@i+mXAPsy!4*KPu?>|zMSeQsZ%RyD8A2g(#& za#HTz-Un*B$sq2_$$2@N;!uSG+=;?dyUHd}hg&F1P9Fs)dN%QFK7+b*QUBZpEwX0F zR`&G;{5P?#cs~S!hI-J^RZ69U)&$M7NZTt+>0tjH&=>aD!klILN_qj;Z3|rWo4dU1 zjh;WXH!mwEk@4gOR-w%~sDk9L2bpeeb|9x{rtNMJts1mR_ha55RGGWVDe;p_QR0}d z3=HmMB$1Y4>8sf}s%uF5CsXP=#3N}LeqwL?K9uo1S70Q4`p31B@rksv^=CGrwm#Kf z@$*c7g|o)cS2riQ!Vr6fep+G;U?G%};@)2!yV}O))lPPiBH;M}o#q>TiI$suuyo0a zaJR#U9;fC`Af=%$AmQrI$(b6P8v?&^CSi#gPSVP0%#5J534+uNMKUA0HzT?=w;J%! zwC656a!@pgHnt-}6UO5zBGnFt?-h-Vtxl|#YEPe6hwl2r<0y2s7kc=})#GKdiL10O zo7|L(y&bl+uc|9)m~{h72%Zg=j@L>mel%a&-j!j3hVp33@RcOgq>enl63JC#_K7QW ztOUq~4Uegu@jdH{#W_iz$Zn)R-VB;;U&b5^{UuPz`bkM3-QYa}L z|5G76nA9xmp|nmn$;ncaj*VKJ+ez>*xrhX4d1~#Hn>PW*h>k>?NudrLI5uVLoru~3 z*A@j_zvyNrnI(kSUGuTbBQCa;YiVLz zPIa3wDZ|p7V6Jm%8mhmRR+XKA=CW`Cm*~lb@(qT9AnqvBY9UVaa)S$=V8hB@ zal`Z^#-j@BTn?a^WLRD?y>wuw`E2~`z?*mOrmN90kD#JpaHPEYT_&X7t#>oFD!Y@> zOOc5q#gL6`WKZ4ozfPZjRt?i^aYzX^P?B75;){#?U9WLAZjJF^t>PT zN2>RU1x_xZXxsNuevQ8Y28fGojKqo4W!0R!Mio4CJ!`YFC3|FE$g~V)1s0awe)xcg zI*10?D5{uWV63F|4XBba3eRxkEN*%!AwQQ@Sf&F8okr~X`Pzut#9W$4>qjRe$qK%z z!fUH2tfc=z&{Af~k8!o7>q?kykobatNTa`TyQ9zsf$Kvz#|I%XibXV7V>x8e!PA;w z?z;4qVPw}B_|FTe%xA}tD0(k_FwQI~i&;t}q-bfWiGuP;q~bBGQ0buBEk z(K*xEMW~ERWBSz_Ru;9>6^cPF7}nYJ@WK8Dev>Sw=31u;F4_*pU?Zjm-HzHusqoIJ z_zC8O)<)OLtvpVOPtUT$oWlA`+;l3sgJC?#C*6YaGlq78gmofS;q!Y98!-D#n$^JI@c#*ZHN}~ji25SFOE7Ujfk;#9~V8PA7W<;V&{6UK!Kp+ z=M14M9z{gqT3U6kj@bWW*a5hPf-@4rRKk^L?sTTWfJ!eX_X zl}W1nY^|s!YQeU4Sx9(_^EA`2wTfU~xa9FF9VEB`Y^LdISk7@VBs`M~8>hZS2Leuh z2_*W#2A9p{rUKeMiHl5q>Yd~(NugR(0L>lX#Ffl0gUFE~$uH_!rD|w)Hd#Y^SuL#BgQYh?(im!?a9vs0wJz9lN70N znPBe-h;G&s*4s$BE|n+|QZ>zL<7R1b4n2^q)QL`xOW=?=cf+LDI9An{Mu>7Ct|-Z-0f|5iGY#%ocWL z6wD=B2n4*hz^2X&y+mX=gUWcM+?saMWnIbdC5>mXI+;NCpcC)=gqc$rc_QZ<3K=EY zJ}iHr#pbBW%H}P)N~~FWdkk`l{nJor9%>Yv@hwxCG=Oq7odc zmngM(J$8kz$C*)GYc$Tw1$b&z&Ts9oiHQy)XY_$`4~hX z%8Lngvogi_mcYxsn^v*A6iNyKxijNG<#!=7dOxw|#zn$GRcc#|pWJ9uS z@P<8nD?ght_IhIiU4ud<+V`In7fv&A5}r@gVH(>~WbB*tN6v)c=C)Xf>Io`2xbh>~hbNQ-)U?0sN)7)7;6s7}knc5}Y zrnG6WYOI>G$iSb%+x!51Q0fHW?0Xc#^GZk^j=B%J@8 z@FIdl`6H$@Iy0INU5YXrkcD26tWQ*u_wPQ*H6)zjj452i4=r35gX$Pc=KGVzJ=ilp zxcHAhH=6B`1L2pEG@Tz!M`=w(&xm6W2$y>$)R&ENmv2uh=)RiczGYDlferX3}w= zxHsD4qt#g5Ou#$2 zr7BegL99XlD4wcJpPBMitiMPH&+#`g4D4C9S_YZ-xW>D?9O{UTK_5=>c5IkxAVcinek^ibqrW5oAE$i1x4llKZ|ukuQ>hO}d+s9&b1&Jb zG6IlE2>2bHqg7=gqYqM(4n8D^=!RF)c!J9aB=vlHviMB8MmpkF;uK#&%}f`~p-xL0 zhT(qQE+Xv^-a?R0dpAJv5dr#nP0-KLT(}BE@63;l5ev$B1xP#zZkVu}b^Q9g_ma;9 z=)YeAH~wYs2zZf;T}L1huk^zF9=3aj;;0qUQ#1uK7hfYt z2Y*-uWC8Z}V!^Q)mal5Mi{yMNg+HQ08BT3HffkG_Y6KPDvvrzoXtkPdVUQn{A5M$OB5RPedWmCX~w?& zFa=-YD23V)%#1vrE-XiaP#ldcOdlO?-${Mx+I5K8phSA;$!={Ze7^%I)=*1>1fri# z=AuJfvfSFtCgV`cwjtYy`!d)43Fd{)-&CkvdJ_X7;X4C{?9B=0FESrh4mi!8K9DCG zWV!9?q|I%A53?TY1sApAFIvK!&&lEDe*<$I_5(6%R*h3qzp)CH)khX-(+UphJQ2U> z`T=h)%4cfa+VXKHmObtcS~Yql*hFH!HBSzFU~ZjnO?$!Gg@U@oc)f`Np7*X4$9=k{ zR&#P3%$i=!J_-?!1xhzNgo_jqKUyqS93aOM5s{;hoh+1Awd;2oJ&ElOs^M^pBA{5@T_>T8*A8Te1$hDMtevEz`8*CE8MEIe;Nhc_E z$^vsF8c^WXQ@`vLpaT6N$gA0;U0T*Is58ku`q}Dgn^~{BTgH<*BF_42Ghd&dkhw$D zpo7OiYj9Ro(@m2WQVE*rwM1yyS@goe)5kIC?yZUN?pY!7cC7iSe+`lMWp(#%T+rwV zuCe=tJG^BOqo|P=;j16djw6&rn2o{$ZQq*X&ri0mX#2l&n|v?Tp1@NTO9P z#p<1!0g-!S0k1(Ar-NTYtDkYC=Q*Ey-+qR6uxchFvYQ*YdIn`bQ^nzpK%7L!Y+_q> zsqWuP=39M;XwXLk0+1!zWAy*y6YF%MH4`u{Ce0ry5}I~B|2Ko90Cdt)^!G8uDf78( zG)2i**~ozz4%?Eryp_6>sybWrzRbo9XbQ}$;0Eq>#p(4^6Hi(*Jq=in7C++L9v4{T z7xj~1F}cb3SH4fpnp|ry4D1ix_P@g3t{lX>nelD$2^c)p84%3gNfA#?rjRh4v|xR7 z^?AMFWkwl6$Qxdsc#Qan?9*AFW1d>z=Y&-MU~&W1A3v(M2eHZe|HA(*2}NQ*aBx&c zN&E?cijIaqVp4;sRdF1TiFWy5){Db+6K&KIg*XDkM-Mz}`ESS7o_m~W*xi_JA7iL} zI~A4Y*IOJBl)R7tvL4Uhck}3(I{W+X1NRKbAMQU=esl#YRcTt_Ep+V< zTLh^+HhDJKyweHzO^H5T!y6C%WTnChn`IcYBe@vi-z6Hj%Kl_SK(B-Er$ZjQQhXi1l?5 zzgLcdg_!Qba!8@O{Wg7RH=Dx)2H%SX9$(kBQh&y>P95@`=)5hTR_zUx;p#HhF)eax zPTa&_{?WKR#zES8Y#(|2z2>mVWdj18SV6pDPtID=%VWp!>3jUWJgbLUv^W&XkwiR* zF&mOML@8v4MOStD&95coa(tZe3_B zd4?xj$Gv=SXl&>cGKg}=is7emK+FCdx0sVKzt6Ws(0D?mX`nbh#{sGpG$a5LAOhZ6 z7M>#fzll7s|DE{X<@xWX__Ue-2c`fM8Ipt*m4%;>ybCna$>dpm4?+E48uaX)dg|QO z#=Fesd>tyx5!AdBDI}E`}<) z0GeiFx8Y^vj&+d z;6#(~I7XI}1akR3Q12V0)`-j}%B$p0yfQ@0@1)uS|5#`6mRmW>k{gq1Hubls_TMfT zyy?iraem?wHaWt|!xfg&4W;A8P{O4+kstQARxrOCKVjNMiiq>BS+gual3;;e0KS2` z8jN%&q}+vlTF8~7j{>aXW7sz^G{It8AnosZhyZwAMQ8|MD?aR+!A}4Zsnq8a?x0WZ zc1@(sfU8xM8huDsLP$=Gsl%QHJ9o;Jd`bx=S2btc{L8tAYpnmg1EAP-9$? zg-bmbj#HN4Kje_=Y8n$W#~Ol?P$lAcTk$HdA5;oHXc9qh>cAEiX8d%cK2u8h$NX}h zsctJJ!ieh%M1bn};D_>s#h0BzvA%m0rKgZ`^m3ACAXD}MkHJ8sa-Z6}7F+u`fM0Ea zl#L(~O!u1@Dq#YK>6`D_L)SzV@|t2!E1-Z&`6BZ{ndHRv*~r?pd#+3Hf!XH8QqB5vYE&g8$(TmdMdAcW|Ng z7Dr5{H4C}NQtyE?7@$c-F$kTVvX;sAt-?41VqLL)Len7PiTgI`h_+tw#62_OLeGLj zR}7de^P3z#hc5_yCiD`OxfPPa;%LlL6yU0Z{8zaeKE#q_WTa$ddcr&^<8C`An|HBJ)Ob$5WTZ)g#y9G=<%+zV zXmer|GZt%ks`e8@o2YlbkkZ=&as#AM=V&H5CJ^YugYC9dMa<5E^i#wHEr~?>CKCV? ziCEaNFqOt&;yrEpUE@y?JfO1aNI^drNIB-sq`vjyQQA3u$+g-^T)tQ+A;2j;U$~rZ zwl_{PKWw>_6h(va6@V0-R=bf+Sm}dU;=6k|b=fB&W`=lV@O^16;rX*6Z;#)Nnqxht zP^~Xto$h%pa%#?Cx0q0}Z{s%CVky+E@n5#$=Ht*13%wcV;7B1zyenzCT8Pph@Hz?) z)gq<#ek%7|?RAvxNvt|LhCgC+K}W^%#XJ9_lcNd1LXz@4=P*xpxTzM&RHjzkEH(22 zuruMdlj3ArKFZ>1EOp_i3w7K!P8L0aB}U+60o3w8u;9MijLP;FGDrjzCXV6FbO|p! zXF_RJg_g}wv=jd@l$K04WBiw{uJTFb>eGxd+Y|X^(lC)AG7r4z9#SS+Mo2$JSY`SK z3Y?}d;B)!&Tlxq?vX7aU=91V$Q+SbH7_B zH+ZiNGpoOlLb-XjH1>~)84$N|k?@_>Y@*0@AAA%Eya;N{vd3w)l)i_D|T!aj&Z32+Ga%w6}JC+EM_dEBYHKNZ*ty9lgrXe&Unxs%o zOl*)8fPqWuZ#0*YPX%J!b=U|Uw$k=-Im}9k_(u`4_~_7dKPi-!Tk|!2F$Ps4BS6Vk zHu1#>wlR)r2T5&ChCGn~zCzk?e5AB^Um{Xg1>SiMGp$F~ZwKko*qF#hL2wnU)`x9d zJQ1CClP!MS>gRoFIg1g1EY+5TQ;Y_EnX0HlZzHu{#x@1o%aIX?y#cO3?E)w9-TrD- zsDuN<0{KwY+9X*jg_Mor*uTAC*tObLM_N&&4OgP-(NnKb?sT|F4r{}|E~li$$tF|7 znacIG4BG1T@xKJevt#EI<`YLr(Gge007CE$ANx4Oo+{+L`9ECtDTh7)-+zY`V4M{h z;^oT&`3|`~Z3?-81jJZ0x~;~=gGy$i_3@!awlH@x|VS!HdSMkl@h2rQSpS*7>2mR=WonNFbT7& z`d3(9t8T}yxKU5`xno(6c^4ex{`f?`9f*BJ1QEw3pcYyP6{qX%r4fv2i4iS_>L1~9 ze#ht3FS9ehW9T>GObq8xOQ8X z<&1N&esQt5%{h6t-xWv~bZ_rPds~3U5xoJXM9kCt?CrEpr>bZ*X-0N1zQN!m&^Yq> zqyK^*xUIhOs+_8E2HBuqK;NP20TcR-I}2gRDt`OvIQvr8S+a;nwP8c zW&ZhM(Ul1xQ&yCWY>Oe+vnTzEy$hZ$u31T#535CXKxHQ{=l1gzt*oer`iF|Q=A}C^ zqJgBVSR;=#SC3hc$OTX&UqsJb(jR*;zv4`g0{7oLy?@8*z1^6vhW^?JIRR~5(2TBD z;vJEP>+r6|C0D#LLA?wu)=<7XZ7Vu5*Nhq>T~e#WM%Y;NdC9e)PZBVIW8&Vw8l(=T zkoUDLZ{i6A(M~Oz8#daU*miaJ2%hMNomp#-H0KG~;%HbA1o*4HfLXyUwTM%8Wl15mcXg2Q_dkq|I>bA?xz+E_MA4cY62YPU=;zSBbl-PqBPMdGH)H ztIkC@>$=7wJ8|*uFfSaaj<@$b8_VHc7HqQamP<*j0g6;jT5tF6=sdo>?SwZ@|In_h zE7xK_X8ROFj;nc-^jT_KCfynp@=Y~{zN8@Q! zzh(s-hu)~hOARmVJM}YtrO~lIESgRz8#YD^Lwfg5Eg-aJS-)aQACczKUDO3)>_NN{ zy3IVX{p-N0>F?_}VWxkqd6=B-?GAqvBrtq)hvToyzyEwT+F{p~5V2sz|4eM{4pMV%?*ci+Dw|cZ!u&)kE7F3dKGvy!hB)pJIfK9Lv=0RaOl#iG>M(J3VE?cQ7JG- z)LO?7uu9-AL1tjGDN@J~TlSPKZEz4Lt40uCX0C%lk-ZZk;#+CzqliEhpTKf|Hey59 zjGQ(qNW{m;`#LqnHA>BDDNSXJ5rflrUh7r6N%M1=hJGotDK%2##7Xf!Bk;3;ABHqY zO3Diy#B6bl9B3acq&jkZj-I0}CRIrJt!EEikSZyIz}avA2sLDIoMZhVAYTC@a71=2 zc_qG0riwm`94q;=&C%$YQhd!83>@R*r=U20LG{hGoOCIDZhssd>wcY(Oo6>|-61Hg z#65GB@DANPl(a{m5ILPS(u*a7D{G_CL);<;s7cHy0P!QDMeTT3M4@U}F< z5h#d0kT@FW^)Ni@wM|gb|6sc-HT}Y6ZtHwqztu4)EyeMzWAwLYdGmA3X$tEs~;ZbdKwrsh_`iE^x1tTLWsUo^fjeF`Gq<7 zRG=`Ab-mOTC=m~|@Kl%~M+?ER;2ns&tFRnvl4DBYL`ndbRc@iah5`^;00~dZ7mwTi zFq>4b=X7AC-|?64N!8%hyk8!Ft+O^q4s1621@ATz=c*-5%<$2F3v z23VS}|>9GlQt z#q%E7ON=GA1V^p=3pr-H6$Wi&uXf})g1Z1=oRg)C)Kf=>~J#XARxF6X` z@vWRQ9q!lkd!SF|AnJ?cpwt>a{no!^`N%Yf+VsjQ?9LIu-{PF>Rqc{5^yRhJxHOj< zI3Ot>;}C@o=8-}QSHp=b9%WoA<8g+mIDQaL>>YSDtGn){LGAkl|D!+W@^Y0_Yoq0Y>>B$!0RygNA<#pgTI<(G2QJEU zaHv`AYnzH6LVX=KnkRWR_7~ZuKu3WU-mrU2lx{rOpJc*FIe_6d9b^lxD)rOS8N(%X z4s`TCul0np`Wv}2C<|^_NJ8#|YjNi~FmUh&t^2^dQ8*7t~2<>)Wmh(XxC`qXiq_0V2<367%UFVnQVR}G~@Cve zLpW}i!c`(Th>~r4cG-h)?m2&OvqvqZq8^W7gB?Tr4&j;LSaQZv z?s5Ah9?O}0V%o5@_sl=TyxH$VXuEA~d?u{LS)%u=oLrTeOZIgP_X!&>2qh9ee}`PZ zPpM5U?r()xP|$Ti${u=JM#xL};JKGTP`baxlM+g)JMiM18)UC4gntVfAblBp>OJnH zr5CV$MjwJS9`-aNNJxx|j7val@jO;r7$Q=?15%p}DSGH%%-zY#lZtHYzM{*ncM9~rJRjS`1FxCS9KC5x@Jvbbd(p;{JitL#Hh z`4x?2f-=~^?8?|qppSP_jP&XAThaM%9j4GeglRH5P*l(43~;);}? zF^shk>73a(k{tctg>mAMev}6@kpr;c&y{`HEQ<9OQNM}P5(L~2n!ac>}lBG za8?B1qmSdVu=<;QNm?WE51?v}8fEYFn{$^L#;uyq1lUmwg47{a{qj|qR5j`T7D$8) zVHN7`Ty>L%3t;6raC(o8u|;%RkBVka)SgeHRWMAW@-=G)w;#p*s{bC>&&O?b_fYbR zKNoWYqgdeBcbDt2;pkQ)zChsJn9YXwH}_`d$on%Y|MqNl?Sw%5;m4KFVJRB| zv82LIH$oS2dfST>j*!fi==3*pZ`>9dAhB<7vC|mXGgR{*$vkVw@WG?SwBP9n3w=n> zOg1QRo{IhPU9?Lj1l!e7OJZQVBG772wf`&ayk*&1$hvx~b>JNT?C8ichFu@pa?ypl z=8^tb8F~+XIN`hGgA1;;HWph{0#Dd{TsBl*rkU%I(!uD99aBO0(O9jW#&6wCaA`Tw z-GCtbY%=#0v0uMnk1pk`RK1{dZF%mTH)ja`U`_ z-ncC47R;+XNZs6uDmYATTsGH_?>71{C0THDm@CNSA?aO{D0o!ie2fgV%Q1ff;MTg? zdz=tQERAG1bpN0L;6K4RA^CyO-0bdm8=*Q28mNp()n&8)hap!RAMDJR>Y zx;n@0=9-H_=lobR%4LYPZlEoH;6Bq0}m(w_|E&-OsIiBhxH16zD29L<`q0iVEy z4Rh2nHeD9dgYT;;a@+s-Yng31B<|?A2AZCp3A+Bxw${0(l!n=7k(q>XjF;6HR|W+& zjcI1=PZCytu&ku^gXPnN3X92o3yXC<{z<)mKZ}7RnI+cZkI#jvLW8LEeY@2+pID7&77plfFMFY4#9{07c^#lddX_jSNVt0RJor~RTT3AtX%j+Yl<%c&b8XFdumd~0A{th%chkH5#8_h$)g@vZ!S*KC^cgqfHj8MBP0nYbf5Sx!bs%RdV%G{~>>l!+i@k&?n+cV*TZ0 z^Sf)C{5;Ga|2m5%8lPe?KEOCw_k%**9NPW@s?)s3zR=5exYc|_kPhC4^jH68ZS=j}^~6(m$Q&YFT@7^%hEh_++0WzI z8#iCOc9|OYn~VofBDkF!_AD#7uq}M_h6{T%V{Xil^j?DIWUQCGQz*| z45&EZ*Vj^hmHeTmi7(k_h6}8>S^Ob+b74utaPmFv7*l7EhfJ6-$%om1-eDn+U&hZ# z;1`T*VxcEi#wL@PM+;W6|BVs4@sboTq%h9q+aR}jt}0==rbh3Wp5h-~(U%BY-QD$e zlGX8vp{nQBFj0$yLI!!HxIi#&B^%6>21od}dVM?dEv||TR2r4NA)C_FTS=F(2O@Vh z-|U=vHjiq9Um4@&Fp8W464Fj=C8f-fv)+i>Hs*ZgItsFKz5P1XmExQ*Xh@3Pcml5$ zUZa;ZhZ!M*=3fNa%nEY0k+TCFxF(bQhG?QYG?$iTN))(}6SB$prcn1bsb6fR8;}-F z^R`{1@N9Xow(NpGF%UFE-K)tP<~Y_QKmSP025S%>Qd~w+pki4fOKye0=tGJst{whp z_K|HEaS;HQ^et>+F6Dc<2iuk%{|Z&DrbhLq>)yA*MX?^9x+lapgZveT8a|@5(g4Ah zc79~GLW@HYJD|E40m(oL;I%A*=~FD^^AQWEqluYa^=&XI;Q*=s+V=}Q8|Y55Pj!G| zYIH=*=$9S5-x*J~f)Ztv(&d^5I4qA7$6=aDqwu6IngDe>55~_Be*giLSS?Y)1}SE0 zkqb6jL_?vH7a{zlIGindg7dSMj~MiV@Le``jjfGrSSD*>H?Dmpd zx7Kx^Lnh=p*mP4@F2yQ%i(3rRzW?-wM9*EQdvc_KX~qOU zaUQ^G^OtZc@}<%Ar|;qHN-;<1Ey4{9$Wv}g#*faF$+vtq(o;(a0q0_&O-RUBDD&>I zAE_1m@$YdpFj|LHDf+-bh2>0>D4?>AC87>|0*3pl#LQax|3n94T(MIX{>9YkE)V|>mkO3yD$o30q*2b$z07udy zdb{^7ASVsD5F1oKjnnvt<7$`#eW-tJlS^qkR^yD!2kFK4P^tCU$bLTjTh6^#BaT6w z_tKstO^ze7+7gU$b$YV1al}_N98U_?+=_=0>vZ1O5$7Zh7oj1(defldO z*@d6D9QC;0XC}$%cL4VqsS`>r`-2*OM)Dl&;;ZhK`?_M_Up##=GY%Y8N^(|iUD;pK zp^@6VoPAm$l1<(KUO=QnJw;CL&(99v+Lba~nqz}SJcFeXjTv6!ImNfPKbK8UoOZ#U zgqJX<%60=O;V>be$^lQ4W1dHo8F}Nn4gZIc*Pe)VOp-5edR><p4rZ^&0hVE3}>N zBzI-8mud5Pd_Y_UD>OZwUEuJi(>G)6v|~EyA<&Ya@w0aK<}m=<8HPGUMl-_5?+d*P z@3fF3o%WKp4uXrb7LsID0ScwMw}OHGAo6lVV_*72vFt@@{AI_?-J|}mj=$Jior`1E zXDu^yjaMB=kO|%nT3A|j?f7=Q6rUWF(zF)I;(AMjl&^^9rYuQ`?AXCYYo4QkOTL4V zf%9%(FaMsHpm3e#QyClPT}V`@W@eR*?Fc<&r~yMO9_bnSgI+Ja)GG*A1!{B{?W(k> ztu%D82#Ie;M59ikV+OGlKFCXdgPwg1Y+;3>YRaMc(>M8%`Fa~XiV?#j}SV|(nd7<%-f%+jNigYs#UKT{MT1;FrWmtwuy7GOY>q`6-#9-x647mff2j9bSfK{^){cS{%M`n>^HqM-2<+d8 z>~jBVI)RM)y=ff{7*2?C7f(fO={f!_{Dijt z1#z%o>9l&ra_##>qLp+sTv8+3FIEkhV!K2=EDv+2%+-`?`6EC#8|Lnh4R#n39TG(h zq*i~Z;6ex6cdhKB;opoRJIE9^+>VLNpR$z^E{rginZ!V6bv~~8YyA*@YiXfASl$+^ zB}AU5Mnxws-sl>{#q&Hm&5I5N^;%KprwllJc}DL39fV)H-)${EIekhsJGn{N?nVyi z=L0vv-($Wi6Vjhuo{$lXs zb)`NibL1DQcS0)7UmX~a8}NXomS%DX$L`Fk1>)F?Z@I zfa7zhjB{_f6cv`*7wOef68=Lpv1G(~3)Vl)Oe;EW;6Inc0{h0+l!mfQx7>Bt07 zT$77ZpBwom#Ql%4f6NL0i7oQJVL?Y>jY`}um^b7CReEGP7Re`rynLCYX&iSI9n&P* z0$BFFtHAH2IWskscn5MJry~QcklZ~+rfC7FrE2cOUU z7~c^T1?N4VD-rpy99dQKftUQ-VqF`v${~oc9SXmZr->+!y!#KKdz^avsF4uZm^DkM$tvgQe zn5m1nZan%PLQT1jxXI`a%PGrg5iqLye*jKEvA?XuM1vck;`SJ>uwmHk<|42>e%VIg zOGf!9n)_}Jh_rVm;+ad4rhG)@gq0cM0o~qHNq~m0d=3gU%6pSyVK_^HOz75sx0u>x ztklRymGz2Md-adyZI4Ev&gSFQ|K2Vf`$;M4hL755U|G|n8a8-%Upv>i^c7z1#h zp45vQLS)_Cq78CC+aOafrnsF;^kJOnb1%^;BXIUZ+`YgNW`6NJimP-Vn)X^r+c^hSTwn5;H;8vnd{wJ$tLaW_1ju`nz}1KW5R3U8XcHBk-ucC0#X zG%P|pJ4ZD*!{$p$-Ybels74*0`c*6?Ghc5rUIWTXNZVnif(vRbO)IaWkHXX~+Tz}g zvM+U3{ehaa@TZo>X3KHS^_l&{IA}A)7)OZ+Lq}KBufVC^hrr1eo~5 z^|$@_?NznyP}v4a2P-h9pfPbkkXb;bKL@BK7)C`6$V zCLfBoaR&vju#w3}NiM~+sEP!lAq(7Ce3a}l!^F_-DLbkBN}L?N)p9deTvgok6sA>O z$=u_>*MGbT_$V?+dE77RTpubMc;w;Q9vAo9aPI*lB2>t-HhNrqm4w~F7?d@Gy;1~n zd+e5h!&Dc@5u3+8p(kaTR`!<0gkcFf2Yl4I5MM{{Y=GKHi~5#DGd;kUL%g!^(n7Q| zjqs-FH%js45SHwgE)sd#erkR{^u8_h^R=lVxdsfFsD`3o31HH| zW7|Ja4RdfcRJu9#)8NA~RKtvV`|zCaz6^u>>v7?oS!7f3pl!JLZlrMi0^RHmRM-qR z^2sCeKO}}lUwEPv9`m1R z$+E^7Y@Qp#y+8V75^R))$I8o!PYT1;lzwABPLkAR?8~7| zx*j>*gYN{0MZt?oPbtM-*Mb(srA5vJ@|ac*gT;|032vR@M(8Nq5r|Jw`Pzh^JNyq8 zouO`^DMjEMJ}`-N)5`em#%x5xE=v;U<@`JcpKXReYZ+(Jy;$YQL&_?|Mk$4p{34n0 zp&KppS8fROCaS>G?dFx)UWtK?O_Ld!JiedAAd@wl{*qHp?pWYFE`|p%bMr5ZL#$8G zv9RgBHpq`(j5jv41Et!V7#=Xebz*cvwE!4OU*;puS{C^0?7`|@=OKRF+k~{OM1ze& z?{)>sOE;I4sl=>i)&}I=3Am(lhS9p&RvbpZh4~5cov6lb4<$%1ZJgfbhbX5c?s#^H znANRvA39KclFpxGRWlZ~oMQ%<+;jxP{naQ!ZWQEs0nO%qteWy_SRTVwgb9<@bVOYJ z&U~+=Dq_&M$ew~F+OWk%c)p&H7~V#zoQ^jCC3P7#wUO+0USqhV!1A2cEveH{Fhu^; z1*#8i17C0QT?#;TXKk`+jjyg?xN%#V7|5(G1>5u}NnC2->npsLSsUh8j`cGhbnA`V zJmd$yV-{`Um4av&0o=yR5ALij7bm^Hv0i4P+Q#_#Uuo0XSuZ4yr7v^+FUG+o0ygJ! zK8nK4|6F(AqKS-O*gcCkxflp=y9(dS<{Vb6mumsm{g`No9sWd8G1iObNe4?bc+*;g@gXMT?VLjaMdLAre$vV@R1AlN1EB^2%1!gAzrW5To^RM9)Se*dyn~wa1 z;K!(!_^AFgfI94zr*Cvpc#lreuZm&+ANI}z-maqf<9kEsy%#B=_qaza|+! z!J!Z33QpJ)1NYjXO(%9Oy2X(`l)_FwttkK~f6ai*foYz%Dj`@WU zDUZ^*H=3igmwj+^31^4LkmCze)GNGD6`DobfVT(hFMJPX67ZFUkEVlxbhu-^ntg9aNF+f4P$ZZ?vs0Wew&p zJCT7a&ity~a5$4m6R5za0DYT}vYfU%!_G)i)iicxfCz|tgXXGFEBZ~W#KNN5|DG<SJTdiZ_u1}$Ca8F zIj0mKKmg1smr76@r@R0$jfPXat!SK?17bP|PMLA)^~^0HPV~DV=ZET{*kb#)ySm|K z98SUv<5?f*jZN8?AmeczBY#e?BOt89Yy|jwoVvS^5J%HiM#ETSm9D zv^iv(tfMKNjV);Fm7fi=gN@Ir|2C({#b|V<5ErAv28%6j0WhC) zUJhck#>>oKtk_VN9?+U7SHD@7&&K=(&BL^vKHapS(SJ@GJ=HH0Urz{ve8f4Bx5M+( zH(9e7Veh40WQrHkY1W?yGy98rQaN7Qj(_NJct8zd3(QF}l+^;&N>g{+)5ZgBDS4-hdN;0g8y z@VB`Sj^U@t!rqD zMGv-YbbE{QVkZ+$R9kT@sK)+S6&(IiLm7Eu6IEe?=s7SiGB1p}uQ<*BQA5z^O;K0% z|H@QC8p2$-vdE?ZqS!TyKAiGR6`PAwGG=q^HpDP7I$Q_f6!I~%01>Rg6xlUL@9toB zAH;yCXbK*M+;|HK;PP-%0dKBN`M)>!rtKMIWUhK4jv}gdxf*AvyHhR(c=ef+$3t!Cqj)uco@TT z1}O1T1D$%0p)r#-?9t;S$C}6pKrWymn8l2J0JbEgz~@@!aMc$$K3*pq?Tv;;U*%^Q zfl$90e4C`6Vm$g#YdINhY!6qS!Nb%2Lb%a}V#c=QhG#{keSO&2-uj>rYS6m82)#X@ zN14B@Uq;7vSPBP?9_blXCxP!K^ zRG=R&=p=e8buC9JoNBO(?%)FUFJ#>cSrHK$*|DHwUK4c4a2pSsPQJStoepz}eJXUe zG7{qUi8pP^&8WGXbBd!!VTN^d<%-Q#Y2K;|yAVX1AFxmJG~a8pQX?QyhwyR9c_UGU zlIt|qHOC3|rP!6Xy|#_$(lNkNPBxTp(WQkEZ=QZ5vaz9D2XXo%SkG5&sxK$DJK*s1 zRY8L&vP|~qY&IyGOFP3*m5iQgmwK0NFZ)hP;lDxLG|j1bnvp?aZ#3KEg;i`e|C4jd zNPw4T^=R{|!q4nUfoo(VGk9m?5`gy#KlAaLin($JRo`H(OyGu#gs8%L@D9=lQy-Q< zMJ~%likx8tyb#$yXER~$5LzdGLcj2TVF?qDuWA)0#mvA|Q~_*=>PJrL6M)_xJg{)S zJ>7A|diiroeZhl1xx0m-V?z4%2;)f%7_yFcP8mbL7NISt`M*1QTd3539B3du+j9_Y zd;06W8#JL{g)q(2#q@Ry`i(abj%2uL8AWZPX0j*rVFN!s%(KsE)oPfxvUh{SJNj&C z*tpW!;V|lYi3g`7*yDKJ1(Bk%=OK&hf=D(XEkL0--1t!{9PvRjY}Pmkwq~p|*^PE@#1ZEhFQj ze22I78eLkAkzsb=knK(pQ?X-cl&spYiyF;e1Tl#n#Orq+W+##Vq@gq&dowCLOhp@B zA@ROU_D;oYJu_{+`|Re;4Hn#@xu6hb5WXKZgR;b~h%XGf2!}E1jrCNAowTJ6J8&i& z*$Ww(D)L9bSO9h?CoAi+wisEMthyUug1fm|NW%k4$Oan0Gr@p43wfUIN82(G$V~ z(lE_@b3pwVY~k`l)Co?6eS{2n9My3$u;nQyJ^eKX2<3^;={Yuw*dKh8eO8ttI!B*t z<~^zFw}9a(PW1jFMD1&FVXcrGmhalvvrfI|3cX=1uz@*3mi>+kT}Iujel`9=TkPHv zaQimLhWtE3HkeWfbCh;xl(0VqPUV3yk}y`6`d(WBAu10+8-}&b4wlab7vAaG@vJ6J z-Erz!Y?Cw5^n##q1(%kC4Lb}Vu>kvFKC|AAB3iz)b(EfB9EDd zCt)vd>pq$}iHD0X4N%UoOE4%yc@XCwuf?UE=8Fm)LaCV5#579Crw<+T_Q4ng)#dX{ zYkptMdc`z4p--b=!+RWhGJieDpkNBdk8?%+VbW$l`fk2+-WK?=bby}OQjpv#+jZCh z_9H!OLPqgK9=K_7%$_Yjo22A^*4cO-Y%s!1H*vh!lE~NgW~i2cRdAJ@4xfNmZ>B;A z<82?5X@UDjAv+LNn=tPG5-;Z}mg);;D&|NI?aiU@jIjHH^qDjcaZm(CZn^yha>IIv zX_ok6g!QvhJ0dqM%dK--AXSq)CjHU*aBGR~@Sz?JT+nfxuy7)j(?Qg%LG-VT!1;)k zqa26tGE}EY_B43S?*5;I!$M;3A}N}&G2U_h$mSw#3MYY!%%=he?|Z$J+{P^2Zpg5+ ztvTUtO+p)+WFyXI_>npt=dY=JO(gV&#!Uh>#>INXMWrH=$?*uWyvt3S*dj~4$@kg{ zoYds}#z?LcfDm40$^qG?9^eAlAmm7n<5nd$76+)AY;Fe4({dtO(|dmeFWXy}QL|0f z`sil=INE!+c5J+iQj&p^1rOV@$tqCUp7fi&)eTJe=$#TDZn<$ zvKjW!F{*DCh_TjXTuB>8f@2(oILCp$V6d&oC~ix?&b?>?+Y1mn@+mvQ9%sGd-5gP5 zxehR=Xvk>eY%u#Wldlx^=8MyB)nsGaM!A=0H9H)t^ir^}rMK8Uk{FPIS^kIYOFiXu zxGBILOPBGV=ZHc)dwU~tqaR)7z!2wQh{0uGEH6Z5d(ca?N+L9Er$5YacaeTHba=FF zkn=fg8?}|`08=r_mkJv;%DXXC>(Q38o=jSK8lbisfw`1DBJ9iiJMc?OWN@M|H^Jd1 zdxs#jp}d#*>mGQWB?UeK6aocdN#y)eP&WZzkH7m^J2z_bFmI73mOuidT;^c(1-M6e za5uqc3RQ$Ri?wwFl4}tjTzGW;bUQWAb+VCxRQoBEs*#Ot)-Ml4tlH3spg!Z2rSzjA z|M@O(xCfjvL77u#Q4@y49UzO%Y0J}^n#@d8t`3R*1_*tzzmXs`p|^}Mo5_2<9YP!B zeE^Pd5f+<;`D-qXmk}Frd&2HQX*8zY>+{W@Ri6a}HY+hI@$=r3eSmvGdO%1CTm^JS zwVH5g2KzTUdOxR21AvgjN%&S`B{#0TPplhe8lwj`0D_0aiDPNnI)d38Uo7S@;u05g zr8UchhzHW$YQhNMW`w!Cs`BGn0MClc(xde2Vu%q4vFkl82n|s?i#@}>$a(e<_gj94 z=KeyjA*xka|GBggv^f~lsnN!P>{eKpwUXLd=ru6jhOiIT6cNp0lRM2975bLnNsjq- zAb_`3huu%aF2Wdf*+}#%wc5Cx53#1sHE2k)pT093qW|Qlo}KmLnXFmB;nd*J+d2!9 zAg#&NWxqIS%Gx}7ru`h*`%7@hB8%7&pEwvxQQ-ji4?jn|31^d7HZZI%dpM^kHc^gV z_FTetNIt9w2w0!KIJhX;s#-Iq8=^tZqdH8-wz{^6t2+Ie!>b<`LQ&f}Rq`QB!2iT3 z2@N_Kc`ufd(Kdu@pg2!4ORF{=Quf#Ue}d3E(R}*xC%A4a9**A8PEp18u2d+HOJ4hZ zK)9xG*ud<($QR2sSMgC^g1W9kd?D~y{Cq0(8XZ1IZ|_CqaLyM~)!$rm&z~TDT$}t%n{}@06Zhs)KUwCgJMeos|*m(U62rSFTOMi44v0VxTm+|nm z9(9;x>kk2Jz@wb339a8dxtgyt=A5UtOtKxy{B#^(IumK^a>*7ymPNXUsdM+J3X^P` z1A&fw6&2zZZ}Lz_-gFBUwYoe!NWfdEiGW~7&|mJCp?7OT3&eqWmP3@sYm|-6&t_KB zGO<|0F6*N_0M+m~D)iH)F(~ zp93A@9l;^MxYwq&xngJtO!JRI{oca~;0G!duI{OP&Zpo?f@R72wKmw;7Oup3hlmr} z^v`GWB?LiZC%`Bt8?XBWcXkHAd%9KFu<`O2A&Rf4vwg~-JjcV=l_(V^mSr*}e818q zzuvaF@~i!uFKJLr9!{y3nXFhvg}1pp)IO}jZ-F*95xnV`zYO3OK#DSyr%dre$6)WW zK5r$0U^4~mC5oy@>>2@h8O@6FXoUdQb^_P}I#ck*B20mN`tzjisu1P{c(Go`181(+ z@qifCy8J^Xj=s>Lf;Kc2zO_(A?uTrw0xz|wFQuB0jb(|V>{^iBoR{sbJ=k7k<9{Cw zrLo>MNbGgH9Lm)NL3TxXC?y8-q3lJ0lTqs3I3qJuo}Q(^5NO_)(h8E5!&QJm1U^nl zpmkT$@{>+uL5HGs?q9U^D6~N|XwiqsC?nCHw6!pFiirh1;9)21l_<51;0-K_F$EL1 z$4WsqVOwZ}t*X-w9NPSakMh$n$?8<-qby|Sdx%#`(q@}W@>#eH3_j#WU72&mQEnWLSQoN8Z9j$Z+4K6m+z0f^t}{B| zpn=>#U{&U2MdNR>(RHQ4pvMi6D8b0%Hn*adarEUK$-@wAF{8Rc_| zC^TMJ#Zi8! z&JHdQhkDjsaNW~92%E{3O!2VBGSJyPJg@<w{z>f zUAMUuL9{93h0x>QL_)pQ&=*8ZrV1MbqcT4SYe<;W-&Zk`JT)Djr6M^IYCScJy{^+7ov?@0(aKajv z^S9@y9+PaxgV`0K4afZb4&kN@3MaxXYzu~`^Yn*7_$D@UnLZRbxiyq42&*>hX(kGp zu7=1O91m9z7M#2CeTTOMVFM#{8|@jwXGR{bRp#aOgjJ)cXQi_>w3pkdYVxG$+_|QA zOHaKx1+IMUj_I2G+uvpHHlWWwcRF0g5p_QOdJY`=7Sg+*hIE<+rD0Ub9Z&KVY4#R2 zOso8a-mb?-#O@;=+;B}&Cg<-F`m(#hA%{beqSp)amDMQ66H&kM)HStd27%gm8Zc_| zP!7YQUJz50hg&epx+Am=h56!V71hjoc{D&;n$EfMASS$BXv-y=I+{I_D9in%&+7tIxS0eYcuTNR;&Ys zUPF{(4(cjx2w#DYn;0cIpk#>Z3pS<`YsOM@^tA5Dj?~CC=}RXKhqn_`~+z; zy$y0rzLjT1ntu>M0+=IqTa&M8F}i!2Tt{!(53GS%@~w6Bq%mxU_M6O5hBUjiq~ zw9ntgc=dyDUo4N8wvei4Z=E)xFkgqE?8oDXep5EN%`*yf1%{Ouf!b=|rHkxPkm7tO zk3>=4U!pYnrmpVTI6+L^7{hpB5y1@ifNOedgUogKi;KnaguBBLG{4}^?iW-Iwg@zw zO^z{mZV0bS9%KaB!W*;QzOgS2PP*p2cG>q?-j)?Tj8a5SURXEtMSWvJFuMZ}ggMr^ z`&{3U`6z_Gl*J_+>9&_pV{~{k@SrgT+Tv)FJbLp4p2BHlEk1nJCZTB;a-nw#@Z7CO zgD`P8)?O%tnvQ)mD|uBp>*=C%-AB(n;OQi1y`|Jl<8$cHnF!N|iImA49@~iL zp7?`Zd|e3>=@PJFS9*khye5KZkZR5Rr2j8HYZPO8u-K)+&OZB6SMf2)SnwTH%3ikF zd;93OWjzPiO)tz_{6hlgVQsD?f&pVhUc?#pFOc9c9_$99w0|afXbig+6`sIj#O!M| z339Zv%flha@y8iT!b)*m>o3BFl{y*pE(kj~%?pHF`_f)*XBf!?`GjKZ=7Nw%B3~v%+R6;+m@On7mWPoyPj1e|WEZfp!PI`bdBNjTsIofy) zf>ZYGsZzdov!sKuGH?5-k{B23Vej&y(AnmyJ`jP2tuaEl8-ywo!d&zKCk^h(`m@il z8zW$!15tFD$zu~Q^?T&Jd?7mT_kpp@Cr!H+}5vyj*1I3Nkyd_glw&9|Tcv^~?O0xo(Q9!E7jX)JO|#(9aSOg>!LX z)rQh4+zV_-{98QO`Mg5Q+64aMCK<--9_QulMedE=^g%}WyPI#%gO+~?C!P*%e9XM; zNj2nua4sO+3B`FbX5|mI{<6vSh;Mcfq9&S8Z)P?&22svm!(6FQZY#Ne@9a+Vo*`MG zFh#o7s`(pLXiW4hGDs1*I%DkgbG*yFXiJA9M`yk#6;=JBLhh7g zZgrL2C6k8-btw;zKpswNh%EY1T`$*U?_k>c7%-fAPj%`&73!UgL2>)WFCB;PfL`vh zi?Kc;4sCE%VQ#Eu_c0o)H8Uz2B(#Gg0|%5a1R1By3fxD7NW9>%a>*DC=8i<0d@} z^Z1M0u6oSV>92^LV_gz^uML7(fcr8k^z?Ckc5u1zfQv8xHsF|K`<3jIRT4Ple)ART zS%2SQExWgZn`=zy{S)A=JU&DO^?J(1vI58Gjze(h!r?@(a9iaxa3;4U3;~X`B+nBD z0Sk*{(ZV?@OuBk0O6>ALCJrZn7 zfCH;$GZkozgj3jABFkwlT*O=ZhyD z4~o@g4}z8AZ?n7)ik90tfW*UT{xK=Rmev7O#eI~`VV89}bU$22Z%JG0*POiV;DJ^d zdJQP7j*C+E8vScw$$WaOsn6&^vBwFJ{B;qTtsef{QzIh|WTURV}Rq8gaqSqi!P?EKz1XvG%5iWl zeIi`!U)3)|)YA;*;tU!tb+}GB;=??=wZ?F0Ba3KaEy&>>^g5ew?hqCgx{CF(!|@q)^#J`CB-v^?z)NWhGHYllshc%q z{U$;PhYhV?l+IqFC^+QmotZ>K2F8v|cnk4x4YsGUjP)L3;`o3EJF2XU2)|&$;S)%3 zDJpcOB09B-0FPonqRWrW%jc2OcvUGF$NlrGsff4--eMJ1ic>F}qKdhb_wGXlRJTBlwl z8wWNfaI!fEI(vhmhPSu{7;G3uwg))I!LHKg`X>T^SeM(ODTl?r`-IUWJN z7qWP&r_k%{z>=Uy+tCBpDs%a*OohOe0htPkmc-`5evXYd)Qhk!5OT{aJJVZ;qD+|Y z6cNRhG3T7KRr5SomNfD$JbS54oes~8IK)`8%9dN<*ie!HicEl}mxp3jM{*{z9_&d` z&YEK=)P_iDqW`%hR-}#N+Pb_S6osJ`E#=3aH8%>S)yheKmecVfr)N;iEDH_gh}D*F zo+IFk6{2Mk?~4n21Yw@WFv^$l;It`MlK)A6*icT$D8Htb{~! zt9KlI<*FU;xcIWHy~Si1fv>p3GDce4Qi#amo=`z^(Qn?6GHDRbDmj+D1J`<~SzJba zN|ApEQH=@j8g3UxaD>bt9DwP5Q>ehfIMX3q8WPoJ(CC2g94tW?d>fP>tAmY|^srg) z%anUr^zGpue1~J1l|2-ep_m+(Pf!^XfynZs>ww zO1K07SYwC1sq217bl4@++L2PSj2-wsPhLvxHdOFEEQUj=mrXCLx|72tc_h+(s_6(^uvl)$+1glenXWqiYg#@Wv<)aA3hrpp}v(ZLeN2F0@M;iB?S-qr~W7{2u8)d3sX8aT7~r=S2C^q*7*fCc7gflr{!7}5H_Bm z!CIDVTUj=!%Tib{8)tS~D$Is}^$M>*FPTs6%#AYiGKf<6K)Vx}rL;+#2}Nh&Ac&D5 zk=O#D8ngC{fmcU^4GvJ^Jb8m)vZ+mR&SI@RqFizh=)YXy>Y zfHp7`0aZH;lAKXZJw!}o`o?0gLw{()ndlm~=~*>@gY}T}%qj8GC~raGHsrCuO;i}7 zZIbE%7#{$JSNJpM$}bp?DQS>hEaFtWjS+`5m;%3I^dK}AS+XLTCSAK=Ub8Vf~thW)5Jw@wOILsftE)=f2xDaYGjrS%vJUUSLPF zRT7N8P|V&Fn8u!f7SYQeVQPlbR{?}lDN0$s8<6!!zOid>?coQ?`U{6fZ0o=YtMTyp zYZo8=JsGOs@|O!(g-OZNDnKwj{k~wGH#!JMGn2Yp%#{-%U~z)xa0ptw6`O{)IAmqV zo^*r-+M+(|H2DLjOjyHtrv&#ym1A3(;*bj(8*?=L--3SoScWJ zC0#h|NQEEqa0vh9)GNiJGu)v^gizM7JSlu6XI+FS?i$1X6?IW>Ay{w&7&h(&Sk_r| znLSvXCkUum;BX)m=d|&JV?z->H`f07?~LoPg%wr6e5kwqAtJmVB`_?{X=9LMzNpX@&Pctp(q+rXeGe<=(n3^W zKt4ju(ZIP2mz5`kP}7!Q28V~1A&Ruo0P}aCJ~(YaQP$;*Qj0r%HiS7G^ zoQZa!!Ud?1t1UT!zkVAXTCI-xW1J2PxG_~>*PCAzR^}99ED?L|QMF#t9K6(P4q;It z$}QWboSQIda}S6(6fK3Q0_Ktt zFcT3))=2abkSUjqBCY5Gc6Q!Qz{3ffwye~)EEPYINQu&>r?+KW3G>k)r$2fOoT`|z z?*to~%|nBj)vTFSs+2Z>HgH%&+H5HFT3gzI>I}mf87F{~oB$qxn+C(?zFhjLf?!faRyONQTKk4I32=A8iKv67SM2_Ri}1?D7*^&9QWpg#?4*euPR z$ZR2@5t&$ilrHbVpg3*xXp;a|-Z)a`4~sY&@v(ta!UnTBO8cn}{JB7|J}pDJ?CXJQ zE3_SL8PDSnfM))VjGxvob|=H-DAHcxkDa2Hru#9T=fK4N~I?TG4&qI?X! zByJzRjt9BHe3h82o9xjY*M` zKY^@_Q?|XjV|!T-zIa0UD=}L$CNafPo&sb7Q_MbhiqP3(KE1gTc-~Nc&U&hFA+Hb* zk}zL+h+^?k2sQqSZ{iOr?Va{I4qwc=R|UeHf-1g=KW;#Ly$CFxVwSyV`-^p@y1EH*q$G;e^%H}OXu!g0yE z1YypH5yV(ZUOL^Ox87F7hGkt0>)9_ooDBW%(*MUgHu^wJi#0n7F{utan9r9g!sYuBUfVX28 z_K_aDIySZkAa3c5>~OVXV+4fzT!}Qof|A(AM02U?Ot&m%QDQ&qUW@NBx!fr_Y)A-o z-0;p+9j7=P3hLUz23OTT`QDoyE6eCKGZxN0G;)n|5mZ=vuA1oA9TD@th!A*I#Gy}d zxv7d$F>88Nnx|SR9Ukc}YYNYaV8jZeM5<@i+j?K3^0j$Y*)s^5i9x^5%Zf6Sr)8DX zSOeT(tR_OpsV>ZQ&GYDN9%VHMDS^krt8Rq1vL`S*-1ElZCO!e2U8;y>uTZB_^RyA_ z!Hs~y5w!Cjf3{;%$NZ1r8MG9G@XC`*#!t}OkhgQLRkmg6g5Xx7Uu{`TM0u)Z&FVSz zwxnIOl9!4EFdx0OOwWpgGMX8*Eo(pZ)G&WgEamgE?1y=}jZzmd+dGLa?3%;zaKdG9 z?QBmprU;_&iT9rJJe+ky_Q%{{2F zmStqq50GkEhK;^mW zqhm`pTwQKS0#k_yG{Q)7r8w9DXguE9WmFb|7uu^-@J! z=%W1N-ipFv_AZ=+OY@`sb<9(WbfHyF1MP5FlSmLtIDZuta!DFd!hY^u7BzK-naZM4 z!8r0HpPUdThKUF{BG1o`IG^?d^Dkf{;?OI{-q+Hww#xZ`946zu!-DmGp?>3M*2SWj zqbp#9OE(INvO00m8l*U_*9qo|>~+k)>}?$lVe8mIX9HuJq9t_P;aMXg>?buS76*nU zr(8ht^pvf#^)Nw1ycE<3OY_<)o4Jxz+iefdTWl1zz9{`SMiAP*Uh&Q$Jjkb;tw8!0 zV&8aXKkirRd{-7Ue}xRURqkJ2!67`Lrv%X$z`%tM^u~QXtzollcC~C5&OSWlV;zs{ z58Kz9S&b5uvT&_G(7{+6L>QRt(PK}EU=+Zgu+xHeU2+8DJ}^5Gh{7eDHFE@G2`bc` z$;@A`cK`-1=my3$Fv(we_!36;(>y5BXGUyZj^>C%p|cgJ6}sT2*B?4I3JBTb z*nO`l3NVVSSFg{(c;3O-6u93&=s6g1XXHS{@{9;QU$)Qd1RwyjY(H3!{Vxnb(a(V? zpz(8952D5aC1PX#h+e>$<)BA9h^T4U(Af%wumBrQ8(Kl)1CHq2Z!@7bsXLS1XuBJ{V)Q*91yI@ zgY6H7av2ByP6})UA{~c&dxbxwz#kw0G$N>K^*R_FUS~VFS3T?6eVP`;M&4r8M9ewP z(MJf24{GQwvK+lv)hi@i2Jx2X_bfTz>XbT*%LR|_-NeT?`X6pgzfOV}KfintyY0OT z;4u;sM64SK!C@cAVI9KLodAj~KSdh}z_QMV4rR2nxO4l8FpC0PLx+TU;D*R$y~S*Fs*RytH{oT%;jrT+KK*%@bnV7`7n- z$R>aaoT3(V&rhp$X#v$>p5&he{;=0P?pD7u+Q9-79YUsqe@+mX4p$hd6{1ZXioBhL zbHGNeR9`Bb(7M1crC90~(0eD3TB-LT?2mbPilwaeXZ5;KE431D7Xf%LHOwKrpSOz1 z*I6%R-!9@M_@AYa^=oDZ#YWlXM!e5gn{#p_0lhv2obGfuABdU(ikpA^hdZ*DpXk`t zQT9(M$Bh^G3-N`cd_?aHOn8%0p{EfJe1oB~x3yC9`^WMBBcx&(@c*F87r;aghBX{N z+zKYDRXA~M%RgkfWEGyt0MAJ092=9m1lSh1-(VRN<{uQ-JGd`n&7)Uq(|7(Eugb7G4R zfC6t^IT-t)Lfgu4{_rez`kqsB#+BaDE+oJ}p)b4)**L@QF9~42b7#TfvkA=X^-qR1 zkpg!KCaZ8!kZ!elDT^b(n}DpNbPz~kbtfD9{{hg; zT*7Dv;-)dP4vZTZQ$U*!Fky=9Tfp^GK>=M3p0CT1On@JGPi!GplVcoIYiZw2nZ^I$6&2nn}aVTT%erLMH3jjMBaIeJ%) z(#FxdZymkdT7#TAtqwflTX9Z`f~e>h=*6`o0$*e~FP}dSz&}<4o}+O_8*J9+WaEPp zPtHG!ypw@hGOFbX|BR!z7ZZmrB*_bRoJ!gJR{)x&re>T+AXQdXJ!DKVKygM?A z(~DRTXsa-oP_k`Hz2bY|4*By4hZP%#SD7%I8S9RK8`GRzNOcKB#)V<{L7K3{K z^jRL7{was>c;?!{hyk}^si}Nko(Nmyg%CDj>3?(GM>gFUgikS)Lt!#3xBSzIusqGn zP@bgfqad2QZf4o_vn?#V7(LUrX9}1K zFrBdH^YPk)DdaE|X%@uXC2^@|bwDw@@py5T4s&)r!dFr)RO&xmnj!AxWsx?Q<`)6} zKpr}xXnxDIU};*`?^cCpp=MatW56phpNJcXI&{>G|Z=Rx;iC~+Y=$w zol1EU*@)aBd>rJ7OumRixHc7D3xv4v-S*(GI=oOYcK;P%dX^(2&ocSlWmaQVfcLCx z!8H)tHDp6k+CBYPfSFD27&X>733G+}ZwQQ>0Q#w}94}7u`Zo7-@M`3+ufx~K`JT$E z5P+A0%`5gp6f;y}9C(bdZw9kgtCP)M-sc}jFx-d{jWY!pghs}JC*I_RzS>v-isK@9 zPJn8Za_8jB7;dco;s0hjN?spF*x7c&et*@Ny{$*%#~UBre0GYwlr^(7m>nclB_p8n zyq!mx_EmU0+eh3OhUx=0OyN9$0X_{d92?QW;1DX@1>FZ@KmQ;$JO5>M*#mM?@P&pkwGaF=Jc3pGz6l@L2&vkpKdJ6JTV+Xy~#;* zZxFFR58QFt)=>p{zgA~_` zWp%YZe&m=h-a5n1?GP4O-d2RL$UaXmEWbCagbfER*<^iwj)uH4(c?MGnMY=9VAb>tRTnCVMex3$0iZ}A`NW5nuQrpvg3$JQ+?Dnuo_6@gCZT-(h z>=vqG$H%fxQlOH~IreT6F1{l492@eT%hN3phjQYzIMUHGjWtt-H@$@`oVqzh^BrNs zFuy1ZIvHn12d?Q*rOibpz6(yd1=jNlW0-;lir0NiXT(=HZt;06{$b7z%%BRVG1|g_ za3T(_X~F3KZM*ED7OCb2oj0%aYt6}4wjdjO_;P7>=C4%{19+yh6vT*Uy?znkucs}p zwkFU9j$1{Amjb*aDvp-{(O=_bhss%yY~vK=@JYsP)!%M5+`q0Yv7z?f>z&Q_g*6j; z=b(K}!K_zi2V=eM(Revu3SsW<)F1Oj2>j-3&XlvX4FetJ-pvvOY4o6eZ29ms{BGw%}^jV)TudO6Ko_(bzkK-I@zpUJh-h9LBt_#qp0N>kJHP8 z5_N1VY7ds2KYO5eN8A9lw;bMT5EF|7wu`h4%DPOm=RLi2lkJucAC#9UU`6lv-6_p4 zHaxX}ut3X+6Q0~$;QdpI$jOBR&kC!Y(mZGKQ;p57XO}{3SAmlAXFpb8oY>q#Y;GcD zOXjaN>6e4?ps$G*k6=I>v=s;c!KfZQyw6EGPI5{iQud~qqoj&7W_j~O?QxvKS}#Yh z&d4eFju4PteZh&k?fv8;>ko*GBLRa_lh1WZ^L$x}p{Sg`-vkz&cU*#hj_@xYr!5>t zqBMJKOslO0sf&0a0Rx6CBfVq1WvvH0!~Tdbu8n0;d;tq2(rpy~rGD6zF?SxgR5Lnh zoGMWeUY6X*P_cBs9+$G^>1n`NjR&{bvnGnxgQH3x`p6}C*Ky_W~8?TM@KXwU95ZqH&dLO5$Vs^;lo;KuF6DrDPq zxt^`8MFH-9?7dY~TtU+)8VCeQa1Db5*We5e0fM``2X}WSctUUu!QC0$U4y&JKyY`N z!R~zjch-44_dMLkyL#1LUA1evYwziNA0v5AnQ8AE1 z`^MW|Z69wnqz-=-M}kXUg>F7#_*SPCjFq^B>@YGby>(WtYJG#!f4T?uO5VX?`JQHf zxXMiNk{`thb$U-kjL%+m#GMq#$=~U+gltW$u1Jqr%Jx{{+e8P75fOVBUoMj#jRn3# zalVt6U)w9)*v;lJ!t;{Nd(CSCC%3T}|7jmxu~e&<5hj`Ny)^k-^aBx5&?5V(5?r%k z%37mMeH`(a0Fi-A@X&(*gAYP;euwxFi-^ntB~~#+J{BK z`|xu>J4xAIj^ z7sSZ{Z3oGXg_SC!S=KqfVD}oPpx}g0X8VNgA+b8Zec7w|W56;uq1j=qlV#HRduZS| zlH5!5_LHm&z=%3;AKptIJZ=fwkop%!3@+93;se@;v}V~y{otwDUnC=g{vzv3t0d{l zSg&wL>RAo*Ii$nSJBU&h;dL8AcN-LSQ=i02r{9`2i%)8PD*H@mx54d{y>}kEF@hf7 zN1~kRqLPRVE~NtZdB{^@byS{a*jol`pX2OtIVyLLdjsj;*x8{YKsE=H^TBB?K2h(5 zNB^Kvi4Rbfppe?G3Z&d}3m{52@+-NfU|ZxHygBdkg~c|QCUo>Xaj#+kvG9Dp?jFkh z-ue0*g9-J5sjQ%6e=k{R3RihGgc=LSWQSGbKVPxE*#Jd2E4PRfMM!!+&F_81hOA)C zr)oF9XO2AGlvA$ho&7yN8I~xdJ16r>eWDZ2o={@(n)iWHoQ=@-bsCs(s#|k@3Wk=G z0UV&_Hsxf!jcOF0SX(+PdL0ACg=HD3Y_`n|ZSEY(Rq~;4>N=3~Na7_}4yjB6jx%DN;uhejK7K0x2 znJn5nfi~YHgZ}wmrhgW=3q5un%1vEBQ&BoO`am}NWwx`?a@16rJS%TXkmB}{m^^Ec zbG@H`H{fBvh!bxhP!ajH!oV``_wHx>SJ195PJ|@jg<0+mNc9Qg8Cu~z4hEC zuhOVosM?M%nH-{d+Q2vb7fBWxlMkw=(gh`4rSb?5ztE}iF!HJpcF{*CN|Kp~=44s* z*Vm#ArU*n0iLFpe;~BlZr+0~v0t%@bs)=X610Id5DYw6UUm=K1L{qHO3SZ$CJ{>-vIql5W1Ia~+e^ zs2=JXPo|VpKh@xFV$ieEK&f@$j-6Zi zD7p7I_Aoncw{C?*RlazzZ;flZYJvTz=X%)3-V>o_vIGW7fveQw;UGUTY*|4(O4oc@@cj_CE7PAvCE5%vC(L_+JT=h;9@jykf?>z?Uz#@oZGkaV^Q7a3FZ zlwt0=^0e_%%VaVv8xgt|Q>k@PYn58lf3Q|nFUwE< z7?4>EF8k;X_REURGWY|#2R+3d+%FqmdnFRuYWqLUyRTb}{x%-@A#hKyEV$DW>^ z-6w{<%8C8=Egl&q@3#4`1Hyb&lC$71sP&RxD_KMNs|cNxy$r6&ao%*_FJWVfVz#Jq z)fpgqoT<{i$;2B)*+qT#AP^~lsqA7Sg8a4#8;iBLR|M1;TicVr35}c+gr0f!?I}^w z07eXRF(mDn6^gFT%5l3xy*gHdn7;`nX`8qvN?(sJ^f>UfOPc@}4n4kIdvys-*fWNK z|ElHnojg=Z>+9&zeZ~8EKLb|md8chn2D-1=OEoLpK+4*^{fl}!St+#0he?D>iorEI z=gdbxZjUwYNAl@(ArO85SF1#4T@Z*{5Vds{s9dLR;>i-Ev)BBwOo<1fFLT8%2C@3C zzX92vpx-%15{T*gRXlc^2O~lL@~ykAy3wz-RNai>X7jCk^H5@6#eYAsTMQC~)tY)z3`N`a zR>ZWL>uD)E^YaKk8^*Y6RCu1E`g~8EU96+X^X6o__Ak@uYSA~rleZ<4#_BU;NWT4! zBdG!}?}TXm$5acnJ;TS1ufNg%^5X|>cC$^kx3Tci&Ee?|@dF*LHl6`|Dfzz)ZPgJn z(3gcb3t+!yNSV;1LUOJ3=KEPWM1JIxM*VyHPrCMfU}2p^d>H-!vo$Z}2+lQ%0lzgfxK2-HES*!#ZQ*l{o)ant%QdCJ`7sWr!=b3>sGIlL zZ)neo$1vC?nY{r-0qw?kX(ce%NZ*tg;V-umS^^3ibtt?}UXdg};p;$!&EujJdEy`?$DnebYT@$MH}epB44fVf${9%1jf-TMLJgj$>CBj)x z4}+L7ywuUZn(p2^aokN1c;x;GvqGZxBrLHK<8&SgkBQFH8bGOC`i;(%SPcWhhdyRhmqwJa>eye|SSJASi)kd2J}i-uRs@S&~V@slPv6y+!Bk z)9=Y-teFM6-y#=r{rW5dJNl}A)rCsmvjWvjPX`EC#wP|+#7pOu^jm#$(-B`wv1)Jq zj)vz`TJI|O=LQxBrv~eVKD{~H=@qM{%Gc5<1+Twc`;bL6mt$x6>TBxgg5ZbSwPLa= z!JU$V5C!_^|6=6PF`>$HOglyO@-w=I{0+92)RuA7FhJ%z8+5<6Cld!d>r#yTEMy30 z^ucbA-=tJ76;F3;4r2E2Hh-9HOLu-q?<{9I;OlogX0-F#{e*(>Nzj0lP@0)v^!~vvH6l#=zY;FhvnB_9UlLn$L zMJnS|r(uynj(IWElO?TDcQ+AFsu-ws_1S^7q6#pWRDi!Z7;#_sC% zUl$au2PW5yTvemR*#1%@Ta-7WBk+IQs`W#C92s%Io~{s_fpDUy=UuW zwGkbXf!1SXtDc+DqTeqTnRl7nZ|Kvh-XG|2cF>WOEAYC~IWc|x;KiCWWAOofU)`Aj zv=JmqfE$24I{(`gW$9l{t_$zxdGUjp__zKo8>NWizPM-jE;hUG7azB(gE258{(1g9 zW+O<+DV^T({BR-m*XPXAWMjkDrI zBqGzwb27)*u@)S}hxduFTqQun7u8inIy~VZd{m!rzd^X3d|2%KPBDpFA7V?G z`dffighm@2AUfpwo5|!aNrlg=fA_4q{}~2K7M%P>GX+%#?S;YC2Xt*>mPUO#pw&&9 z_yg<{d5)fp8OA^czc>E$Sjr&hHFkrF{suA`^G4GX$Fc6uyBB&U5uLKk+=!coC# zi>m28*Y4~_kL5p?==Yu&3jnO3on}F&OZJPC`aZiBjZeVL25@Gn4;Rx0Cd{x4w{P{ZpvtaHzqIxxRvA?i0=r zEwfT>u@5r=4#G2N)3Nx8QPdX$S+G&1dJxd7hB&{v*u2j@6nr~ax$J07NF^y4uBUbJ zy?U8DZ3C@)YsP|FFM7jwFvAl+kBanwb@qFT!@QV}ck93(zxHZ5H%#z_GET(r;N_R@ z+eIb=`hRh%QZE}U$<3T2zjc-t0flMRc94bB~z{NdZlQWS9tbqG4ZE$FPmryu;{2mL<|MmY=?2rF zs{)dudrk~Y`qWABL8=U=@8{@^CD9uI_S)`d%yKnT^e5XxnEvr$&jfwF^8d-)4YUgt za;e7e5*&%A*qX&hP9N?F5Puz@zL=`Jz5G}9)p6|EEprcS4CI2I*B$vcO zKiwGBm+hMh%&DuA-+M@P1M$YOC#QPn8`jC>HhVgoV%Xxv#Z7y>0^AvkrwXvDRzd0D##%tRW+%N0%yOF2wi|v5f zma3|s1(P1i?0i#~_cmItqw!N4#3ui5+4Nws$+m9w)?qit zXTPjp49_Ik{!GKY@4e4gmjI=~LQj@~QT`yA7^;#h;7rG|P~AFy)thIHXO?`J zklooaFJObXvHzY2#8O9Rf%ckO>uyIjJb`H=MflEXzo{2feiFjs>Y!#Cdyn|od1hap+#^q{g=Qn`=wW^S89fo3WLV;N6A!d zXmn^a&Hut=dUK;9|4SI*j{8rw+z~Qwf09u#-B6pt6Wag65}XPy=qj$q$GS#HLezV$lhaqZ6$W4>Dnn93nT zIW57ZX0a>op_axZnMPcDk$}C~dW79)y&!D0!G_wxAw90zu#4_Iu3B|^=JAUr6-t77 zAFwO-B0ugUc3Vvx9eDERaVsE*Sgw3lZpm+@LfA7A(F;+S3st-$ZFu~i8{${lxIxl! zN5XA3*)xN@w`x6Q6asA!2m7QR@EC)6P!1Op#y7?{W~9al(FY`&Mbawce2%C5(J$1$UW;rA+=ni+B>yDMc>%g1dUb>z+t`L*86=uQQ}ozn zVcq`0vFO##?xL1rHpci4A<)FU(lnOs(WE~$pjum-VpArD*iE^KwPE{6+VCi%j6pkf zZ!R_vaI;bYg3Rj~U7BC>=KY3>UxsK9(yyzjz1X}cgs2QkJ$|aVb;9g5D3dd{8fd+g z%dg>SuriNI|QjRJAQDSv>$MD@2y*hOwa4 zS%9H*SU6Uq=<`2n3-?{=tzltTqPm7dYWdg1z|EVnn|l5miB<_@qLv~4m_vsWc7F}> zqIWN!z=eR_zKqol;wzkvhEbPHxip5xz=R=X{HRk96Lpt_1%T^d%huitMd2k;>L8NW z%wX1#dSl$N!Ld&y$G-K&^7!_s>)WeQxeKu-n^~C}`3ZvONQ6P_S85(&Kj-h=@K(Ma z_)G-9V!-Z?C}QD$&${&W@fp+d6+>x1Yy2Ig79MWtJo$2uTiX!Z^y}SQ%ACJ>F6&O7 zG>(WI;Zo=_c{RswxTj6iV&k8$8vb-#6q?7Q2?v%@B?sy1fxOVh)YdInW(^0i+D0FM zuv>@vG-pQi>ZAiT>w|)o4c4!N}$hpPF zO%*mj0Ihdct+^`wqHsEKjbxe?n&+}U${Z|A#CboJ=f{VRhK>@xn`xE)aiA72drU4v zQ|T8P3U86fYI^smXoiu$oI<2Jqk*s59=EIn%2KVXDvepoh3bh`p2wK;4t#x=Y`S;X zXPQOo&y5-{CXOAsrH$M#4(j84?;{)!8%M<+{*<4k-14?M{){c=C4a3!PinVtXe^t0J4Sf_E}kpG8N|32377Sj1y zK@8|Xk2R>fdYG_O63xg`bd~$=#DF82i?0n^o&RmRslslQQ@-(fqYXp4v@=XM-$hU~ ztcTfCNwkbUw#{HlwVVC*oNSuS4q{9aZqmH+rK+*e6~m9ws)EAVWn4#;_|k&n1ZqJM z1=(6=ifJ=YEkCTnaB(kP)xk+(QV%9WeSF7gN*e2sGQ^=Oj>BVql62wpPV+%c{7@^! zAFtOfL(<IQ3vSoC5;{aGeVwXhHOf)j*m#Rj(e|zEa*>;R8_#d&WM=}8g8mR5 zGnpq<3E2c%o}{No={`Ke`MBQ)W#I{5wRw^X_~98Ed0PhA+JgVr3^Y5HcKuIrSHlMg z%P?toTW#a-&yoosFMGar|3;v^mPb_02+H) z9Lkw?r)FVJJIh*nAqqBq%B6Ts*gUnv4bl+S5{ThroxKF52{*1{7JV4(awt<|_~@17 zZzvEiHs$-o7B%!B-}zdV@L`#k!w7=39)RcIkJk)qU41yuo4Sm+1O065a{Tj9vYzVezP@l3dwXd4%x@PI-lUnyqRn~C&>llzqk&w)nW}G z?(#-gFYNOpVM-=b2$8ZfcLXx{F4dAAp~{yNSFKLKispWEAfQVC{DYbpc^`3>dxVLO-VhY4Re%vrk*)MdF8S-s8ESZZMHXl8BLFmy7x0+j0Ye&^_v^_R2`@DifSp6n89qIduOkfM0YU_tT}xN5D_u z$dXLG>Y!9!G$#<(A*m+bIzKA&iqZR~15s&orZO685&+CA9{|1Lejs3-N)U3=+@{dpevGyKHki2dsNzUxE( z=teb4mU?GatPIZEfK)#O3-6n8ms3B4_2fJcHAm6*hVy*_)JFzz$KJ4A%Bve3io7HA zWDWISB#1S(z%1#77SMY&CjC`0zV_gKY}ShT#7#!axKIk}UYUrWfvyuLj{6K-^jq}B z(KOj^!|{7y?(8Bc_c)O(Ih_wG(Kp?M2wC!%rjb6W=Ei@<^zS7sBq@3g%x>>AMca)7 zuO;t7^h)=cnJ=-co~*__RZEL;9JLt1!ixsDlOV-HO}M`emKxFWnxzDPc%x^~%?IwZ+J;D=){MYrT#+uh>0x$f(-! zG08o)08`40lfKjPzVWG>m{^Na`F4{NLGM+vVcD2x|H$>g;$n)P=vH~nwX*9}l7m}@ z33=>uFk9_)_H*8NpJ*sVh9Rj2M?CfqX0_F6I+3lDn(*%OR(X#ybp=0NvC@9<0wF=L zL8;v{{b*oiOHP2o@ya5FRcYWg*Zh*vQMc7<%M3}Sf1Pe+5|iU&ku+bWE0y_E{Rj(y zhkWU;>0X*MgQO`uw7o@F$%#QMcx}mg&vONJ3yZ)O&2&b)OyfRy)3JC=*%qHC@M^z2 zs2HcQY|Qv5)w|k%fq!&@qI2e3>e>4Nn8gU9&&8! zU3Pub(cpA9m+tw(2j-;Hz580JVO!2}j)yt=f77%doC-1O9o0HxAV92tDtg6AD_oOo zow^qxy?V*30q5B?uSGiRfn9O|es+1s7KF@A8>r_Z3nBu#jVrtSnXrS<>ZNDSjz%?+ z;Af}HE;l(;(_m9``;O7a4B$%&+nZB~4es8P?0p_0h{iqGH&j>;;(e@px40tU=&Gr5 zCW-3d*xJx2o>8PGSFPbWNwP)X(3n#0pJ;JiZ+mAHdf|+`C)I7-{J}KkRNDtn{1siu z@HTiBw|;xcI`l|@H1%h0l@rFJu^YHzo| zFzHf#{tNElNGXAXrQjP!W|~5KFKm1Ji-?!gSK$99mfx@XM6c%+Sg%{4$b}a8py` zCI`93WTJOdA>3 zHkXD**VsOU$&?>VOP+p=ICc85Q)q_xJf91VK>~z~jXt>lI%=F_EQcR`d2hW9db=0E z?CltO&s_Xt#*v6~%);^vJOEH*eKsmnJ@3Dw80~Uq6v*47*JQ_gel6tZ2=b59L`9Vgoe9wtzWg*;E+ApvBuCe`ydzbef z_F$jo6tKDKb<*dxhji?s!)Xy-i{-MyG`6AZM1rm!>^nS%q*YraWO;`^H&nrS+bVFI zQ|bJZ405lO3_FppMz5RTJnf21ef($%4KKQ!%vB2utvDRDrcT9`h5VggD_Ep8tdB#J z-DjI5GR)+Yait!{_-2_G?WrW&7+j05=qC4HMNCYzvZO{h!3jEK&HpnGt6SmiVCF!s zvrmlNt;8WOTUxHQuW@&4zgWat`sneD2 zP~|x9;)XNps(RI|k$xSTpUCSzq}pwV5#;7-(e){mi#b5qd^)BErc)hpz&KPkgumnP zGI;%q*D#gIO^oE1r#($4!|43^pD5pw25K#phlFIEY05c^xrhd}M;R*qCYp~ta79S+ zD_C9p!E^r%UXCuEq&u6{>YCnbX1>Lt@iIwEK; zS^nqcs{RnTlq}+L;f5k&ado7&EL-z(z>9cqwo3pD;oK<(I+3fQ8#CnJ-pK?BNjwKj z5sJ1KMPzF($7{EfO-n!i4%E^`4(+a=eHl+^@P#cLEtl825mI+a$@tK}Jw@Swk4X&UdVJ-u10B$Ft#D@!}F zQ05Qscq8U$y2%=xV2hnT#Z_|Jo1@@78%~C2#)2$w7PU(!jE`6Y@3kU>0WHW`?MUAkLheoo`12! zHQoPWcb-4kq|&U}PrVGj{(Ci8S=07S?$T8=SMA*Y6dFsk0&7ke^|jx**y zn;Uj+xqHoEZEFie%auInZPizb)MKl)s6@9XD!RIdjX$)ytl1-^wXOP-x>}fCP9Z`% z%*np0DOWZut_iaE$q!VQ$OdsVmC95t3Nrrja8z<8ADvZ3z(H5M2$~lajXmS9>MVz9 z6A-^ZBpWLSj0=80;~&5GYmGDQri7C=ip`is@%xk32yNx-z^n0Tx^bXRL%^$-wV=f> zchpDls$;&EP#CgX2EpC_W9(Q1F(}buTou{Kt-`TO6K9PIk$3e9HZnXOs{2&X-fAB@8!aohFv4r z;m%eAyuzWnNO;I(5z*|Dg~hseat=+0?#Z@b=v4~J2ajFh_4sT#Bez&*u>n7pQ9{kA zVprklYBS^rT~GO4Md~%3Rj-4;>9tlH_v&`o3-@9ZcDI>k{9|rf8=+ITFOnbkuqR4Q zPP!6-XQfd}s9cTKW76!?;fcCR<`9Y%8Q1(c^T-2@cwF7PfE2i4hU>6%#eN8A0VbML z9|EBE%VZ8T0o22A`l>1;2lUP-oo8qU@Nx8elhCBp5u zyy${obcXn>e{1U(wN%7Yq9GzD%?AK_Z8P+5%bs|<2}}3e;xdob7Qc?~ttf!gQavFy zvE&BL3Nn5-{1(kvWA|3Efd+d?JFAI1Qk^NKk9P(9wErn~XH|WnWN6?nc}FS%AnORP zb4O|ddHB4X1#4)Mo_(Crf;14cP%R5#(0BbG`M8VyH3N-pl%RnVjKi!jk1<9z1^xA3 zYt^1a)3nLYa+$v>)|RFX3m)&TlOvR~SD5a+a4|>qdX8{yEo^12oJN;Ww;nxM1+%yO z&z;H_pcWf@zDfH;PK}TP?^KZ6#QDnP2@zD1F|c8~Wf3;dYi!XTeAjqX2?}s9yeiIE z{r+iaQn5jOEB!$6V=v2NGa<*OPBI$^uh*mD2g2$U2dz`NbaS&So$zRLM`;JSqZmW# zku8ec^gEyNe9j203J*eA^2ljW)I&n3g*t+dL|SCNNMf#42LNd)_OkOXTUP z184-dAJN0pYgx9ePM(r4xICX|potfWlcU?bAletXo5X|t<5^IGKe-@?7JlP8`)_u? zv3YT}OV4CtLn2+9HcJzwzvl71i*9xnd>#_!{PDaij-k+4}+Xy5CRW`8Rx5{-% z4eGVu+S%T&Y5CQCb4bE_rhyF~vQH~dsPO{_HDi)n1cxbzko1(AgPh9VQimbqWF{^ZSE z*5XrOWfny9?m*%}+9H~w7pZ~g<+fp=g}9C^>k-~AH0y%aG@0is)^Lf%dzEV@FetaA zY4Ve1-SXhl;ewL+X@~za^e{o6wpYgMAXS-nyU_CMiRIN@Ayt{5e#)gsz-QwLm%gZE z`Go7e@&&i4vw2@f(F(mu3^t8p=x~!GuVZ%Dy&C-rWX;gMoK|wNTsIvHe29j!c$C&s zMDMRPi#Jg*|D-iel6|s-oE><768E#q)pNKI$#dG%QSK>ul;~m>74jS)g?2K6T68N( z2@-Gi3_9|6`Qjk*T-x8NOvP{94s$0czn?#9=>%q1xhphe5oDDuxG6gX_=0&WU#}PF zOUD_H7o>bF#}!XA1dD(mjM8}_xc>{l>Tg|mom$0KR|$iRTS2R4LXv(L-70QCu6SQJ0G$)7Bcr>Mr1~gHf3_7-aX%6)yJc+DHyPog zd`9E=lH3U5YSo&=vUM2OE@N&1?TJroR811ro4A z+=El8HB#wcT)7E)#0(kQ-3@qAbkn#^ceAWmp@bH#A3dCzLwSvqQ=*&OJ2a?ID#q^A z+B8`*qAyfOIfuRYg;qAK>w*cj)SQ?@Q|nWEjg8>*LM_IPxDx5W9G>_4%~6}8?pk27 zy%yU?AfWW_&dJEWXS*_@+N1myv3E#M1o?$7l$UuQvCe+^4~8fIR&htAgk5E9MS}>a za1T4iPPdD!mqoC$Hg*p6ZPN0_LQrxeNv`rJQSHHiZn9IP%SEF&UlVd}s%_}*uzjI_ z`@*g0El3G%J(ehYuW+Ye21xkxs9P~;|1!UofM?`1$yp^#Ph3VLRh``Zcgb0KPAkoE zcb`Y+I`puxg}zkKB^YUw zd!^#G%a{Lzkt{sp8Abwom1bnSQ@TDj@!01HIH%mSVkhhtj0q0As$C4cMs~0cx0)$f zcqrH{B`g?bo6eFnDt!LWC>VaNlxr8T2p?+}Ja~-$Qy|T8kJ}TG&T{ zNOLn#49GjVGGF1kbYn?(LytAuYucmcKiEPpT`|LY-$klt%zIOu0XrDzt_n#e_MgBc zIt|Omew<~x4CYh})NS;CGEwaDe7M1H3*r<%Bq_WBL~g#}%+WyLpd#px{_?5clpQIa zc)J!)fnSA@9UoI0Iao|ZoS2BYpYd!qW2N26=-%_beR;m?_2oJnqIElQbNqPQ2J=vU zwaJ1hb+B^oV|i6=J>{;qEf3xD-ax_Y(Q4g){y7u5?az~i8TU{7%{rC5h7aeDV{4nm)gDJTjMFq%e_1yDt01|v@Z`-p^}OC%O@5#llOdX`X6xgRrd6|BEbibcz61V+ z-6^kTD+3xpD=+@GrKz1gIgru2{#B4tsj~T`r`N&k;hwyP|HHZ|^{JhdZ}>+W zuQ8kahx+HmVy)3RtNX^T$sm6cd77(IbS_~xagk_khss-O)w`G!=Or&s9OHX#@#*tQoQ45|KC;0K7%Zc?*RP8j>9LN+-cUG%^Bxhf7KnPL0oaD zn^#XPh&H9kd+Ae#>*tl!<$KF&f$x@xH2#7Fr4WQ_Jji@Bq}F? zaS{s0&vcWbB!7RtOGxu2B=EGYjq=v2KWx7Tkm62q1j{UdDmU7m9)J*%f z#0L^V{~Yw-&#avPTmczQ#XOG6nQlZr-iC{uQMM;jU2JY{styWdwj1G?XMfJn9jWz$ zu&mU_N@^FC%->|yFrh>VgMO`vZRyXdxs5liQapUPniJwpYmDJ4d>Kg6Gn1n_%>TT<8~RY5~%5pwP*rYJq&FYUXlYC*#&q=BPcy2;P) zT#JMJN9VZabr{#T9;wKVc9v4E5L-5fK#K;6K5sW z$iVRp^F7d-IJ({VTaa#r)f(e~uOr75v`^*l{I>S8%+wzWj^oS{msG28-E{-=PhO+r zhMoMCJ{iLjFx3x?fij|Kz|cS=uSePL$<58HLbvRejp^5?`R{!u4V{;21+|1V{&X8_e-Jcp4RFv8ZHIoF zen;F~h>B+6FT5S?;S!i6y9(>L#5i@7J7p=)caM08pi{Oy`uT@sLENve;y~;7pVV|A zHsbUB$D&*H{5_9?#dIO{C<}tmhKAY3oX`BL6$IJ=Y8k(N7R@2f!pJP~p9e<_7w6o9 zJ}%N1?UfeI`B=Vd;`SL#_suH`&+KVaD4n&l!@ZIw!SiJIIEmZX})as!CXL+(tYFqmbnDFz>MjbduVI!Mj?u42OG z@={NIeTr(Zz&y;xhUxv!X^vM*w^x&jSs-A!c~z=;g&O(bQjSnmjhGLyxdcQye2yOL zP&BGW?iF4htj64aDwfPVB=Ce7u%`U;{M%NboC2UDKNZfhpZPe-0X*?-X??bsF^%$p z1IB+K%MIQOSFVBZ^`ouWL(#Ef`n#dQYe{%{(Na(>*$57;+kkJVhwOvO`3G@usWt!e zqqF0IrA_{&rN_C-{hHEL^FPIKk){=V_|js zPp_HeHs5b&rqUF=nP>-DTL)(4ra1222VfMB@tT93iows&4#B;E)_C&(yx=byMvbnW zf_u-!mM3>b)FV)xGW9OFDl{ZA&)45=Z#V{W2{=-ir%8*F{X2o4{}x)v>KfkRU(z|R zY}g0v;?%+d3P1V<@a|7##kM3IHxmO4h6a|ZJ;`qUq9~5;iQ#`Xg#SFl%MjYw1u5#h z_4E1*H8SdQ+c5t)FBk}z@DrSD6W;akPp^cOucksN>H@*%dyz^8|KX@Q$@Bp`zGk|L z6qUek1fT0UFu^=mx{G`+|pdIzMz%F%5y;9=7nTP(L&89LC(tlU-;=LMZ<@l(+2WQl;a2P~hgHtAiA47QC& z+7XiqdG(l%p6T4G*uj1kN44#TVUcoO=83?Ls4?-k8EN< z0UUO~|J8E;Gd?=@FIg9@U8ICJo>xY=9t3M+wg!~{3S=*jBO)|wAp;)wi*NjI6}@D- zVZ=0dY`?fC?sAIUdkw`)uiK^-qSvCxA=;l}X*2k@edR9`plN1DQ$NvazSXq_O)+q6m4A;F``2pt_&JetPEO*0$Ln$^CZq> zEN%y3 zP2-NFF*vmy0lg#Q56!gLbq^Yn&pbUcYA@XxBNp`fb43afndsHr47KqUhK-v$?KI+0 zug_0@Vs3;;xza3dI)>qvlqc~(%C5Phv=ZZzd%Jx6BcdZBlkae%s$h9aGS=;TXc!#H zrFfTs9V9{vWBr0c_1jBH`e$pcJb0Qg&H@^0@f{UHb>0?^uZ| z-9N!Q4ZdrRC$9FaD&xQKfA08Dq~5<-7t4{E7j1_Ulfc=>QVpnXqpJ!imK(3~ED)we z=g^h!oAM1=*Kyv~zZkL_pChQrQ&$2Pm{F5O{{?xYCWPMP%zY8U#yTAN?V12jxCUQ3 zRRlhf|A_FOm-78297kR)MR7RCbjq-zTAx31%HTaqp7MP^i$CoXg_#$>vEj3dM#LX2 z8O2CYeL*=M*F zRFEkn!?~kR+pt|K_+&J@v46LB!~Go$UI8}68GU&)fpE#h)tvg7S!!#mu(4mMqj>{o zl*9v4+)y=rt&N4gPYhZ@%7DY7!fRoVEKYl?69$EFO;gAo(KG&4h^1$$Nad_=8# zB&!DQRGMCqPsolqurNsP$8@LfhF9~PoeHn|UY5=^?bP56-M8ctM|D+eP*#tcejW-n zm!|bUYrroju`~>^`9X$;!_HD@Dj=>=L5bU(aqV5>0|-qJl5S0WJ2jRserIH=(*Eh5 z#Bj%3Z~et++9eeG#O86%8En2E?YISayV$#*Z_zD+@2RJhax%XN=4w0G>PS;N981f+u$DN2`)v>;89 zDjlSRfOMn>X+l7X8UzAHz#v76^lE4U^Fp!HKToE8$joRk=U1zk^%j2%&ofBRW zf0LAq!DmOj5iiuiXAYT3{-x!ZWm#r(br2)V&=!TKvP9AX+Ea;(0N@k%Mn55o+n1hO z30bsLF$m8K13c{NEQ?u-*$HarfMmN-U~9i{0V9Z#^{^bFtXF-7C(3iPv(Z-A(=2;U@u$%cJrk7}u? zjIpBmTes?&6KFH7FRX$c({oJ~Io1*>jVY^QP1R=%lHb^~2y^pZ-6MYhLyNvCDE&85 zR-ddcul!ok9GGcQbji`{m|KeOLy}QjZV*R4+(`JlGgfj8@WB8{3(?h4s3QG7FK)&)0kPkh69x3Aj(pPe%*xKoV_K$~hvl+L;tS zTA5!_@kt?JP0>=A|txrdvl1r6GpB%bB{6uI#peI zJ%agq@RPEq4HIT`LhAz@qCjt1)8*GU4XP&u4c3MIJY{rmYj?4448xkW7Hiz~2vcL!(aBHkm;2&`6{7fRTU+zHBF<4PZZ_^Jw{f|`>VuFWRf%;C zyBVtwoF9hvCFUgv05?k|ckzC?VW&#k##c7aWVVZsDdaN=SVJxrYg6r*%)lQs5AOjn zjKF6FHy;9{Oj!e1PAFI)R;%{r0#`WCt^%*sa~9gS*dI`g2b+FP|+%a(K2?PN3Zr+?Yx?!KqN< ze6v3%B9C+B1L^vafE-ZRFMNKPwpZH6?>=JE>DW(~YHE~vNuVJ^$`;?xjNKI(ngZ@( z!D{|jIM&-~zpY3|=ET3G83-T;1>W#v*kX)5{kkzZgSTimG_u3WEkuz1JjA!?Y6M%^ zT?^-%3$5g>CpQ8!5HI7+=mQx}jr&Od0c+b)&**+`XcRm1T6LXe7#BaZ8ZN zLbP9Y5Zqj63Q!NDYGXgo%aGB`b4Qyo1@io*4X_bmn`Q*N4~rtxQp{2c@=D=wHEbEz z(3#Qx+vK(qd#1_1bg0HG+YG(-#jkcqkAGIG!@>W9ffvVxdNL9ntX05_m zK7+J!4llm+avhV>+X!v%BQx@4mVZGHaGa2Gp05(#$XlDF{@rDJ7}a?IxvP2io9o@@ zfr6{2$xc@(waz(CEya9do|Nz#-rR3uzquOf* z6P9-uSOOZZdt}io%pEn5DqrYFPee||Y!halP!b}@XGEg@;32PINHssKUHy?%TwK;Z z&2gb{8wgRPgX~mT)u0TpAh%5e?`;HB7Ef-#VGST7 zFf9}BkjY2Oea7(h0Xe)-`nSv`fcL!!7Z|e|O9QE#!I#?OH-o?WGo4rqz%T-CNy-`szELCl z8aU~9aHrrqoDk3Le?UkmP&{iSpWGrNsMx6bf}a_S>pE2#_@eq2qx%H|J8Dh}E_c;2 zc9~$qAZ+sf<6ZinVn%#(h5B;stMLoeZ6JCuwuCL;dAG z`4hR1z8T!F1j?dsoom|PqWeZIWDFeeks%Y}r6}SzIzwchsnFkx4`CT`B|exQqoX4@K51u4a-FQ)0*p99Sa4*QUfXS#V(qnUvCvF~Qa%0bdSI2dS`PIZ5<+eZ)51K!#JGssaFM)F1F$Ws?WD zlV$BIe8s-BG%zeAw>Ndavt!*bSP&8_n|5o>aG6;(r`$kHpWTf8JtoqKj`5Z>ZEM2tHjuO=Sla| zge`}M>S!>R-ZS36rX1&4wO~Eik;KxKa_K0g&S4(0 z@957LQqFcO8{Y$Y+RozdE05Y2K4Z;0cB2g57J_tDzC1VIzR~M12=Jd1cDz_Yb_!y{ zxCpzX9XQAZf0XJv@+cQ5K%e)dD0bKf5FVp6dB$-}`@QrD8sQ)Z%S1S&1h?i{ON6%OwaON; z#%palTZL9fPqD9kp={LHTs?pF^N?M~niNZRc@%k#1I{C~Om{c2Ky$=cj6_*xCHCer z-9KQPAs`jheo(O9qkmh5ooF(zi~hG6DMjOnw8Aia8b{&(Q2Dckd-C2R=8$fS3$KKF z>birSE$-3?i#wzXx2Q4zP^cfxslz-Z3qv9_OPClB8C_$hifi>Bync7b>k%G<%5oDq z>ZnbUSmL>SC6r<^mF5j<>9i_|s6-q7x?Ob}*IA28CSFEMC56={(vF&#l5(TI94^#l zu(WOX5Hi#RdqX~wuLl^(Ux@N+vJT}%nDGmhaqi*KD789akIrL1f90v z;MHw0)$~ef8X-?6cQ^<>?v9%K_#>})auQ~?COR=4qDURy8?yL*>?0`*S#NMRDwvo1 zGKGPNa0QTaubLnc6^PbQL^!0I*WR*wz9Th!EIu5lb{0&@sq}0PsE$W&5AC-Z&PMBZ%t_jNQ-`P)D$-xa?tI{4*_ZfQgP=GRzx{)(|DpZ%I{Kma`TQfa@=Bw`=lZEwnQRi+OI8SIP16S)!K>zMC4n(?rHpO9rxr;zGICHFw=jtS zc1cbua<9v7z5pzh?d@k*S}x7-$AyMkQw-$y0j*M=_30G6w={5Ta8MV6JT2KzbN?#Ly z9_ASkm>tv|=Tb*>T=b40u*f-enzO5p9yuJP(wJua>hpkWGIR&!<1`25IYk~hsM*wKZQiHj7yu5W9_p69EBUhKN8i^gFbhhJ&pUOp#+c0tM?Rd z76M6T-QN_MpMd;83dKbe&@W@5hsv71U7rsgkGXsa1ZRgN7M;SXqXNHemeFoW<6X@3 zXvf))3STszaaI-TxRgqdvxm#sko#~Mm1(VnW}L!?Q&E34uF-IuQ&1`!Qd6thN|j0x zWeK8klfdCr4jb?E%Qe|}SHnQu!6Uv35r80djwAUfV8jl~vz&pQ!s9NJu@0Vm91^Z| zD!N(_P?kHW|&}gQ`xaE4$QaAHaVS=J^Sx%#_HSRfwj>`<#CD-6n zuHcU@uDBIn`YuQc$<3=qWw-k|Xb5Kb=F$Xr0lI(MtDfE#*)raOu!L)I;0sDulsGc6a8@xfeu-v^uil6rf_O z*2YlD z)_4@k&F$}ojatWNJUZxb5=NONy`y9&`5DPYZGA5AKjsB)1V-xJn+-z-&v%h0#K{j( zfnH&sXqxYD$1D@hGC9W7fZZrz9kKm*+KEgOz9bU}|echkM zncDFRIwLO3X`IuJs}T@%hYhLzG-mC@iKOSj*R#qV<#aY(o)OTsDhh3f4!pdq)8h>a zZN`?_S>=VgxqYv&{Z0)XBI2NWL#m4ygoX7)1<|goEp#GFEI!hAI;77Qo zNZxSBpW4f)@!~y|HqB(jbGEOM%nQ$DicSBD14dfc4q{p}-aQQ(KUC*OGP@S~Y6PXX z#+GYVLCC{A1|RTObuF0G`;04m!_MYeEg74H4Q%|OwsEi4?g~B>D5h;8wzte@>sr;ykqxC(Cq1Z9;Kb(EM4sR^|3-k+{Y`7TP~EQ8uFqa^D?^4 zY3oOXOX#vSWctxr&6ue29uUsF`z=`=ePM`JRmP;DhlH1>wpHZtP&u8=Ry#Gt2F_;W zCs1-UcQ2$X_ac5v5{mhl9a!_ax6`#Ct)gY)5liwB3&NmS#8Y71@w+xk_*09=O7Y#n zeV)tw=N;~wf3Y+@{yMlq_n<6zpFYJYR^Tdcm9a&?U5R$I-^R96TlNLzJ3&a+;;qlb z)871Du}AP}^e`#F1wkpikH-Pj;*7{=r5#@_M zf404DkE9=+ZZBGL%)9A!C-Xi77;PxHYKl>QfozqoK`BitE|`;JuxE+rm-~5jP>niV;}p=7l54U}<7j;ZA#rwh~dARp%@lDeTB z{rd53y#4I?JKq3rXm+!q5dM%W{qgB*XBQeaIEo3aekv%KQ zXDUaw$p&ZB?`Hf^o7?6BM-OhWQSXOTD64Q1_3(SqYnML_v8&F+unRi7`TO#5h8P#;Wy(tF@ad-9fxC9TMqHsx>nX0!P40)505x%YW1a%W>dn>J;XNHp60 zqVO$ju{H&NC$tjQKT&ZG+w-qy!ltm)-OC| literal 105291 zcmV)DK*7He000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DjK3IG5A005hq0RR91 z003(R00000002vy0RR91004La#JzQV6v-1eJOjaX z@t1`^;oSe9e?BJoF^r4`KK!uNSF~Po#*mho$3-4zJU^TNasL0MuO}Y$`Tvg1^BPaC z)aU>0tIquVzw-aTJb0e*#Q9%T)b$U9N;(f|Je4zPJ%&ohog5;`#2;S6vfZ`l`=rq$%Z$r<@i43eO9{kV39eXFTPm zMwHrvABE?!^iooKC!ZBX#gF1&wO<69z<|rBv8Yzz`6kdff?vrbmVEvo=M&3kB_D-9 zQqISd^J=6De|$OfRiFxIA~};#T6N7^fvR4L2ZdkJiu*q})foj>6^0lFyhDvkNnYt!jZU3c*DDO3 z?f;Hl;a8UFN!K&Jsq^X@#X}O2)k_(jqOL}*#-%i+B%`iZyeXWYJ+!GXD;`u|Pdtiq zg-3l>qf@=q^$Mr*L{I-xpI>>%U!76CeoBN8VkB#sPJWvWEqa}xeQ*05yt3%NcZJ zS#65}0ddef2WWfqc+F++dsD903i(^^{SCdJ^PtRh%&4t<=bhikVwC<~=$#!x&yQ

6rCXgYU*+P#QGjYp$n$cRo?+OnaFU~>R_yc;5KOlgvzdb3OS7z3}gS9R^CSwJYagE(Baq%YdQXtn_>m0(ApTsDSYW6D~V=pXG}H}e>N>+>7pa_ z{8=Q`NMd;B*WkL)m@l+?>|tEz&XswcFb9`0y#w-UXbui6Pp9%Jp^js7NCcJ0aXPjU zV0wc4Bs2m(U|?*80mcHT#1ri5eGxL>jK&k}>dnLUH}3u??Ta8Q40!hbE6y+4c_FZV z7_n^j7Wd#4wRyCgTpnXov{v`N*tUuvOt0Mk_&YR2);Pf50q5skSx z7c49r+x7(a)Z_lb0HYAxz!U81Jr-OennVG+#@!4)$lSeX$ky3Z zIMA^2Ku$Q$fUAr@xGBDjD0|1nA{JM4V7viFS|~l@Ll&P82Y(mvj(A3ZM2Etl@;e6) zb6t)Y&a8=wi>;m0c5Z!Ryt^Q^yN&`BHe!5Kng0!azhDMzPjGfdF1A*W(b%^j7Ek0= zw7!F2=QaKld2UFA12P+&2kjbsLXb~~gQNp;9hs&dEN8KlRAibAqoANe&)%lB9lbSc4I!}m96{`~LrPPOl9}mvueR1a4gSOcQ}k2ww+{59 z(`xb=`_l^1;5#f++leT6yLKc6{O)iCO3(mBhwj$?7SP=_D?GdCk}t(jVZwg>eQTg#$3uLD)fm2*23pkqgr3%YFW7ZUzA zX_24L_3}P4uy!nS;XD*V?F{$VoPV4i=3w7ZM-oKa=U{YXZ_V0D&NAj941V^s<`iD00CkBw##*XbYYsz61KM%R%%hTBPYG)WG;8k} zjrk0mTSxNJopv*#vi9w(7OK}iKc7yERsJraSz8H#P4bl5nX@e&lQnA-A-pq?TFLXJ zsOFPQRATK&i!^J98|Hk_zLInTO<8ZomM$6Wru;{5CYDmM^s)8fnHENaiqXv;_DQ>pI)+!Ai z#2?ILU@hz5oiri)O{&0g%~g41i)7ZeLpDSGCQ!NHOTzha&AFSkvrV#7(P96|x!-up zXKy7X^QD^e4B-4P{^sKR^RdN_)0(vnF zw;k!nz{xabeHLqx?%n4*b1wXKEz*$EeOJ~}O>P=H$M6+`@@*{`*eELjN zam|%1-Xd;nMUvDWdNA2?$5u_dJg7W?lONw#pC;VSPaj)#w&KI{aKvHfG_S*2p5z`z z{*zSY!Fp83DL`W2Tc>vUs951>mB(mY6${rKU*hNEXsXPisE%u~P#kb!;tn29GBkHA z(X7?=VoT*r>2I_?U6S4}$wZkS=C;m3M>x{McjZ$ERi%R7?IlsA(X91{Jd2~rv7{hn zO{Z#PS6l;Ga|Vi>Z&UqzuHMck`hKeQ-Gj;6c~Mensev_@)N-MGzsVPynzbDT3tLIF z)G8w6=7u!~ysSb6?u--GQfbyE1NqM{jC^O7f|NMp*#C0>Ijj79x>Qs-)Rhe`L6^B; zGMcsBMJhNJYN^eEE~g-94)OM*y6pb99-VQV*HR0IJS)Il<7Z7zeFl_^Dz#n^*lCU} z=FPA9Y4g@`QCT|)T_#7W$+peUr^v*-0(NMH9tQGk2yU9S(VGuAT%kvlB~4(@_Eh>+ zQ7TX{sr3((5cN2jHW$&PASx5%tMxAtd3KU}v~OSrDXE-VsBR1oGWwDKU(q;sgO^S7 z^BI3e={teecWo#%BgU;cZ(caS5u{n$$|=m8%7eEzu@N^9&q=S|kG8IB@U%#V{05mn zZxcwVa&Dv93sQS$!wDR@@!={^+-R59S)D8F(1jDMpPHq?K$C=nn?0<=RO;2|D9vr# z$&%!UOByh8<0@o+vP}=_dVjNMtbiUjR~5FA7Z+abSDHpfFaG5+X>#oG^V#1?x!_+~ zW3SMAHW<+3ZglIgW3RRrEFl)DAMRh@(*;)-SYr8WqBE=nBJookm^*rTKbf!M6Fo(NMz@ndjF7n~WhZ zMdQ1w2-PX_Z!-(IwnVs}&$e}{w5Y9R-Wd{Uh(@P5ta)_Qc3uGvvJCv`H4m(D#n!Ct zLRe`C{;D_JLvQ_KIuCyNZf(@yrx?=*^bXz=K_vpzzy3(|BU$m%L z6>)6~Vqe@*em*UFDr@PYIe!AXc!q1VA+LUQ(6L`zi;W!G8UtH8rz3qZi;`DC(`_wU zHHJ~XVhpF_a(WS}%Uayv-j*L7zP{wYti_z;=QH@O5-MigQX`+?ahqE`%lCGNb2?n5 zT1eGiPI`RRJo*g;{|ByA3xpsbl-t#Gjk)bjH+ zn%RD}b>*Y&9~+BUvyn!GfnIMn(TFL(Iq^jsi_sSHoW_m0VCyCtFj9f71i#i58zJgU zgk&}H_4XguEk-VjmPY~RlFBx^#2rjyA0HQmUf`G^OMg8lAxyJdl=M?Ze=z2at8R%0bh9AjqL$p%1)vg3wP1!Q$bc-?PHH&srTWbrDp`t?ndV*GS6n~ zIT*$fz_iWGKoPsjG@7yTGu>HK&GOfa)ZHL+4xs_Y$A^Px+N~2Z?nxX6WnlTxu?BKU z=Y(6R$u%$MP)B>Kr{?@5XkUambSOWbK4sfUr%wi2ml?U}yD$n|A4Xw+xwU|P3|E$l z&LUJ^%nmS4F^i_N`T`p8@s-fNp}jw%m324FyjM@aK1V1hwD$NRa!o66CIf2`=LECB_=>gS0knJg4p0V^90PGOHOxe z5y92q-gXRl=#k?q=*T728;baW{zup$OmDd0yA3nw{%9{jzDe8K2UpjrhG2O5dRnL`sjWWj;4D*F4x4mudPM&jS9i=I>^)GuIgS* zD4U{KOCdlu0>y`p8>#TC5A-UqqqSZq$;S{&HMSiyd;N(N@5Bzub9a%|KpRsGfVU%& z#{DptDz!RKr)Lzg9_nN<1;~*x%vtCA(xvk2#ZohHsu33)0eS9#t?AxXgBw{dbVLSI zMlFb?$fJq0E#oEW%?7AUaTJ`hC48a#@R3x1;6(v9iA+ExSli(awO86 zCxuFC!4!X{tx31mTjU)3$a<%(ry#Ser#zO+Q)*hQq%$dopnnH`HoO3G1!R(=g zOL8Zn{RnW50X(n!buaeM;Rh{F2I^jHc^FeF*zJph;j}p-S_YOebp?M$tu>Twf&w#X z6`8y-rUMY&_x5Y3+8hPuXr_)AJO;Bg9Jt}baPq%=Mh1r70#DP8u)abxE0f+JR zqR>mcU|~;Wk25vr{iYAI7-fKzRDz-#1phd0Fnu~OU3$l2QA=+DhoHBPihHi_ccgad z5%9j~orOA5m3T$yWT9T}uon+j$V+sbj)DT|Chep<$(mUSKmW>O-!ZTQD4g1akD%wl zPlPtom&7%Awp|#*)J{~U<#mUY3?RD!Dv8hcYRsO;N75}SMH@S%^LE{PzrbJ<2<=K; zQaj14;{4j*--^Yw#n!F>Z!IJg?7~k{sK`a%Yaq4v@De?4>>4zNzDnx+&cj^C&K^l&Csp8bzPJ5<^1_%p@-lzs_Y${#(j8bLDhcNC#K^(G-WWF_ zuxVpzTB42^Q!13u2tD=-_Az7Q$q_U^ms;{%!2^4dox!h>;nJef3jJK;FUKKPp&SXI zlC{w4%DH@NetRZbUa+aPjs07smK2b|xU%)oA#|^?@)B?6NTik>${L7)Y1RrQ>tHc4 zRWmV@0Y(ev#E85!lr}U}iyDv$6Xiu1gwt^TV3ue!l=2l-;3nD}7+@F!z<^dsnrrc` zzqHIx0(mfl?K0WM(a4uQs8HfD)_4d4hunqZlFv51md&66&9BnyI4i85x|B?Xn0lWX zu($MEK#}XN(fJf3tpz-8srCegGz)sprO%n(iAuE4jQ*S~z!~HhUa}a>ZINSX%X?)Gk9a&H)V{!5$ID}2vGbm^-s3Ee!4P*_ ztcafIxS4X!{6zhey|=dMfxThf7^M{6$fki?zsfbTCju*2;a8O(fyUDL}Qb5 zeSQxblRZV+m?{Q7vKDCfXN~zAY0%`@_JZn{Ozr&sv4EAHkxN|L3xUN)v++<<8Xu^# zK}DTIahurKk0$@&S2X5$VrSLgXIp`qwX%RDVkwz{nKo1X2C7EZq>Ymx>p=F;SamZM z>#V>ojWtE2pNHaQXYS2pHdWxXd_u%h43Rz-^rC6k$h6<$%2esQA3k(IGiU!+inr@K zRZ8}cwM-jRQGAHStQk2opQNSP(mEH$?`xgboc{q=z6j(o3yvrMDJrHGEh3|UI=p1l=~rQ#hbk}NKuuMe8k z_qNm5ygzBWwaE%%YmvaU6Jv@*ljq$=%Kt;nOXLLl_@lvss(F3RCi=6In$-ldDT)iu zhV;9`qBQc;9Tr&wwJA1Xyk;y|>fvhZ=|8fjJ#3 z0lTEO4R(H+FR@<~*hNQ9soqE)7_m{E{Rh)?f1_N)E@}`<^?s@~_7q7-F4S(2cXtCT zzSbC+QpcS^BWck2TcVP?tSC`QYUFQ$>r^wX$Vo>Z&D&@wGzXf_qqG=E~M|GBD~Ab?vpK+;_B+P`tF7+7oO|2xSxQFHigPJPyiZhikFVv!w3RVI+&_`6+SKky=bEeH z{}~TOtcAy9*ms~+ov3=mz2le~59Ih5z{t%Q;IGz+-qd&MK$?7il+{WjuK;D;1ckQQ z7Se#e&qSy=DKqGFP-uF5T~H^S9#m7{k~(mqq_TZMMk6mWaI*CYK8eE#Rr{iDNi%ak zy|%8?)!!ysiS@WI^AOBgk&Gg%5lCgaZKZ>)XIP81%tv|gz$yaQ?c_75Y87S3%0L+T zax4dIg_^4SK!Oqm^L7ntl-8)JNKD(bJkN_k7FAen`ztk7hk16mL+m_sj4#gL1kfGC=L10^XFNyAoMx4gB? z=fhI5cf$C1=^4eUp4K@(#v!+zw`2@vFiY0>m}DbudVPml%^YIMCPE;80V-!8+0vC~ zQoip8C{vFg))7s+v!-HvD)5PFr^w@Ma-)MaKzk-)wY?RlNPWiBm`*Cime4}I&hj%_ zgG{9=w|%}JUn)Y?4r)|nfXPzer3(*m7j`0%8n2Za_Bgm;{&Lr7{=kIJ4t^i4uiBVk z$mV@ec;>uJPp2nzUM^n9TERh6lH@5UCn;!W%+Z`OC00qY0Y^m%9wx|+fMj*=JH579 zOLfTYis{gK6#pBuY^RoIR9r(41xBMLK5?eBjqKHT((qC$u07JGSP0j}hI(u4zf4{? zlQ`?`=w?M|Ms-(V$3*vo^38sSnSAMtvh#Tzu#!TUiB zyEb!Tl!&pB{#gElC~SZ=T+2Kwl$DpQ+3Y$Zgj&p2v|XlH3rKt!Y{y&&Nn4EMY&D{8d)+YPSx~#ib;aQ)(8=Qx?w2ZSR!F*sg_dGj)7{Wegqy+<- zwKw%<$sG?VOh%!cdkP8*_fDg|jwRCD<$`+<_TEBtTV_tDHq#VXYs9z*{&DZ!E<0cv zRg0y-Yy`@IU&9iYRO4U(;zv+lP9;)V$YnVkY z&!&()7pd8+LDmoD@`9SD1rTbZjWel9KjooKwXOAqIs6IEUv0VP^E6wfhaaX9sf|Sl0^Riof=S_{fJ|fd;ZjAfKeQ9i(2^4x~sU`P0(c67& z?LLK0{&r1*<`r$JJmg;?H}#nydL35g&r&38A9W>{H>1Up- z#eDO~nyrPN4*vZc78WMd*iA#HCvYYRYGPeusYwy6iEs(MZX{K%qw2LhEOW8*DImKV z7NvV9ZM9Z$}|w z<$*~S3_@(#!lr1>i?^3X7Eq-{q-IU{O9}oi#NJ17rYP_>4!Kgdv_RD94c2-t-Ah&v z1+J>y;2vANDfQ&__1}FKJYFttpha#RVpFu8Ov~^DyC!=%L3}67)f248Wh4O@N{s?8 zrrqEK6#4=RJv(4EnWRKAoa7X18-ZT@X~fRq^f*F+^Rsn{hYrD*t3qmeqPc1PUB_gR1Vf5}^Byp! zyh#Sqs&-|ld$)1cT@9|wR%L`jV+A^mqT1)zQswL;-S>f4Ay${>i_J@yf@yH8OVr}` zopQTW+~6C=?ZsL(%Dvh|S5vD>)1|Qx9)lT^8~a476V$1@0>5Qz5wUzl+~-XNw$W?S zW?ETJfzz?I7)a2^xOhUS;B~N>sj0=36=E&VxVMpm!F!;MB^a1z?=;+73Q@k|*WuY4 z3Hm)$dK#*(faZ3Q6O_BDqMcMHszN}%3yqfA1M`~u0Wpo5xybgMMqQ5QJb!kIHBc+` z719VV{|A`QPHdzuU6nb&a^*;YL`85SGvge}`&6YG*cx7XK$AO`YP{AcC@ZO5`8 zN;^b>&udFv2y4N0u5mZ17G$;10~3fe+X6?^x*cl%or!yk`@6wfYWqjtu(oq=Nzj?# zAac#+CO7HoVyE~R&d9ETY#az|zG=+6ne*MCs;L#&i@{>7f3sN`*c@Rtd~$>4byZ;4 zhxC31OSld8&v(5+iF_3pDOP%C1l~U&*@cI1(&Q^{+QJa81lz_Z2=(=*n>7850+-Z2 z)|+)_?uXj6k4=eD&M`o1bTCTu?rcnE^3la<-QM)nujdxaCG&^Ol`(;h$*%ltH@zGY z(;4@3A1e~naQp@*$^<{W;+#rT7XGiO2voWxMH(#jbCvWGy6HBbSu5{w>i2XOFq4oV2%6?v@JdTC_Zd5XLvt_{q0tTWD^C zLhh$sa1U&)1Iy4{67rTRJjp1x$8lT1%~~tyyK~v@G~~1LvDJ)D9Jm(@#UD!7LQQu% zl{HIC?G($$9tgdhscTWdhQ2iG)gCL2))-rhVeWu06n$}y@^y{xY}R#^Y*3T@-GX&v zuY#APpTCHzH&#o%E>rvyoXfXn;}=n@dI}8ELVgPj#r9%z@ZsKP8!0fHh_5h=IWYLe zNTi$dET%OadT$# z1LH?1IZY42aCER++y?;&cN;7f774kWnQ1-8(_?K~C!1`KUAYa>mEES2P zWWG()Cb-}#AlEYQe)yI3M9aJn0`^f9FCWvNrMBf0IGZjxY9Kj{22kpcs^Xsk30Wxn*@zMkF|QD9fl^kUBN6jp%Y7_@T+yq#_*o zJJtBxG_Ohq=h~R#tbWXzWFp?5%6row_(*#?m93y|8@e1%SS$%&IF@NTEm@}Sc+}Pz zI4cy22Q_UiwS;Fw-J8U&B8m)Wu({k^L}B~y(djOuWU*Fg$3swB$pf>O1cg!euIlEx z%MBV~Os5edcJ>IPyiFANzRrS4n5yB$#%^omS;mdDYG|2HgE=^1OgmbSr&Z_F64*2? zmd4O!HpJcA{x@m-+<4A`z8kH2+*>Sl33AjO$R;574%+ZbV>*>+#qs|w`M1-X5=!P! zgn;%UkA(sGvK`y$St|v0#Wj9d)8FU`^*BeH72NhQGS2OrZ5D(}xskk7@y63Iw z8S5%AHfRX#Uoid`%6o!uUvu|%L*&!gW8su&t^)HTAkpg+P7R(*duf1sFqF zYUbcsm1s%loU&;s6{j!Z{0tbJ4HfMCI+lj7IwG9s;ZQ1JuQ$hPQ*KJ=amT&Z-o179 z3@gVT2Txj3d<^wJG){UGvnIi#6skRbsK~t)qr=x0j+fJCE_L3~-RfPf9@K_>&m3PPd$hU)bm+Wbk z*3qE^SZfSVTKae_l`5|8U=Qb#LFNXU2Y$?I#bl~|^{MpE#4;EEc7wMU9!tyTDi=)5 zQj^|ESYu{S(AFzt-?~n4JkYH1N}6HMW0{-d3fH8fV;xlf`$h|^m~u=3YyYql*~Qmw zr>cG5Q0z9f-4E@wg$*Z%Cpo=FQL`B;)#TUH7S4jU!P|HYvwPATJ~1y$5QX+(A2V_= zdftNKZ~Q75vsj^1?Ti)vaMO> zQN(gv4=gP{^!rcR;tpe@Ys@w7A*c)R?cZ4&$#!HsMy>F#td)V;WQ0roE4U<#^uLiG zhKbi>TZ@7m#2BGrltAy&XgrZ8=A{8KCU4B^)1&bOFW1I&1=AJ`KFYwFXj3c-nJ-{) z6xtVc?}q5O4&{d@@_#gIx%h+qG`g3#gu2^{yJtb;+1mxahmMo}Q)Az`pAq9fH5QXe zn-BhzHmt>#T_T>eT|ym;u=fP^=m~bQHW3~$7`1~Z_$sG&k@+=9Bm=J2X|DIYP8Oe3 z0h>SAW=F&TU*{P5BSLL&b0MFJ;va)Eu4W!XWnU^Vo=KB<9vd-Bz&f6f7`xE15rV)G zfkIC{tt?TW((L!4H+^GyC)a`NEi831vu~DPZ#WASu$(uh&$Q&hFLbJj<~r2&8c(Nm zd`Od5jtg^f*6vFQ`85c*-eBvJ^u7>d3PJO-_H=4G{2`S~=I@@a$+glH zNjrraecMh+lZ>$b*2vx9!H#kCIk#G*7Ta`#@Tu*MP%0Q#f&E#iQXC|}z?MTcTIRVX z)Ux>M04Yr5S+AY^)gIlhH`VB?HbV|)uy9@i;wubW)4f+G^^u!zGK(FJF+KERW50K{ zHGTY2U&g(FokM7shB;JbTeGWeIqS3UZDIkJVm(TNJ^(vHI&!I)i5a-b;!f`u5qDjQ z%5S|RP$CjvR{#U6issV2HdLgWABFB1Wt9R79D5k4DepvC-fA>GNWYVcHEm$I9*dvP zf!_|rz-E@_t?{2XQIVNjE!X4WG1%gb=OFc}%_$n*Ig(Oem}|AxD(Q|gHXVFn*_8Rz z>VewGf!rswb0YimCXxW7>)!eF{j~x!F$KN}shvV&Hl9cQ%cu$)sZow32ue@kM5lYs zr{sUD-kTYnsH7gVW+q^v8lCfwp{J8~(yEn#?pt><|4xho;`8QNbm975+IsJ}6|6b` z$z&B5pI)A$Ud~)HJ!j6;5||&B6urQCw*BX5XKDr3MUQ%;mSogtCC*c^OFq&Y3n9XJ zX0(e@jRrqFN7M7F-XHXoX&lmLB~R9F#T;%u(yR%J8EiXdym{lPer09It^{3~?ZRjV zQbPuhr!++rxQ!M|btp^94{Z*YGn?MywY7AHtvT5#jQl$zXmn?X6PvuTyzX9(5eBwY z387v)6!MRpVZ@ME!OVC=DR1QX)%(VnB~~IWm%7M;PUh4+F=8bJbiGd4?T814#K$VJ zLd~CuZvWKnPD!V%6*ox6&LIk2#sGT}h{wG3mF92HkUeo7-b#N8KxHFuV& z)cu93k-bC`+`IwgJ+5hVek$e4r{=(6dKN2}CB`)xIm-9Gx_nQ?9gSfyrjbv=Y0-7H zZMTLF*e~EjBQQE0TW*hU>b`sK3lGS{8ZcgE2&8oHf<$UACprc1`-3?!drt|ZqcwsB zyoRMAGs#L&mh2VR*&t=MW{z~c=Tr`!JMpVqU|V1BmZVi>GSTWb4|N+DPr=@Ld+kGVAF5j3wMXlkJ* zX_t>*v-LlA?&sB(9%t+)1N+7U6FV2yzC+(yY7>eqb3d^^4rcZk7ah6Xfa1+^Qp3`R zt(2NK*|y7%Vi|U~X6NWz*jI}9(aY+^0}~%RhltE8WVSJXzdDb?;0;#Q@PoN>Gp)O)*>C5GJ&HpOu*Fr9;c@Y)gVccbNg;JVA3hat4 zc^SF68G}#5FO3|&c&1BZO)+F9YTM2DgIhs3&4--0g23>Gkw?*3K9;#?jGw3EM|P1= zw2uYOAMS8H*!~4vWky0dy0Ic9{g{Jh2JW@`XiF6`*BFKQEa5q7e&D-2tb~O_@iBfn zk6*|G$qq^xM8Urv(S{zyi5`Q&;V@*+f~+{}NJ0-f8vD6(l!5Vg^|DUfXf?8c18r+L z>iA1+k=}hF)Z`fV?A<{WQbb8jdm!fi0Vv3Lj;6_VOvWs9}}F&Y7#PxR>?^Av*B#pubUZ)HU+< zP3xSw<+zms!6xca`sx)LSS_LRLFI*(yc-4JhZs{#*hG$$OQ}=aJCtSWNb8pNv5Xkg z4|r(uOXI13t2bijxXma&b^&#ZPZgQE9m2PFN z(kkHx=J|O+&~B5vCsnwynbO8?ZgtYxpeMYfG-$t`KAMJCQsMpxi%~3f8#<0hbkMXD z-W%h7_|zYKEr7Xx9X_9eI$WdRh(LMDQi8`xAcy6dIah~KhlQ$c@e*da;cat#3k%2F zcMEAxH#P1@-Uwb`Jhf5Rs{)XH1QsuZ(+oE>9^VRv4Q*ccHp{6+g!c)0rwOO+7ugtb_y=TWJ0C#m|zY*uqkI}$@)&FmWs zO8CYev;$AT5b9uJG-h7}?OI#d+4y}v>xvE@eK4@y2=^~G)u1z#Nw2sxky+`cIZ3$AruQks5~40rI%mV@IGvn<`O^v>G{t!d&gsxZ}l?sKBV^+ zpr9Y4+>&mT)tn=fR`~U76Oed1Q5L@%ojPwUrBcYPWBSCccz;glP!FGwr zJVOmI`DG^V6pIZFa+eesnnLD9AY#3tq0pV}R#zRkZZN?900k}lxr34qyFxRT_qO)x z!1WcqKf?V#7Yn70SJkXWE6lhJ;rOx)jno0-sOmg*&teCwMB*AJNq&$R*HpN-la_PH zjS>~RK`aF@y4;&a)7*h7D*naNklx(w*WsC{T=`pIey*tf>(cz(Tf`#YPataRM1>cX z@U6b+hkoV8zGNq@?AnldPaeOz1xkN&XFq*k8P|y^(-v)te1CzT#o%$9SGx|UCTElr zmDf&m1;s&W;L^xr?OA2XtBKKZ8=Uha+oOH$)?~Wbo29mWll4RU_f4!Rw8XdUWe!uf zZxIyxdsQogu6i=EJY~P4G3w0-8j$N3ZDv@cR*U6nKZC95$do2kBllzRpl`&2)B;+J zq7AdvL^G0kk>`oxGQ^OFC5IOtKpXQZFf=G$@)dz=B^)^VflCa2!%~1T1yb`o#xQGA z4Dt?6eh~<)MOQtzb+=BByR#P$j5mq7Z{1b+G094=RWg;CG_IglOt~nOF1Ef%Gk-g2 zHPzq)+|lOy8UeBb}L3AxtfiV)vf?MF<=eW=iA!*ULzR1KV zGoMz0^%tCH11eGRT|2`}j&W~0CUxo`@sc!SRJ*sY{s|=rBB6k zBWUoDdlEbvF!E?VrjfrYS>CZvBmaq@lnk+@4Zi{Pc6eGUoF>HjO$#*!f)dXc+1TTT zQum!N1^EcR-YwKMEH&2zyTGv~jyD>4d*B@e_N$F8NwZ>PqQhsZSi9KABr47T-t`=6 z%#x9#so~#hS)w+N)Q#K$|JIy0zxB*p# zqsLRzd1uhQzr#Uh!PdGQP2;qt{^7(HQrGKz^kw|mz|Ez!Ak#kDKe2_iLTfAsZ1^Z- z)2+c|s#;y$O=ZTFc`O3wN%@;OIbtNWI-Rd@@)oJ~#E_z^(AbekV@q`c4X#lBk5C0V>%7{;Uy|~$y zXgZC#s}giLBbWO85@@G`8))R%weNZZODT}WOXAItWxnPvmit)2hlM$H?A2p zs$8a}X3+fM*HOREI2s;8l;G-YnDK4iQV8NT)#SMls8Y3PelMY-_ z3ei*GU=Sj#=W@&k?j+UTbm005y=W)GZKNF99I`;wf#6)}*G?cB+RFhjLjXoEHFDep^$3 z@lWTSv^9p|#8S{s?IkZDbAHnV@BKLtKo{RAQ^YeX66yIxKekc+Kdog5nBE=TTKI_) zWi4*bwZ_`jJ?HqBXV)gL=|a#cXh9{%2chVTw4;_?OSPcX9hOS7s0* z@{_z5sMFO1qR>M;FcHge=E}Z=gpxAdQ0jO}E$#C%ixSH`=<*&0rYo*ouSz;f=x{F~ zcexi2pj7E%RAf;)8dSKU<*M#?bKtTEpuWi3D3n&EI4X9|E~+fm3wZgBhi*GB&^*Lq zZ0db`;gCy1M8$In!|3Zf_2e5ISYk_GknP20ZSUt-5qul2z|fN<=r16<5K-In5a;zg z5%u%`2e=(r%MqoE))=M3*YSwU(j1`y6p3Ko@f+vZcaf^U)Fm&rAc#(CN6wt z)zH1y!VQE-y47!JY1gE(@pe0FEZUu(zRS8$q9A38J#q?dfoveg)QbVeSomwHa%^-q;z@eJaBRC|~z9@NHko9hB))q1Mff7C*&ug(V9QEUl?&l_e_lU}Fj?=iz= zP9*i(3J5F=O^w!}G&-}QjqRC|sIoymFVNj{Hph=`s5HlQ0j~-~4|@$*-_kn+1hxu| zmbv5BBgYC|?Qv=0Z`4KebH-?TmZ&UwSNmqkd!&V7SF=0p6Wytr&iT)pE0$VIaDnjQ zWZ-t%K0BT>)7^>|>2bTS81kwmA=X$xzt;ff(@Y$9Br;{D<~$cx_F9;p6Z|!>`o# zH<~^AK=!JP&h?)cSe>;>7NMgYf0vs=-{xPHTyj*a#By0`jcUVb?gq7Lk%`S)R5FWo zqwM@a+a0uZ%s*5o@lW>;H?&1e|BC zK$w6d`FkS__Y?3Qjjm8YWITbGe*khojlahSf1U?FNTKw-AH~yc#^tK^>bz*}{>>P8 zlbIIC>y;}_+3IAbJfk*R--)vqbAVK76bdd~90B(L`(2)S;=KmwZOhoQq`f%5j7iEdDMFDz4i| zZW853j-W4oYC)wnn{KqAsWVZxCZUpvB#*s@o%80I0Ar;;-=c0ePc(*1BzQZ*zsDo? zwFw$e!~bzVu`iiuCDKR`N~-9GD3oub2N-{$@jNQ&OwIkpus&^?Pqb&nboYbK#enk;nix+OQP-|9WPgiZvoyXyY8hFf_Qq$6>Gll;MsbKP zc>hs=nFBM)XGxb()mI99NxQ)WlykRGS81sg*gq7{cmzn;s|QOx1lA(o2Gf*Hzus{u zn7OIIMu*=Bvh7MwLMUw`wV*PbNlG@5cRtG#YC0QWx%Go)y$*}pUe9Puz1-Tje3<){ zI7e3#%fd2Ssiqx2*Vr?5d zpa6e$?Lfop_yBenWXBNYay9Ko6N;*m3Z;_U*0QofU5l3Yvy^tU=kC#txm1iksi-)cS(B((2xJ?< zn6yx{lz;44qvLrtC`-7QT5>eiJW-Z@D-&+{XxevRC;~n`GnBj&sCU+O*M-SFcxX*z ztKV|=rT%Nwogl4<;ulYQmrvg#R29##%0VX zw}P%@yG#ok8s6CGBh;`L(P+uTGwu8k>P{rJ>7Uz~H(PIUK?$0Pp-`cdW61={ z`9R&1Y{)rRihn=YaDHT9JutioxHxkphRp9U;5;E2IBh>V_=lQ0L_$$G@_BKy8KXP( zV>DgQt-z4?CtNTML1{YU;?M3wnKpkP3u8)%(Rm&&a3G&GKSJi7$1aXcv2*a54{(DM z5WIGxQJF_Nj_Amg8F+cWqHWLjuSWfA?+7k<%^A>drQ&eFPX?ESE&0pB}OrOb|ePUx55nYv&Mzq44(M?KomrrAp7 z{4y&QnNEQ7A~07i^O+OJI~r@53wN~fbPLPLA12gF=5cZ2_YvzRsu zZ)h-Vex}DZO03vV$r8kM-u!;Za`hgEO?Mrk?`Fpi(&R$l3AG|#6(+%BGV0X&SX@jI zXB2(-sHSU;b*(5A8e!72AjSrIw&6Ri%+=MZ%~F%z0+yzHnh?4=LQQh>@xUaPxWU>K zQJ5Uo1=&Icz{+3uTAQfXG_cxmuHQYAw-qbvw~Sq`!rk;gobnM_+UQ8kcV zf&imwqpSgIQq~kh+~~wT?cnAk`Q^u>C2;lDPSgU%B#-E6u?(pf&FxhH66eAtmDyeUR!OWd;dXt0o#CT06G)ai8!XO%@qEZ1xH)H;Hsn7MHyVJ#y+@mJ=m!WAm_O=(3lAOMJr|Iervf^$Hd+LaNL|Wz|A{W57*DeG+53hM;Lg zHzrqE-(Z5h6g=Wj=Y}fXnJ35p@_~dP46(H}C;;60t3 z##0%03$2nKQ0P3AH5z&2;8F4%w3IcVua2o%XVQieYHl!vRU&20C~(z+mF{vPN2B3x zl^kQ%j9g6HKD9WAGL=<}mR^id(#aNhDBqj&H!`gXrY6VTOP-BEvncQ$0fp#?sN@)u zZ}I3VA--PxyE{y=9;WA>OF^!9&aY45dq9Yap2(x_wJ3+)TA|y#Cps!?H)xHT1YFoN zW7eUkY4cG&`;wJU$MZRe$h#rbj*YL<%N_BYuhQ}+P((7P+l*X4SL zid>Qt5-QPYFvYH@X745W*){i!YAYg$Mvgl@ZCKx;vDlcvRtD#(bGM;lj`gB%TbHpB zs1>(k+?sQ&VISAMJ90;3J$hdZ>P>UDs9V(hK$$9Ir(sO|!*A}bby1#1N(kCT06tK% zHy!p?Q-@C4f#o*rVM)Wke&1jm|JHn@4!L|sh`ke|FVTDi)vr5NI4`e5?hFQ*2cb5t zk|KTTJ6vxPtAyZ@2Qgs(;WD)Pc4jJNKerY~alyZFP1lwmsB6PS&dlBmENrF_sU?D$ z4aeXE;%uk81JwI^{?_B>?L_{DKL)R9cdGf+9dg05I)ayTqUiEFZSB4gyP2aOInJ%7 zTjy@j&WAg#w&DYPMFUvsZq$k&E3KgoMfimR=hs`UHRsDQ{}ULufZv7j%VF9javmP|&Eg4#I(VgxUueaRx#U(HXWN{a<<`8f)^}gj{mpoo z_JW^XC}~SoGtJTIou5Ro?NhL|qup-OXAXO)&ztJrzr#rRt+V`m`n`T+UpX!VTf=>1 zt*0z#FNe8CKV&@nU=9sn?4AeqGl@doC$&ORVY4Dsg*^sYrU#JU?B0(z{ig zpDq<}76`uC$BR_rW?W~h^w+HTI)A%4pA+L2MffIl8ormU{W^btg*-?h?z;k;#qxdaYIT`{esHHZlZWFzxZFx=8B+q z4oFrD_2<$yZlM0tyq7waz(Fn&x_wMzV&{$E{w;gX_81<`vl>*kr@aCUV^I&x~O!3*|A5>(Z!#TMN zznwA4Fzdh+1J{qI5=HjX)db1CXKLCYZG<80)k&JtS)f~a=bhant(YuJQAu5f7_k|b zoo&f1TAp7mB&FaL6RFQvAu@I5iw#X1J5(xaOKl9P-GIg><>*7ZW2(77=1?LQehkAl zRzY;1jSuwI;cy;bGS0d#EOFz_WX+qHf3H6rN=?IdQ>QlTt=HO^+VI@UjzI77-Ph5v z3;$5?>YmmKPIF=o)xgzKc!^)yP#W@4C8_}S3wM<(lsx0Pt9;iyME$>y6)N$(90oQI zjrI~K)V1>83*F=iFs;z?Ef=`A6#fBQ8w1XtC0a!-@7<#z#m`u-hZ%Uga)6N+w=_e| z9n`H|T<4SeiM-cpjrkz3^V3Bvn{p|f(`puTzF8V-ozdxi3p^k@+pYaugSnKsuv&(C zqU-aE2rD8tRFw#+wjps2yhx>h~1KC>KF4(3*8(C11 zxF+kVClFzqdJmz?6_pFJ(3Gv62l7zNo3sNLdeKpmh1fa41BC2G{*C(+7t|}1_H%Es z^E`~#-r%WkJkfhzN5vIjx+WU^MDO+!r!0O8fQN?)@)c!|(%`!BobCLISmpI7%L8vO zZp?9Qo70t9(0$kw=SU%8^_!~n;a3zWCTyh#7^{cV=?+XWQm1` z?F3tIwn?K5kRK?ufxg-wY3)B*tkzm;Zi*{+7p2=YnegLBc)ToHs(D_2ETuTEr1pt% zioVmrOZI>@Eww21+DBl=8zhW97kkmPZ>nD7oe0X-VuSI~Y;dmVaQNzOM;9&8*9hga zk<98O>`0^D6sHHR&bnV>I16^(hNG9=D)v_DTqTY(^Otp2sCNIhm~;FC(PiGSiN@u8 zC=Q%RJFtv9DHQs%`B3ILDQ!#dslBT$i_zRCH5FveOh_wOdXN?L8yXPN94O-uQ*C;4;{qU;mxmn^KHyX`V?M* zF1EdqNH~Xc?t_M7fWsH z8rZE1W9Zcrbr0J0pmSLW?31UZMv2HKqU~tdV~(@EsNVT#5qU3yM__c7fEnSa9fxw` zGAJniRXc=bDH=0>ST{8cH>)Uy!J1YN@4TuIwO~Yk0m<)Mux% z)IB<$JCWh~!(6qsAfAuW)^ZbMGeM|2p1(`c!}_d4&znd_-VHLz(|RmrNVtw-S1D>; z(f*A|p}ZW%T=AnAwJI=`E_-0dsr0pV60mXCA(~Ki;95=tES|%u*ZH{U8_V_u)1-v%cXkV$=>rIVu{S4}c!l*76>e z<}uWC+FI%zz3+&+-ARzoY}uPm{XT?ZuQ(NL!nFn=_ho@=bf!>h=XCzv^SoN-Ibr9# z=gGF$w^>Kk&O{J?&jJoCF4%|lZKeSBA%`c^fzRp&*ack#x96(Dt^#Af61b1XcaAT6 zzz675a~P9c-j@(yTzoi~sx(v6HN4VRQjNTJf}e*lOwIZ8B|F_eB2XN~e-KvZ%4}Lm zADi8jO$FEkF03ttiLXTjIT$dQx(2GX+#JlRte7-|iSvdF56crFd1|b1bdB+oy2`)j z!wuwm&l(*u!+6Ty%&O0O z(mJnFG`iFp>yuWA53W1F*VtE{Eh9VkRr=mESl+cSZcqu9Pz%lSDHEve1a%)6PA}NE zyJBjmMH492Yz1zny`-`$rfO3hy`9{*6Ke8@99pLRP1f5BT*Bxv#`5}rW=<|$O(i=%{kgssrTdl;f%ugGGQi3i>yZlr-$ zwNTqMcA=&Viu8S=@Cw6G+Wq z!QE}~#oZToPjCVRCpe44;_mLY%P!91i^Jls=hoYuo;f*Tf86`rKfdpendeELlT%aO z)m3j*%k*?p*KKkR8-rpYT0|!Np~?5EwoOB5cRe zLujR+4EL+qLq!w20{#B}EQu@l);k)NF|83kd#1jOoyea0Tkgk_*Ic%c=3kY2?V}Sc zuGuJ7>|kDL)dI@dSCY9fDS2zOC5;gSdWI~Zq-`ZRjk4H7G$ZQ~V3ftC9?$ANq8@N_ zADM;b9FL({U1(EXKJ3G_rD6GZebjp$dy=(5YMV_9>}M8hpxQ77yEZOtJj`bVf-aPMCFZcv%N1cS;x7J3GPEbH%q?Roj${`xbrc-f zjrh|p#R_`V_FuYR;+bxZp!=aMxrkPJ$Gg`Q_&l9~S&Q?mb5ZX{V0?>YZ<)XMjJ4ahjTiE;8;eBc4borDCULana*#*X#=qq|(7MQ$$ zw7*A+B=*nh+W^e~r&?q^}vD)2p`A?X5<{ZMzYF!fwx? zhpo>Mj-y+y+A%wqbA7f_i|BGQeLwYcM&L;Nb7#>O8gWE!rr(%NBJGVR!fQV5;aVh< z?B*(X_*@QNQJk|c{V__K1o?@0J_m3JMf~x-M3Ed^L$vr9lzEerv2%!c&I;obT`(w9 z{#`Kj8uvY=HJgV|bf=Ydb5o)NqxBC8=Qep`*^v~y=LFqp9bzxNvB_(HoJ&pn%8g2t zduuD;oXe54N-&oibd+JQl|pJ=IA=YPL_80Tq?Xq3l0gW|RoeF@^hZ(5%eokZ*jF2>%QcF@qo&2mi>s6Kr?+H#M( zt}5Fm_fFZ7@`o1UbKlvTNfhz8~NelT1Uo4Ij zk%=4V5Uo6tY$?W5(WKHOFBMWb;stB`*jKYA=du49S5$_3QGRNqH{-OTZN=sOYbn^j zMLQKUqO+EY~HHQWt~! z$Fm`n`|dWHKcK#TMd7>_q~hZbJf4Pahwu_{*~~rQ{)i}hI)vN~+p5gt&ZwdWqBpi4b zK3TDAD0?SY)75_F8ERTDzL9$Oe0!^rzmQEO)}g0-zD2Yy^9`C>qmw>gDfJY-gh4kw zD+kl`U(V33>cjLf)!RFUkoJ%hZS#?_)G)4m8_8139`xj}ORB5+`gt@ifn1T4oM&qU znC`+Px7cAl$A3CQ2MWeFdT#5g_f$|!1E9o0awl3CJxw479?0D1Ez9MTd9U7{vTHGj zGZzMpfnYoneFv3!f$H=0V9s2`mQQG-+M@4pF~J5ZomqCsP+Ni4*AUHvr21bQ=t5^n zW=Z86p7HoTmkXkmf4qTy>}Qji0Qr<{?!4PTG+2^(xvT6_?L})KF6Bh|{icYuHA1wt zj6zQ;NM3CAG>Uayo{qpxrsOvLm~{n8P+qdDKw0+=WwF0lepgY5HZUNF>U>H?$NkFM zD^w<<+aStL+pR7IJQ`1{{RZjh)X+hSg*4iVQIvVjR{Fe;^sg8V;99{-mGLkB*J?EO z#Z;QLeWT7t;mKDg<_rf2=vHGkg}3#wuQ7gav7eEP}|YL!Wbz2_?I%|qE~ z(O9U=uWy=bzbE+~@!St3B$zgx;_@**pI@!hhbo;nhv$nkmP@zVM7v9Urc$q0>vOqm z(NHaesr?W+f2g&Y3YC=y5GiI+FRcuEqfL%%qWzg9nJW_E9JpZ@W^2}6+C;muOY%3u zUXziVDSJ&xzQa`!tsw8p9^$Hl*r$993mO<+@=d6(0Mkmu-BvyN(67JC`BW~@tNj)N zdULZDWyK0XBPjs5^)rUi+j5edJx_8ULA@t1d!*%z{34Lo5V-*a5GB}&#Cc=nn;MCC z*;cpa21_9y5d`HQMjhDZ$bffqlw}`6*<)c<)$_p7Xxo(=*oCR1(L#=`vRfjX{O7wJ zG`9Rzno_uj2%7fbUffe4{Ty0aP&PG@4JydpUd^VZ=_MJ90)+$Lf!1E38>>Xa4hMF!#7*=ux6!<@{zP+F{0UL;#FfIUjRi6a60+%||UIEAks6iKS?~QHiX#U81*W z799NeKCL<&&HLiYMl5$?jcDu8aZ+&jbR{Qmu@j~2O{rSY>lAW+jnC|sWluv_vMIFo z&9Z-ib1s0^ysvcZ2Et;gA=)%=C}PK3?xxD#-aUx+3%G-3cBJJGFe2$tWatY zBC=9yJHM6gZ3-?|q12k3nJaVWR@8NCUdk2nmpxf41WJZ9&d{wCU3*9UPj=U_mP#~| zzasO`+>mZ)=--(0Wr35SgIE6F! zT!z+C#x6ttb+gfM_jpr5 z4D5+DktG-H*ceC^4DQY^M^TI|vh|1LkVixPU3~T#ds6h#G<&xsk6<{6mZ&2{o5xvL zor5h&b)9u3ok(QS0{5g&)RJy5?Jm^9D=?%J39?8On6LsZGPfYV`n?-1G`b0H9`ehM z$!~z!B#5uLi=OSL^H5N>fxu!yLk?#!7B2vJ%qM{(T<1DOz zovU$0{cqL!^9%iaDw9k;~KhQJ>8GXB1l>i85&H8E4%5POk6Oxo#JF`d3lv z-|4v?M+J}Pa04`Yu5}&W(e04bM)oWfbsYI9fSd?!P#$@`Ez*q!RF|vYaCD~tlPKZ@ zx)$DQVSktQ=H+7{T1QBJKK~ec?UL^@S!#(52NCDCsJMp4qL3P33Qn=trfJtS(C49b{_e}G>lJsaw_a2+H z_iID_nyPnN2wejKrbn{A^#0gk0SBukx&w8uMS%HcYv5ai{Ga>uQfu|#MBPz>(%RxP zeFT`qjLC91(Rh@wc;Xm(+jgQTyB1eWvs3(20G_`lq15af?|%ajT?A?fiR{zy*V@>s7y`mEBDsa>Y_O2Fh6y@x? zONe$Pl4yKt4>zPn8H!oTN3=Z<4SM%@lLxY_LbOs4ttJv>(3=%Tx%uS`d2I$atBwcZ z{Ic=a!~xXcWPSY~6_HQyT`pdE;n7w#tV!eZ&7!5z(+BXj$nx6DAD-i=N029#5tg>1 zk$;4yXY-37izx?QaN%)%T-Ah@NFNHj)o5L2HZ z>0D+smA)m(gV<1Z=n=J0TDvi)w>y<;#{{J)TImC$Dp1(gY}EbI6FsBST6K)S4q`_Is#;_J3k{FaDCWj=D_-dnU8={d z7FkgIW?|GgqwK!NRgdOHWUdU=88MF0oRhJ|qxp1-JKiTY=3$gQxpH4h)mIeNoXo(ib=sdcFZY|q=2qc68%@>Cbq{DIKFg2b!XRinJ(+6no*z{sx z@ZS@Jy_HrpeDUzk101#gi!6_o@+L!Gh%2}?p77TG2Y2rOk7TZ`ydavZ90r=+Wgo=k zR8}Ts6H>r|cXqr)MbgJHYHk^=CuT#5c8pI=3g#^mIk`}HDfNjjCz4_$hyc?Nps+cT z>raVl3kS{ z{x`Wp&~x|rmW`(lQY~n|UEo$;(tq3wxAo8wrOIo?_|fD!U6!5~_(Qk+pb$VBgd~3Q zEwmtIEaPRjO5!+pp;Xa}dKHJB_zvz((bfva@$XuB-7hS69kcv<1uv@|KY{T6}Rpz`SJck}w ztliQoH0E{=LYE4YmLl`d;Eo3F#~->^FVMozzkLIjci*89*HkD~86@&2rjGt2 z?3KxO&i#X`x6M_cMi1)tgg1X~SwTO<^%j|*h0x7`mX^F4X==KILbM~?B#X>*LFiwh zc*Wg+>{fe;xX7G0g5;RGbrbbF{+7biPt_l)sK|#R^5i^5HBI`?p!m1;iFa}{aoIv^ zx1rA@e(Xull8mC{MPyX$tfrYo=Fj*Zk6+zQR3Z4SSYp;maoZJ}^{yvf$hb~OK8b}9 zog$m8B*$wQso4F6y=`)|z+UwD&t-!9Gkb{uQ&ny!v>%}x)o&?q>A3rqYC|_7Zs75V zE5q}SRBUh|@=q78KT-7-nRh@ZI7z#4w7<+L8XAAH@DfpWQO?)JN(#}^j#)-k56IX8 zw-v46LDsn^1r&dx;S>rUB=tAU*S$#idTja(iXI}#jB#HmsPOw1g9cbhX zfUGQbv_Kj6F69PdLk#Y;@eZv;f+**tRCI1mPqE>(Fv(8Ta57iK^`gaan)gWVrfsPu zKg!<6iDxOFE_`K&B^o5ROC*T~R-(Uq{YalyhwEvS3%-Ow z_aQzfAHI~5Z;<;^VFp}OECGrw$9Ko$!cE|ls_o#{mE?nL zP~k+$IdgfRw?T`kxW-SLS?OGMq`bf_>MiCz#A@_!azBM=yv8j!5;FHHZd8OD>iCm=)wnfFfp$2&d~kCE1Vv7iSDC z!hP5dS&KJl8XdZ^P;e*Wiiv*e4OBT3BB!cY)*K7$l~}gquI6hbo)tam%OL$$4C`D?AHj`y&(SlbfHu?gKSH%u2Ym955d)K z^afrh%%UW>CFsyUUUzsXd!Sl>HBM?m?n5 zzj(2+Scfen7c%VUUXQEzp@CGuCF^}jNuCxaDZ!arO6~ojyj#quLAd=0D|OJ0jn7no zeyovNENIB9z;uIeaUH;o1@m8^t`FZ*;InZ3q=LhHCV2QIE%wk4^C2N<>ibO=23#7lH8a@6M?HeBJoz-s64c3{t$be=4qJvK01RN8<(M`6SL9C;g58l z<&f7+jIG7j^sP@**Oalv-V%Ei&KshGlLd;8&KoeDFDDnER%Iv(4?PT>UqhlI)69{% zbgoH=P-;XO{BbNrGvC=_G#zd!pIPiy zOEE6VeMHoi@VysVR{M$2dr|( z3|_FO%96>{Z1WEC&#>D*T7^%R;~^;PP)jjzJ2lG@N6fzetI+ZT44R4^Qri_Ll=3~4 zIR8e)D)U${?QTi#!DbesiHeCtRcyqiP<@CJ%_h$rGMnDVyGZW9Vd8~Aq0}L$7%%hT z{YL&7M_)fY5eCIrK`^pZ9<3VSTC@H*@*ge9Ik{}%f*ja+f)8X>_M>x0?VZG$LM?y7 zfxGScg9==aW$gHLO6M`X?^WsfCaM_nh3=Maq~B2Xwr_gcbts+sDC-@r44MQp3<;2^ z%yF{PIAu_5r>pVaP`oPfbr`wcJQWqQwDJUfP~j#go`=!7XOfJEF+!s1rtkwWKJjWtD7EfbmdeE1V4syU8lta5HRrfDQN8DHsY2-z`Uh3`d=7A0 zS2Tt1uk@uhFXY^PT%HO_fk}<&Xu^<#R=)hjK<&Pq3bNoZM%gA3Su!w>=oducw#Z2Z z35j>+7MV99@@T(dCit7=@u>IDnCtl_c_Fwz{3o|)u>5_?W}t;U%)-CEMh}}Gc-kG4 zV=+&5$qJI%x5@t? z^TIHRH@9WbgHXU>n8cgBjjJO3`znCUci1s?{jgf@CJN^_kS|X|g=qUG6sH(PGf?+! zyL9fU$g4AF?&5bTxzpBe8$D|x4_)SU0`g*wcsxhZj(xf{h0b){CSCz~$E|@Fm&`{K zTaXQ^ZTqnoefc2$8|!jKuO}0V9SHYVJuZZvXUg6;Q0#DIp+e6-?S$>$HQ>A5PT zo9JcbSVp%VdGvNlnZ;Q`*94S(;lR)I`PpFd>(NN>s9JvwIA6_5asZe&D?I) zymv*}d|nnGI2Dokp_g0rCctmxD^8A0)V-y@@%Cs&-FnFEhG_hrOo%H}-GUS&?F^xo zfn2sw%SD8h@mw*_!8MJkd{v3mGfFLX0m{c3?44)N<=W&HbDPkQZA{UA4^-`U8tAhy z=7Z9X?n|HlIxKL`Ofsh4g+BQOHoK>Z9hO*WzCF!fR(7TX8Rfv^*Z=Nm;t};j<^KHY z0J}fjKs}O4Eg=jUD^`Kw zhN_qgEqe%?%^Kw5b>ZHse{N^4UKegZ>o5HodMc5xgg1{;ofC14XlX0zehN$#tl-hA zWjp$Gbv7M}(@f98b`%EPX8Blo3Z?V=CC)E0lc-o0a4&&fROTgj=hIDQ5*2I8LU^VD zT4Pf*ry3a~9LlJQ@d2Tl>j?0u#s>M5l@YTRqU_ODRE)kln98q~7Q=IYAEhcV;aHc% z%E#=wt7BbC&2MWzO>F}Y{Q*{OIIT5B+di4b&rfUbVLA&^{R^vxCrxX#iBUy7P365m z<+U7cfHRi09lfg1;ui90s`ZGv9^3$f^+@Cge7|@OY>TEOhe3H-&G%9U-5T?ezEPEJ z-{e{PnTk(KW86u*!CgQFu9L6^H?bkE%DM8;>h~jrmsk(>^TA87>8R(`_@KaiNq9Pj zFFX|&4|+#IBQt?VC7Ql`gxgf2odH7EeB#c_tHo8RdjU6k^($1uEv6Lm6Ad95-prF80)k_P}__4PX%_ggu`Y7X4cZd?r zCZ`@)j~*EYjSPLO7m2F1jnlqR4p&B@HA^(!jW|Rr3$10y#d~oVmu;veT6t(~C$v_2 zOiNTPjJIa;c({ka3!=vb1vYChpZgoCV&{Qje$s4rib}Q`;U1te&jqx+L#HTr)v9!{ zoSRa=*loYWaTfj)2fdzg`?u0KULwBd$trz@k~~5}{uuglGo9=8S)gT=${eR{YYQOS zyUO_}?sYjEYe`-hCTR-M7L5!F?Ak?2-cU(C7>xUng#t1b&va6m4+UchWT6(%^t^RW zx6Wj?MVk@0hk7oGZv2x!nZ1_ECeLeEoz^|-FC<^DGPlVy?xmoY(L*Dhkthds*Z))Uo5|gQUn@(=%PPs&1L7hJrE|OwY)#(%WW{s!KJcD| zoAfu5L(|rxb!`fZ4Xw0=F5P}a6*Jz~LljzSpmkjUi^Wg)iqaoQC~!Vmk!{J_U(QX} z;`^Y*CeNB46c{5S>>XB;+hnEloCi0%YeaHjaZg~{R+Or2KFT;NUVyc5$L3ylBEIp| zQ&5O@KvgWWrIy8G11W*w6I+N~P%8gO(x?Gv5L!j@Ao@Ce@9mr}(UERf3YqsS{J@*Rj_i|fadRIL9oxH)QjJl^E79418z{2tu%Fwd>Z-qEJ59*2Jr7v`g|eKIeV ze&2~2C!Ql#fjy0&YOHe)UaIE}T(=abUA=u)fxQN0D>FwT_v9UpMcGeLc3f2co7{y^ zb{-_&WQ%({=j-|%S$LDJdP6-Pe!t{DxP|skq8{Jm7BA!!_07DClKc!)WT*0*+{ji- z$&$Og$uIZ^QSZ`dEW|Fz`A>AB2hrxxn}6TBc{fLS&4X;%w9NDMp-E3V4;A+ArtB@= zAG-vlUVq}IpKA^mNVV#nl=bO}1*LkEyDP~f%HR)gvc;VRLW_I6$!JT2^-M*Cps1}Tsx~`%Mt)Z$fjb;^<*F2 ztS5P4+SBzj=%2@prq?aYQO>r}0_G^KrGc?_^8A`BeA`!AxYjLt_q<$LUM&zW@sFqW zrG~WYom`h|X-!0lV<>;X(xAX3eM*UnS?xC-%4#v88zoxXbGzM}l-5KM-4Qvj{n?hT z)(WB(^SbC`B57?r;%?Dnq4a9U4Uzc+enutW5FsIdC~mCsV9=`;PR(h!7zfUdHix2CeFycl9QBeWi1o zJMFcW^ykUEv~5f(y^pe38E8b@^ZtDaN_kvZ{SD;;II0&^6 zaFhm#LMjfdxTS{3f3dx_yGYc5717Rp{?_TjYqETy(&KZ8_IpQErTU$#L1o(bbfN8v zEu9|}m}-seh#pi*jmJ{C9>9T>QnA|)V^0pSvAe0p4`hS2wBfDk;3fGs5>5pYgWPGK z7-s?A6~pQ`EA)ghx2q*4iq+~jfN7l4+9~cAc)E*G?2sWej$~}%0;SfvL$v(JT#1H9 zwQMlyf|=L_J;O0YSEFP{8k|@*?^~4%cETXH93I9fdl#QG$o->O-@!#RhZFI(7a^{J zYs=E0eOW{s`jb-XudD#=Is9;9wBq#i!GA`k)DwdOuNgT6)~0aTK1fw%<3JkA{S%^2 zGa-OFkmlvXclvAv#TP(ATL>`w_DAYI^he?T+>Mo23UKE_QfE(IYV}&~bADtBnlnskfj zdJxw#`$e$6ga7PC2O`x)eu2YJ_P8vQ{LZ(z_>go>tGXj7uyHRrJio0>QUoxo4j)rW z#S4K}Qxj1Jf3*Fj73D}JpF!_eIJe2F-k7bi9_-s>HCwZ$${yW%l*2Dv@N*a#{pG{6 zRPOJ$bhAM@y*YcRSWLm^mvB&m(Qh;wN?mKqhcTR|Z%kE^|1q+J{Z1}cMM~6O;zw8k z8>EM(Wp44%v5N&JC3(ZW^WCc>>AV&^v}}`R(2!_3$%9hUtTqEQqI2TU_A-7T!+FsKy}_T!9~?faApj08?kOw zEE3rrjReI)m{3uYXUsF%olCW$;%1R#e^ra_yK~Wu(jV**^g;_Q+KX4zIv|blwP*)@ zn9}*pcWkn}=%+S)6h^Cd$lW0dsTT?sp_Ed%`L9m50>_?RB9y9_g}|;cu!}cY4X9*& zR-@kD=diGf%lq%yvQBZaznqU-3k3*LOC)Jc=e?Rqe9`x=@02AKiJd9LPb`CVYuc2e* z{#YZ*KT4c)Czw~x11;0945HebWQQ!AO=vIVs15nPiM6@VT3!g?eU(xU+>#l!Qy5;T zvN87eW3q66!IJ?~f1lzGB)@dB?8hvcz_}pbf>jkaBuB4DcxV-_vQ%p6UprC$4l;0^ zQIcZ@?48qdCQ-ZgCzDAs zF(&3rY?~9?wrwX*Y}>YNJx^@gwvCCuyl?H3?+@5#`>1Qx>gv9#S9Nu-8^5cB#uxbV zK>m_y8f*s+=K!JI2x)n}bsSxAobnov(=cXmJdI250XIN3Qn$lGj1gt@70#NmI7dpq z@9-?_naH&zD$6%0l7d~&ND5h9{|si$bDeTbu)F&~k*mM#m1UbCd>hQ4qWMy4Su4@sie@V>~RF;q{vWh7pgNp~sP?Sz$TN#mO@>7&HYo{SsGRuBHN{Z|0H3 z!0@C^M`VT`Z+l4_XGKiU{rcFz3j1Eq>lklGD9@nZ-qrd;H3IP$1#rq!UoQJOe*NJ$ zk!`3Mo!PSUWBhC9tOkFg7gp@(dm~1ahL+U%VG?~jf5o5uNgl3jfR3j0M;XQ`1lBOG z%zV{~hQ%yP8GF}gjN`B0!ezG_x^{SJx(8=u$&098eY&tq3LAa#g~ ztIjkRYvd!=SVx46G~4Vu6d~M+`(t;s^PdXrT|FzUB7GR>D%wKt;Q6pK)MOUpV%L?% zMeVm$nqnneqZkzQV8n%-kv7us%#I;T)P)|%`-+}jrqyQyMu7Rb{!x^os~$ z+5S%^o$VAs#}YPj`3s^#v`{k!HJE?3n@5kfl$8gpn$*^#_QNiEH#>w5yPv1D-96?i z6ljt=h2aPM?xansice*USIS#jZE0tV0#xS)e2AZu90KZ*s(t{4^s5$RU(fN*tY?a8 z)5JtYhE1W|}{bg9gmv?6@=c<|Lb-rD5-`@R8o&mP(A+I)#{B=w1&U{%; zJbIF)>6IoQSDCfhw%+AW6Ui{L7ZgvcPn0*gtGMp48L}dX^?5D`Rtac?4`#GjHbiFQ zlIG-#E>%0iTJUf2dK(Nzi+Ch?^1?&M0*YcsM!dP(C?SKF`)|&Y11Lj?JT!?hERUP*7eR_D_0OY~uV}gs{lD4i3aXWD~VMzmZ2jN4kEBz4Rq%Q zpzBoH!jJ(P(>mp`bAl_fmrJ6;yXa5W5}gqUQZEu&ch)mLPZ4@NkTZ*a8>7Zlr!$TT z29~>G2@8U&(~+vwI~<(yj8f@KSsq)^MzypC8Ne8GlK&AiFwY&s-L%jA891?J>jBgC(>nQ_pA#v?}aEAtldhu z;ard5D$r{m#f~1lT8S8qEa@)yO9Kr*Xlq1&lE&E|f`>g8X zAARGPV2fo)tZfFC6JnWFu`_4$NIWDSVaFPoK_b--yVw9TY@Qpl?`3kqr5v*3?v2Hz zt`QS+RoN0l)eiO}E^$vv?BEcHGPO z$ZKx28Z8m+HXD1zIbFD_{g!0C#-c``;G>AKVxf_GIt_&>^l3$12d7!HRTDPMU(7j!JNwQEc@qmN|iU333Y z-KmzW?867P+@^Q=4)l`h5V=OI+vQ?97#hVmxcA(voVj5Rffy!zRdtIG9idf?H2_yG)8%}GjSN=rtaR~tEIXvlG(--yO$#(}Bj(eOQ6 zi6hJE-99rawNg6Lpv13|ShjWpt-B^kjLj{0{MqXFrl9eWD7H(ZuYJWD1E@7#%ZN7q zfv9Efpi~xf;d%^%R z+V7(PkdOZ__k^LF51MQ$sX?PE7kG_pQcb~un(0-JRzJ2jrht*8B=>0rOJR1$6gCdf z=o@Tzf5+EW_`-J!X3+yd`}%$x~c+3vPjo@~P|Rpb}wibB5U7>PSiwqrIq-+c6sb zW8gQ2oGhX}35f#vMOuKyA^Hw44(1TQ@4(PtylHx7lGRi=QdYp|_9S$K^79$@fozt9 zlmA>DNg$3DRt9%!8J(p{kG~v45H?3Oc4*o)SQa*WencAw9WF&R+tN?## z@SL#>Pc5wZ&Y=ve2`gYrKpN@;GU(VM;)(7K?Jx5fjXxRrqqj1_?hZGYFp z@wcwlE}~UeN>b92a|bUfbQT@aa@Q}%)pJ}RiSBKeUnNlYERcg(=iEe;bl()-p+wJt z`o~w|=dnXwWoOd}EtT59D8j2`Gue!_!}V~BA*`sWBelyS?AzXimns%BJSV`>tDw)7 zxCNi;elL{FtC#Dpgz`=v_lDR8IO6RRG|y&x>l*tq_SyUt`e}W2b-Z5RfMCw*#u~KPvt*F8OwzAZ??iurgdaQ~mNR54ROUf93z1mxCOc@hY-^CDtiXcCbcDkw1THed`MBuU~Fy z>k43@#(io7);(s0+ozoPKv+?6E|a*K+OQ~@&%jh)FSMaeJ=0j|utnOGv9&B?!DE>U zTd4<+X#7_@-mPo*J>KP2Y?mQJ_RFiTMAO%$i#~3;>XJqDt$%VeV-Fs0YBW%z!yz7A zTo6&AO+{ZA46xZ=UIl`#zCCkkyz+^oOR^KGu6Jy1m8BLnp>%UxHQ}lssSG^kwJdG0 zHLDqQcwW_i%EnhU?|<^ne0-J-gi9^x)fIK3H$bNMYKP}i8>5W7Ur(CNO1gb2Z65i4 zYJC}2%rrl}h$4&#=zC+9HTe}tbKhj6$iUJ&G~yP&a$;@)NG~xN%`qUPnQvm%b>0+s zY}113^rF5%Zca+<2a))N7>f9ucsD3(Xsx3UlrmJ_X+jW+S2N&B_pSaiq_%hi@fd2d zYut1{J0A40B_fIYknm9W8?BE-W;56{7sC9Y#=7N{{ygArXSode$E!!&*&Gx`08m$P~|PgmYa%WOGGw;+?(q;m}Dl zm{QO-#&t)~-aof>I)LSMygb%<|4uZ%8;&0Ni!4%&-KTQ*qs-a9sRie7=5k;=x{)-M zX3PWVe=ncqHn1f9QvDdCDsv6=0`TM)*zr(cJQ#Og#N$+85*5tGAjZS}|?J=qg zv6Dz#8ME(dw-RsD za8Nm@a>);q+b7t?pU3*q)?~7kAEOb2cpePtp6~kPUfM-zU*5$hBw(;81#e-Xrxdbw zM&Qm}x3c3-nGV`sjWB6q=*>_>BC62igmVChi3+0%moa1wbwUvZ-k#ewfHSjYpDQYrf zr{WRnQ3KLKfNVCHFEIaxwC<-UTQO?CVbsnHx-4g^w89$RChSstdF-@CNI;k%)p z1h9~#FEfQWQ|zu%s-1IEKa%&rX(&ogc2?v!amHqn+?nYfN|&gb?~nUvv6iO3a0S0q z?ebYxmuN*Ma!#>Ia1DSPx|4d8M^j0))tItgrleQvD(b=hT^~lIi>|YShS=Z}lje2n ze5k*vWT`Q88Tq!?e|88sDt)k7;f-wh9{X@Pig2Z9tL&2C^LTorv{FKEKYRxB43ykF z>-1_kx*xiY;k1RIBAJQ^>l?fd67T9N42Pb@StCtZY*7R7g9em|R9M2*9r1-FIi-#q zX^jPoLerZoHQ9@}=vvnLFGut9b`>!Mvxs)n-eW+gPl#V#Jc#r{?*4QE~wgkC5Y=~=XZ0`Yss@%gB_&`5EjypdF zEYARgXZ@!2GI4ga-6wuS&fLz;Y;HwKirGsah`Z z^eUa7a_C{mS*9i73q&3hJ=O|i-MiX^h)Wi1u?YDMN#jUMRl*o40t(Z(dM3uz#;19HWQ0k z;@q$%v7e4k9tjKK92oNz6NYNxSPSXgk%G@LFro6koDxB2oXh-&l&L7&EFXQ#@!%~*5wHqAzQb5# zHaC#b&dX>-*r{76L(MwFCo&*XqQy<`& z5>>c7z!;XQRp+yjtn6H{ZO``ZxJzzN88^+rED3#MH9BlpD&4j^P1*_fmc^PUT^eo* ziWIho%S87PW2tk)5E=_eO+S66$8HdOag9BFNEG??$YoGIiW`Dxn8Tl=Gj-F^XZ{4= zHqBXl%g|w^d{sn)aSV?dYkiyMPIYqFi!*QUqRxZxnksvE=Rc%SW`$yzLl~6Z0S8rq zhokXQ%hfd7Hp~s5$m#CLNqIde$P{5cZz(j}*8252Cfx(hrOP=k+1@3TX0n&E|DJSe z72yRzp6T)m2d=;4E^D*Q$a?X4!(0G6qW~A{rFXoEw-VidZ}vrXG-c@W0YCdH711iZlqP!_(lCAX=5)#x06rHq#!oDt7gy-89w?*Ka$ zm8}RRX>qdhFrE}Y2gBfbJoE7d-NRxfh)1rfb7hAP1cz)JNvJkIM6PT{LLm(gwOh<&JCVpIvjkjq&$~f-IvR(ZqhMeiQhj*&d6nAo%tp2Eq{Ukn1k%v*WCG!hY5M!0Zu0e)%uNlJ_$B)7Q3QH4^NtK1nRmY zU3VvClWPMK+QvfL*gtDarg`N))vzMlGa^p#&KVb4s-M;pbbM$}&UzoBV2;8|&4-$d z6J@&oiVnV)lSQs369ah?-a5ny0@AHSZZ2kq)$jEpaby125==;?vnBA(C#*{*h79gf zT872CEp;@WDk%F~W83K@4>VWLd`~a$ZbF}1+NrfT4u4`c4qZ21UUh@vDzf;HJKb;J zFhA1^OYVT7AO^s1E}T=0men2e=T} z8T5eVqp9R8` z*b(y7Dz5>dp>k!v=5IYPu$t=f4S7hYbAyo0i-Ku1Qn=?RG;85J$k1@3yVZ8_jpIdr zA+%kU*Ou&N6i-AYXCu6w=9NbjpLJCLFal{Ho<5q!js@Ey8odw5dGc;;`Jb^!oL=ce zF0`)~Ljp~XDeLTM%vw-U)>jfU)ouq8jt zz8tJt6w9B}?Fm&#cw!?^(?YuWO$2`GvbBTB-vAo0#4n3-f9_LcCy6+@Z#Q^oTzJMp@2JqD~VipIuiOK zu-oElHJ0Rz1aAL$jAfIzx<&l|WVTf$_Y8BJ$rD(x%X88{&LhQ__=*36YZ8>-T-EU-5}kv|xwi@5BunfFl*h1BTOFrbvbBU%R`Xp*cv-@&Un z-hinG^KJ+U@A1{9AAs&f-8?~;$6)CWQE>gi`zSQaocR9DuzA#T^8JX@qs)=2C0pj? z4Ws)H38CqL2>*QNfY54PK}dAUrB^ktqo{&d%thd25BUOz7#Ml6$Uwa_j!c%IF{n@Z z>f=x5F}~BszkanBW`mk#ta=aPfN+CJCwvj%=CL|IV>!)lXlbKa;)ONxkY2-vmZ}_y z8+yt-t6M9~_~xz;45JW=dW!~7qaSy-&KfL?0XKm8F9%BAYmmypPC-PM7RFv3t-P=Y zpC`LBHCM6ZOa3(npmvD3^>ppD>L&m#jW>iAu>{gN4KalpX^fhJ{zv=N%{BFwY8BUR9|d zF^!>5Kx2X~Q-ZvC)&oOGHJWRVV@JOD0%_&~#gbkF7AAtGOOLdYK66n(o9BaQ=a!3} z{Sj&d`U8NP%Lt-PkTek+uel%lZ-t>hdTV|C69y_5UYLd76?Y_--JkMFOV$`m?hWl} zu`J`879FGrR$GXstWP#HOQcH8wTji-E;H6F6`BGzwMJk_d}< z44^;oVO3!8bveX|0olSd(w66W{uUxQq!*`TuB$FUql)d>On1TV#(RYOz&~cXNP@)E ziXaCi_A=58BBX(TfSi@1UFj(=`PT(trrg?L=K{`!Kc{-2)$NtSgb*>0rTegwm$a;% zG%&?n(#8j^)#`+c%oU4b3xn}`Q_CrcrSdTuFYp(HK$+{!#@C){bhF?&;vAQFl_wTG zC#lNM*p|!jV9SlPO?+jIw1P|vO=Ae*r}Bq&LXFKm8$RN&xzZ|7yDLu!wm~Q()e9p? zHMVM7Px%Tz+3dY0SnhCz(e8#Tz4HT9rz=&^Kl)vyae?go@s%-?oJfk%QdL10P5PcfK0GJE6m5{eg}*CWH#Q2QXUQNI!!sDij4_&lo9z=9 zb0_i7MA6j1QM!m61thxN9fZ09HlxA_u3H$7t;o*_h}Sd+SSanM4A*Nc&vh;#_dT%0 z5BM;q1ErrRoC#IGF-Zn0_c;y4h#f8h#4q?xMIfKBy<$h#MaFEu7#;Coy5_HR6AxQT zpyz+Ao7xZ~Ya%>lM*|%Bo0#Ac0D?dzsyMBGv+))xi8>D1(&XPU+I42(HEus>XgZ)U zPizw#rh2OTA{E3gq@7{1nv&v;bE*;LA*D%H<&@6D7;?NTDMKv9vBG+&vv4^czCRLGPeZ;f|t43q*QJDw!NOY6{9^X{Mui! zFaxVAkl5U<&Y8J;`UcJgF&L%cQvFV2ZUL?SXe&?Rb8`tBgg#Ee*@Id2Pt5ytYmyll z=9CX+^&IG6#tG}B!o zq&r=TxZLTJfWL}o4>LYd7Smo>9&!oORZbSY99|vaQZBS;irGb=r#+lYg(Bx{o}73NS=HWz;o_rxq`yro!hwvFQgY|EzJZ6eSl5Ohcv-2ozT`1AB`R3J5)DSV6u$1j%_FXURB4_1H9l4Q`jL8Q{o z*3@=XcWby=7QXugY(h}lv% z6_-dLEpCz9G~|m+3u5gpB4_XPjlak1DiJOF6#vZ=@e&$}MVqh9tc90<3PHBuIc472Ommy_T%RZ);%(i-7U2|*3 z>{S|(S*8g(RO>mZ_Jq%hY>A#crtDP~0SC4{(@{J2Dh8qxR#3|5KQbk19B>&;98+Fn z_dCQODXZ!uRSR`Qb}jvG^FDxU?g*Lb%nU-`{eu+>0Xv)+bC7~=0$x4zCp;7!_az1U zxgYOPz7@;$@*|_hUqTXhiokUp@{YSxc9G^jjwrIZrxODYLNPwP%PwT`pz4TY$ET^r z(?!G2 z4;K%W+EH~OPxy4(!>^MVp{etW8A-w8_DaW?l2woLgOuc!U4mlUuX5ou`{Fs@PDVE= z)%=SPk>=m>dKx;$jWineMmo^qSw-HB6=&|8-lMg`iopwwX&tZ%I!kcrP4cjzZ@sat zrhxmY#$7GnnNO=y&_wA(*6yPccKvZ_?bBjue2E3Vh%C-91amAtmy zNr(}%<1^Li@0`*?O%&idOFngvM&VZ5}LWJP#ZmQ69fmR_%#r7d9{Hs=S`$IF`z1Lcgvea8C zYss1+x_vMXO>(?v&v}2ixpZq!@pNi(?xk4lU!!+p7rT)t4J$S?6aoS+E<3x;HuG0B zZ@at4F8~~B!XA7G9+%B-y&Fp$;NkZREvxi63d;1yMPx4M`hU(TiF3jhr=zpm{_mJ6 z-bo~WQ)gR9n2Zvz*Mr5$E(k@(&2IPKX>;N%k=ZfWue`y5q5Ui;w5qSx8MIq6p^?o@yP{*jFMA+J{x{!UI3HRb?=wH|4e6!%$gH} zfZhMm3xzN#Hv9icJ>G`H1OA`X|D~t8^NEHV4=9W5nzTtB!gb`zNXzN+d9;h?pA%?I zq?O5Tyt3`TJ+toL)$Q_i8ry?j#P>Yd-|pXpq`5T5J<=_>$yubrX5x;X9I58-i;~rb z#0uiUpHj8c{>F2F5hE&ztId{?@-YLPDrEoXyk+{q0{L0O6Q#R+j-9>bv}3BJ8hZgx zEH9Q8tLj1=-oGUuU#tz@M?%c!Lu;gipS|@~E=JPxTMcc5(h?Cf|1L)|?vfz|sT1w9 zeBh8T=0;YTX)eE>^h{L69n1mUc!BCZ;8`;2NAq=>yb4?`vV_NH zQ3vv#k!s(*XRg5ocg0cz*y%(P$*`0w+4Lkma%B9EkrjcU@d;a?*pz-^i5R8lEgPTn zvw_+}I8-pI7-Um~H7nh5op?>pHIn$GDZ@A*U~{dIpgR^<{77hJoJMhO+29Q}SX9i0 zX2Rl-H)EBQ#1K+d!Vdm}BIWte8a?Aa6^k3O+j-v#{Z1)Ui8ZVTb5{bY+P7_GT=&r3 z_}>q$mL6qddO!8gdK1SYNI?x^9@Vz-3c8P`Ak#hwOV`->4b%zltcNsdiGcdqfC`oD zDUsfDSF2g=#0SJy4SYJi(=*3a>1U-jO+uv&Frh5zdR`oxm=F1kf2|cp zZjVM5n!Xtw-kj(xw?bE+iQNXVu&#}lSvoSX3TUbKG(CDTG{}~JXFY)oZ-c4k_DD!y z;~Z=eSMQ!i`%wLK;$bpr$0pVMvW}?zTBv@yOjNOy-pD4tx@SKhv?cmSyQM9?sCR}o zcXJzqhREKx-@&0mPB;XU>EqNZRk$5_Ov%5W z6DKx7h@Y7aj87E75V49e=+StCJq$sWjqkR`-?HB{`PH^`DQg$1;br?D>6u2baSvs@pmIWL1Avqs zmYnwHjCOw8I63Zcp^``nAzMckYu;mNhcNDatn-gFFyExk0%BZMLLk^abP-bgB0_jP z5DY@HqMnX8 zRse>8jU2aPO%y#ZEuL|~6ZW#pcY7^5{@usFIup-*ifAaoJQaG|bU2@c#ODF%%|AYm zUYOOHd}!}RTYGz9H$EZTaK7_jd;d`Xplrkb-g@D8*W03X7?pe#IrpaBs4MxKpZ3tf zSo`&_(9G|{-R>!!p;XtZZ>rmf^@!cNJal?TR*~*81U~jr1YIkwA48Btv7g8W%m;KD z7S#<$1`o(0HPbzimPvFU&KN$eFT1Z=PrnPCi#A0FKG05Q0QJ}SHfr3on1%Xp>n5%v z1?E+Gp%24$!ymsJ9!%!W3tN}N(^>H^8C^}d8-_ZUzlZdaOaC>%vsldt6PCIMZ%v9z z!QpVMPKT`(H$`XNx}g&QmDmpn87(*4nKSXleD$D<_KQfOj>k$i_-|Nj_B}P7c|AW6yva#BNV9OGY9h=vmemW;&^B zInk4{wDOI-m#-ae8Vx!i;0TG}@R3+B_$(uuwIT_R=H+X_H{^?skPJs7>`exP^^2zo z_A#MnHDtKgID^x|Yy(#cd{Onbd2tYbCkLkYPz?SQ3Zry|pmJ5@U?+xSfdye%OJai8 z{_S_6K#_`mZ8Dgahx=VUy=P+bk~lGb@>YTL@vT(=xiq>;%7oM=M=jBqLbBF!MVFLm z2u|7nVH+-pV(m{j%xczR(8M+egmoyd;SP@@m@fex2BYpit(n7-?&{eOb{oPg(z4Uk zDvib3pe`^f-2)u$aHj~{U;59#j}9~jou;x1;U}Ur&r*|~d65f}1%jiP(0CpFJ$W$16w2M0+a_ZldBbG?Vhp5fnYNHluJyNk&kFHjcyvl*|`vi3u zWO`2GF}KBBBf@riY*E*<^Xaz+S|5z??*T(G;T`#gAm`ist*f}thl}hvqXy@Btr!(=V60nY>;7guPxNgfe*8D{R@9gS zi>ZoirY2XEpO*{vu-s48kbnSFoULOC#wXt$Hm@4L>W1HW+|qyDRCW8LHn~$cblwW% zPo_O@1d82(R=LMd-oBAD^t)oE^0zFvX4A9;3A`xG@ZHSL8{-JSohVaHl{;_AZ1YbIqtavi_!njxC?UbAsYfGJ~i80X?M_%|? zWh3#GY}z5b1w9RO$nPQX9P4)1_gQmn!lJth6kqB-I@{Dio*G z9jO}h75cwSy0V`6D=5H33fwj0h-FH@YG?c#b8*qqkzGS=Qi<=3FiO4m#)g!p`V10J zLK!to2U}Yao4&K-mH1dO{=hj=o29AbmC|YmPkvS{#RE^N9($jJS}0{ww>#<=4xr_6 z@kU4AUEWd=+_;^9$N{G10sY>#0F+(-9D=bZ8T~puuaEC@MAOG9=ZwbS_4KH}iQ@`C zr=J^sgG#yl9oqQ(;K1@<_*09VImqIVh+>j(FE}RSQ;9*PzaE%)=e?{43l#SSgLtWd z*)7fJzo`m5&;T1x6%m5h3rBk%&x{vA%dJh>;%J6pncL~OC>yU^NfO&zv1)8RF8i)pmra2u?pRJZWtB7*?NY+8=LT!40Y_s^-yo-Fd$x9DcE7x7Nf)9cl`?S%MHUqJ=-0 zE%yY5e@oiDxfcF?s*@iYGb0#H{iJ=oDost=?nJq)r^;96X*iHZ4~tySU!$4O>V zuDS^&Y)_nQ~%3&0+fCba*rlKTQ6`0=YOe`otG9 zEh7j6GuXRr!__^GGKX%tEuu4XO7`>|mENT0QIFd8vC(|ceSDqYJ>b@$Tdt4jb~PUN zcO4w~j9Lse)*dgO<{@63oyFcVtS5M3!)^01y7DLO;Bhs}%3Pm;o!70n! zTB-9do~brE_y+&Tq`2%Ol!biq;!`YJ$$#3@@E%O-f+=C`^AZNSr3aHD=#<-=l%H-ImI4Jg1FW2Z{c zzfA((X;h+IL~Lu2PE6NojcVFI>mhYw^OV|+OB|md{|#=|rp)@XtE3tF z^Z^~{i)ZcumlEk0iK&zZy?t2st(eqmdoa4X3M#g<9x6wmf2{s_bm8PY&qA<#vVw9V$Ba2l1k zJwDm){|p&2DG~O+@$JC%05I>;i;ras#VPdJ*URe$t(FB zOq>0a%!TE@3^QR$erpWzWZk`%&fqkIkub@OacRSN_s>TLS$I$Jvj=uK0*HIU_#)*U zXw`pvP%x2vMhA;erZ*Mr$|!k84<|>Bn|>K|r}H2MmfeGKhcit?UmNleWIbYwgVujh z=-}99Ni32HK!Zc?fEes_M^_g5PmOZIMCI)LE7GpC$%8Kkzrr8m;OzHk)if1;Z*mtr zV(PlTJzoz3cuXdehsP|SCCWz{At`jM+`=LKEXL4o_$MgNMuK8I`d7eE&TjZ$$lQ`u&M%J=Qmc>bjS&^K2NWx-&^1gCa_Qcg*$9s&DW$O{kShx=`0qm|UWO zWmh0VuiQW>Wbl^X4&4^~jge1`tB4tDRK_1066Cm7*$sZ3>JBK2{amDRflIPBuZ{z~ z8vbNI&Sh0fw9a1;v%Ty;twr$JAn%Ljx8sW5$D^Krl~m>FG#SjECGg_AMJUxN^>2cx zW;MdMI+T(e8O6~f9979>R9B^#l4Y|HD3*u6h$WxLMmw)`LTs3UYyqNdfNAth0d zEk`myLw0thNwt9!nhszO?xnzgX~#^srVd!Tacs$4q(DOY;=tI1CtyJ5f7;^K!KZvG z$hh+&p>rmK2IRd}DkFx8tHL2>yVo#qP=4>;#$~P`Vl!_wX6j3p2A5{jFI7%P&ZSn3 z3-SW9&jr~ku0^2CiJQE^tUJIanw#YZ`UQAl7@tN3J{q71qOI}TWd^g1c{r;iFKnyq z@L+u-nVZBmgkmz8W$xtr!F0vD4SqKPy2^OI{rhEZ$u5(?M^NEOYVPZDuTk@7`F3jl zTkuF;j2;n$7nIWWh4ZKkKr@B+-=%>IR%b z3#DPqqw^JulEJeEfU>hMx=Q7c2q{g4<}`T{2Hz>j`%qq+d_KLC=JwxGMG?1rpWzIk zHOY=m#yaZFVN%(126g2b=&p=;I!uFM&~KJ@#oATLuqJt%Epu#JAP=df{Ev}&bS$hl z1~nL4S;tq8=;7nduWplxuk$c(nR3Ss_nh-oKxN9W#(nl^@a&-wxQ(8yi*Q+Jm2EVK zW8YStfRh7)N=XYShgZCtyt0!9HdaC*SBvKdHl~zMNVkrPC{;^61|43a+$jks_2qo4 z3msL)>=@q1W8^#6ZxBqvD8J#OW^_K9WRrysOLqQV4leg#_2^4+tH|>!b<@W`xO0#D zL4v!STkkB1xc8ZFI@=g_ib2==skz561g&S#DE1-RM4K1725gD!WRu}8vt@$VUG_wx zTT$Bp1VtfbY2`ANj(S}=7ar#>EgEh^TJ)cKji(V&QxdD|;^3bY1+3UGno$jAET(;H2fQ4dR5JR^zx zgf2Z_(6O1H8UvOFvJhX<*7 z{CDHf@03+B;7Sem%Z0N|4jY;`h1eIvUa9xu=cjZ2^<0od$Be>HI`9=aYYo^W2{)b} z1Ok9Hj00fS0}mpxQh|}bBrxeZxyr^IgbOhXXc+?su@dFk;7kD=>de=@>)KJ#3Q)>y ztV(pG#PV6wA5gK0;yP+z{$71!qOrvAW!bF)Z_>Kj)VKMmgtM>n?IhVb1|Z7kCDZ~# zE;yWpOKfgT6ND^74>2ToWa66-yYUFHZIG6bn<`dMA>sd~hRF;F2%hNr^6(Lk%=>1Z z^W(z~QJN#FUx!}>MfSVNo155&+N2XqKDunInBG|ts4Qp5so(f&6sDid9b}MUqr}_@ zN>-FInGZf?#B>KU(XtJg!Q4)g{uSKC&?^W3XuWSE3y(raBk3|&*y=-EKH;9H(9{M_ zDKDbFuVJ5qAW|%z?0`vQ&@bE+eJt)?Ej==y*QJTsp6jjpgfC+H=XZiIZHQm_UIXmq zM1re(jYe=pn>%h(IS)+$;9I5Y>*#F!LAjTJ4IRxpBsROO0f?UGfwj+0SHU4%5vX5| zxec+N5*Ro#IUY>sUbEmO4;3@&M){BqhJ6nCaw23JmE1HdE1~#Y&qcVRuCs>_<28GJ zAs^7hX#cXO=xFpRT5e@gOSb)>NeBd1_L_#M_6Y6p>u8UbG&;~~r1{B$FaTJ=CX~U^ z=Re4x4cEF(FD4j!i82*~mHlK{_rlCai!E7$n(K|xic>?xixKh9jWe0)aUlt1-|D5? zM7&SWGvPoA>m9Mev9SrTSs?f3%6Er7w&Lz5zNzwrc5XRdtTu`eA-8X+-yEll#}SVm z8V@J|ZgKTS6r{rLvC}>j*dF_XS0e@YSZ5=ld)c*nsU!ofjC=9t!}=I^M%fnq4f0IP(nEZpC5g7i6EhOf6CFSFcxWEuBbb1?5$Uw&TOJX4i z`7?z*SWsP=jTc{ywq>BUd=aSKGv(8$;gTd=c7|6-`i%_0a_<>XNp?s)JmS3`Cnv0N z2r?{PB;7z_1@~n-Gi$fbQYBK#D~ED5s&*7%DGFKNL(hH%nJPx5bKtM>i1%?!lLHj33@~zGu8E8n3P;0I zB-Frnf?Ubp#N1`k{sKfe7W}WTFH<*Z`ypMyPiY-AA9fooLR8_ zZ3h$EPA0Z(+x8vXwtdI8C$=Y^*qPXv*tXxC?>T?PTTk_GyQ{jd>W$h9pS9$XcBOj=CCgnhjKDf+U$J89TBQrD%u zSM`5ITxTP1O|J_TmXI4E&dp9-t}dnWgFUsT-F=MaMrjawkVI=*$(B0uUb5_)pKg(B z8MoQ1CMtdS5*Ipim%3}~fBO=YOL?hg0NZ0Wl^|`(F?3a>zui+1!dhSIu<)KIRTeNHpLnuBV%@6D*>sBZh?lFl+)_= zw`2J-D}>M1dv?|F*2dCiLz3@YsA(D6!fnD!1&$zEa;f*-H1pZsesvC&ykrm3qR{3l z;E#ljiRY-Xd+?H%L=d9-DP-AeRM|(u0^rJI6VXqIG&S>dS=?zF0@KkDls#rkSNXQ4 z`$P^0etfBmLfa>g6Ur`z*H`AX?@BbYj+yjBqnK*#i*NiV8u8^S z3l3E3pn6?$&98|3;4TyQc{~-gpW1QDpi$j~&77K_c>jFOFhMOUm33tjU&FZ!W4mg) zMWxhhWx21CBgVZwMr<(5xe&7zuekQTy3YrYDpVjlT6^X6JO`CFM?t-)6BN7D#;w(? zNK>1z5<}L~{eHeZJ5zcQZJ&F0UKG=$sAmoe`sZqLWuM8T&>S$T;`Oiw`$X?R-HHy4 ziZT-%ESo)t3bsbm1x3o2RI3@^X;3p4CjUeYsYP1%rYuWkB* z)XAWaVwz0HApf9A?Q%Xb#;FBtzab>rQ%P9-uJycRz8fuzb=z+2;TN+$8%G>B6_n3o z1B~e^oU{^^oQCAZl6)nyHz9~_6ssg00k0ilkO-jVR-me}K< zs`cFJ$Lq?MD@$;?d(A1rF3-@QE0yZ({Gfb}VBujeCR8RWGJql7&H;!EdoUF|+m%&- zM}OUQ$_;U36#^gHRiF2f^sc$bS*AhHykC!aH}q3<&fms~P|OaQ;!)*4{ubU+3vi5Y zaj2rUqi@SlzB8X(xmwKo?FtdnfX0~mgBAlt9XzM8TgRc-afr+oLic#YLnVA$d|+$~ zM`MQ;d|9!r9d(Qqb5>K^hRuoYpK=6L``(h4Hg~gV$7SOXp?;|K=34;wYCkQ78qF{& zab(rcqhFuTdvcx>(JH&kPnJyuZQhW zW@ZM2<)K$m*PoP6Em6?mRii@I3+ zI#$0wN4L@49J@zThF;YVGQ#xZMgfiO6ARCG!t={21gTgX@=O~Xck(V1oyl^9vJbUg zd$MO^*6)HiU6L-PcOBAcY3|U>rjf~X>;2!EUPpMitI{X)qFnNphMBAiPT`B6gT71w z4TYKaKc?Qe?v@KrUq-V_1IBQ6cKe%<6zvEi%2dB3|A3`U2sqvuK zPuU>TeyxD|Hr&+GUqr1sf9I$7{2a;@(=K+fv&1F~ECdq2CZF?>!@rOPGe|=#`^IiH zy5xYF;CUP5dkc9Goy?D2%aEXU&6MVG7E1ExRwyy^{5caO=~LKKJcSi8tWTb0EosvZ zZStlU-|QTiF~{gh*`pIXlB8sTFZjR=HbAQgrW<#P?jK<38erKV@%o$@tTrea=aJmT zggA!j($;9-8u`+ni34~*^rArv+Ac%5oDKvG*a@Z_2m-M=Xv@*r?6yKTn-ZD1 znkdC)H_B5JN1{xEw`84|{IJeym(HVEJOa648w->qS`au$04cF%g@jNFha2Z)C+d`k z2PhD^!i_hk1ru6pWYDekPO7cdtMy8tzLr}#k}p{%2&C0}p*FfZ9#D0Pu0fhqlt=C`)%($uw%ph@BWF} z<*;8mRSDsiHPACLRJ{o*P9Vh^N8#i^tjkD5UU-gDSfrQF(wDVKQbvhc;$LLxPsn7} zdxNk8vy$^D!qzgnXyS_!76oxnGi#5GpN#^Zs4=Ck?MzFiV<_)X!?vw5q2i?Ua|lx%5WWafA8qW zbobY>SH#0j(mpi*M&!`DS+;qWDs35UD$ogkhr66cl5ehD5Pq$yexrT$`DIgjIoo|5 zqNb6CqYA#~{<{HnhpvRfKB+WecRTKEQ}eC}DhHPDqoao^d}go>XTOU^e0Tl8#h%%{ z0sqc83XVbxaz;fcuxZI=zoBl5)29c%O;12^*`3nNkCo#SA(@h1G*OqMsh?fGinm(^ zk0x9xCZT~_ zEoYVJ_y`)@ifRwb;&CjC+)V#(F`JYp6nq)GW0%?4^l>|f-%CbwODA|Z2kkKdSe^d^ z-4~NyT|trA#)jIoxs|T+N-Dhi?C2|ae1K0;C@g_?8+7-ZUs0&~K}Fv9d%E9Y*Pf%8 z`R$tTR&>7vc#}(zu_C`mlLv>NKVu|*Ff7mavPLBVNyYUGXF$3W1^h3A<|iN>c1M*J zPBsQQv5CvJLCUBS-j75lG<(v(j$j(;#*d~bwWo13{z;#VI6z$MXhjC&HoNVoY$9q( zMczDD6maQhhVtYYps-xxuQ)T zSd{&2?AHb?yC%%rI0JB`MAvKct2B?h(@e>l+Xw6@y838A{Cw4;25?fKtYp?x#N^gH z#d6qQ9n;xiZF@H#5rwZH&E%_zibdUJl)Gl}+Ns7htVN9C-|!#aPcK31-7Vd)2C=}a zMn|xH8q`~(5Vxc5Dzn@w?G-#d?)Wx?l`7{i0+3XvIk&B}k*}py2ZDf#+PuCJz9&Nm zQUgntmml2f^Yg~TpWH7lTing_`}FT#D`sb^jhn)I9vMwmJ#!jMYfPfG`As)=XoA;^|5Apm6`7 zGC0Oj+(=ub)J;j3b%sS`*&2I3|331QHpf{1Sh8M6#TJR}!v?mk!ugR&qJH9Qf_j%6 z#&JegTfKpE^ewVX4R=N`MpcwhD6g>8BKev2)jtuOiiQz|#lQ92ru4(90pko;sO`q{cV#wx$k4FD_+0Fa}^F z{@I&LM6_0#vFV~Kd+OYv?b7a3x`VSgtCRg3#GM1%(JBE5*gSPl^+w_G*S|}wN^LoO za|Ub?dw52K`cMc;WXA;kbZ6p)Gmo%MENZ-U zN58;&v*Sy1#1vh{HSma|1OAPgL+p*?kSO|UM{sKmAFkyq#Z5!s93bW9k<%i-L#y$K zTU0FQU)xKfGPjvV{gm)`lDU zZu#tWHWToap^{p8hF`5Rb{^#W5Z-wH=|xzD?c-I+m^$^9;5o~4sPX$O`DcS#s7u9t zLWojz07P_pbbZHqUqU81MXmxVt0rmnr4Q2}zG3B-#$B+OfT_j)fPAbe?#!Bq`-u zMPx;lBi_M!;;Nv_b1R*I*V~22ryuSSyeocj?8XrXmd(znRo?68LivWs8I4b)P$<#( zHO?hjpu(&q$+1Q5tYNq> zDHh;$9l;JE<*^hT9q!Nvp}$TXV{meH<~Uog|FgbsQic2^a(|88vSRhy7w5h@eob`3 z`tBCl;5;iyv3(k5q~SkaDO|it=RQQWc$LzENh7en0|9jqBiuZr}Z+hZ``zUh4HV+HL$BekYF<$74XxVRC)`L6yd+ zMfc}~-_fBrr+VhjB6{UaQ#Y-ee<8g~mwQuLWoQLh22dNyQ`gz`eogj-X9>-E#0pWg zb$6Ul;bTn^8)Xa%Bl!d2DfXsSaGhGONS#LBb_ud_KpoX#4mzBpD&Ewppex$Z4h4Rq zZu_zZ&F`n-V^DqRkUN0@#J{k*K4?g;P8HI~sJL(ODV8R5`S)M{JGf}6JCqUK zXjL)$P1m3ezs@*;4CNC=gWVeO!a<8Y@cETm5)zVt=s-4-U}0eP^t1&=1mV{jk~czBY5A7 z18IydycwOSRS6Pnp!Ae4WxE6{i2OHf4gnvM6G&s|X}07=YvkUbP6-udhFv}d<2&P& zMB7+vs@2cZ#d3!G=!O8}rYkWT!%I6nl`g0-*#S9_{H5D(qofbMrTEo`yPb)7{rBi>dtI@=gbu-hLy-w_I zQd=&+C3~}ft}B@{Qh(0i&2~e0V&8QJidEVedd60xer4~tV8$ZEAebtOTY3kTsQnxd zAV=A|BA1+Vd|17)(f$=tqk5T z!`P!~s^FkqImJ|2r^`iwA#$;3ss+7bhv&$sPTMr5o-U{&`C=b^k{1(zP7_hC#uk=( z$18oRc0}-Y(NB#i`dP}U@f))Hg`MfW*9=1cPbw;4fTqp}k@l-??R^{f0QUhF_dsUn zKQ}>x0h6WCqqSRR9K7Tmn4sy>R{b{X)7Dpdoqeqi?ZsZzKbqg2%G>Jg0E25ZQ>%U9 zVV6{=Sl7LFe3<#f;l*BSaMlovin|R7%g1e*Bv%%{Ae`1XEB5C>tB%MvA!p)o}?mE*CL7>xg(PM5wkA_k@x`5H`S|!=ck7^JQ_W$m|uiyA7%14GK_==Qwv8) zF#}R*BILTK`(JE07;$Uov@9l%)96=|x5}D$#B0^Cx9-pj?x+0K6MA)ytD$vz{y{(3 zGQPAA+5tDN^L+X%rT9*r0;&Q%$yaT$TOaw=H!G0^mZMQ3BOfp@y1oLlRXjGH&x_PA z(1COmed?wEx~e}Sd|3B`z#bSZ_k(5PIDA+sIn z@unXSHL|Ns`2E_WK`@rfo$Kr#akrjd76X&wBfY~u0Y`4g%(leopZe>Dh0B(I<>JZR zrZ!?W1i{p1Q#8A$HVtkoC%)?=pW7rdzDn=LKL$M3@;1q*Cx|d!^Qs*PobHbSQ1~Zs zXx#nFZ;=R2#?q6!F;tRR-oqN*g&z)2W&wNM;P=^!GU}m?G9qr9?~L8roD9oNOiswb zG;)gC`}}HlX-~>}_y5{A73VUXEq8YnG)CC>{n$>zqhIPq&6nYP) zCi!N4rW$ENv2XPgO{doK%!`)Q%T)?je%)ItenLT95zAtH@|y2a)oC3G0}GeVu!rzT zy!N6|0O?3jG@|6c^Nep3d{aC^+G=)mFhs+)i~47g&d)o>bm!h#9U`F%;mvg9hm01^U|E`^wn0h(YL&25s0I%Pqv8N`!x{9=EAYFLvL9PE#Dom7k zG5;E;SKJ%VYV@i0&GIjwMhU|$LG{mjp{<*EQFJ4C^!7s~o4DFILD=Y+H3`|6ooM;2 zy65fs^?}#*wr{2%1{0Yx<}i7emY;LUEL#nyXtZP_*nMI5@q+LXFWVRq-@dMI(bA76 z_Z+br-Pm*H7qG16&=iRxu-J*#%XppT&!wuXO@97EVnn*9?zx5Y@dd-1N|8JVM1ot2 ze)4sl z1iWr&y6ftkQ+Qh8PLUCZ!q3|4zW$3I4<1;d*1UN00a$kMr~vzAUDrqX`Cxs;e-4pN znO`z~TcV^qj6ut{YF?c|-Js*B)LG)r~+(x=Fe4p0QoQ1joKVAgn)LTcApHYdbBw6mR2Y*NwIIxq_G zvT40+$Hj=foobq|OM6f}%G`P;N2^U+70_c%g67BWQyMNf2* z3MCOC6(My-jN(BqL@7iOM+`y~J46;D=0w3o!A*35cKyi`0RkN5CKLIdEkxmt5F?13 z`5q(@LQo_w&;<$E|F=H(4<6)9Q4sNceAL4Ko6iv~LKL(79*Lp_;ECKnlt$%4B|;_k z@&5}#^1Y+d|IJSmD?|MUs%VsWCTJ8NjB20azeN89`Ol72|AkH{2mcS0^8WwmozebF z^k0z398nVV|3ZIvcK8nzmGgh;VcVnrL!9$J5V2`u6ySfM$xem-1BL!S`ml}v5&aj0 zjCyleystah(;ojdeja#d(F*Qr1U>A^qHZv5;oRfIrg4#ypYuZL^|~tV#Upn6A*e|Jycm5IJ!jum{46Usb;E$Q zIMrrU>Cve|eLRTUQysy{dK#(QaWYJ~`o`J~Gr&`h3sYPp5g4i|OTQ9q&A- zxMO>fFVzI*(y4K{&zcj+Fvi0r^6jN_Bm>&}x@>1XPh*rY1SrR%wqYO-PJ!58#1a;X>zTCY;LJ4Zja$K*+%#=v6H^WQ#a6`J1K`a^t*sF8H*ryyA@?+(@AbfRX)5`-3gPK7;S4%5Au7DX7c&XSvy=lGqKr;dxwt^CR;(elQj$Z zHfX5mT|cG&O4>8yROTYpsCbrec{zdWTM)(g>AQHGC&wrNb9%L-;6Le8cJ5bh#6Zon_xq+sFyQPIGwb9TVBf1#;%9pe|g)--aO@C z6q5^`qg5fC+k{WON)mPeAE2(@QF$|;(pRcD;MP5+iw*9kIoY%o)7VF=m;I7nV^Qv! zTD_*qd*L$v5S`M#?Y#c23K)iTSR;7Tl+oM|5V~|qdR{X5+gIn@H$$z^=%jtHQY(yM z(o${j3#$&ZV!ypL_#7}4lVSN@N%r+y))BGyatp<$Oq)S)0Ltkw zx$#Sx+Nx-S1i8l$4|F)q4s_sY=!rIrc>bQIJKwT!Xpv&(?8~DTJ6y`KYh!O}5F z1oev!?)(a{;YSM&f@WZr^Sdh*Wb0{w{DJL=Qzr%~9{&io%vY3)m_?VNaw)fWfb8jQVP37r8VZULLwa%t-JD*%hXqpCF|#v2O( z7S)v)CWJyUvSKD(7$$V+*+Rt!GyM$%9+)vh)z~YQyl8CY_M4|8CI(u}YcTC!KP`U_ ze@BlCv5}MxhSa>=KYG<-;`jOO(3QQmr$BXDm6z5Ub*}Gm7TRP~zNG=89>aO9+DBpI z?FcS~Hz>t{Q!>%!e?`8t(ReXwx5t^!YC^Goh+3dpZr<7hz)8|+-H8ib6B6S5Yg4c7 ztj{9vpvm6H(}vz|CS-oEn|}~<@tzHyRbfY}44Ze;kl9;VUk^`68iQwjVfoC+aN(Lw z1QjRw&lU6qg|_}u>d(^XKUYaxSLSmnSn0IYo(i4ZrgP-Bm_Ld?@TFC>?U_0y<-B}| z3^KlA^weJ&z~p8$_Lb{?+ejWuIdLm~S(CvACfbk4L+W>hhhAyH!2L8rd%=+UJqv8m zTAMIPAoHDl*~Uvp()CKcN3_RH9O{d6GH}qX%=?N8rGW#w_nd`kfzukf08gY?yzD9+ z?a6Qjazf)w&i9FoBWgmaiY|#$Ximf0z4UJW3^fsXPk3$#4<-` zKa3|^joD;TV^3weukrZpsgc*=m-Jp5h`QH(*E?1={`N#|nhtq_#$1wczv`jfU5*Ec zuu%=?ia{y(%x>b>Uo6T4Z)8yj^@1c|L`H}X#Fv4In?+7UmsRk%n)_uB4WRM%Q3nmi zF%df_^ZoUGw29~+zVz@%zQoZn{aRBfvgFZki&j)WR9e zvOf%CSDZk)-#e<_##VN-5r=DcR|R~|0m+n4^ut;OA~MbC#Hs}xMwmOGLE7f)0Hj$e zh>G-7#|#Wn>$Bf=vIp1hg`jiH{+Sm-_=v+%!`H_t`|&kzX(XD_+qw3vFa!cg zB}PASv;;|{Y^{AHQT9|YyKaqD4fFD2ItTD zOI#**u|13N;mujXGu4rD`7!O0zd958-W=X7lI3&2J9aXFVk`S^F`74f1Ld0e#9G7& ze~u;MSei0pju&y@ZM=cS+VUGGW=s^OEJQ9;GMSUZUrT5(!FqT+R9uE%9zTQi+slJ* zs;~Dd=6K08;|;;#Q9g;IjVP_xlLp;E6?OT$u!eF&=vv{VEQX%ZS?#0fI6bE96fos2 zFxRWWNBv+c;ahM|0&9cKJf*}On?!~Zk_kDKTD_lR9?fPPsWi3)fhn%TOKgM>GseqAT>F{AM=qi#IABkcW;zL6`CMF!lyz$V$F=t zX*4X3G!6wnW>FV)%vapDed#%9+{>~Y)Qi@p#;7TREG$u;W>Nar8uhe1SpN`qPl9jZ z7?Dw*vI4GZAd;JU8x9tk6js4E{XvfJo`(H`uy*n&0&29H<)+2;(JQFEh~A<#ED@{? z53iQ`V$D#JLYDpkaUR+BcjlqMEZuc)`4d+%oI&BxYi&!)d(mfEL}p(qD3RSuVu z_cGTtMXL3zHPZ+mfEvz&a!;;}O8Bgh+nhV8NXe63V_e2F)o+Q8@t{v@#8faZU3L*1 zyX{~k-{hzHEnmkj6&LB7@i-KE7~*8i>@`PZ;VyyriT)9keI*?tm&b_ zhIBEwwFeqMgFHSE(SIl5o5f6?;Pmk#TI)+dZ_kUpXyCbcM6JswZ5WU>pBx7W_gf^3={J73R#?Cvc81 zmIAlZegauICX=p5ti_;8N0!sBf!gyNGTY$Or>j6)-g+mM_r`oFl(B_m;h1My^1RApZ?U;LwXwfaZQkMLwc3`Pus|z^~D=N_T!zmoC?* z%bWKpQ6}Vz(F+uI+xlW#Ua2h<#Vt%Ys8u$fd|D9B52Tg!H=~m~JB`6N`?qu?qE-1I zJ@>A^H;%PDaI5~DFKHwUKbJ(`R=H@ZslE`eJ1$`HaJw>+h+MOzO+Pv@mP%j#Jy1{z zXE$=gtL_h2+t_5MOP)4qtA|YpuU2-YbG5to?$w6k1r7Jq_RyF{EH$hslLK{{uto&` zbByLXphD1?hX9&0toHIP9Gc7#-i)D=)w6>%BD0%St@L@lV<`QWW0Wmx<0>?Z0h*_Y zt5TVut~n&^22oB#hGEvndxMSab-qO(PuS-#+Hels%DhiZzy9*Ctap8h1njK}9Keij z|M`?4tg2EjF>&+9CVh=tS)RJ!#Y_2v0x?5_G|1nQKG>p`|E*m8HnW6)A>uH$aW1g2 z%2J56RkgF4On^r_h+NyU`OGp2Om-HlFGhizQVm(Vik~=yUOk_4Ozr6tc|E8r?*sp z>_>fY_u*G_%|5Tm+oAtNdJRMC_xQn|=zI}OCr3DMC)?nJLj}R02K(*^tp0YdzniaZb8k_ditjsYkv_M3Q`OjQ?QE)iFUV8RgNID-vDxB%P z1mieGrU?)xGc3mZX%D{^+yziFI(&yLn@i+8NKG>G^{}c@UE^hLZB%@c3 zF~mo+H^}S-qXMY!ImeJ>j0x&c3ok+ghtu#a$r}uAY1L0UNK3WtRZOLv+(w$1ksDXp zTtTe!ar)yKNZJ{WLn2~V=OMI5wq|qokQ!!>RFEznc41w>0Qz&7K2ShOXGz>8|Cv2~ zjM~g9KS{mxDVK+f$u-@V>^8V0#&GPAt zKp0ra79gk#(`$Na0%uLmx*fiFoGQ45DYM*uQZG;*9v<1sRVVe6X0sXO(sBtRdrv>Fq$ zy!Pi?`k$*^1*Q29k|wZ4I6zGjYN+5CT5WpZm9lx7mTgI$o_Uxc4HvIGbuJ=T_OkF^)arfen5;_l335odh z$6EBeo{UtUqT%LUjhx+oc4iAreuih{q(a;3W@MNp_ItLzzp?hUi#@8rx~$|C6BOO; zNsN*bAkN+D25@sGe2@!pO-i5XQjEWKNT|4QYor`KGeS*q=X#(dUoy zc5wS{XS5ZW+h)&DR?IyeJR{%tJjZZcr`0?F5jzxh?=v*pQR#AU!>x2tIlF6be4GT* zud)G+Ulf_v4X{dK+07*318f+bm>fDVXL~}eeF=#RzqP4La~5PLPRBFKej4jk(qkeN zw!0F)1N}o{=*M3$VsHy>O$cQ%911i1RX6cQz1Y; z+-{&QIUgW1fM`(JXVu2hM>0-4{|s@?HL=f5K=kOz!-dTuYxD=gvjG;8;X#@35x!sa zH%0dDto`VfTs&eXINnnEdmdcu8@`$*YFx0MAh~IU+Ko-e|EhNFBr?G0-|G_%&yfRKT*|(S3r4qBrFef!xoeoOSbyMoCT!cV9M}>wx zgJH2`*=cajndr0?N96Nw=wpr;uq;LK%wu@LR_S(UbKklW-KECfPs94!+ces0U(m01 ziX2#woEx40JQFL8Nx{$r`PUgKsffES4HX{%{Jl0#(zf>{GNEPh=`FD`@leF%I{#Dl zP2#~6T=8QC?Ys0r;3ugb)}@t2W4b3xO!4h3)yo*s*XG`#>6S)mmK!3krw-^++K%7~ zWO{SXkGcDazhoteD7yg-wyl75aW&4Du7t+XAu7YPAxEVo=l~)-GGXnKaxzVT>nldm$pO%YQeB1T74Nw5slS7eQR6W`31iWU zSu82P@j~)bkD|#hd#KyITg0cDtU;RvG~*TvopqmpD1BFl4e^?AnFZBg{I+RZdG*&{ zjnOX2KE0{(xm?$bh9|h=f@j3&9XU22yJD=$^;#cfNUO*RB35(8JDVweV(`upe()W| zj4_Rf<_|mVTsn)gH-{GZy9q`exW4TdS>k*-+WYFTD*p$V04lTA&m{xkX?-(S);15TA848V0rRzcT}s`i__^r_eC^!In{DDhk@5NpfBTb^Fw<8s^w zenVhH0s<-60_6#6VCD4u(^#8!uS_?3x$&U%woIBVos8=Epv?QJ6f>TZZ0TTzT$RHF z&oG{1Un=)(nyAI_w6tU$d_dA#hgA|;!2FFh&k`QhW68C9YS|~qw^elKCmI#F$@Sc685v**pojZA-9?xL6z2j(t_nWpIB_8(@G z&XCsg*t@Vv2T#8n$`HY$ql+v#*F?x%M+)lGcHCt(#3W!pzoXcW)&?;{o7$LE&qH-< z4k_tT1E2-uNhe~^UT4r7G0UW}|4`ME#-K+6)Frbux`LkWwYo|#4zaZ+-da!KiK z38|nMzLA6B+qW8g0x3e=LodRp=`-1ahN{GP#Cg~e#VEG(`OX==V#O(iTv?_T5Tr>x zev%`mH`Hmep}iS7KYc~FU3JG@$?0G<*eVLXY&?-l`yhHqG1J!W z0cref5vjk?XLHfhODqQKk9m9&lUq3S3rTfxnJ!i0W`GvR;bV&Z4#rM)bw@)qAEX(j z7xr`7tb$QG?|~We6fM!4oeh3;D?eK9x*XHmWwd#7H758z4r&fD*CEu3g8stb^3Vn| z_?sbMg62H*FJ34Y)Dk=PE`(e&>xx!F zN_mi$>9ZlTpb#mto0ghliz=qcVMN^}8?Rl7?d``E2*J?-DKK9nHTL&K)MwJMevp-L zm0ftE`lQZ)e6D6cPIx2#_>R9} zem5njlA>a{X!SolTKBQEEe7iA_}1M8Qt1Zz*1M~!Tc0UP>;e8JuXa7?LKbfvw6W^v zbr7IDKSrmzVdYFdj-07uBGpTwT8N}=8-FQ`8oAc|sJyQ1**6c_x~j(|SMS*G0iOGA z{X+L8#y7n{WqWT1!O31!JyMy|mHgFnpjU*gN*~$Yz?j}gy5&9lDPvw%P#C051u2C- zHM_h)gI!6eP>=y(DNK?oF;OE9`{Zwl1IiRC%y5tjaWNGWoHE8giY(_*kJ=NidpUU= z(GoblIG0`BT6_iMTt!OwJQF8(gcF|igTN>3g+$njrE#(v3%G75aEK{~EQv|atX4tpQT9t3naJOiR zf3SCJq(X)b0Jl$k0|J3TNXXGrPR#zoPDDTGU&p+mAT#ZDBb%wsNTkXdeYZPOCR-ym zzn$bE`;IB8m&)W82&%?f9h`P(=Jl=}CRHQbMX^`shtprxK@pLAYs zzDl1f7CHl^msApRW3SH_!cHj)=M1Ht8THeEQWs<^SEUuW2~3Wrk=X-0cc@HyBF3}O z9AmofEH)%QX%+4c>r@d=ow^XVZA;1E@@Mt~{Q$-`i7l$fzsYae)-U0e_Le^Ukc}@V z1RC@N=HZ)ybNg5jN`EPwlI{(J8Xqda)|{Bc3$Djef9-WisItV1e3eWl*!R4*lT$^L zN1;WiOk!3kJIs!Ep)FL!VgT~3lS<8?z~jO~W#kzr8!dh5)YhaiM4Z?I_JAniE9nLu zxSrYAg^X}A)QIyA)f!~dX*7!(3&COX9(5TnDIB6LHma3^RzvwHXt2YEuADOJ$bbjo zv%P83DFiR(y-D zo%gyJ^g=$Ax3v`)Qb3+P(6=uuM)SplofnB;WJ`AmR(VQXCb0e*Br#C}d2zI_mtr<} zv~}Z9==h_q{+-xW^gEeK- z-Oi1xzVaml`-W4bc`XIBCIqZ&H z59YGQh2@TpGqY;fbj5@DQ^nn-h(s$$_7j5!TYy1y3hFbkUFnEPIhn7Rrd?Uw^q6A7>F^+xxi`K#oa&3~rJbg(b8O&nzN6tPSNNP^-$ zkO+KeG+a*`Z>P(J%p?$)is_gTym={ct#5w0c$rv&l z893|Kj;TR;Kd*_`(KbbjyCm8yzF#T@-}r;abh>nT>2n;jVA+ZB$eX`tJAUKFvw}*q zk_kSfrP5`6IRgUgyr${jkyK@gZ5CTg<{T+iSg^4UORxhm-2+Lhc#>zPCC-(NMZI#h zV)MO`fWoZ;#Mh;VHOPbj8$};+u#-e1x(--DLEP}mivd>^O&>q0{cEYld4llbVTAe2 zb&vrRWWK;hF#63u=)Bia!aBk;&Y8K{>OZqzW;z&Gr)YH@9-!kyanpl0Q|+!wfh&6|2(sPV?AXZyw|`%R{&@r_Y{hVMY-}XoXdl;dC8g$o{lE z^TA8)&E`nTU1auN?dLc%Nw|PfGVs@2pySC{JqEB>t|PR6DLbSTr!h!(O`6oEWq6s# zMB0&^U%afdEX*hy7;_>MI4YbW)uHXYuX0sVI9MzV6tY*BsENl&&R&8}y=5gvcxQdi ziCfcEcCi_ef~SQ25N)YrieCJK`2k>C{ee(2&M?}!Nj*_?Q-vXF&WaxpZg@OyRfZkC z)LrwcAQZD#v?6d&uPL|!y-CPF5#{b80W9pa5H&s_*srFD17?=YmTUtb&~rV2QJ#(X zIp=zRJ}qg@8@#^*2|KIY6LVJb6W1m@6$(H9zV8HbG#T?BM6sNQo+yBL;YlLYk8{9y zo;YMOMcsJtoTw1X^>&a}a7O5_#8Rv3+#uRv(HzV4(D6;F92J}eAS0H_0>p!`m9sP^ zOxO?T%4)OA#7#0*1{@!Bh-tO0${PVZ6*d*OS~Q@lk63f->rb_9oTz<31L;AE-<0q! z0riZxjF45mw5Zm;3WKI~LU}sPenhA~5ZWCg&I^^`tHNnakGVQ4>D|HAC6-6HrtKtW zgs1GOR6~G1-l61uW!{|jsn=E5{PgUjI-Rs}4xU+ADEPNItXo=7)QwSvX!IkjS4mxs z1oh-Y2J^+7^Pg3?R{CoP<_mjjS6Ulw1=I=rM``H8;pOt zBE~dW=rG8GR2>T zyja^3xzv=7MAG(!74gd$W<0E4g?HhUpWLhF`onO<)v*pixfobY`lIUcACI*9<;+JnEXI6yz@$ovfj~VXALvDzK8dlc_ zux+F2l|1pHjDBZAP(^r|sj+EM%-m>l@W@R&A#1_6p#oBjcS|(JxFn9m#evVRVrZqd zNeN0zs_B)5(ufpoYxwNgv2YFvieON|n2XAUP3sYdT8 z>A-~=9a)P`ZSTf@*FGr5Y;33QQGr*lFK)r<23KjfbukHx%M@CC37@076z_uS6j*if z>87gT80Wp63CQkW+4)Q2EDdLjiTfMZ5}Cetxw!eIpT#$fCSB-8*5lMVy!ScgMTto* zoKa*3&=qNe1KDZeLgUL-spo-|qTaM)6yujtMmZ)~%r(Ni7H5whX}hl*-ferFAqkz6 zt{muQlBZdKt5fCyJwzykP)!fVa--e^Yk5=?TrQe20@*Iqz-b8cP$HH-poH(`qi9`8 zikNvZ8xpI4tbUm6r|2z>&kzLj`jfeiu-n#TQKDBo0qnN-(%xKRdu;|DGaOcpx6&0$ z$Bu_Nz==^prg_76 z3?xC(QB2oS43mm^e!-ioLHYguLmLI~pfvOx|Jdj!<9KUNW_`oUc-;W{nu1r2-$7oT z@hnP&^v5fLkfiJM-JEZ|hKuHxsAo0dOM8C*KNpz$3tp|)8Op51=C`R`OFEH&pr(jL7qRvHVpPfgbj&~rG&EBlPq z04=74(hVAfbFw|3x?_7T%M*`c221o2NW;&JcW%n4NUq$!$^T#jn#F|AT`ClA?~872 zddhv?3DyBBB3(Aw3_{OH#VeDbj=)E|D%%O@<-;T`GdK-ojzW%GSpf!;viB4FtyaPU zsX8tA!D(3GV4}jieb_)fs_PDV z*lf+|adu9BH#6`<5VyO;YQsNoPet8@d;__@g#eFu5dHi`y*rxHzn)V7utb|M38GI& z4ZlRCk+iT3DH*9qQhM{JZHMV=6?8XDkPI^l^tI|!N=$Debbg0|vK>j?*oiT7+x*OUMdlzytd6vpaje6Q|+afi@ITIn54YNW-hCCjI{dXF!<0Y+jO0GbFNUO%G5UwrYa_@r$ntacsMz^-(rMc7_(}Y*^=5 zE>+gDa^sc%r#XJDZ!FpbptlyCPI@)vXt+~y< zcZ!*xtj-ri?h9B72lDX0EM2J1@1&a4G+_$t|!Qy7n|Kllnnk$r{Cd<(A}gp*<@ ziwG7M_KfwS-O=6}ly%p>{(4O23xP&8Y8eEhuu5B2aNs2w8Qw&#SZZPJhSMKvuNkJuq^4T0YEYa8Z0ir@tvJIQAjm@&0id-?5h-q8dZGy%b%@G2z+POkR7Hm(p!Ow{Ngce2{@_a_ zdxI`otR1VnmG*XcG-dzif6`gMA3$SKumJci2bRW47Dw=3m^C z)|t+C=Y5ZxHFj?K4Z@l|qEY_X$t`qqGC_rE?+fDooVyW`xR>aGNdxTy&eO_8X|Kdf zOQ;A?G8(&tvE<7>y?7UpnF{8YzhKmM%ud0rrfX}Xx^wA>M{6-6^}P}U$W$<<$B{SL zULP9K3rH{!cwec}-gGY4S`V+O+Q{f7#g)UpVRxe|EQtF!7*+;0yC~VkI)~lz&_4y( z*-aMNJ?qC-S?6#Ds=qP4Av*`?)+r0Tqi3=zpatxVV1fJ-fmi*;vk*K9FzhmAO%thQC`9dw8_;9(i+r_vx;hLQX& zy5kc^F>QLm+SiYa8{6`$*SHd9o>SBmu)TRf!ASSpI7~&bN2<06rf4)4jQl^*4eJ2} z`4rU+%5$=S25}8WdtS=bldak1sq>U@m92?s)Rt)l)!q|0hmjaj;zc$GGEAfZUu$|_tU8X6IOLf1Bogh_FBje~|R(A1$PdVz$4 zjr4p-tG&ZV*w<$1R22X?2R9mM-z-n!j!JIIiAAY1mlXoX%}Kp%Y%@-0hvgl%5&K(F zD?6Zj+P3l%>J^i!=!X0c-f3l82*Xr5ZyBz(4Aat-*JI0avQ@tXmCFKko~As8GIpK) zv{|{Jtr;Nig+RS0Xapw;k6MPJ7Ba<+n2Zql+Rvw=^Quvk;I%pEkonXWE9BsB>c z=EJ_M0{moMz!D_PL2&!A+ay*t|3k5J)?>?;7kuX&oiC>(*>U%^V5HYWJeA|Nj4RK@ z*p3_QS$Z)^p6WSZJ^;Xp_yTbn$2oxFPC++hSC!%O9KnjJeTCKb^g2m6*CJmGJ^PY+ zzudIH?(P!-;8?- zR`~sZSpiF8IhZ{a*pMoALGD&1N%F?aU362;7-6V!)OVDX4;8fxgSnLI6vGD~UFJlY zXIm(h)GB`lK{`o-k(BU`kEG=0(uJoX%nC5H8IHqY7pjbEsJGcgF=Z273NNdTm86(& z5z}4^q%8F|9ks&~(+p10%IH3>qHoaQJ%&?63_hC27aCPX`w6*|5f5OUgD{Qnmwwe%SiMBNB?ZG8vHU6R>k$uM{$I;EH4_BNJ=fsnIBq~+KifDUJqpG5$}%j^_unH;)&3FGkwz`N$Kx{FGAC8o*EodqbeSEmq{{?h?QDc1 zbjYss7M^tmBx+x=w8hwZn=oIpNJD4{*?}%?a!4u+n`dpcZ`f*CSuh#HTGqdjD-QhW zK3-F3C^;vUPi;t1BY;7AnVbOM;56~j-?ps3Dlm-Z&jMx7&=m(me~0n<9Kvlw>F42N3c@H74}(tm0obFnd52TF10yIqYB>J6uXzTOe}W&L-ugoS3qq#Vb5x zQKc)2AD+Ser>YKZiw? za}ynCDffGYA89TOl;_Kep*|tbjM8AWVlN-m<;zxdY|}Tc0THM1V22Lf7xy6(<_pmG z4{~6VWL=@gN@*?}o*WOh+@!OE#>-004I-}N;k>cjh+-V^;Bw^-JM{ zw7wGc)@InfZ~d0p`{onZ9*+ z**g#^>RB0j?ZxS$d2_~Nk+r6y*T2=Gxe?v{Gbey=fZ#EGXmcf@ET{LZMNud>h5tB9 z#46wnh>}$2p-_Ap8BJ1n-R6lYcgswgx(eyXK*CjX^~Uv-CRa}2j+FWE?<8M zrl1jR4B@V;e%Dy*v2_aU*4+KTfWL{(_=KY?BlY)AbmuGTdbl_!yfv7QW&Jf3uy~TQ zYZ$M4Q3hU99U11c7|3^k-Z`j{5R63q{a%e(4R5n^*_jHl+`@iYAlA8HetkGRIb*Ly z>jdbLn`i^!lr~`QafUUCeJx`5MAU;~vO&@InLN*Uc-qh<_P!wfMjpOu`^;yer$G9o zdel5RZgv=IRqA=22X@hIr|zG-Pk#e8=Fy;}1k8c3QD*p*Gx=?`C0TJ`9_TgW0rh8{ zMCD*QJpG5MB8`|c0z8-qwu7P`01TWNQ7T*iy`k~E4+41my1Ln?DhHggX1F!krOF~0^Sv$O53Vx`2sDC*ogPQBYO zUem+S=<>*@Ej1yUg>#sIubo3*h;GFhunPr-Nt@+qW+w>1r<)sG+AILltyP!vUA?W> z8DPueU|NOW8UwqI{>o&5>xmqJXZwVRRXUg5&fGwBS#P~^q78g9lg zQP!`wA>3790US^{RMx}$g->mA8%$4NIbsA{D2lRv$!M7*YtR+vFUiJ$jXyDzhS2pc zn!;>)-YqTugosXH18s;<_#nV0DgACI8Gc?C(rdCMv}GT>EA-kVb+Ot>kE55B>E;BJ z8xRNq*!Y>jF|R49t#*m(u(cj$Og7Q2_7kE0p6W{S&0}lZdGlQz`r|SUmdH#@_5_MF zkup29AyctJuF5G1Q_J4I%6=Kz*az{o)_dLSf81`JI_zMjrejd7RhYHUUyiNssJX`! z&Xu6E&6tTC_|??95u45#Cdod`MEicXV|}0ddgN!#xMC!Eh92Co|Bm&|Kinqwg z9p*nob?EPq0_o}R6Ci+T=z*s!>sY<1eF+Xd-607u*+Tm73rO@a5a|*?#*UEYqb~W| zgs8tbDBq;v^EC4teP|Xl=C3S9 z>oF>p+GPh=QYU@<)7C7c&k@j}d|Q$l$BayU~ngTyF=4|gY_JT z_d5=W*;IQ3piq>!FW|2(0r~;!IvOLp2tyk*bp=TX65uf)Tp6-*9PaEmG)T5V0{A@$ zagd6v&954uvJ2s=6* zjPUTu_do|@Uv!rq2w(&eN8dGGL72;tOhEv^h6{5w5j5C`I_uAq5GhD(H;kJ`w1H$0 zhlT=f94OG2Tun2#Am=@uueMBLZ$>k69?T7QfMHYis_^Q*Dq#*hY&{Ql!rlV{uww_# zDdw_6?KWQMA*&3uibHnI z@bAeC{&^{mIoODf0fId=XiZ+hR_YOf zku0LNQfH#hs=>ssZlTh=%y@CSiq$WazBBEtLR}A0YcUymA7K(&t%zO)kqjDC?EtsB&j{~;?W`J#n>ohSU&?g#xYzOslD8^W-Q!GG?Jc|{XDyhuLx zWPx5bKm#@)izDeA(c_4KrgJQzU)rA6?IU!~hcXji*}x=h!Ldm2LC$j$t}NiRX&<9c zWhj=8PJp7@ux?g5n#O_x?iXCoFITeOU_K{yMgo)eh0caQB;C%*Gj&MB9G*`>ju z3nI-VK`d_$@Vlt`8oOrf#Wq9uTL_;eJ1&C@2sl%2e??nQf zqafqc#hTg2*&n~|tgkis(}G4$&&hMGYA?D~xIti!slpQ@qW@mVqdN_iMaL zs)!GzJ`S3LoLLpzifNQ#WH->MCix>mZx}+4bvZwRD|pW2Z597AT%UmQdNmb#T0?D_ zQgJXMV+Sg9u7sj;C2#|%7m{H&kl^R01inRGL-b`f5Gx5VE44DC^CUy(+Q&&=a=UH`J2TU`qG=6JUcPN_3+{b`zO}Xa+O%~wWK~QC^F0jZ4iKX!`~hrG{6+3BDx?NY?|3Bg zKq|xu8UoM~E{MwC8!3PQ7nQxe%YOxZ%kjVu7E|Vyo@H&l0U|L|5hqX<=|XA&kpz6Z zP)CiX^7$+OfMA;(Mc2IoA^is&9fN>=b6k6NYJ*5a}km}dSf{gFOGTho5Do7o%| zQAMVuEDeMw=@Ce{QNR83ED#>3N5)?Y${AJxD^p6o`pqj2W1`hMs}3y_gAEpj{YDgJ z=)H5K7*fXy^ZWH~b^>^ZhIOMsVj=hE+qYZpwfG^JUrFPYa;SlMMd9)@kaRp8vblcw z9`(-WtW_V;)QujH4b~pKOwRYVXev1uk%IL_gHoSF_%ZbEqPNMssv~6snHZz9_`dlS zNPtcBVd8CV;1snp*mxPtW6&kI47#{=SuV1QmIXGhI&r=FuFKZzFIn??EU9K{I=~(- zJR)$zM@|4INk>l!1!jk@H#u^i`eT{`Y$z82g5N>C9FrkJZky;e*S@@V`<Dh$=@v(l?S`1ltWcp z?K*Ji^OtG}8j;zf9z$ZW=;6j;AtTVn(^PmeI=GiYz#1K{2zAnEGUtPxZ6p3(m9mLm zhVL|$$3i|{PrJ0a2H*-Z1R?WvaBHunKzvhFAT#aG0wil83p`35%g=dMDA$WRzlA0gs*U zlI=hcWp%k^Tgy4+5Pd_0D`;a=y9dEYosZMb;`i@B_9K&TYv(xb@h;y?{$I#qu}=u5 zg+1$eczHd>3&(Y~o~LtcP$(pJd^kmWg(RCv>Hl5anz0ubs_59;piZ(_e0tJz=f4TmRww#Udh^ z0xIB;90oO(H#zkE;4hrxn9;L?jd?ykdIVPPB;akj$+Os5LZ?4pI4mK`g@bhewo)k~ zgs}cX9_Dq~U%r&iM%msf!a+WiyvfEjS+7U{7yBq%DSJ2=`X_)fD|2NJNt?{C@jnJ8y}cg1XP&7kSV5F;V8nBER{vHTzlAMG(psh1lh8@q((GO=t&Jat}Aqf9VG zL6`;hTn&ZuNUS0_PGGOZC(yvS91^?{u4S8>z|;!~Br~V)1_{pHv1D7Zhmn5Nwz)U1bgd8A>_y!bT;WxZOP<8d_6AUA8&oznMfU!54HZ2@7$)=udf`v z+w#pj%D3PKXy(MmG-7LE6qn*bpktz3X%Ir=w`DTQvvzap-6)e$MY1tO^@VKuK{gx= zz4fFoOvN%p5v8csSL5kDw%>+?sld^K`aeSnr-)4uDZY(XQrUZbqdo6{sE0%`wGWk* z*+n-HYD~E$x?nOBBjbW-184J7z{aD(Xd+j^*3E9+qIFf?ke(JI6!TrBSZ<=+${Z8Y zhZ$>egFP1MOGKhJUbAKcOlU-6w6Z`lFdBvR%iGc>MMxvS(W`*LaY!O~YX2{wh7(xH zLV&^Di>!XXcFFb+#$I=gDfXC1l%T-ujM(IRBm=h?5{qq2Jnn7~r`U9JExP6*YPrVF zEoz;_~b2;YU zN9^7VLp$al_DwG7Y>N3VX;yPj!fynI0k2TYilm`ON*sbu-`Jt9jneY!#tjSi`%rSo zBDo0yaj%c5o#wBm%iUpUOmKw3B?z0*{9}|l2!_TahU@A(8U_=~D^co1$i^jG#$P+q zuRBoBZEI0MqP(f(M$mPXh|!K-a6@ znT$FeCR!X`CzdHc*-C04vRA=ih9{^Y91g{q!TR+91$1IH+(4nFMSX}6YW;doOj6Tb z62qHxQ3GR;Bv-mO92PZIoQ zcpXC;Ckn3?4oibVOvmGAI6;P-Mp~4yx4+pOC;rB+La&Wi8=Na&q-Is$Dtu6&rmdRX z?aT=1r4EyaXET)h!t2FkO-NYj{vnil&ze<4Yw}Qt7@mBAQtu@Cj$^~W4cK5C?pvqB zJd4}B|MSD=t=Tqro`nkpAv2u4l51g-t9f8=uoxyzQQN^JJMgGX0sWxfix6*4QCXM2 z$LgVwKe_3i)~%E0(y#mU2ogrGJ9(F9hQn7BO0pAAkGMSCjCog~jFQxEQXc5oI2vK~ zGWp!BfrIzoT>{Jtn|}an60!wxnVG6`Y`9jrowt=|`QmX|q-}Fz=Mihq&DB!e$;I*v zhY+I;O;Wn@jK?Mi4YdPGpF2gJ0&v zpzl2(WP9n~yDvDL9SDmgYNB#iNK_|`b)Zv=MjP+==r(vPq~7NXk)mrrHc&2M zY1`RC;xb2$cmQqQjQxcJFDk+^>W%^b8g1R7uGEM-%kE=#Ecfpe-$b}E7j$+WFLgv| z(@|0qpolt2(6>`uOSu=3@u(i=iv_o2UA`MFMCeUwXkP?Vm`$r}BY?L-FA^Zd*2{kO z5GR|t7~siyAn;IPsIV0C6VoCx@o-gW{hAY@cAn`rjS7dWLgVFkkmGwZS5kr?*!0FQ z{3T$dJcuql+aE$mRcmV+eN(Fe2EY?LRlo-H=2I=GB}*<=K|Qz!Rl}0A`AE^cf$@7$ zOgaY;vh573YYGXamg%e_*HXPJW`A+ae=0a@YXAm%l%kQeW;?}$nI^5RwcdNW^^>cf zZGFzw)BplcmP$<9qg)<7B}4_;!U}-XlDf7aq$4m8uZD17@R@+0a(4IwV)-E+;1J;e z$cDpRguYNAbck}xWh*wy3fk3x(794)k3;$tr0WcsZk}&keg1)iF$2BTPO?0LbW8d4 zi@eo3r-RWbtY55$nDv;xEeR9Su6W$`{o%H*d|8+`+F;}oc7QX9tx*cH_dFWCM30oa zQ!rKOaBQHcl>vjTvrMUuh10_rSherLNBfceM8_xZW~@XeYf>_2j0eXGtq-x z*@;N`)J4k0v^BSY$|{`R5j8c0-I-eP?PZheD9_pgu1gW^YwMzKVwhZ{woI5`#Zdi# zyd=^ZVT8Sq!Jcf2#zYYD4W2KA5w^TrRZ7@6)xrq3BT}xrY9^>x0OxVBh+dzgK|wGrx!j^>(-X;aa8<6M#lAx+nq!W zZhJUaW)eHk!pEF5DhQ%{L1+2gK?eml4~k`R?1KGe99<0fx4_01s8~MH-{KOMC|I>= znVkKk9hd??kKhO2;jn_L57`%scq zLN5kF426I5w$4WF*&S?n%G%nGDf=?8;cd<1WHTpVoLwXv@mes!y#pBgQ3?%ZiZw>I zUal;YHVc8Mm5^*sHn;gOzY3x-yViV7lo_}TuGx4#)wPS!A-)GTaa=-$FH2s+I&oF@6P)lo8 z1z7~OUry+?wl)^oqzBODiq1BKp*oSNjXfe(h>(U+%gGFt!n(*^SJyyew*h3^ci0g0 zeym5v%PJH~-41$Zg0Oi-dP?ZEMb_eAc zl9!nm_tYK?a03uEEigC}o$AZ>qv`GaunP`XMBxEZChAXzERNtoR`)%2BgR5#>ot8- zy9S_rHebhQhhMA0^d+$2?iO2n=VklS8Wmpue%Qjc8#t@aZG)K$j{yvMv(!I(d#U>A zg3#o=+=vyTf>M5C2oTaXm%Ju5#kwD!WgRO-nPlq+7#FK(cE(3I2!w4#6)|ae%JQZ4 ziZ5HWTN()4vh3lk*Idf72pzP;;s0sX5-&`?*FY9$0H&AH(jm+a+k=P!;FyIz!9zAy zPYgn<>s%GhgkC0XENdSX&4k{|;uOP%j-9q8SMlKV=jlDSscSnSRJc9O?8w6hMQ41< ziDU2lOz8|O?MpI9b<}i?i^Wdo`V5mcGeDwSP>0_U&s8QyY*y_9OrzdqNYo&FnxX0k zgxqUJT>Y%eQ$V;Dm)PaTs=ml#izGdPfpr1PBFnC~mVG{{&8&RuGC}&V&V8_;V3-zm z(6x=Zhve-pvGa~|P!6a3*SueI1KbWUG}l{mF>5BV-vWJC!_b~GDe5nhOo~dE4A|HK z!d6V(W&*Ia-qjHHsnp8;GEN~}>|#=M9yt8>lyYojgCYo%+8giR^4X{R*Rl@?Q4P)dbm3+W#HN)-r5TkeXZL*R>rJ2$f4N4dR?`3ofwnV5~&OCVw! zfswN5&pkB1(i)SH)nZlv8iEkwGgV z&3@$et%MO=!sp6WA*Pjha5oWK!d&HMh%${wYo;{eboJGD4Xsb(oH86?HM=0mti-4K z)Mn@Lh61JA0rpabHdnp?a0de>x4d$N;ijt4)Pr+?U`jCWz^~yI_BA#K^Mnyb5V8KU z3csgRCrV+ERNv4=gGSVuQllv4Q??)qCW4WaQtUoyXIjv)8U-1~>623GQ64xYVHVT= zS6MhXE>~4g3{n0fpDS73mqdyS*jc<^c`0t?BS7kdj`?Q+t|z06^W<~SZC!s@(~?!F zi#~-25b32dcR{Og2c*%PBNn%tL*I94GY>er3T+vsj3{zk0&7$DzrfKmOcvjNQy@v%>?zVcG>mvM$HSm8nsyQ)(4T5m1qx7%WRBMXyt1>7K|Orznw3hz~Fa zb@c&}oTEoYaM<%6C!7}nhhnH~B5q_eA!65~!V=jO+p_Um2cf2r^Rj=5luUoI$x4Ifo#6@9ur; zFKbW?_~~e7ZIMH!9tey=aDxlL1cp!6uv<77hMwLKb_I;70B#w5m<1l};xzv?z&*u; zxZbb#sQ+Wy9Zac!APVW#&-&TLkq>B4jId`@h0Wir>2gCmP(q^G%HnWR%KBw%*~}Y} z`m<6W1IAgB0GV0r9xqjZqw!}}D$jDOh=`@Iy0LsGwhV{!f{iXbus7g8kE&+<8UgHb zz|5W2^mkyrNgx14?;LL1!9?Tj-h_E;%Q63FC$=0ypExoZm1lAMhh^Y7YO5q|9C#Sk z#PWsWl$yM}Wo^|}9oW7EVc?B7)%kRKswE4C?X15o=I3wu#=`8dGlJeWgMc>)FoG`4 zjWlxLGmnKFLSo#pb~xN;*gOk+?*cD;-P&0cWt!f12))Arli3yjL;Q`bt;uNSXe66Y zh-YUEmeuIHfoo=OvOidWFl{#H@h@FVfqO8n~;`L{>oH4A7BEeGeE!fzFYVqL4QgN`b zVWT{g=Jn)v44-5ELnj;EdY;s7XJk+f(9DTeXQFZ*&}U$xYYaVgYYyfIvbkEo)lLCI zw|z;51Yy2|*fSlBsAS9Uvk#K`bpj(7%d5lCJ}3iCZE#dPC@@l;P;W(qNvR5{g=QvI z9k$m--==n5^bMLBiAcdZ$L(uIe}k*EcNG{W9Qq>h{>(={UYQ@!Qw-I+C@?yWVF#47Y7Oaa)>rl? zmqv0T;~uBO?a+G{1d)VQ=T1Z}J2y^w2pqn~!-rDPhM{cKt6zkb!bEVWBP!2w#cYkH zp|pHyL#;YR+q#0|@LA9|4G$KNY%V#=Sd42NFr{uHt> zARD4aL0a;JPqNjG44WZ+(OJJ`gx7LPOEcDcyLyf1@WoAZ1vdde`PQ?Jk^o5tGDWaa z$WiL4v~2IfLiIL8JSCIe_v)$huot&xLup<*O07b)*MHV%e=D3q{@BFt%s z0y*;)w?1nnmf2on2P4th0PcO*g}{Tv3DgW5=n(a~X6~Op1)Sqx zyrM3r+FVe;9D%_)B?Dst;C_zBKW19WV$WXgU>wSLZ3D4!ngFI%0G5!BWlFw*p(aQ_ zv(e^0%2&cfj{)XAZ|~Lmr?W1|%@I8*EP1HwcgtBDE2n1pm8@=pC*6dim8@N$&JEhl zdQfCs>x%7q*6orc~(Xnhlp&_3yQ#R=%c(26w`-?qxVB!o9P~KxdJfM9=U6J zp~ghzEcEti8szqbN4xD*S6HOLdVdr$YX?IGUTPm7ueZcM0mPl|*s4w#Tt$;8Cr*y@ z^B)<4jK4N#yzDF492EEa!W{Fn>vgINCCnVroHe7eYBM9KDy239y^1&7PO0aS62m}m zrIfUuMyh1pPlc<2UeC$~Mb1KUj|RP-b(_F7{<>K+yf2}KMYRA*oMr(fB3Ml=2){{qFn%*D; z)Cj*sxV^i4Y8yyfimg68^$K@`#+nmz3L(z2ji+@1L;lD*b}{JPR&2|MsV(dBU9j<~ z0zT#BI8N8kc$f1^o#r#!SDxh&t`770OV>Y18Dj0uHYU-@^>L6{=(TUYOV$#7rl&jFpHjpU6fH{|iXM+u84!r-hmJn(Jb~1*l zO+%gCpftjP|Bj(*6Z*3oC=T?w(yK|bqeh>&4v=TD8HDRkXD5K(8N=d=i&Myg8Vehg z3p1K0R!Wa&_Nl+1j}3SYf#S-6W3O--eQdz5uSV0eAqN7?aw>B@2VR$?B(;a3o#JZL z+BzYVHeemc^>u{#5~7^-W^|g*`{f~v-*hp$7o0K+Bg2NtqupL^&33ZR2ZDbyG8}~B z2-Qno;S=-?Kh6p zuiD;F9C|1M zHUnOv0n)UK`lZ=C45d8s0}lTIooV+e-+4ULD_ojUo(ekkq0Ag>pM|EshECbV!Yk-p zhp+*SezB9qQR?fx!iPZbWq<){aazkDTn+T@4j4d4?C+f&LfO^Zl6xuY#J0azcoYS? zQPelAvpb%0Fj$BX0X5s^&a*fJ&PL(QUSXca8C4D`Wf10B9HN8{dpd-9mJ@&?ftM2# z2d6;KsAe&>;V9o6V)bO0eFy1qkrGbCt59fz60WXnt*Iu5@I zUna@#nQ3+h^Gu-@deldGD`uL@z&uBCINW%qXXOxLgf~~WCVv3ScaidtLztIx9B$Rb zM3(Q7*0Rm!eEBW5XDL?SsStf3@(Dd+`+g@ji$>n(K({F z^nQJg_&OQFcNZh6Mj~k5wK7$UIf^!YyrNLCcJddk?MgXD!UnKea7S0D7T!vQvk8n>@JDPsOvCP zVy;%h*>!{?>O1;%bY}?`1N%G|ym-Ngq6a9x$y0=2H{Tgje>8dccg5(N} z32$%qMk{^$xPhlcIMfXkpbfdp-Edi3U-I_Pg{G1rinM04-p8~x6`iY;T9vkzH-Z6SC% zp<|=q&qN7bsy;kD4id$f#$~DhQ0?Yb^%T13mJAAq4Kb*`}L&v>p*a5opYD4ot>BMtvx=UV>b)Xr+)(Q z8>$z{@;scEMb1IhUrnIaK484q`@)X>tn>2m46woBysZ9*^rn8*b|;Tp4RIB?(6KQq zNY}Xm9V${#<>{AP8CSQE_CyBpk3|(gd&q>Iap$A72g#)GypqpfY~m!7(QgOikB$wi zmM!Hhw)06%*cgBeBiQz0_wHVQPd0NK$_6z0Mc7e(9VTM4noB;2Qf&*LQ%8y}mMj9FvH2;2r`8K&ntOem z?AUlhb74A&2@$^o?*CG!0yYK##)?$vV5rA|9dOxAkHIv;)W#I>XJ?1agx+KW49GrS zi`xFHs_hA3&p@r5x?^`~OGnft>R|FIJOM>=V!)mDk3yseD&rU&lx zXwZWyU*O~Q8Kby24dM{OYDy|rNL?tkG!M)kMDNPLkjLHd6RH&n`qZKsS59lcqp1~mHRmsH;6B${V=mv?3^X->Xe>Mjds?!#%{=*V`f zj<2-tBnV45beiwwG@n
>w8v10SL_7~y(LDnJFQYdBw-Cm$`qs%wZ^j%Dt91X`2 zIz#W@^hr$@pkdYjz|i|INAGHoMR#c4?EslrZq%z^__MPob)VB=p5+#pZ1XP9@>O(^H&c8=#8cD^Cmtjc6|HbEuxOjB*G?P{DEHmW%+n?0^fZ=2n(% zWNeWUfJ1mg6Arh5Qxx;SE}hRbSOZ@Bl{$r_t7(p0biVp@s>f_8TS2(mLy@1Jw#C?9 z{8nw5!igf6*f_Ys|7EeTrB3|8BvvCb6W+Q{d6vbzH~?P9oX&V{;Y_5HS~4ua4tPis zR$>N$k?*xx)tt!K;iKd0-+7lqi#)x{?Ho6DKl7|DEA=MWU^R+yA@e`9ta-r(@ohM8 zxS0BHU49)z>G541z7m7t(sZP9%&+*rKPH5t^!N@oZ{689Sz;4&9U)NHp*-+ za1!}w$KhSy2=&XOM`0AlnQT>e3cZ$^5v2*|ZioK*ce;kJkq}6=lfbcOxngdbL2?8A z@~r(eWhV=%#52H+wB?2uyUTCatVSx{7(^|<<5KnKURtESfrD`Xb^RT}mqye&+boyq zKF|i6uS{L76!k3cak4#iB|zv|Cu+;j!1x10MWGB)lX|hutrS_f3lha>5eI{pAwi<- zwRQFvtD;qQV>~@;IZ>3grSRjrbAetu>*+P*J|ZosUR8U}=qi>0hBW<50?AUqx% zu7G;rr8=vvlH4HfEKv4PRz6;aNH3)kFJRuXC_!8Id0Y0jsg$}Og!x$vm1PmW)z4(v zi+S}-_lDSTOTfa(!pE{;<>W>lxHHinmN!#Vw}&h~1d&AuZQ`(^_P~dTwZjrZO-Z?R zd3dXHN?wZDT>iwZ^5`umV(H+J*WGsz*2?;Y?*SKzjCA1XZYn>};PvrB_hWPCNy9`> zD(B*o$%Y(TUsfW;w90$v*YylFo8#D)zte{CbNZS|nQ@;px2 z=@>hu{;TRx`b@M`waY#e9bWFR>{;S3W};V{B%6JQV{O-=T@=i&F8*RyU4pxk)QO(>D#ux`;2-)cN}SyLA;2TZyn=fA%=-e;sL#e@0B+ z8{M-t(`mZI;kX`<@M2CM9Rk}@#3oZ?8Sj#voHQPbYq z1K(6m-+?Hjfy;pKw{#iPA|YuqFm|V{UFkAvK7s5q^!}DoyYle1u5mitl~TXu;X*HS ztv3ixW@LOtKSL884q;?C#f2@K*euqs$3frTw1r0%CfbamRsa#_(H4GKTvIoRdXmq} z)}XhQMQ6&f!KnqjSuWRjnOQ8)f_ndQ<(Wp)`#)t)DJSASBvpa=QF`mQ#{y%kbkLkNTD_Zv{hpdU0ff=KX~# z*zuiK#TVlTrXH-BY3 zZUjQE5iq^CbE7uswzf48;+BXbbhZr4h~J{0vC7_3U1p)$X?cxe%9*5ErOQv!)_15q zo;bi|e;bnwqgou3WH9Nvy90kcqCnA%)0E91_K6;M2pptZ^?pWC%TM_EK$P#Ci> z?3rzeqFkmaH=$rA$l{Cs8U1ff)B{#+1hZR5;FdHZZj)nk_dFl38EM8E#iRAR)i$eN z?eo`p45cOZiMaHLMX^m%H!CY$n)Mf9Y1zVGaN0(fPo~uGsH4*5Iq34zJUmV9gYrJ; zeTs)Ok*;G8tuGFEgN0t4YU+5W>Gp__a2KrI4b2nHnCL>YxcJ(S$#oJs$E|!U-4E73 zxs3WjT}h8QZ*kii!?V03h`6eYC_Ipck;ha_qt_#`QOcfv^62_r?v44iFrW57ViV?^ zyFc!$&gL8px%H&M^&gxz-lMm#@fbA!-15bm+m?iMY}D-FXu%?)rf@`sj`QT$`*AOH zYCWLHq9L0*5IDZNwG(!ml$>N8A;31dxRu9Tqxt$~Txd=Mk@y}EZr1|Tko6SQxg~!Q zaUH|msp^-R;CM-#_EdpAhuLjt1dBEk+~PEICRq-l9FN0LzCeXbs$c2+zg^#Uz}IpJ ztwMV+g%Y7D+o8*|*pc3NquJ{?yXMMLXAO#tG9JhyrHkh@|2)ZExul}E|?(XYVSMO>@>XOtmqt1}%oO$`B0RpRf5xYcyT-;-$MS^G159{)A%9^~A=W4551F0y1O=%XE-vi( zRu>P#hpmz)70FBzY6a5GrN1m@ui(iEr+nzY)fMN{iOX0>#YvJxn8`(M%6iw-RfYtC zzrLC5J)}e@do#f~9cTC25fsQGTqN)*U?`Q^qg~*kYq74RsFRBV#2lK z$mClu<>qsk;$z$Dt%y&5It#`I|vzhH(6UgJPsA%yH43u|lF-}Y7&APD>uT40r zn)=f7a;Us(s}O?UIuU|t(?jT|mUJiGM?l!rM&MbVb@j#r)wMNL7AMzqmTl+NqeKj! zXmH@3TwMZ6zD8Ko&g5|P4X$Aed-P0aZ9X;~3huSSR^7AW!m@Z?#a@?T)oi&qv-u)2 z-j*3VH<`9a&&bXD5JWH4f~%QbN~9_VYDP1Ucz%x;%jdLFz~ONxM!}VGzgJMC%rD@J z$ar*7FB$>fnQ4ma>BYrzOa9-NnQ2jHe}=PeGZOQS)@WoUs=ijIoXbmbU z`tywN5CfJxlUcf%AM~8B9I_64BZE9x#$TIXu4blTHlqm&W3>)n?g>aglm($R`zkoMixOi04aw>7hxAH?(A z^elmZ4RMK#c=ZR$c?hVvsD$UNF3%SVl;-DrfN>pAP0O-3UrdvD{#mvI=*)44Z5vGf zBeZsVYW_-5o(5D3ACJ=6Wp3`F+iIv8{mRj`mpM><>QD;`VU( zL=Pq@0HQ_yK4&S`+989p!6#vJ*}!Pa%3{xruQ(>@Mr0A@*a+FOInU)zlUCg;J-m~C zgM;y`d0&6DlxMP!e~;qws{kCo37vS<-GM?@Awf29$RDdDyIkSLW99b8vB9B@7^l|j z1F?gaKp7}ZudeW(Ew$@1C7Bs#mbq8HjU$BjIQC*<&xZM7%ZfTY2PY#~*wp;-1RNJh zTC<*^oSe-M^7iS1Fg?iip0I?S6|bH6us_;Lkze|ocMh$7E>(#i#O*j+e_C&GFczdy zjE6n&`qS6=pdGPradf&m4Pz)@ns7Sk5k(Utk<}%I zScvuJW%X8XkQ>v7C2W8Fq3hf(6H~SLl!S8vQE>tT`v`bD8lr>Z1vP7oSeeszk1erQ z=xc6r0tD*n2_;V#B*ybf;ksP~q_- zZiaf6r+cPrGs*ZeBss4?TT^{95icf*P1^RO&J@?@zDJoAnD1GIxsSWkwKCm2v7=)w zC~lkO6aHz8VE#n20!|_vJX(e7nmjz%lcMlkJCP&czv=sf3O2Y18$lByLjr}$H4N`} znQY-rug%=Z`jidKD!eKKgb1QOFQ0V;eds;vM2EOPgSC!hoCf-#ZiIqbfj!9bhzu*) zM*s3m_kvlUrFI<&Jv^!H+*)SOdSp(U$4QPO>g!2y{5%F0-Om=@pd9U78!P#MZ*TF2 zo1XE@Zl!Av!ki5Yj0(R+<+J~e@W`juZuCDx7RDO(+aUbPW`uI{F{LXZ?}*I#8{ z0f=l(&PtUpAS>IwU(>FvAx3CfXtIu6i~>^?Ch5c}TXr}~&4Pf(zY@Tnn){QdC3?+| z{N&rPKIMYoidKX7+|vx^mRBYOT6vM6@yRbMqB}dYo)BGga^Ewu7*x&nRKH%EI<3sH z%J7grE~bj#NPNBq(L?ZUT98M)@_|m((^jHyjF6M;EXujl8D&_wVF~}zpfT(rFZk$x z?~hg0Pk=lm5~i-6f&8-POqo7a`tn)$6CREkl2uwt|E3=fAU#HV&8M@+*@hjL-0Ej= zX4dB^fU1f{&){D@L$g>#*8Ifwah>$Xur>z0Ix**m+~)NitEIm+wQDQEx1T-ArE-HQ zmnaZcLAQrdeXe9fLWsgl+#i#Or+D1fLf&HQ;yj zy1(^VXXmQRazS<})jNUS5;LrKHzUY%T!)QM&#@uloI8j&d2dizZ($0jl5R^0J z!6`*KMvUA8wQEVf#NqUDcD*mLpQ|cvmlfC5GXbY-vr*2U$|Ap+^khj%Il~*C@5Nd5 z11*upNZ0n{9cEsvOzW10)YQ z+70sV{9JDAyL8Pl?2tJN>o}#hW;MrF_cshrwV*S5qJBzZ>30nGrk>N8lKd*8*WfSt zZb9>{kr!EZi7OALPQ=LeYU_Y_BjKsJ%GC|<8|xaPz9sg%9;LI(wS`m9wFspeY>|se zFxw&TW``3KcWT)Ow9pC;XxAii3X8YOr|b`hJQq(lW06I9{u_p!0Hx%Js5)NM&Xvym zf^u}4Xp3_3Rh!Lm%B=EdbL$!!=_fD8L++cu&Z=hOj3oCireWWWeh?yT!MR3Ce+)Ew za+({_3Qvin4qD8_w=bH0W0#cVsef^$CA8Wzb{wksWGa%nA9}$l_-1_C6Re)xQ3;tr zd)EYusSn9=w(y}&LwV$0=G`Mkp$lbe3#$x4aIQX=ejU6n)Ft1Q zDEmRj5Uw5;^-l;I%cD+yRiH4JA6WuCMSq-Vzf4wy6IN*y1_U=rU$~@IQq_Ys7N;+VxJ>+m-b&8Us(y|5#F5 zVlc&H)c^>^qgbj6x=;m!WSlEX9aXtiCx0hn?#Z9rdPXdT^YT`n;l{EzVhCy!*4?ab z7|&n*C?M>os&^x6a>PXQ|86+)mLQ1ua_-**T>H(=O)wtlD~ZA6;n(#Pu6K+YK7DY3 z!ny|8(O%(oiS8VCT&!Y2xZ{F%&j7K|3vT`rW#H# zAMsl2^^2a;cdy7z?x^!-8+&V?*!K7H-H=g)PXwDO6G$*g(UYRm%dXHt<~87HaKCtI z^g5vJC^1nAEkj53IDI>{Nx(~NwpZEC|CU)f@&?DyR@#|)t&bd{{gU$}a94-$7ZGmz zDW3wkxV>hPRIe1STuL3PlI3O(QAgK1FeB*o@<{%qq6aI2lDLi=m&sON5lW#T z`!%25KD4Ylt_>wVM15~Ky&9Z5Yd-eP9hD>hY`(2h^hnUb9?=0&*xMO+`cP%jOJn6P zfmHZwJglZq%E0SR4YvE5_aHuUT->emF4>r%^wd%dK7a#8bs@b=U%jt1ruD`-Ta%rg;2oCDz3tsM7@Eo*oQ&#xZ%)#WiYDy}L-!sz0 zXo*qVZWl#y9X#>ZN_Nda^ZQ){PJ=Zo3DSJ^yYl+VHhpL(p+o!yt%yY)a6>*=QnjYy4Ew3 z$Qz;@*i?M>c`TF#78|ZLhuD3MOF9UG8wTgF}zWvUeMSf_`Ae@+t>)>4yr)7Z9;M2t-`HQo-g7&{xgWTUnyQmQKF=IAJ<|8!)$XO)v=q!z zfz1V)nZ|zrwmmkO*_8qAR>H#YnX4k;2qf+BWt)2-knA`65$xY?UHls3E~}f|^KyZ| zRQsO>6cG@IgB=*R`MWl9d5KzrZ?5l$PUYHSuZ52!KiDN;c_UP>VS}#+zX~QbO~0c| zCTf~~b08;`mvC+?8>eQ`Zite(U(tFYJkJ>m>?JnNL@aSt;0x+oiIqo&&!#z zMvhq0GjaTit$OTnW~^PB5^%#ExRPO*e17)evY4LP1k>Ze4KIHb6jx8?5c%-?+p1-; zWVcDv0b)kY(=c#=?aY->tNJV~NwAF|AqNp9#scTdCjW9w-nd6RKR**uHQMpT7C0}3 z!o8kHJDo;EF`{=zRMGq>ua=+t%FvmeB;TliC?Ow>B7tpg>XLg-JqnR#;Aeu9K~Zh1 zaY!t2En?&+_|BXDsISMuTJN}5w^2WtLcWlNH4Vkh4W7|_=Unjk{$nIF?qeD@Rn12O z`m;U@qhSbOnzo|+=cf>nq|YJq(f2nN`W=T*ZYuKQV=Uo$Rvnvv*f$~E2*w&AXI6fk z;=4)HsTBnCq#voDhx-T^(bi8UVkfz%cmNB4+J9SFi>I}h_4RwSXrR*_QA-z~tu|GR z6N(|dkHD9s?MlKM3HW%p1G7(E)}7C$mp4FJ2L-bT+51|@qWL*XvyZ{o`vpj|pkOs^ z2Rf76X;T{Qd6r6K4>|fH&_wc(fYyuuv1ypeev7O&;a`%U!G24Z_g?E-MTJc)Gq8;2 zS2P&#d?`_Wj8qep24pkb((JIwRr)qz`PzGA!Q}b9L9yozN$;^>x7W19kpD~Hi)Gku zmHx134DyQ09kArh@+17`%(qAKg_~U^TCpfw*VtWSbhw}5f&u2KTEFA@LFTkWeNkn* z-&{>h?#$;$X5DIQe?GHU?a`61L$#C{meA0pO3RC&XMn$CJ6r5QQKeg`m*lWk-EjC5p*)Ij^c*kxv)^BVM##a@ zpEX)25x#ASoL>yXoU@o6+jyZy;U>a!+{0nx{y@asv(A^dmQ;#5l}{bHb(UYoA*(x=G$Y3LDvun8xFG()7$aP_ig0S5 zw|Ar9QC7YFWEX`uwbL+@omr;srUmdqj_(xe%%VS8(%6o%{#?)<78RME8~J(+F?1Fx z<5dq+D2!Lq$24pH8;`N1im6Y<%WO%i zTfE(Z{d#;%tvA%inrUKz!l5SdykmWHXErhSSfRUOfz~ZUWqLITeMM>7q#~gMwS^s1 zG=Ay4D^&Q~g*x3yvw_N=>uF~UFRv>)=o1Qmw^wRx)rPr0D#AW6tD=&jC+am{f3cnX!7i+@<{&WK!W(c1{42b?#22=`$f@_a1e!EhULJ5pZ>~7x{~9>{i-CXtPeD=0SOR7xuwcR>|8fw) z{a=GLp?@*Q(f=yQj2m(ulS=|0OhWT72ddeh|1_A#{}&_Z`>%rkb=3dQyO08wokEfJ zLt?I>lju{5qQDlFui)GCW=cVe6v2Z>;$h**+>!M38GWJJ zr^IVPN1Jr$xZGviqIi2Bj|O(0s;YSjxRU1~Knd*#zp zrOGaa8u;Wg^P_TG$%V80k@!-!wEX_if$Zvs4^`lcf`D$qZPLtBW0uGLaqI+pTYG?g3c&higx__{o{ zd4I~0v*bfB1oLoGuOaop@9pt)Qi`MAknk;@zTq zgkGQ40k&fv>9*4jdfC7HUoDDs%+C0~JRVdlYhr2@8RsS;z8+T_pJ|_IC!c7jrWQ4n zfy(JihYr2JJe|%}>rR2ZVZIWaYtJifIeze`4Src`*fdPHZdUWWi!9wn`_*GRYXK}J zC>UBi%fm;P!e4fj(>*;~(@ng`od)=3>j-JU5Y4-aS9`mehy8uZZSKBYD7GA9_Xajt zu_;Lgjarjx7syIoL39RPZWVvTWCJ>^{dT_uNi>Y`^#fIaH)PN1_Jk;7*YcUIl*U%x#X(b?;Y51f68Sx&4lQ^xStfMT3IRNb6^2&X6MmZY>BSuJL(`+Ar)hOM*vw~N5pMiC~Te&&cj&5 z_q=!J)xVZARfJDeau};FjK^FymUOCj@OZfaNMWf{aK15NeTzHr++Mg@_TR#m%?JY`efGbP7niZRs8m2+ z5#fd#&Ubk(s{N3}&;JP!amNWvwPV&W2O(t0ljKXkMKIS!$a^08yEx;?wXu)0Hxml1 z=3{D$1;QZ3Y~9WZ8LjU|uN%4y^P9{~izWFaftv2e?;G6jgPnp=8UC6wB6Z7@FnJ{q z7J;))TAciRVWKo(4Du4TwRY7iR-_24%ucbJx|n${V!qW>ZekB7tQvILAO83-52$h@ z9b_Y5+ub;qJ7YhG9BtCHYIc`;GH!3K7>ecX99BrZ)kCgPr*UaX-)u;qVfcow+n$d~P~|?F1q@;@Bf;=f*ZF8h7L#u^3QL{FTsl+nikue`)o*)^k_8a#bLqghLo``SvyW^u$pEY!x$yI^D z`a~whrp~7?3i__K40Y>{W!FfCOG~LS1X6Ul5|IbH ztXFaNejUfytPupb&WJ9{I67ONQ=hBE<~C(P!+! zBhVpGXhp(8(0>FmB-<~31N5us>$3~G34>3~(D8E5`!3n&!PlAJUK1Kx7i$~jvlrFS zVPp=~QW}+}_(i)Vh2bol)G3WWQv(407B2 zPO6tA26Ye8ru0uq?7ltw0sDxA7%@t0KJvHWzO#=+Iqn2(pSGqI6G@ZoeeT_642g$;wdNqdvs)Y}dDulMMy z>t|dd(ttrj!G@bXPyQ~chd2kDKjS0u!Nc4 ztQ&Z~=3l%#GyHfY<$5F^s_H{C@S+gL+w&Cm<#ieIAsg!2j}DGQ{r7Av47SW{^iY2Q zcJ{{sdsx}P^Ql;CBvXhO;p?-Hi}H%C!oJ~?{oL;XJFV8}wJ7HKA(7&EB38nyR0gE8q9}N=>|x6x z4f6e>1(E+ZBzs3c@fwZdQ`4Si2i54gJlYO9@@KP!G|jmk&4X=rn@uU;9>;g7mf{j6JFy)- z-B^mPbn99c<187nt41j3fU76<6g<{{3URVN=#(sJ63N2p35`+|i@I!=M70CNwlWs2 z@-Iu!E93uapY=5QQvF(%ai3t5)vguSRjCzU8sM3iwAdoaB=eShODwAApqtf^G^S0u zK$CR)9am2CAnbOl&bT`i!j#`9F5t()vpN&<9Qq8`fO6m%gKrNCyED&_-Sa{@&`$`q z`;{i@&UrK1r{Q2aQY}GyJR8O)afn9EQEicXv70V`qLrv%o1~H^aa(LlYk8-@*SPPK zc)RM)(Bqr+S>#5y)_DricBny#UcLIWfZ|m(z=P?RpWa zBe>%%+c=}nks zYsnIE%98C&r5DfWN8vY1Ng4u%>&vypoDQo+T)&y31Npp^JO=Rc(|F6{_buQ8N!a5G z6h*#ZYrP!mCUVqf?VdB)Tq{bkjDTjmUAgmYz&55X&ATlnR; z=~4tYqAaQ!`GvMkKx~x~}Ia-*i9}5To znKq0q7s=kW^hKISTctK5fz@c-+5tcz)#lNs0R;o59B+)15_voY^?6s zq(+BTF2%{}S`lWlYF{P&+~DVysGFZ-mS%Zx zFGHXD8Z74sl5x*2R&@BehiA(tLsVAbTBHI!phG61Ov0z8E-@iTL#`&-F5PJKDyd1E z+$AvwjmzfA7`IPEo?;2-lP>~0cONsGPIjX6x42Vh`$U!}DpEs12bn?w2M@&%#jpzv z!<_C~KSm&y*3n9sOC@1S{+45GoKy8MV|KFxzcHM|XB1bwUroMA*tjsn%vF6kjHQ4C)Oxo!KRyjI3Ywibqf zm|dkn?RmfmU@!d*&@oSlNEH1xQTQI!X9S5fC|lO)$15{u3XyMM&Xg8aCb)zYbg=HwUk7fm0rp)3pSeH^Yhk+sfkCA z@)E@3#KGqDZ$b!ARL(J92g`Xzi$XXPz^U7Cgh+TGnt(SvE{->mfocscLJf4xRCI|0 zCs(;_`;u=U^Iml;v!u{b#d;xM2fGk=B>9-ls%`PLtF-|2!PE{ei>x)*NK7&gg9fv# zS#riIZa;ODBVFnACB6%8cDgd>Np;gMX-;vv@v>r>hA(?-2hW9-H;}_L@qAgr;aBOE zJzd%l6#|6ECSK?Yj}C^-AdakTfH^=Z7GYP7)sXa7#GWB#*=XJ|GBYisH<^AwUnZ_B z0$8VTswY8qlth(3Xwwy980#n*_txO(>P)xHV^ef}>Lq^=?zl?7Ku6rJWTGG4kEhB|N$`qa}&(h}B zpO>ch+`2zQOgeiOvTqf|WAdJ|CC58hi7sIn`9k+IyhU4yDi9LA4Rdc3b%`E}RrB?Z z1L4%YiU|!U0wPw@5%-1>Wn$Y}(RJf%*MoQITdaMdgK7nlc~H+U)1r4&Q_RMxiax9E*BO;#*Xy}C#1l-&+U;)>G*1Zt^HSk$ zAKkY(t*JGGF!0bP=`u`)BR5MNzn>hHZ6YB!e|^XSA_Dj~xR+hibf~Ks%101qk?Arv zjhR3BKJ02t*iH#Vvl=UQ+!UbLc5uPF$60mWh**cOe_U%!kd_5~<=>ojQS(CwjNHAa ze{NAHLPCP3r@hbhBGQT*MwyRWQj|)X{TOHxEkstKIxm~$Xf)~x65*^iothn$j9tci z&+GXV-8*Ya-O>|YVzmOaykcFOww{(SgC^h))YkfNk|9F2faR1E3p|EZ95xuqdI61$iaRWWFbf6{$^NZI~yxFGsBZ3%{LY6tK>60Mr^&T=6ec>)#g+z z{oip?QrO`QGZES1c&$N1=A^ShVwkV)!;yfb$z`&Ub42Pr;4`~3qWTdMhP1WEzCNp3g z5exRygwx$?=Ab08k3cT(9HLeCGZUEeup;Pj1@$dgkzdcwJfP(K5~ zAs=<7KDCJF6_upJoq9ByNt88L7AaD?xuG|T&5aB%r84+Nd8?b8hNeC|QgdB=8U5cq z--l}yP59D&eK>mt@}wSR-D@=tzfHGyzier(v^d+VuGDnOm2^CF<@h~wiy5&HM_A}s zVGeXY*uN?T*0pA}(@^@lT7M1v=L&W?+`7I>T0QaM<)0U>^02v>K@)aTMQ-O}xG|J; zvow63a>Q^W@o|vSOA~#A;`UeMCRYZ&wC~z*+zohRcx1)A4NP!PTz|{mB>%nrRMx}` zdZyi_oRv*U&i9%;u!xH&f1$lq-LD?jwFiy1>h+#Z$%FP&qJhL|lx-BK)G|X0z_W$0 zX0MMpV`d48ec*i z(IXN*)r)d8RDoC@&}aE)2xkdW?h{(KW35V5=QD(p?0J7|zwy232mZcHtJS ziNYtmqz9==cloy(?`84xBUtKN!zVu0sZQ$@6w6Ir;c`$MJ~)-m*Si_IhQ{U2OJcZH zfvP(8?qUt7J>jqE1Qs9AU6W_;S(G)s;>oI&wqGnqEU6?IP}$R@5X(DS8|R7XM{Xm%9%kd-x(J(WriiPh<&UU+C8c(vT?t&9^$-}hI| z3y3Joex}CyeCGemzM5<(av61xb~03WlQQWa>w|A-52BGw7Z-Tx0OyeX7+KnABs-lF z`HcerI?D4?j^MO$7Qe_W@Cy(x6&u$15CK5W130?;p=}by&lGPP5w#x!cUVKV$DOw; z7Siu+om$hxLy5l6lZgaJuJ?nAW@iJVYUjG@s+Ywi6Zv=2#({2zeMmnqau26yAGB0g z{eQo|I zEIAr|IPgW|>sB3Q`6iyNfGC^sIHrofl%Y-7W(~J5bWa^(Sl+>5@a>dH@@dm}^tyt< zzmgL~$`v;=QJG`4p;JgWFSIpMF{sN6>8;easxge)#Yxg{uMw!rg(wkc;aVWFk<9Rf zqwqf3yAyrXGyYJhF1M=(W|i!+c}G`ce(k*k2fp$ym8zqWQQ*DpW`j@gVsXhhb-J=> zYxrT2$s~b#DgdZ#kyWfPRbcOJp$4B)UA0B}4n+e0?B~O8^LAa7gwH(|i3hripl^hU2G zNc4w8raBQ>Jk}OodhVIxzWI3~+Ouydw^ELQ7qSV7k##7YEOwY`Bx~-zsP*A5ra|Ka8I|HJ$1|48=2*kN|K z*Tj2(PM2jMfMyrpCgoKh$3yOcEkkCb713V0iRE%JV~}k}7At|1lw+wzT{CmK)P-tY z$3k2+E+$JC!u9a^rcvftrR%0jTn9a#z=u1SC`s}rNSNlD=Czx)#$8v(_@iJJ*jtzu zzuyp~yhtoP=P30sAD1<1WpfsyAZsbn92Bjy86GaVwZFG*O+I3b2-^vMqYNAuh2LvT z{V3n^L**u9pjBsg>FzQvg= zCl>mBCvb#wmp1<`5y#ng`21o#RkJ5q9j>IBz+TM8$&j6#ucO*8ThKQqG)RSz$L%T9e*1+b=rqIV6}X z&<7|lK^!rdt{?HWcU+-LSX5Nk<_)M=wL7ck48DVjiJZU;^c(!rs*uPVF|cMB{|6om zHesf(O8UB3mYv+H6$zFX(;T;x+mYwzE!vs0Jz~0|Ny8FxtwX7D)TWaMq|3%1Y-zv|O~e8xxsGA}jF2E)nFQI2H_&%yi?^`c=uLY$*RueX-VrpK z9(ATqQIysD!&jN8Wt(qfW1qTV!Jf|xYX=;DxM!}NMxMV&;G*e#56|&GVkI)P-1lT? zBpY0$GB7+hw1gtGbAKL@1_1y#fE%NZ#_y3&GYiWTwu823*GYHD1}0mJN|f_vb#ed1e`d!7&=#7uMTSF;1c@2GV!l!3N3BJ7pKz-7abSMvRw+60Wy~rXHFHke2ZkbK*;a zr@mY2)P_Lxi&G!CZOQ%p5wYjcf*1EqwecWE_HVEZ!~Y2M!U|hoGaKQhF^}(~f#;LZ zDUc*f>9c}2#2RIO-BGp)hi!Z{CHwgM&C_~M@+$M$8fkCT)p+-4&isY{~B9C%~Vr&FNsqk{xJ(>=Y$RSaf#UiQlP8RBhWQMS}snDa} z?}#E0`;P^600;f3vi}3Jb!=*mVy<|+3fya6CYCc%@Km|?`vDBt;J+3vEGT$K`pe6c zcVAyL+4dvelp;@+hPe|8MnkL9IA5ta-g|TPV(+4FVZ>%Ky2=fs95leU3GTJa%0LB3 zT2sM~J)&dR=fhJ;%~usk0&VJtbjea=nl@k#JiAV51px)!_wC;k8kj(F|f#Wq1&5zD;*T zW?ZV@vpnDssH{9$#@~nWfl=Me&~d*r>O)J6__IJjyS1+6?x=bo6D`Sir`nK^9ehDM ztB?KmlU_OfXetq@xXP?mUYA~>w{z@Jka&9Vhg9;`c#X!(gu+mA;qmCgvp6BEZlKVF zt(9C=@m!1pABXDxU9)?xC|}no1?l{ol5wY^S;x&pS>nP(U?Rs84M_|Kmc^yg3&pH# zw_LYuK{ka|2zXRIr}WEa_1vJ+9vnL#Zu+-MM(mq>54&T zQFEhFtTn~^MQS;JQ&KW~{*SF%A{*y56ip8AbTWju>xXF$hBwcXwYkd=i(2ibD@EXA zfT63$l&P(9ICdmH z;280}V!tTZH)79Q2S<)a!NFo5E{DCt2_b-c5ROrh$e1m^cP;Nxm%ZbQjLzU$Y6Ek6 zw$d|I!-K{EX1zw?yn{w0>(wx!&I?89yrNl|P~>s^DEw)mGT6X4Rv`^bLF`>D(I$~#+i z;p*vZZVbGW^a}9aA$-bHw%X?Qd|8coKg(rY3vgBCGC)&s;c0 zq;ji>;?#-^K*!QTXC(n>!SeUY;+D?xl$yVB1*M~w`oV}rCtfo63p=Tsv5@?4&nO9B z-GzPglWQKCEP!PR5;t9KTgu5dcQu92w>AAR9 zJdlpy^cXpNN^!!nUY3GE-bgi! zN>xkA=mTD@{ewB@LdP}zWq_%#>f=tsKIw7n%SUnshRly#-;v9IJcvI>>)2fM?Tqvt zM0&S~?U5RM=u4D`t1}|oeh}Z*6x0gh*Acb*^U|67J3<@~{EheEhjv$+r3Id=ln>*e>qFsn0{L6LJPJNf-S(B!{ML-*(<_Dq3?e*f zzAJMTK2UD!#_l7<)T&AF1#mqhBr-jXH<-2=v4bR<^gOf)pKo$n@ z#BmwlbCrM9Z0`DsFJJ(A*{K|RGu_L+y4ru{1Rmi$Dd0x0w$u}-YR^>!z*(3?I%>cf6o1Su|AxW<*{(t@B*60-jP3$97$P8mt_!b`lN#vjVbol z`;V)~zS^#@$ZC#8x(HnOYr40Al6?;A<{2M3Pv3~ToTmJ!i;sAAYiI!-Ysj{X5dAXn zNPNyizMx@$UEp9t2VNE5$)8I03gqboSBayLt4_}e#kDI}IrY9G8Plqlec+YA+nzaF zfJHOsX-*rWul;K0myFv`&Ef+@clN5Rq$fO!-o}qr`YYcIUV2-zm7(G%&iS?djf?0c zZOSRDL)P+;qj;lnm=BAdvB;^}J<|u6m z=*<#5U)pIReQo;yEzs)j?VhDLn9b99(($uiAV}EN!Yo$jxh2%PeZVIH9}sieV|#atOl$r!0r8Pp3<%Q>*2ZNDZ+iA zJvEmaD{)v^DIqRP0#sF??l#tQA;(03&#$W|QR-u?`*5aDfNn+`(+B3eUtr)G;q5Px zXq+5G_N>t>1MA@FPUno`%h6+&cQB8v`=>`4pIg$?oorNj<^RKH?H144b9PM$XrtNFt$r$|~O69y|z8V!p z&!gcv@6|;G9>~oaFy;hu)x`6lZb-M`I4BQ0i%-bgxmkY1l(F%{67~>YJ5HYnyM?$c z{Rwlv9RG5>PjwM95R0rPAh(^mz`W<>bP~!hU5=bdo)=JBC@u1=q(~+WyWpoR;g#i4 z^KSg2HQlT!w-#N$Z5wtX>;7(&DqK3c=8JebAd28YXhr;ln8C#m5RZGdJ^q6QPZHX6 z`>XrnX}J6}ghd~C@xC@+;T9CZhI6d0iihH?$j%(*t)zOVPRi|gg91fnnHRF=g$EGc zX|{o5sF75+^7jMcQ+m4wZGm?KLo)gB`r-j=9e4(f%6$Pjrx*^pPu3*ui92=^5RnGmY|-W+#dj-@6w6 z8e6*5r)k(Un{YS%GQ!3y^Vj6H=EPrh70Z*GiDLAl#r~BuJMFo&Jv=$h^ai?h-rXEM z*2R#Bq5j*6beSU5Sdq=<3!kNXb_ zp&gXIvr^)Jy*PG__>XvfF@}!tUtOAPRWvPGe_MW5vW0K6W{*y**yXjj-J_X`&Fy0S znEP7YF?517wp-8i3t;8`@P;+kXs$?;Z-3P&ZL#utPTnj{xUrsWZHR2zTUJxumb4b0 zWg&H$uFPcJRC141nR`o7t+ZI$`S4}AJx5hN^{aCBeWa_#@O1QmYh=4nqL^=4ZWr8T zp!<0)Q%(*KbI)ncF-%2R+n0$*J&Xzx)yUevFsK|kl>yLJpGE>#WywSA=E4*GwM44G zIQ5wFac~}{6lk-_u?S@k`Lv#oy)W4JUcXzQ%k|jY`)gEhpps@WKgu|Jp9CgM_=B}D zU}DOLl_k(r)YO`1N0W^H3V!%7@6$Lm5_w1XI%7LQs`Q+;xK*+#vPJq-H_}HJyKqHEkldf(LoS5dYU8P^xGHc;KCIWd ze?mWebA#ZH9V96Yi-C(2n!p zkVvywY88C2yKY2Kq^)q-F)D<coLNBx}w^NQUu1sW~Zo_WgfP^*)&cDi<|_UeXkuE;~e$U{Z+N z!aBn8n2yK!$W0^V0odmMn%b(}#?M)2>Yc-OBY^&28_{wY_;LqCW@ut4F0P`hD%HoqWTp%BpA60fnJS>1+Ac zc+o-%^>EQcYk-0O7IK*e2X56vhIzCpc>L0TRUB%(_@Yj<;Bt{P%E}h8p^&#dbo769 zb)8X7FwL6Y5v3O?ihu}$^ePaVg3=5iNC~|cUy4A0(0f&o8XzDobis�i^e$p+%|) z#L%RKKxjAbcklgi?|FXh+1Y2#ncbb;IlFUa*OR-WRvgo2>@OGI@sdkxc@6Eb%5jm? z32oaBFhK=A%@eRs5ZX9asqHz^1XG6=Z6uaKW@Cgh>*DQ0Heq^mgsJk$yIZ3C;Ee;QEXNv`lPkt>ym86~D3MSJ@IxOy`0Smi_u+nBGD3~3n6{=3`l`e= zSbsrEvxFXG7-Cj(NEmsy%v#(O!4=U)ssCef+F$fDFcB`_Z=1Ax5FCwt5^*yhvA)P9sLf|U*y9*D?9_w#_8E@8l z)uq?*k7C<1_b%4+5L-$EwSC^$wot4z3~MXqF%9P=MS8)9OvjKvYk>CKJ=f6v@m-K)u+{E_Oz3ngo6+N;F8dP~ zXeHMLk!&Nh_5lr!=0Rc)9X%JJ<3s|J!9dLg_K1bFM|XNjAv`$fl^V@yDaN-M3FtMc zL7W+*>k|x?#7vS6(%a*ds)3zWyNeJVCNW653llXN+-c)3V8#hlX;b_7ro^*ugMb>q zDN|%IlR=UQIzI(N5vum~nDOTBj$gxRDpa79%Nc1)ze0YQofHRcT-_@coH=V{K#FSO;V^=n^2~85iNPm=Qy{zCy*OZ^e%EAl zF`=@=-aOTpj(&C4UF!=*S1VfVA}0>Y0z%%q=USx0_0G%EMUR12`3F2k8@S{%`&8!I zQ9KJ9tcuEo`KExtxq!<;?t~|T0l9QFpaPRNP+{}~m$y@$XYEy*D;FifEpeUs`2`7dW9Lcvi ziH==aRXAmYjJCuOOg^E=}NL8FCYnwe34v-n z>|KDabPy)!O90j7job+s2s1bKQIsBRas0>!=b+AzE7`;!FE&@YvNqjl{>-*D#u_^K zCm5QpPy-cuZebm#~Uu95)5C);a(cwX@uq_At z3WKMuCbBo{XPMPsdPr7z9N*zrrp`#oReC*&*;*(|x0ZtHYU}GdhS8FQ6|%>&6JQ#m zoj6?y&U}#~2FtaWI{LLbV+hChqmCT0 z6=v8=DcoWMoT(4h(q5^?3N*@q=UOh}YihdvfWBZ=dvC)E!T{v-_udXxy8O!zE}k-t@A#$oi5 zZ?|jAQ)q?le)h~WA2S|cy^<^MQD?>e8#l+eTJBo8O)O_{EMI?NQr4Zy>cX=gU@?(rfW zA&zEAA~X9+RFxy5bXCl3xhpMXvH@0G%gr2jRXEe6#GiH&;iCSWH>u$?J` z2-aKSFZVX_xt%VT+l>vxcg{@1|Slbr>b&gW?8W@3* zW(IaJCb#G;g>ZaH&s76@dNW{)jt~&LX8`~uPNmA=?&2Evek$dZfxFzC51;OMXC81) zYDdZF(X1)r>(c>I#xP7*L=qY0UGisV($~zCbg^Wm-3#@aPd7*J_S^_iwWH3tZTd5@ zEXzyXr55b-hMaZid3ky5St}1rPiyqXjYq^rkjx1k9xvQExi9 z396FfaFHPX*+OWs(gj$LeflARpJWkM)!2{07w3!E4z6F7w@Ip+gRio z=UqyS6f|HtrHxPRT*>^`?ztbc8lVdFZ&Lm{kofRl;>uhv#bPhq^PD2%cu|P%O5-BR zrFfM|_I6?+SgACHy}{f1tCSG+FnaPLRzY|E>FpQ=VRx^uQc1#!BHt%cw^lmhc@udp zun+HN2HG!3K;PH;@>JJ%D9c}~W(C~;m+qAOfVy%)=UR+mK59OS-jg~xUMCJexTWS{ z_*a0208~k6+@hv{MqdTRLaL*eSxW~^r#uCZ6~r*Sx7ur>ukLB$8(|7hFw<D1X-K+l~2yj>%?7 zmbl4H??~Pz!B?EeHo-%@iu4oFEr+T8kT-aNpiGq^-rqO;s*Y8Y_}C9!oXVwNaKTee zbsq@6A9UWJEsC4abS-U}vc!l7_=@pdjDRCm#3qxjZfZ{eAS)95mrcc{-E_s+P@ zA%M0kXh5mgp+tr8nXAeE=^ya}%JqRSYmUq!tDgRb#BdUD5y^!9V<-JL(unkNMzJqW zHH;M0&G8WQNHSJ(;H~8E1dxmUIc8sF@u?Lm+$6CIrR9h%mI^HD4__%5@kr3U@3;@P zHLvhVgmVm_o^@2(G6Qi-)-7K%Y7V0Fup-64+80F zn2Dhxsbo9_rvEjGdN)(i#Qh;7J@2^2ysxu)P`+dHXe`a1WmhO#Ye){ugYd>^P(rhGG^Tj5p~T9Fd594 zyV8%n#d4~Ec-7HQvROG%6V2=Z-!cW~;o|`%giUn#=9>p63!BxhKJVlgW~R6Tga>_^J)zkUDRFZU%v^6)8&B8GSg(sFVC_|zURnc&dBVV^_WC+Q&;rB#De7)md zg(i`9x)3wI!}ZOmLkZFykpri=&Ib%J2x~QyxVpU2>bK zEZoivPe+c&_8W|mOEXC^%|jQ93d`dru$Lx8J?497Ml#PPs1@k1QN`>ri^FcP0Q#?`DJ z_Abx9+D|ricYoU@>fPcgKwtQi=XLaDeN;L_et1|46HVVLO7 zU(wB~Ksw=IzIjm-#0hu~4XlfsM#nMv_7wgV{+hqVvA$cOS$tveWNRCK0Nyo^Wv$crC`t^ft%2m`8GfI4f>p(Xz#{WfF`sr<(!V^E0 zs^iMKjE;3+&^tvY+E*)TcmFhx^)US^y1|bSA3;bg-h20gDG8taRlU;I=^x*W5r|^t zo=NsNeA^MrmIIWVbKXyfZ#xjLCgY;G<_2elzGjYo)U%pqQQWV*8omv4cLU3MCCN(L zSvg!!gY$ld^W>jb@)fpI|JmIyJ8}%*a5K3EzGUagL}yRy3Y6(le~tPN>3W+sntMvTV#QCaPk=>FSl9ioV<2BCP=I+4ZnYEEiqw1$JO%j0^P zrh*MF1X!yGHR zK5%ZI*pdyjF@I&^Y_#)gmRSo+Ylgk1Cm|uZz78f0%kJ`I1+WgT^lAIISu;=e^^H!d zXnz|Jn9J`O-?hH&-`e5zCJjDtmnQm7GVFdbdvwv9yS?BQX|cl{iwct?j>J6SM0KnN zcYWB(!j21GgJXGoFSG>jxQT3|qixR>Y@fleW($<{ibr{1D>p3Yzt7eDk+aZ2_4}p9 zpS6Mwm8MuNBGDtch;Ce|j8Rg1$mN%_o&nb+%K0+u>8RSrL0tAl?W0PnB%53cYK; zQiR9&7k{rpGOdH zTj01`F`Ij5xjvua=C>CZ3xkUHwY?fTE&kEFO2CPPa|dejC?)+LjP7p#M9METU+RvP zHpYaaMxqh)}yG)RNrJ--+BltU%%7Jt@(j z$x;y7FAW`6|8c5mT6(qdLE LS[11].CLK C25M => LS[12].CLK C25M => LS[13].CLK C25M => nRESr.CLK -C25M => nRESr0.CLK +C25M => nRESf[0].CLK +C25M => nRESf[1].CLK +C25M => nRESf[2].CLK +C25M => nRESf[3].CLK C25M => PHI0r2.CLK C25M => PHI0r1.CLK C25M => IS~7.DATAIN @@ -102,11 +105,22 @@ PHI0 => nWEr.CLK PHI0 => RAMSpecSELr.CLK PHI0 => ROMSpecRDr.CLK PHI0 => PHI0r1.DATAIN -nRES => nRESr0.DATAIN +nRES => nRESf[0].DATAIN nRES => IOROMRES.IN1 nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => ~NO_FANOUT~ -SetFW[1] => ~NO_FANOUT~ +SetFW[0] => Mux1.IN10 +SetFW[0] => Equal17.IN1 +SetFW[1] => comb.IN1 +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => RDD.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SA.OUTPUTSELECT +SetFW[1] => SBA.OUTPUTSELECT +SetFW[1] => MOSIout.DATAB +SetFW[1] => Equal17.IN0 INTin => INTout.DATAIN INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE DMAin => DMAout.DATAIN diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index a16b34ce1c056b17ddd9de2bc42d8aeb45a2d5dc..811c60328bbaddb15c62a8f177edce854ee0db43 100755 GIT binary patch delta 423 zcmV;Y0a*UE1GWQ@8Gj+vm?mV8EF%dM!5t9xXc==!tP$u8M5@~T`wV1lr9^-X=iJLV z_uQYo&|Tl+Aixft<*Gv&=vFl~wjGODHvZPDs=(Btm@MvXdQXY%-~{wpNx+Xn{>C;= ze&An_W4}26+HG=Y!d}(Fb)3KluFS8Z=r5YN@p09nqEub zrK$iO^iqqHb$>>A;gmu;rF6f#?wq*rAc!C?6||JZu_;=bPE~iHYf-%mo56T6=y@Su zdlB2GJPdY0Iz~RZ@)Nd?S3Xa+UK~W556rdS31?S$u!&gg^URNfkZs-+S1d}>c)v=M z@vr~s5%wZug|WwrpE)7qtsr92%_2G{V`N7KW>xYyEFkLzy^h#PNZptm|MXBxK;s6B RA>QWnR6z&m(?2C)(z9my%YOg> delta 422 zcmV;X0a^aG1GNK?8Giw4Oo`Yd%Lrj2xC6o-EijkF8iCG0q^jM&&p_5zN(9Jo&b^#- z&;28;>Sfnr%QlH=;cugCDokyP$?D#s_mo&RPC=ce0Q^{p-`K+G z5Bv*C>{oKooyd7W?rKF5+ic#Sc)s=9Cg;-;!5JEg$mPnDbbrTnMZR55f(!$vgEogF zS*k)kYq8l?@&Zn}dMynC7k$1lj+XN>C#p7?7P#9PwRmI6XBY&ucL#g$(s7j}FY$9v{wo3$c>-xc=fLz@v+W}NtH1EQCFdj~NUc@(E z%=Q_N!d;lnkuR?NlLMs5x8?2^y QyVFwx1E5d;0A#n)?GP>2ApigX diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 57548e3bd55b8deb4dc6374533844273ab2c43e6..8f5f177c054f983f2a8df14ad55b9e7685a87f54 100755 GIT binary patch literal 21675 zcmeFYFZZCHt ztWaL2K|&%^{htA88})z33ibaTyF)~ztWd-{Qlvb_UV)OyZKi32xIIYsQO>k2VUtT5q`(F@Oxfw>6q$( zdT6XC`C@ca;J@`*&jrl?n{?c-WK&q zZ06tgUf%y2{L^=L$aPE|to=6D@|4+hPSG{~H&vNLZ`k#beQ?c79S)1E$O5s1rK)XXXb6x4U z+<%f}ZH`C(AEtjV1=#tQ{FDae^IVAo{`kX-`1srm1&+rIpi`}etgU>*dXFk zfQ~!ncZ!u}$9$X8FY@D!m2-8~+lMP%8LD{~O?{qpX`b~c$Nkq*I958dU}lvmT(T(L zN4pX_U*13VThpkD*5A@nzG}#GjwZ<(+~?GPcnIH&YZVm`q0}sbC*;ky3wkS?c)8zX z51QQmOK4CupK#v#Q{c@dNV#R))tyUAfcS`%9&>n-SZ5&Z4vaX5wMlv!ZrJV~E~qiL zuTY#*E0t)Hbd)jDR8#0J!??-ytoJ@ z+8;G49HS1ttX#()EO>9vFS|S2b*4ZT77>j?MS!{3@`D0(;#oS#f}XfARg6s5sr4Iw zz#juJv3ID@@WLX^PMu@`Np(tv$&%{w;(}I1-Pg&viiHLZnxrQ)1>g<3ZtQ_wgBh>%vr1_&TbtyU8kCnNyU^;l1Ajnpm$=6I72Eyy3vh#@WaIdD@$S7b;#rcPPrIdWHhN0UxQPk>BLb-eI&>B(FC3_@vR+^qEhuT ztRa+CTf;UGvRyQfvKtJ!GQD(H(H~M|!+UL0U{B5vwNDCIsWemcUtkJdN(=W%!V?||JQ&Jp>WMQs-VsQ<_^FdkND!W^& zt-j1I|TZjv82u%nevGcuAwyk0a@ z*l-46({M2;{;yZkVX%j6Kj1~g**GW4`KwY!QvwR-;wU_!%K>z*72VZXj(=$YWIM6A zh}T{N5UCIZZ;LIG!8_qTP(BRP!!FnO&gLdUZXjZLZKhNqx^<5T!13oTel}Ql4JsWA z_%*H7A@i2jH?+c)(;}?d_3$Rd%g-*L>D`a^u+riyEc__hkhTtYe4&$ZH3KvM#!4$0 zEE3TS!7OAyE``BXj%=XLR2$|(;sj<&f^l81o9r$VjkFiHZcm83#2Vt80wunm+Hsb$ z!@S9@e!I-t*(jm3w5$6w)P}JSTQWwJ=IrAX8SYccVODiP)}uG;)=XGtGv{v1 z&BLqIiA7zSXw-|ss}o5I{3q*?9;LKrcS7kHIky;hzMtI1w)!fM@>5~nEin9waE#{} z(?E>8-m0TbR{}{E9Cp`l14_ObU#*=Z&W>Oxl1Mq+_(vOt;KsL2@%@naoW&? z*oYc727HsyQcbHK+CXIptz|AJjp?2kaZ-fT$p@}=Pf5Fubje$2916uNw^@q1oibXQ zJ>^42Oa4F zlx&nsXTi55y~lq0YJMXrQ)b0&Fe0LetS}O3f#FY^%WV>-#&bcJ-W%u4cidkGxE3nG zqpw?$X+V4td4)2K&K{2wF4vh>AN=qYh-AfL+9MygwzWTVoiZFbX*jr` z$=;?r#l7KDk^PRv=JmK)n8us3jpNhV0mO)x4&0qwcmx6@D<#vAY@8yf?s}{&CNuWt z##H-vGw|%4-q!UWJW8gYi{7{wUBe@^dm5(XyarMntQ~@*iv%25LT#Q>y_isAl=AaB7Z1YuWk7ETic8xw*(d1 zBzN2+mn%Gygf}qJ$7l6I_iU2_BNmqEzX&#G9Qpw$@PU(z$fY-7a;T$8YqRNJTmBtU z`F(1NxmfK>`a4t<3vVB+w#*#B_8Pc5>`98oVa)kgYM6A^=ua>qOWPM4ej!Zo5nY1r zdw#DUas2(2`B++pOV@-`b^)S%W+J{;^oQbTbpwc;jOv$b>azduZ1uD+LLZ_|s`r{c z=;b=4j4iQ{7m=HyAb;tjc&PXzA3pkTX6W_n&*sMscv`$Sgp^|WdnSfCdlR>^CPUr? z*lA;GYhTJE0zD5R(wh0^jkY{y@se`+6rEc2Da^NV1o*Uy$LM6g=qNP^;zH<<^f|tP zeb7qiiykU-Gy$va9(DliVSzE%aD}tI*T*%A@_;^BG@=ZR(V|YTOcLHhnhrAZul3kzOIF#gc?Hohu)#ouigy#^JTl@L+hu+aPezVG&XtOJk zO$3%GZ@LVh!6qqbFNa$uobJxsAO>ljyz<+ax)!5y<9KT>=NY?izJUl=!`)tiUw2FU zR$qh^_dO~lb(3WrT%za_&6(0Vz?S&q@*{aaWb|Ee<{^n`xSNiq=<$!8WnfBK+ zbf=%^)@rT8_(CG*(2M>x)uTebI1s!mNg@BdX{q|kWq_aPF)=P)b?q&Kvf`P;5lFnF zL7xfJow)>*AJcGn?WNxk&UXxOC8w|U1CJQOD>U3`zNGlO_$XAkp*2Mm9=wDxKp!1pp+t^OH9@cv)1711xRG%cvi`DE(} zq$)u6fymt1X%=~Uy~#13XPeCU8@J(wx1oRBYJfKNE}^Tf{ole04YFgY2+fNAt3eE2 zuJ0Y4wy_)^!3yer6`h}dB?@XW?7kkG4!IV0iHc~JvHJ`=-9n}x+CLmimIp|ZS>4v- zGBJ#y%F|T}yepO2!^?mwoR9B5yX9#IOtWr#w`1I{P^-<{4HA*Dej1m1@d;Te;p|ua z)|STgk-^YwjQHPJ_SqVqHve=Gn8_mU;`v0Fupe8GL1*{DfPOlL?-hdb17U+b){Nuu zFj6A&Z#MXUDd-J9Io1-J{sagNAwE&NB*MdZr^gqq3-AsR~w6lniO)##{RA$54QyVAyWW*6rzr?|hrU2Cu!og=EfbdtpBBL-+ z-l@_)A}WsC1sH5m=UcaxOMUB5KuE?bn6pY6qy9BoQZN3yCJRR$|JytDz&R$zM3dRz zYx=ir3QtcG=@+)b*S3KO?S0u_D;hfSJOxTM`N9jV(vNsi4q23BhIBN%u66k$l(Ozo zyi@O=;YRe|BmdP(QYGdztPG>kQY{{aIYOBTr1@=inIpaW-v|-Auub5>;AIb5b91rQrdQG9&;QpaYM-Sv>uU#1vE>3{>7H76~>3!V8~?A;=Go(DkwTq3!zngNxsy$ z$19^T#;f`fVxLe@V|gm$@OO#X>0%RisUcFE0^(B3ez6F zv>Auf@vSvk+8&qcCU{r0i|X%)Jr>~4OCA~9C=4q9LZmVJm;7awFk<9-!j@(+5O&r+ ztsptj%*NX&gQVM2eEY3I#_pf1mEWf&f$`;+2w$7R+b!F4am(SUN|6Ma zgyvugq{W*KRbgWqgFC8;G^S~4_8i|5(4}vtx~6yr7_G^E^eb);S^9f>-KNuT;WxQV z_wWGOMxxy(fYdbVF^-uJ8AQM$`d<-vrO{)Hfu9gm-G&(Unuon>&1S$`ieJqa3h z-$+lb$Dhg=t_h=OnqTs6k@Y0Z1Vs1mUY9u}faz01i;Dod&iNwxhEyXuHXa`%h;j#< z0DYJQ?^UPt*uJtdXggR4NQRW_k`nnzDVYqi(tN58AO9kcv`aus!>gb9X`H$;X4|{y zH(p2GAH5yLqDgHPWS4tYL%V+r%)IkgAoa169n=1AVG0 zV8|dj6EC)sLO~<4xliG38or?M&V$QGJOf?m*JtC zVI{gTndF1Z{BDtlKL3FGIQC$-8Av%$^!Xc+g131#RQO-HCEYW8r<%C(^TbHIwsed7 zcR1(EUdjsL=_l)x?N#|AvI3CsLH&Q+uL#hlWmwE67S`NiMkSU%pVLoEACOI0rmqy* zkXoc?Y2l2^pCB*@l5B}^k10+H5Vs=Jw;HKrZ+0E~HCw|-NcPtL=KV4INq=Sz+^pZ9 z##D=#j7U&b@xdhKrB-Z^JNDxUDD;ZjSfAlZxp;HG;J`R4!`$ zePgeiR9&-~b26;xl&qaX*};j-A|rBbzx}U7*zs1qi|>q4-zc+|Zzq=Ee;^v&4DXs4 zk;ONGl06e8!B75Ppaovpd$b~d!W|%Ty;t575I)n{MeM$J>3o z$*61~{K0Qkan3&qgH5|vMpo>Ho!6fzJWX8cMAg>?FCOsA*yI;)o5XZjgoh=iO2}wO zWfMxtD~;~I`vZE=yE(IbNCng5D&l3#H}+}VXf~xj&Z9`~*D~}_<$g3;9CF4z{<|qgbwJ?Pjqww; z(Y2z0eHxDTP=QZ}GZ4DH5WPlL=&Ka;o4NTt=0yuf_D$G$%}>R^hz^H5yrSkGL}H(A zBpaQ}wuK$NB)?-Zp-7%JIIoel4zx>B;@f{5jwgEBFZ5TYu0I?Q07j##AU#mbwE$&; z{;QlmbMe3MZ2>S@Jjyj}e90Dh=T`%t8bO!$GCpR91%hO)+)Khx-%BkWDR26#=RYHd zvxAK{jXQK(eJ+O@G;A!6+4z&Sk!2o63t7+%ZMbY$j)NZjlB32vP#vU5S^qS7d9qMQ`|`r`2-5^cg4UNFB&0 z8V42ntm04==e*#q=eq-%sn}2g1WYy*-2#eHAcrPwcuU}7q5(q~5j2PsGCI4|yY+WW zW@@_?Ij9F~m?8ESR24BO5`Ys3vKJg_oau$h(Xr$Sv0OU10BVs{v{xR&zXfHQ;>+=` zi&TJaCa;JG**#Y`s}BhZzjFhXtlYFERa(bb+pB@+{hFv!|8z1oWR2)*viZ;208zgr#;`hd^Ud@RaB0fozp*3#la56blGl4_?SMSK;{h3U$qU3K7H;} z?;Hd+Fr7?YLwL%C^3s7{iuN#HpluBGu&l{oVHI$%Ye(V2_qng)aac$T$E(k;@TylFu3n+H zn>y?yE;%Sb_MX}_GFH~3YIhDZ7T(~cA@p?s@CMm&AuNdGug6vTUyf`8v4-qUkVP|^ zz?*mv;pA-`Yn2U~OnPpda0xmjj#fTx9BuZld(K)qBTIhf7R{k|PS&b^2@hYep^tl1 zogDx={o-qG4wT#%w3G0$s+Cs?M8|o?KU#xmiq(p!L&|Jb^5kkZ+H^ zR{u5dP6?7Q;@c#R6Y-57Vlw)Hfc{-pILc1kj_|3|E7guG*7y4Ww{9lqO zCu0ffHow~!{%>rL)OY0#b!~NL5)HpQX|#f2bmkgAiGyn&^Mz}KTGzh3rz)FyiE_6L z9{T%s39@0HtE&^%)NIQ9FWY{;wfAZOOQ#9oH?i-jUBZ$w(X~w6Z!QyNVll-f$t5)D z*$T0hQ=owUs!Bh4OXK9*Toyr1GQv#0uSmmm|J%0#oaogo-osYF7P(vF|EA$#;2od- z0EK;p9KL@yG*m&XQbB;2&7g=6&l}ymHt)!$T2ua)j~7U0Aqi3BZ((s}Pt$J6YrzBg zkZ`2utJ*y9H?xdi`l+E9ZQ9f{ZUYPA17(bSeUbPJ6!W#(9DQIAhJo26wJE)3UZAp| zbfth~B|QZ`nX*KjGA?kjbTV-kIihuH)!Ig$mp|?+hm-iP4*|0o1tfJ~lpmJ-(&@j- zFq3mygn8mfl(c6e#EKfY-_izth*Hc&G?L<%VW!w+b@QM@Bh%+6TsA4{ifn^k(FA(a z5RKDL{5-|iMEeU%zKFobtuS8!MZI)X8{gvdGfTdwkrpX)i|HAJD52KmXcyq2D&*Ok zM%VuBci|eo0R7lDK+_WaiaJAr|Jf{&n?IjZKmNyd9PYYWY1-*&#B>Z~kQu$!Y3F{!*YhKhv3cNVs)g78AdGpE9qRSk; zBP7)KH=072u))OlFIm_IT-WCwPM@)AQboHtft9IQY1T)?r>2s=Nqm(|mG7_U=6a0# zD>xICA|>I2t~AirPf<3Z$LIv|-ckeJ5&8Yw6s(Eq%QQ9mAF$dJ<8I9x3xSLELR(x6 zFsIqxhi@bT9ESyC>{|ZJyYm@|*3kXC{l>`Joe`QidzkC+{s*_OM0fW6qE`WWWV`jQ z9>HW#%N8R+wF9R;USDp~`8T`1Rpry6ac4f5pXxhBSD2R6I~6$ba5&3kB9HBk$rVz> zTsVzZQ||Of5t3Sa%k>r%^C@>Vi2!Y_y>9dIMoKYUOXH5GWUFgZgAB*XRDi73G^PAr z?8}$YRKQQIy_CeZA6k1^4HjL+%&yF%pjPH2U@*B#ikKVB|7DKYE%p8TH&BJ=Y*yF) zYDJNTh*1!W-kw1RCy!_3D8jyZLda?y;rBAQTO6wBSkn3$X0WbK{X6V67Vl|f4?Gw%|MZ_;$7yZh2zX`nL^T>SwVF|GhTn`J`LdAGJe4BY zUu3$=-{CsYq0;Qo7&+6I{!&=_oQo!@Y~*DblkVK^2TWbl@r64=-O`PSzhj%G$ z>YRLroH`lZZPpIguUGvWsg}h)0R#3zEGVA&XyB3uZ8~6R8xQG!>YiquCQb)5F(>Jf zvzr&0ju;d|y;pg4zgIpKBh=n3r2hStJaW7#W$-;fy};n4^043pV~)>(ZA8qS<3sJj zo3Lm-{@>DNA7E@@x$A0VB1Ow5tD)eO8FMviS3vV;3-Sx-B2#`V4z(7#0!tYGH=kR(s~rkDEM~SVD!4_n_qn9`9{8yx2%%>ZX~CW5 zt=qsec1Z>Ik?B%Qz0uE_B^Qhl) z?I>UTmasH0^Q)_#+;4>u^9J`pr_^J$Ls{0HN1hLcv(bizdusg}uVRElQ{=<#cq7pp zRkRp>=9s{lW~1JX$f7E|s3Lv87i97Os$$I&#CkAcowF?UN9oUMT6;w!*5_XnX{3?H zm>G9XGBhL{JCuF8@eNy24o1)KRVJ-|h7N1Stmx!iVD8}m=4Jd;vLcl=CtPiEKq!}3=u*m%ryHhQ>wh1{FWy7Em0+ETar@+LHq#?4fhWf`N19Lhy5!De# zw}dxk_9E-FJL4#`=YV^!E5UN*wA=u2iU4k<9$Y06VA{HlT{7yhUiIj&o8YCXwP)2a zl0*-$GZ674uQ8Na@CjW}Z?k>tG5o73*Zb7$y0tpj^R#(Sk6w-QGpax;8#%E&>=prS zCyGHif&f2dOk*<(kKix`Ap*5$m&T~9cN|07%}PZuXqJ6fXj0Ude}>S5d0i(z0>}3D zG^4d@3Jz)N8a=nD83iD~dS5E4z)O%>ik*dBn8xB1zy{|iRer#eVgj`m_ ztVM^U7QOvvM~`I=S@Y%pa|h?u-oSB~!5;8$lV3kSoRX6GH%!c#gHE=#X{f_ z`y2c;>;Qv~{+SDss*YJa(7haMoycnE44}*K)+=(LWrPPhXK2H%sExo^(^11Rq%1Vv zNw)AG=X0x&_4G+A{|+eac|5TPEsS>9emJp4KqO}xINP)zj4N%4smdn79EyVNl1xu48T8l8lkFK;Bj$57y8JNtmM{)3Uq!F8$Ad>?!Z$ zB|TV!N=ppItc9Eyo(QGAKH1}G>-S60_vY^W<+y4X$yN;{S zS!UBpZz-j`d&A)-+rq%mpE zI&3FTADa)3;q6s-57n@HNFPW_dC#D|xqD<`&GCWEe6pcqqK2e~T%>!?#I&DE&4O^-;+b4WsK^ zwNzR=TxB!H0tKPR#O2jAg?!4Nl$cm(ee^e>IPz= z>bI`9SmlL`*6mz^y!1SN{4^Rm~g&KaM}x^}f) zyzjd|5Jy8iokrx&?_M7OV$Cbw9M)D|EoT3&U>v*y3F|ND>mA8mE=D;LN;wd@X@alG zbEKxO$=iJ$cHbpdbbt{L zva04?VzOC<`ueAXufSbdEL)CPppXO_&EJatQCh<(DWSfgi}o0{WS(U=)_XVbAEQB5 z?~B&&HqS!g$1So70t@S&wqWKV*X?qxNCh?H8z`xdT08yJY3Zs`lv)>>38bh z1%G4kpY`8GSnjW78M*3<+f(55jBxzT�e%bforG7z9rg&nveTe7MsX1_|-8)l07# z77)3J3y7@GTjX|(R3XnEl<9p3&dk*~w7G8gw0o=px&q>t;wD=5Pd@-sl7=0QY|Zxp zLQ7MR*d@U(<_T;0H5yYlp2SBqon~U-%tya>IF}af?H=41OrN%JnNOnlFdML#wJ5}& z#tshR6;}OSO$gUkYKEpKB;zw%rRqiuupMaUmNlDl`nNiHErZSTDfS*d>xRX!H`p9<_CD2777(V;=wn={eH zwgb`5<$PADy~rtJ8!yn&rd({d*?xyBhfydB(QSby(&F*5)u#BGn7w;ysDKiFm$L3k zlSsg7*D7y&9a$RNTZNXR=7i@cp-7ow;1N@;2eS>CEojvx(w{nh}3SXDjpEbAkLQyllw{NtZ@C0Cdtcki4 zjTH?i@RP{tY}2RN%x*pPs0;f5H#4PO9I$^O4-j8Q+D?pm`5J0DF3hI4cXHnP{x6)p zT;wz+t%1AiS%zetTn#@Xj_`A(pAc1k4ZT6-%e9)DG}pg*Etb9u0LMR<<+iWE(RVh-^(;orLi%H|(080qr}Uj=#e|;6 zUjZuTI10FZe~al|b@+azV1`Wyh3|y&*;!V9h#ywNvMiCf=;P;coeUIS%X0v9HC zZh|>K#EXU_rUN+uH|om{dEUKt?;rvUc$7G_xsg$O8|o^AA_s3Kp$0$PZuTO4EH_Ta z^9>FKJfg_G4qOU;RitdS63K?Y2JeO>_9+wZ z=lZjeUh#2HX^6FWGxkQcnCXIi?dSnZ_JPD}qEC%p0QVlHptOJ#;m2s$03x)@;X?K4 zf|7YO>Q1AaGUwxtPJsMoF2((Dys(fXpu9VW2Jp{zT_`ieh+{Dw^gaNX^(A0ffx<)f z`AwD@LPU#XyATh`aa?ly0NOb>??qS;Aa-xp4>AxN%)XwXSu9M{M+F6wc z+`9$l(9wkd7C{_(jp{}F12m)3@||an<<4)2M&TZXPBl4S0w}eW5F#9BNf+IeD(T&{ zba5mFK-=mK!NGMh#))+ydKIx*VOy29{p~vcSY^?x$kGS zQ)<_T_xGT2+YJ39)xq|i*O2Cqn+5zz;)*rDkJRo3maZItoeCOOv7P^F6=rIt*VKy4 zyejC+D6cR4Cpu)V>0qlW{16M(>n`Psu;W1>vwbD8s^gd;1Vv|)j6%+5Go7P%F+~lw zXoxPiIWt^LT1bmk<2l5s4}|UCi0J~&)fOUu^>B7E_Bu4SiYw9Q^HbyjZnOX7*9C7_UF;3GA|Xo^0f`ff#%@rF)9wqnXl}-p za|ODB=R3-thWy?i=}X-Fm~idMDZ}|y<{wEeWTWT!Rm^Fn26r-Cb10UX>sXrrp)9uY zomyzY;X#4uS@^2-l@KmA6c6J<6?Tm~>JxlFOgdJ^7rLFTQsyB+aWmVTo1W+?JELMt zN$8?>eJ{AAA_5#j7p;tOmvZv+{|fY(L}I_~H5(kC3j!sRZ?L6;y1ZV8;dB~O+yg#$ zeWceh@;H6A7M;@x5GOkI^3_`o6Lk7QN3RCaJtp%|PMv;OYfv0T*;X3^0^c8YP&LYp)o8ywvdyY8 zD?VJf0ow*F8Ta5W8Y+{!5V1wOcwIX2ch&({eKjaIrV7F^$uU@)}3{_Xvsf zLm~0lO+us8fwcgDUXKXVrIG)S;Hngx+&cpu=D71pSDq8xv8v}{r%HpmX4_MfK_{mm zV7;Zuk$%~2-y^c?pUDuJ;jY^tBl{0dOn`YD2U#)1`)41=4ffrVi@3v_t<&lJwe-$2 z&usG_rw!;^Gp?Zv;3F&WL9a=F7Tr-m6KMcbcYxo__)tsox|mY^#!db!;Dt|@RKvIQ zqW?a5iBq&Evd^D(6CFwrG40+|I_4Bl4jm+~zpK&a616O3Y;RTzeLgqHYr)%yfY-c_ zb!4DL)v*US_4;mqwwHi43y;+C+^Bc_NK>i13!BoCv84@L_RD}+g;k}LQ_Aug5haC_ zBiLTs_uV3i4syZoZA0PRxgWQ8@%qk=^gRMH4jmiOkD7-l>me8F>X9{qykZRd;P5#- zw~3Sa;JKy+y$}J_WoGm}iEwlQr*HBLK88K^G+7T$%cWCJUGkeVai{LS8p{hP_nj)~ z&uXCh0A7WIVQ<{4)qt;JUT9WK40w-*CEf>Vyr+tT6$L@Ui#PEZ7Z1d*SGIk&3fXGn zH|LsMxwtN5{9=p7hJx|XebNrOvsC__-{+;J*!pqYcd=^UFMkI?MRfuWIQSi*ZNmb6 zPf0F^%I6cUJ#f;f0H)k^76Gk_weWC&)o@%X{n{(# zTk*AT!=XUb$9O6E7%X%wndjDkQa-{OZ8gi-pVJw&X;mqE&^q3(Vo3iGif!CHC`8~` z%yyY(pE!w;{O}4Xm;Ilg*_+``blYA(en{XQoUC!lvqbIbqB$?E$`Shx>t@~w&66Ww zvxPA3d*=h1{+N-Q-<5N?UTL?mLjBCR>X=c)71X-nT4Bi+j(jU^`Nrx>hk{JYz1lm5 zchNU&=m6{ni$9GU=7FRM977vnm41iHFVErxRebB#v*PXuFL3eqWHNy#Vqe((ZA;?> zLbixzJi+@H|U&cSF4gZz~IV(c&FTGvbIT;hs>+)B3<~n)?Kc@ z2=Ruc?s6S{=m1!5_&C3Pyd5fK87)Q#u6IEMI>+;9>S-yT`&OD?_+QWP-+meOPD8lC zjnP*Iw?5p+w7g$L&=Z15&^yV=2pQBW@#F5ddEP4$@V12`-5y6SSu6;Pyf zfPO#qLuMNsKiS$v=@aGIPzCMZ-{<`3XH6!)C%e~^Kkw@#yLrWXQsVVX$h^}!kXWzV zToE030c~)9I)616-XVY0$uQ6;`mrt!-u(t(lXGTz2YOOB@n2Cnxal>977n#M(#8F& zvyPAIezDTMaXZAdjDG;~_VMQp6+2=0Nd=r3%j!85zd_4l!M_hdW#;RnQaXJZJ37~P z$P7u1gi?RpetUJZ$v^EI0uw>mCVTvZ*p_AGETVKZfD;BXt#FQRgNjISAH8aFo5??{ zKZ%TF&EF0^Qr)CAatV$-dH+W^7p1CLcC~n?dGyC>YBSO|pBqpebjxU(LAw66;kDT) z@A|2axcY*q2c14wfSLoF~3sP)CeGIq4%#PTV_aRD9iqpEZE zIgA;%WrlwWlyuKhv~_#ems12=6Yo~@Jyz!ri*Oi+R_qyjL^gNy!IS8E>hKfn} zN%)VoUg^A)koSX#&z*h)aiHOdC(*=EL>02(z4SJ`+NMDTORvqF-M(sWfA)>fqmKgC)x!uv;P7Tb zL0e^o{zXrpEqEDjnhoCGwJ>n0s>IJa&M#y%a(bHh+Qoj^_MOTow0pp63-aCf>07*O zMSRH?rTg9cs1iXV5uIf`4M)PAP4t&)hMYZ`J!&*KEr8&J#=hAhVfIuZzl&OIRloj8 zW?4tMTL>VP`S=?!KpR?tT+J@3GOI!hKQ9Gd_!4$Q#J&HxjHwLzUHJ{BgTOa-yU?Y#k6?hDygeQ)F@ zV7REk(AbZ#Ze|1Ze)`_=jeZMIxPY2>z*<*G*bcr?x~Hyh*2ye(5P zCFo4}6bkW5BeENNR>pzW;JB!@`s@pvjaVU5teX0d@!#riPQ)eyIiKOMj+fwYoT1c{ zV-id8y9(G|6Qu1r!`;|JIp39Ecpx7nZs6N1QI%QsTv;Q*#oqq>l2-1xwEN?ScgkAt z^O~XUPYHcZ;1o0dL*LfpT_7Dy!29#l(tX=O`b9-tm`B4ot0ucgCF9B~NLQ)f%fB2b z?Mn_LXJb6ekA3i&p8JWOpe_X)=ve1s<}muzsTs>@32?%12&635cHN^jxf68blJE3n zMAUmP*;&aXrtowVv{6#_unxr-=kkHibv>+S-z|_PhO;I84j|BcPD?&$QLkUpExZ47 zd}UE~+Y;p@0IxVj6`FWf_4l?KHS^yH7-k@PCMf~53@j$7KFJ_ZZmPaNe?zGF&YzMu zdqu|1H4?i{kALedbJkta8bHW|J|$eJooE591|Y1V{aSvYxXqrOshTP5d%PyH>3!bC zX2V((;O{1~(cE1#4HEmru@D;N(z87Gn%=W=cV*K8_BqRLgA6BX6vYsMRVjx)u12Z9 z+3^x9vv2FA&N>>t<^E>pty$Lms48av*8z`EgYPB6)__=uK)IM;6@t_&e(}Vko2@+4 z6Z6woYy-e^Vnp%-pKbR%zig)vrl;Wyy9K+bH!)P}1*v# zR4}(|j(-mkuMvC2HWaXTc?l8CQm7XB69tl|s=~M4S-b=XW$>!sdFYKUcA8C`J$?Ao zkSpC`?B-9rHD)gtX*gZj0W?wzt3yCT3g+kr}V z>!F*7;{22O)-$b~?h3owfR8FoWRKC%scZcg^Jc`bTV_0>CWZ@OLnLrFdp@)dcc4)J zqC6pdi*(Z;->L38&?<)cO1DCUPid8ri_&y;@i6k`jQj27@#nU~uE%JNO(zS(>PVjh zuph1%nsc}AqjZ4o(dKkbp>CxAkm)4h?=Giyv(b8GwRHA#v}3rZbr7-n)5NbcO?r#T z<7{Q#3G0eES6n+Qp=78E595a4{#vA5UD((k&asJjcAfL6Qs=1gNg66pLhCANtJyhM zInqPxN?1rmXLL*v-isVSJ(M2|HVTK6$uqR73vU?s?-6jJz=i~$mqV|viXkyPEd8vF ztF6Nl0SaXv+iMro5Ib@ai_@ZCY0Fk;7y3&Gq1GR|N5(M zd=#egAt(5UN=;-QwP8)0f&Ma~a9+x|gK!ovOAx|kgJbuGogmf9wmJ5F5~I+O0JMt! zG?9uuRPVzjmxC$)rCD_^SL^U=U-@ouC_F1n_=U0WP!hUdpCiY5FXhhA;yseEKl-xr z7@D$s9WoRl+_rm`zjrs@w0^L*etsypL@{$O@!}9jEqQ@ts9z)LbeX?$HloYv<_&Mj zp@^?r*PoO3Csd>DD+^@(WtINWlvb0+Pgi~hheebbolF2Qmf6Q3r8~+xy!FE<+jh_s z1>uboG45sDGNyuGs_K2Gay>=KQ!&8&HSY_}@;UBweDVCj#bL}Q;`?(Cuq^JTeZ=fS zT{GY&yKSH!nXQQOpIYd0o6P?S_zws0o~o&cYm8fc{Sw@f!knqi=7}l&xIbc@;h#di zss4NK&|cexJk@{kdHDEnc*iqVFZ%shZFZz&z1I1Ge5al2=YtOx_L)I$YW~N4C~JVW ze!7tPA8m1w>U@RfS2qUzQtP7o3HvVT#`u8pDSJgqeP8>)0UYl|{#KjKBVzKM|kAnzt&F4Jc5 zD#hRHCFdmJWNfd!_I(66A;oxBarFwxf|tJdhYfGnc9Hr=EleLNdk>MU1GO10RWJSM zLAp2ky^9B6TdDs4-(To|c&$7p5B-*D`>^EM_?yy?c>m1|{pgRxp}u-`u6ns-T`C*Q zPgDB6OIVXdp3-kW$-@_PZ&%}E@^pE{czy+S58&P;%w4thjnnXlOvX%`XQ%XAF5HR2 z316p_e#{Nb=K-d0+yiVa?9jONPT_d2`v75)mm0UO)Onu2?HF*WaqF-k&u}UI;DmY& zw^VhrwNlkOPW~R~dnM~SZGHYCS=EcR@y^#j4A?>2eOtKQ0xo3-^9b%MhHz~=Vmwpl z29os+ZML46s&{{3R|seEDAgXs?{kEEwsLex$vZim|M39sQIf^;?t2d4+V-aI1MmZ$ zm)Y;>r|tvbhV_lp2kNDd|D(1Y2jU;|oUch<-~TvKCw-0Q3!fdBAKYEIC%8DC;u+pv zpw0M6;qc>S132`n$4c32@>21I@pQWZd6H4>qV0kV9P=ETYfyL04)i-+y6upm-^*Q{ zDS7CJOwLId!CX{)qHL z$Dl`Y{H0#sb}@O9Q9U)(*B8f;L;s~twO5^|-p@Hoy0OlW|ASA}ySL7NLAc!qaGUD< zUxgbQS9$iq9N+F2QvGrh$-73lzWWrZT`koX^tZgWg)*0F9Am$g#q5PY<}a^PZgD)N zAG~mXWOl^lsjg}>Z9LalrkuKCIO_d{FwDno{W;Zc@It*$>XD~vDtO^Oz7I#ej5B;c z6x~Rvdd;62RNM}ot9_Y%Zu8HWz2No|h8Sq`jTjF7j?;FDaGNXFb;*8Y^H;_>a4~r* zuAZ**%!h{V-#!`YLl!9}5B**wS>MuT<8R7e-XkpcK8>`vJe{j(H(UEo)eDZk0oLqJ z=?9iJ-bFd`Qu^_%mV3;@0xl*GS%(QjA31UWN1eot$MoRfkNeM03b#{;yD9&pzkN^f z`Efn+&~GzspOZXnCB^KZ-t`>@T#6Ss=DektJe{j1i9(zG3>=Z_r~) z$_~vltNUqNFFZ$#;n44w(v3BaUA2$A*t}iE)h24!hpFBEIp9+33{RCT-lISkNqp8@ zeGopV_d~*Q|7+!1r;7d#->rqQ7z8$Ehl(p?s;&wQsSnp6n$H*xS^VF`m$ltGJU30* zOP%-9y!ht>JQJ&4AbCdy9sB&?63N@RN1imV?iRSaEXa%50S;TRbVJoo9>an8rF7%D z>81gn@`HzkdM&P|=95;h&0}KqN}YNAa&g!|O37=^jy_ZOW#5v$+(+5^RqDQML)ptZ z+|W4l@W7kdozjnYk$A2a=%S`f#VHPS#%@Bb)nh>LAsZgf(2spZPr~=BFF=@S=LE z?`Y%u`}ZEOm$@@~SxVW<+Qy-hH-!7TFdvf4V}jh2JnTI{GOgWG_OkZ;32jzys{i6I z_=Dk6^{$XC?s@EY7j)eWn@`9=-p^!9rJQpqzrVN6KQCjw#O{lbMM~+%IQ~WYiopPu#Z0pV9AE!kp#SE9JJHnCj=R6lS^FyA!d$`4p)m5j>9nb`V^s;R!E?H#WFrsChR!mTgv`tDPZ z#~cFvEyeWHx%!^=-Fo)B_rUv@Cdcv^f5@}@V`2x`SbN|v#8Uj;cuCFc&J^~93|`(Y z+zo1D&0j0(P1(zR0Ou{GaFuWy$^U*F{4|9#IhLn*`G)j*p0jtMyqqg}U&-L*DB*sY z!3%3wW&?T3-X{pRt9be3gn0Rk^n1w!dAU&Xu6DmymFh>Y7w!`-uBGf{j+ny>@5Ajc zUJjcOFULzi-XXI7u~2_uK6hS*zpR^Sul?TJ{fMhQ{vuxM^I%9Ro}06y&s0BRuFL#t zQoQ_H`tiTRR&&Z<*2(0B?o>2WR>V?>)aQ#0+ds*=yt4qa`rVjXxVZOl8kM)UJk{yk}UdxvB(=dg7PX|_b_b@XFi%)I?iq23gKtS9sR zL3AUf_-oFNK4Z@%(eKWx^C!wpzm&Wm2=_KuZ%RL&=Z$Jj>&NrZ52$@F9%$d)RWHwH z?i}Qz-$L7$_Aq&qXkXT)>^?8mzCRL%=ljM@ioa)xmse>sT&g|jyZkTcr33u!rg|@T z`b~mAZ|}7DJ5M(7-oNqE#~)*`;Zpo96@S}_zbgj#d*oWe-}$nG^)=%q#UH;{@r+FV zwh@0<5AgShwS>Q)$`1egqAC91ZtqO~wiJKY4e+isv#`lIUpmE(Lt}GWikEmmzPT3w%WcI?v+!1%6-hU zbzd>GKFRvbLBhR#V7-oh@owQ>(St+3?@Bk;9j^(v)bo^Q3Cr&qd_V9KvsYYHFVtq7 zkf(6eJ%j`EMd|lX+6^y4?4d_PLriyzpt_`Z7oQ#j6BO6f=4h9QsPz}S0S4 z$2gfWd8(#*OTcUr@)YikuCMj!$MY1%88D=je%Qjj=rafE_&u_CF5ZWu z&daqC53KD{`Yq4o?+D3bjcaHf8Ty?q%rb35{JkZUKifcS_dzCg1OV#@@ zVOWc^>r?fzzQuEF!^Pz3Ty?Ux&9s@Hrf|e5){ISN45zYcUt!M5&`hB2; zJy*k5_}+pmW=Pw2O|wx!yvJZ0~T9R_TyUgX_f`my%?j{%>u7wnb7 z*}6bXo~o&IA6EVMfW64#-I8a8IU@R{-h<~J+4?THRK3h&FVRNcheICEkow{&`bmbS zrz1Z|@yxu*zsr--kNRHg{3?axea!a`_!auSS-87p=tsYJsKce|JzALC4AcuJ|Dla{ z9(T~D<7j)J-*06rYY6sxb1D9o3d^`}xRic>C*5-1%l(aH5!cX-6qAR3Jg+qy!1d_| z9tg? zut{DvuWoje-IvCk9zuK5Ll0suQ4j>FD1vwrZv~+q1kd)=qZGk|i1-Jnh!R04r50zV z$tJM@9}Ms9%x`}Co0+#e1;a260Jqe;6S%=Kw1Q>N&1GCq_$tBYqD-GZ7jGUf6OvaC zgmGUuzU0tj94(bj>Qq+dHSWSuURjH)N_dWG>x`L`c3Ce-QPMJ(w)=4Zd7#-JyXl}zob*aoK3ZMGlBd1=r3}0Cg@aaKw$8^Lb2LBxngLfpx$jM`~cVm zr19S3k0<16^dl>UXL_k@xsg&15<<|2XaDWuDRNO4_ti!L9@!xn$J3v+_p8*qh6+z; zM<`qRig3IJSw*kERmkJ>1R9Z=%FS_OwepJ&-U}`Fdi(+DqHrH!-@2zSQp!kcN(Xd5 zd_lz5n_IVk;y&DpE?b_qQ^K~55mvFhdTkfuaJmk%grk$v!h2fG>5Oe!i9lOYDxdxF z+1ciG?}h7_TI-*$QPqQW8ZM@_?g$2kc+M}@3JH?c-wLG*WrTT*>pLG)MAarc7?E+9 z-*7>CQ~`A+j{4z`>)?ozl>K80DB zF#$)=??&RA|HfI5F$n`0`24%FO>w%HL~j>W&h)R4i`Nvhoc;8Y#u79!=$}rSzA*j* H00960Vq$kb literal 20934 zcmeEt_g7Ovx3&mM2kA(!BA`_1O$4Na(h&&Kn-GeW00EUQ(uL3w5E1FUh2CpKn$*xk z?<9l-xbc1O`u>IQmz%7WS?laMb7p4m{p`J;Iib39=T12(9`4r%_kDqDO&q*^T!0=N zqT)g#LZTcmd|lqzbBKwHafnC?i;4-0iHM4G7&>_Sx!5^yyt1)#;}GRA)Z#F8u;oy* zcX^MyGuH$kH_V+o68isX?yM91x9xxZ-!=emKZg`6G!4BC4f0PCQfEL}FyzyI-l*VD z#c;+yW?f5+wv;pA3qoWDC21FU59YBXsqlGIb45jm85m_f$X|QB6nYB_as-FMP#NZK zjtAF4$g_p|*}wzzn7o_fSzGXoKX^7%;!Hls5BHfE9UUFB38e`V@R;fvwPiJHR;HVE zYt>t*pJywe38loi)k#@`FGfcLks!yHe2CA}>;i-qKGOS&_fDGWO_LM^Xm;MJ~9bzOm|b(HI4Nl~fF?xvF8{6o`oG zR*4DQxxgP$i#Xfy0(e^vc@=f|Vl0N#tn{=h9yb?keBMFDCddAOfrfl}XHzX_5Bzx< zd}a9mNsHitc{|~o_Y022Vk-hAgo13$kZ|D(lM1_uz z3%wR9-KtekWwAdPL-(C-PA%lYvAEfw4lCVX1UIQ z=^HpkoVEP2xm`xK=39*bkji&OK`OEq3?PFWd#3%||Fwg@+Pyci`!FlFvNYDjdvbS8 zFr-4LJw^fZz98L#;s9zBXGo zr0aVvq<<<%dyY2+Z5kZSC*+wr+#YdCQ(bx}w=zg&EMS=Eo5_7_maG<-TgruSRRfO=VE`-e#*Co=W3 zpGMiO*wd?kt(PV3>#XPMMI{ffCAeNnjJ?i^MmqIS(kN43CQt6i5E-Op)ZHQ1n^J8u zys%kuE|WDu#E3L<+D?!?GaJ(&Q+*Yz`aRntaXpliiRDF1 z+%F7v>9?|le>$&A>tFBV%Vd@m+Ds(;;bFuhZ$W2i_j*2Cxh)Pe9c1HJ1%9$*kS@(3 z0y22>N3{46`=(Y>a=HG|lP!@^dA5%peMa@|3)wTE7?AY)GhMHq?8Z2v8HADjFe=(|+1R5+h|bnS>aB ztM$hj(XNUw=!8_VYMa&8FGX zeILtOG0uIL@C%t7GAJHA#N91CLiPY}ibgahT6$nJiZ$>wOvajObV4*p zwYNN$sL$PF_D+j{YPgz;9QA&!+NT54Kvf+s^|By4J0Y31Oo>x(RZcE~%6cfAS%&^z zNXH-UPb{l+6{AC6W#*R);WTRp)$6Hxsyj>tnKQzwWwxqj3>pW9R(2kBWlrj6jEbo{ zdnMwvS`9|=+gax0fVp(o&uZr$I%Ww20yFSuB00DY4MH?OlZZ-6j*Gs$U-b$3*bCM0 zEZFPbNS_9=X*SV!bwpJghxAlb+F@u?{qRsGG0(|+Q~K3>6B0A?KW*-Ec|7mXT5_Aq_KqUxlcVn)GKnkssmgnD#vH@g3AyfWf9JNH5LZ_n&7IOG z7(`kYG`HLWm*s6k`RqRseaj`PIG;pJ(K2U0stS?SGgjd3*tMj{GTtX|+~X_I?Y8GL z+Il$iPZ=xH3NfJGG1G5NoVF(~UAQ{yV!jC#KcKo!xSF9~d&1}XA)4W*`Rt#5x(BO; z$^;JZ_j4EG+A^*h+d)nEj+;q$bZ4N>OdNR8T|fn%l^9S&l;I;Aep%zlL*dRcsK(nS zd4*o7Ofe0SOCBy8KYlG{YWk;|i2s<-e`fsHtLbZ2HXgO!1CL^nv+!_Do`Dp={9`^Q zB=)%y6-V5i1u0Y1?HISMObfxQFcpG6K8;eh@n@msLK2p_XFu-~Qpp9E<`MZUAGK9X zd9hJtHILwS=O>>nHI-LU&`k40XRTiC`^^U@g7u$V7&&l~ZlT(lhMC4dWV;?@f#Wt^M(BkA=rf zYDHGCS#@JG@`9xtKj~Ni6lnK0U-xeR>sg`viqi{aRaTkiBhB()F1;bXyEt$1d&7<> zz*9GUL^UWS_DB%5Hwakq?&W9w zob*R`zxYdTiKLrps`W&BJ!yH89uZDYb%BE9Z1mV8=4n{?(;_QHXvnp16?Hykh!Iok zm`s+%he;COfyDj3Na}LY%us+Z8(BAckOI^-m4{l{^)=PHHSV)zm`*it zef;Hs_3B%Bd3SulWp|!85?|$-&ZEm=J?@J8quZBgV*zRrowgpwh#?K<^-D71RlkB< z@$6|&k=mMTtE54R2%at$bn}-O&7|-Usy3cP1G6V_h+PhJ+Ou7l16_j?-k&@ z`^Nb=NhHRZQ8Zp{i^0vR@P_Q8b6r}o$Pg_t~FnwmP|sn(JDx@X%oEVsyTF;Rr%-2D72v(TuiQQxw< zfT1PkX1S50Nb~=iew4Gh>5;PXpB-d-0_lvYWO_E#3fSEElXqVehwM^%+{={7TRzaR#3S<6qH z$*-!F^;%!e_CMTm(RYoHj#Mz2eZ6+yU!{KLCFvY*S>fd4a%d+PX;x+n1q8KwtS`IP zQ`f5cF84RvLtDmC{W#iew_)3ZTu1ggAXQgJX7n9A65^M=!Nn8NOJM&SNnX^C;p1iu zbn%#Yk)%kiH}V&tza)`a(sW~aZu34kd-mBCIq-Qv?S8|&Uf~Ft0aX=Ld{FjXcOH5x z;x*Ri{QLo&2OD~o*T7BDy46J<$HZoBApN}u&Pi{B zO3xT~%g87p0lg#herlx_udMuIJ=q>ZbUqXHvIV_+P+imG7Br5oZEUU3mKG3yBOIi(9qI76r_?Mbl9HYN5TkMqH8Wr+D%{ z^gw}X$9Hh#50&z>DJm*z-dEXgYeN!hECysZhVsG3&Kz8lG*nr7o_CFBIQu{u&tt-k zo$g*VVL8u&AGYhXIVFQQ{RhA9HWQ?4g}=4s1>U`=$3m;>Pd_Pa))JJI3NCo7lZYcn zJ*$ps9tT<73wtwEt)LaGkr?}L&Br{CUNuj;u;WSU%maRWQ6tF%Ym!5_$=IGA6YSo< zCcAU5(3^b$WbktQd%|f;Utn2#_@B?wNP=Anu}ejdrtG8P^O-EEz#V!*;R$v+@jm3i zCa4s)RII^hrT?tT+$HJxg_s-z@_wo~yiNbVL3pygo0B||MuS8~g ze&}QO;(20k1D-GEW|MDpd6?4XnyV1hpM6Qe74HfwtZb!4rt)aRmAiAfAb;9#O!T{n z5t2eG-R%#p74NyDJCP=k&xue1w0fV7-N*`AGJEx9$(w}x-+2*P42jiTD4Ldc%~}9J zlTQdBp#06jMYz|j@1siU^nfWsCR z#$D4KnI4LKm+CUL7nmQrca=k)09VQR9*H^)9#FT#EqML{e(1a%KbEMcOMd*6>*uM@ z{cnkHRY#?yIBs3^*MWxg$RE2D=;qZsR3~pC%+W+i26Jh<^zPX*?Pr2ng)VWlKOhQm zbF}dxsiFo1mvZ&D`4y{TEb(e8AI1-Co8CUp(SBEs$3>6S=CQC>Rq@>8c!)_PR>LRQ zyb)sA1&0uA*&qB6Gx+HsSo>(2w|D7p6$#tBLlmDa!6iWqlri!OIyBjU=I)E2z5>tk zJ`y!BgZw0yj76`I7`hyNr+ORo&2M=&gNSA!M09FgDhFHYDp>lEk^jS=$~jxGVq^SX z%!8>4S${~D<3{9h3v9&xx`*#8GskMLA$mc+b{-L5M;@Ov*1J*^ z@LVP~Ry8V6?_;A|CSL#2evqWQx(zK`t!dw4{ghYEt!Q?+*Yszg$Ibz^QuR-H{ksgGvU!1=cX7(I)Wh^^ zIjWPe<@^Y!GsLN_0$A4l81Jk0muR$JQ4&zfgj6ZD-P**Xb1MT98$G;vpXj7e!HEzPk-Lm>2A=ecP_>6m`f1fm zoibSzZPrEtEyK|9q$MQ1S0ibGH`u?5svD`AmV@%0GCY0x`Qxof9UDIr=;HP%Zn7g| z_@XSclQ|FEP_!(<`#qTh8;D5L!7IMjMG<(C?L4JhaKU%c%lq4AzMMxLKq2kWzo`7P=iq8;|J7NA-q#^Fs z%XGLUC~oQ1M?3qIeoK6wZxIdQy@)!F`rm?7Y`29*&w2c}MC46^dkPhI-*_ML!775x zij2NG)3!*BV0vUf8wqi43Z4m%)FG-_6>%DThHyU`-BlRn?(+Jrm#kquV_@T%ovxp} zag~xL*}bP>R^a*SpKo&xM|C8v?7iGR#5wYp#DMdg_sIlJUzGBpFEKCz`WHWEooHD` zBtRrwyF(_2i+E};pO?Rvx!F+b`MASLV>Oc9?O$4-d(aZDIIbK00pz_ewmUD~vlWux zOXPf{s}5HbW*R2~aJp~^vs5~sPkeh-q4~VTzK{H&@100iJ-#9wQh)v?Fv=b5l8C%)GyX%aa0zHy?@#r)i<~Nu~GAV%pyFK(sEiZmdJY6ANuF) z6Td09&}{a{J&uVtp!!?|p$Kw14YR+8D}g<){5#)<=@-Za=dfoReGJb*xh22AWgTu4 zwo9EzZq?h@0RK*AL3|m!^e#T-Zk_bH7k5)AK4;hlX^0HC!(`&g*Z0cDks;y7{%JGm zy0u$R8p7{fM)Tx-E2X+ad%xg#d%mp*;A9e#*#Mg zm0E9Vi1gTFo(8ACZ{u_d|5sS9CLgCb5p->3+#Yz~QEbr}h`%HXQ~9L!;i0Nk zYp>_qpD-(nJ3!pJrZ&IIQPI`ooUcVwbrxvcx;1E7B7ln~ToR^*C*Ed7j&P4DC_O)M;3aYgAD^ z=MoUoS9`(i^tVV`hNO0YcPINT`kS&;Xo*{>$E=rPDvP>@&pG$KdQG>a&a5Xl?rGLL z;&X{3p6Q3*mj1#Xoy|cQee^tIutAPh!SoZIWYSkQ1^VyUw)?YiR*{Z!JeJ?pyZDupU>eF63?`qGh1rt$fur` z>VLUmWZ|37&*jRGQ(^iyL8e3Mw@>c=tCY=mct-uh%_cNHM6=xOEIZ{1RtR|E|F?OE zoE4$v|3EV$oPfCT*P&;xTh`5Uf9cB{-B2P0dk==#a0@!(Shy(H>QBnwEq|fi-ie=L0pGqE4m%WV?R((;nx!`*MdlcsP*OAohCn(CB=${S4&Ym@L59QGry@V%97$X|sZO9w z0+7Z|&iL-wCHZ+%qe}br!v$O3N^NXc-^z0ytks)kmSt&|ZcvCd&5M4`!;hoCXTxzk z;y`x%^d@=g0>VFL;d8B~UrbS#>*))SeTQsHqMUV=(C_7e?hY#mgu(GFWqpR}HDE1L zE8+yoJ_4R!(otwdIR4W80QQh$#bm382c$E9C#2^2-KnBrq?ba(az5_E;v;JUfp7p* z@;HR2f>#-(oPOJ|Qaxr+zOeu4PE@Fj;}fp9rC)I>pW;h@{o#1-FH6Jc1~{is#eWdC z9$sLFBW%2P^Z)q9O#6XXKd0mu#)QYA7~{k5vvxFA`5b@&{+?}|eAHxm+Qa+2Kk6#w z>bxust&bk*#)`phSKs8ZUoM)SCa=e#3wy6OcSu!2kC*VcuQZ$y;T#3yoPx;=SK0A7 zBe9XbWnf-g4q>b+Th2Ff2YT`colYeGwN^z`xvASVa(PcHjtMm3X%_M<`1PB-qk^t> z20W^F{M7TB&!T^xP_{OBMZ8{XZPY|W;z(4Gw<^|rSa<&Uvwxg%IcSgT)5}4gyUv_1 z?CH2(^wM&fkaC3-`;CuMJ=cym>+?~4CtZ)!9pf&0ohA23w%tlpB=~N9Y=*ey(cv=* zUgm7|Z}%+|U$5)S7QY^sw}lZF+Su#)`~bB$#Md+ihQ9Ny27iv0QNAeWzTIq2#pC)A z$c3$YNL50d`p&vwPe6oLzxTUi+DoHC8!YfM(OFTov!FVT{Ca+{7V(A1XI?#gI?nKS zuojhQ_gZRp7HJZ01bVK!P7%>BdVlhzR!}uH`OK-Z?9F)gpD|E-T@|j>} z>3_(&7wnLLB7Uy$5_zFPXU8;;)rKP%DZNHkU?@@+>-9OB>V7Oh=1Q8D>N&$_qOvbZ zt7ZCZFI2C5IfQxyZwh4v@u|GNzgSb4|1f!_8eUOxsiUc;io>(T9f<($uK$Jt7DAPY z4+dfDo~`g=9PgB9Xb17G6M$0K18l~g^V|`=e3A5le8ljSOBvPe!;1?VDlgNN z=TpAhp%AJ5s#GLb#>MmZD8*LqrN4;u>Y`pi$stZmvR?!Do>J|0^fxYk{V!!HJ{u47 z^*(n@e0!{!_y7PhYlG(rC4K7@@M4*h&7o#^rW~=tszOO>S@b-<@}%WNXEvor{7#7z1fvh^D=YocQkB} z_sR4s5=b2 z$^fVr+jPL@%`UD}YhRa~F&1){h zt6exK>!PGa6Zcv{Bkx%EBM`fta4y!z&1r3ymhUmMjDomvbJf;1CRaS}{&hDa)l z!TE#!Eptn)+|_Zm#Nyzljr?Q@+R#6Sd)HkbFXPhYnky5{>60;RZrJ1>^nf%Gz|4Z}s{#Rio+3 zk)Tg-Y=p^2h>#Rh(;MD+w~y!5D=pct3C z>%wXNzPf!)u=+2v`*t7}P~eZY@anGqtPTNIY^q$ziGhN0x(~uz`;0rj>}#D?-{0p; z%{IcU=TUwo*F}H!bynvY0D>cz8JyM$>;uD+{oRQB%!a1`>iwEM5Wd!0|Hh7`!#et) z=Q7JR!I}U}N#@<0VB?m$t91A9cUC4+W3JfmSCijl6?^y2MK%+APe}!GJ>^fcS|Y=` z{;ojVUE>iDV$D&1p?}_V+HNyYv{+`0F?3r3KsI{hF$V;i=OBH&s-(9Q(0GTWA3F{_ z#@CO2;{kr7$c6$ziCJrE9bhw=AU8c*D7Gv@8(rOe&UrTY&D3wSs$OgQO8(4Wbo7%` zY5Ud@T{7y1<4EuB|6W(W4m}BdH&nQ!$RTrEd_XF@#pF^JD%ar)_I)LNyHTaz7aLr< z`S*e!U_VSP!hA27q!?al?HxCN;D9eZ>4Z_dQGA@CPk#ES3m%i~pTHs92hZvT)e85q zyWibvsnS2OMOp0KXY0d|S(F-;!-kr!#x(T6V>|)*2REFTNo$)wJ(u@c)*L2tLxdhq zPyYesuJxVkePTEos0)zskO9s4et^JZA%?vpZg)MXp-|IP4~G400^tqL6v$_Yz7U@< zy=h6vc?k#X%8&#VJm*;Rx7d@d$4h_`Y;}5UO4>})H7M00FX)H=3(By3Y`sBLE8h<9H%QeB-@#^hM`pG-P2CF4;g?2$qn27oQIKS-E>; zR?@Gy6Nb&{Lzt8(^65PTrmw37GUT@!|C&K$C^?6$gi0rzxfV8~Kb~ZNE(O}3e$uIw zqay?|#3NZhm#RbzB_Tvviq}3@2XkI+-qr;?WFj2PqYaJH&P8!Y1f7MWG22>eM>`+$ zjIh^7;b=LtQ@tc%AUmTeT*2mMSr`=MY+3I+qq!kryV=+^P8&)%2p1+k``T$Ia6>VL zzNO+@pg2Bl`vxrhh=E7l1Am5*$QgPK%UIi9Gdz?}uw}6fea?0xW?BbLJ4G2QkBfJ= zJRerfQc92GXE{05Er%<4cPggXVZL^#YcCgzVXwo_cS==!&ceQS)I|*xVSist-wND6 zFYKn1{NZ_Zi=Vd06B>u09mm#zhpi7yD<}Lq@NSMFPA&fA$P08(#?^un<)B^Leq8cJ z5nd= zARN51Pa#2PN6zcHq&OfdCw%u{Uk6>fSIRMftk>DKEHRV1Zf+=7zkEDC^E7+$@%T^$ zB?fEtBuD0^z|c)vLKu9r{JJTJ5ZehCuVK+ec@+Eiv;DVrdC=RGWr;fa)^=~I zCL_!mA)@^Iz9INtf1g_&nB2+R@Kh)7!0=|-r2S7qf#y4ZN0gHpnGpS zsAp;orI!T*3buHdDOX1I7f@(SM>0xs=dkwZN+-0f5`0>=G}`{Pqs*V3YpIp+nm>D} z8n?IoaJxDM-{;G*??d!gLufpA2PP1By@;hcD_IVGYw^laN#xnZdgZPYJb4+v?qUc7 z{@n_Uh8SfpOHH;f`iW;RF^tc`JHnwg`o~h!Y-xlP6qjECJxfZL3gHV4gi)1`j+XKK zC*NIzRjyeDeVT&qEhYjiAne`l^W3lnCzxE+zBum6T9xokT1ndty$C-Ga_$VO(1U&E zz-|U}9&WeHRw$PH$(P3!dx2Pz5WWfiofmk6G{`~Z&|5I*UP#%CZ2=!{T%fzICw#;_ z;SUaD@TFm_110i9U~FD}xfDkNh+@wd;j9AqWxaN!Qb)ct`^oML4Uq2C0O~moQB*pA zbM%c}iU7<&xd?1Cs1ce7Kwsivq7ezCtt$GKLpPt%dkRxZ?WGDgqqFcrUPMx|+X*Cj z;CcWO0nSElPhZ=#dF^Yd1w9~4ai!dnS!8dM+djadN;qbs^I8C@po(2m7}Q3S#yesC z54t|u^xT3~T8mD9%kk_z*~DMhI?JsFTD(qpvTTgbmCS+{pWZ+E=n>b^?d>iA#7%Gt zL)JZo3XdW?_HXpO`AodCWTE&LK4-J^WV*fVpk_w)Yo%FKlTK5<@B22p+KrC;8xw2; zBs~g0`?P;40BA1XmfsIGIIuYr4$8BwuBt}xBs_qrYi&JL2JFhj^ua-R{tQEQZmGX6 zWUjC(>BBRkpk2a~Vt&`7WU6ju^3b5ReFesLSTj_zRP z8`SO--}8jeID(|;V7+wc%^q$!xdTB7Urf7d6D7sUAZoF8w^f!7Su`K#l^J61mFe23 z62@}Mdunjdk3*^TPvfS*$$mL)Kczxs#=p?Xr<^xEurcw2o%+yi{#~1NpSYKL_Dz+O z{0lbl`VAB8p#2Nq(5}UmoXIZovZ$)x`iqm*YZ!Mm(4Uo?Gs13sNMWlVADP5wE;t8# z8*+U0jWXx!*JXBs#kQhW%Eqwwk!QvvgrQ|rxfSkkJWM>z*1cgEjB#*Xm<*{9(5ZMz z=Vu;+js-_)A4%1d$8|&zf;Noof2@Ucd{`(iUV0CTAhWJ8ROM&8@Gl!}qYSn^hO~EW zk%mAy|2kZJ&f5;Ed%FXKu~i*WCZlY37FEpbcUuD2|E6Bu#9(#~0ypwg&^xI5IVgXs z_41Sb>15Q(aj;0=nbQ4GeQ#**mQ3qNMIrB*8yWkmTtLQcr?!M+MTuY?fG z6PD|BJY}GObv6BnTm&-#i)iPJz(vq@2mScz`?96u6AI;cY*}JbCh%JdR;*637yI#| z19cB1+Gbz~%Staqu2v;ruBBYWGaZt5!*Kubv$BZuRcVCwJIiVGXEc)=ugdy4rdW*roV zbf`Gtb(h;=ye?^SlD|&!93bC-gwi->Fe@3XpId|}zBe%N3XJsJ6L8w6JLYFuUn#D? zSUonqF?RaM%18+3BlA;`-!}|Ir9$h)_G>}xV*H|@zbK|1Ig5oHUoxR-_%ic2Wn9?c zF)y9z;;dNIvf`j|M<&i_TwPXrcI{4&!x$yVFh-Uic_AU+`6}Ln+FqX7pI|pQr25&V z&$oK<);Zi#8rag-GO&X|vnuN=Ldm|_Yaz8Ogo}NrOepm|A~&zhVYe?~%L(YMdWcrB zPNrZ9)bFR~xla&GK6RFHgsPtf7q1%Keg}1Y&^|GUf!IZW13QawTQ-eg^=OAex&B5` zex((zq@e)3ThvFB-j@MBT-lUcm0u^iG?M2ACVbfGxz;-cFnPaWAD>wlrW7;WTkkL( zRZ`B1!|-DiX|d^3m;FBYSI0k;g-*I>1f~~Q`LeY)^R0>1l>)UAA;T&Q+gRan9Pm;m zl>&H2`yQ?@yjISe%UL_3UG<}dsYv%rougKzVZq_ECk1<@DgX9UB$t_Q-w>KDytp&pK!Pk&os9Ph3{`WVx15ZoDgb<|_(1#tJ9<=1Y#i zN}6up|Caii*O*c!?20L@6;6{%zf~}38X&Oz6`Ckz1{YZj#tc~Sl=f+#%lt)!+qI>G z?p_+*K0sZdf)43COK$LH7X>>4?+p0tpeRQv6EEb3WS_IJv2PU3Bn41-rEY+qtQa8O zzn{S%I_r-jxVNl%_lXrws}gQ7IyQOqR}RC9mzbJ;xys_(;U6R{4GQ9(DK(T!D~s)H z7A3XaGVj*s?(eZo6<-}8y3zSfq2KH|5$$-wL51s^g&PP&_)_1_HJAsueYLwsAq8(z z!WnDa*QusEQc%dr;#+HhLt|^D9=5>vGx?$UxiL9IkiYd1ro4ghej6Bsf+Wj4gURp? zPGFwU-TXLZLIlTbYUWf9HY%kq3k(*5=iU^_zGk#$NFf{uB5oW-C)FG&g|+8jwpQ9F z@pT~fV>hj~c=f3(NSfNABhq$F=zexsu?1B1ObGoMQ$rXYocLMkY5+Za&zg0Rd9nC( zbl0ZK+6z8J`0J17_oFc4g(!TL<&}qFagY+Im+No;u&T=(S9tmR5JUGpLZ#>uv(}Sk zq9Ye8wv8Y2nv{_iM>7%_@o*mHg39&N#jC=h7h+ zR--;Ep!`U1e7|eLgFOXm8d;5RZLm%S0@BPi^nH*IKxatS8%IFRluUguQawWqK-SeD4nFQagT~g+$xG4|7ZZYKiDO4;J$!O*KQ^S?Wy9E>j7kq? zFJd+N#LtwL{~5|UnsG=>?{D#1MpHqaFo{>@XD762IsYObKF+pHNzSDE^3X}$6OWeR zj5y1_yPRMoA9m4{E}v7mTzOz^d8@GsSXvW~R6*E91eHryqn;RoP7gmy)r!)Y<`D(D zvwYduW4`F^`15|%6NOjm^Su?G{f`b`GfCbId z5TQ25ysPPdbzU*?`kn#E-G4QJ4H)u$ZFixIGPacVP$;<%`yoi^+|J@jrKn!$8~G!g zCp2+Ye#9Jo3sv;uHQeVag0k!ZRTr#)Ys0r*vg{#=?#DQk6WJ$T-F(oTZHP!f9^9z@2;8;ULlFC5%zrqw^h z7`0jnu&nRKceNX}x|f_mh*0_p2a%ME_)s&H19I6^0J#5jU#z}zCkMEE8B!H$09wz- zWsu+I)I03mQm@QERBCU=scV|(EjOvJpgMXKQ>EHDK-<&WmlulksBD0Wbnf+BAb!(S z)5Vh^+a;^Sz$LEwkYlQ$plbWDEd6Y1VfnBojjVcW*F|d7MGM=R-1!fz+6;rGWs&Fh zO?%Z$yC_TgBJ_mYrrPZ>P^38MLjzy9mvM;NnF0)Dy@uT$VaCOxx+tBJyqNWtRqfmxUSu2b=JttiNWh$OjhV2hk^y=Jx-#$zyY%>QJQd2V67+p7!D}zBTTHzV zX@uRm`YclhhB>vLpm^uDnzj1i^M5e@bB=pnC6_(zp~<7d$lkp3X*bUVvi1U{G|JA2 zNAKP~7G*&UF%Q>Xh`;wNxoqVaoEO;Et3T3}4Gltj9({x=-`q&q9MSx9Q|6tX$Sh#1 z+7@iWSNbr;yj4N+Z!u=?{3#G3{AVvNuA?ri5!in4(&HngV9AYNnP-%1 zZ7|NL$|$|{!Trxe?Q-^_GWpttj~U%4=Zuyfv!F^TkGi^u50F;w4IXK(jSkA=ZM_nT z#aGOBWJlOrh07#YsYAPEzOvaYSE(6SvVZXMln_(?eLgOzytICa|1#$kHM@$n5(}79 zSG*1Uz_8`Qo>-P}4+I8nM<~;`k3tx1JEd*%);2x5aacpqVhH`YRBA_CjEREc_>&xE z;Cp$#+=OJPdeo81c60APuYyD&|MFkb?6LP=ugb!3wJ~1an@T&Y1PtY&+i$v*;@#c) zRe44&l19~<8Q(xV>3z&kkYt8(Rsb4deb=5tOp$V9g9YE$NZKSg zurWK+C0AB>9GHv0gya2!xz-=`ht{)&`EPQ$WeDButf+#2EggqRum-iK{=sZ<3~aTE zveYO(G5-RQtkmvP2o{kf>r)T|3WE?)!4D<{QNQ};k0-UrqROPS5GV6x3I<44>J4`$ zq$uc*F~6d~d*sPoiZ*qZAfp8N{h&$_rHM!#Emvr-^|6FTC@z(Z>Rgx&Jl{PXUM7?R z@rUlHyw&k1aq@nb_t|i!Hm`gvK<~ombR!|cu8lNl-%U!7lZ%<7CyudrDX2Ltzuu@nz48y!E5RA)5evlfGz3-T)7Q?3#0WY#`Wn@ z#8qgO3JyekWP6>G`d@}r_+;WPAfY6u2G{GxUQMymBbqdzyM~`e)_Hex!06#?0CQu;9oNfq(u_imr&pNKO6CrQ(Hxwg$M-grb zC;Xw5lc3X$FhWltT_i>Dg#p z-h5aO;VIl@eqUi8x6+~FGKU{)_eRIMkFDHBO!;pp=#V_CJWu%b1ApmugWel_FI?WG zEL6HcX|5Cr-`c=i>#xnPdxUh+n82aHX33tSb2bi;lgom`3M$W<*Ia7nHt8TG3Y_JF z`F2>}Fva$6xLs-&YTc?aryja!eDdXq772=EZh)!2&xNeGWf$C4@$$`lJ3eoM+3z;1 z>0-zUmSi=0R&jA5eAV0wE*%F>el6-aFE7^zXAZ~Id2WC2#Txr69Mz>C^{{f8TaYR} z^8mkUI&xXiGutTYFIU>eQbyDw@=Lt-;Gg7sim)GO&Y3)_-`Du2m zZ=g6e2oZsyD>f9Mgi+%QZWaQI#CVY1jm;Q+2_?zeX~S-}89A>5 z7*_0`JRscAd|6-HKq~GzI(ssA-jD5U2M#qvIsQe7@sVbTf__yb4uJ$kSr~Im6-?cc z8z1zqZ(_kmV_OR)A@*1A^4_T1<_^ju@Rg5jt#IWg9cXs8Z)26vr@nZEAuCTnX!q#n zrJJv1b?zvzD*D@JA5lPO5@iVIBKW>1jFw`lLGb}jk9r%JdD%(*JRO%97T&!NbiQGX??Tgp^GFg zAd0dC3R6X*o641nak=4dw`-|K`mO>2=+iqCZC}KToqHs;v?}`y!#-p3edxq?5bAD} zk`D0N2u{NJVD3nj8LxREI*(Wm;b}I>P>1Zj$=1ekarQT%o$avjGaNYXFId-%|MA=U z0NzlzJ@nMcoieJ+DhC&ze<}oikm#^GU7>v9j!oZ^&WIACiTvwytuw27tww+Lm%A=z z1HdBNQBW=nd<&PH*Q571OY}E9Q4ht`pPsD~o_kcB-SXo8F{F9YogD)=MXLk=(pqjK z)(2c~ykB+}ZHTh}qKi~2kygtc=-b!{me9<8KLra9+1#=LBgx0CN839-E1mIf4rKlT zhhiVDBxEBw-CK!bNB@F9{3?|b)N9fYvFI$CKQK?OFR9fJ9Dyl_H$S|5~mT+}n~@iHTipXg325m+b1ZKVS| z>zEO2?;*tVRF5dXr(6(av41~IIEJ8K!l9a5MhQeW z6er%l&QGynAm)(XOH#32sN6Hy+$*H0{xeIR+_`}!iEuexBqwlCF+iD3@s)wsJ>knU zqM>_`8cM);vJS2`kFa=R4y~;*`}F}clO@s7w%5bMWzWO%7w;FwCDVv0LqoDc3B&LMr zf-?(Zw?G4VxF+LJdJrXN0|nQ^Y0A2!*mk!0r>T@_Bm*qI&Mes2T5@JPWIiNl1h)Hd ze;glXn7FF_MJXrGA|Vj`n;n-l86XVSmS?OD&N^x%uCoI~m-qq8j~3bcSS`bJ(R=XM z+dT&Y3x~y*a&B+gBFU@`pxHvLfGuo}u<#esTYgNt-0y^tM=W6PXofA5G406P2KddU3R+jgiW2Z)JmD_FB{M7g_7UsQ=7aAU5>BG4wB`#_j)uw6gD#HTenr;a^xk1ta`GxV4HJN0S{ z3CrIq=tAGgZ~~TjG0URLfNcnNc~BDn#QT$H*)>@osoU_e8*i%hjd2ruEX4OwFDZ&t zT`v(btFb&{4>z%a>hz5Who9N$4#O=0X@gPatWo@+{>lTx^$E_JBjS0oS%`m8X$^w3 z#48iDCOh6vE1V^hv#p2j!eA+>U`l>x$$x{aaX<^i_xHK){iq6#(O^Jw}PJ<3wdKN$SsP~9AfPO1B$03CEZpm=RYp(77;-NKa~3N zavH(=Xb$9(v24a(CYT>|E4VnJ2OMrMCdB9hwU%34;p@}w2+F#n_3w}%QVWRMa_|BK zDFRAyw!Ug!LKMxTio1^$WY&56*x~9`aK}4YYYB)j>}?za`Eg_GIpAs0VfKwB8k;cT{*_KB_PL+Yy%WymzY@WngKQl5`6Vjjwy{_446JNCOjyts2EB6IoJX;MgvAw8ijt65#*_0?A_%FC&ToW_a643QW9ikv= z^HAYfgh?fH)2-UFThZ)(%urAJ5owP&X;0A%I#2LPhsssl???Z5&%5rAV!%R`A#EY!s`C!gXk`Ean}UekLPInz}7DUKflC_ft@Kc_4yS!UiSS9kym@%Ws> zk6GpijrMiEGC;19qBL$9&j&VkPOmWHSS9KpvR;Ln%ben0xa7myr4Vc_*2#VZVC8&* z17ze0+iYq0_502ro*^EY$x+}%i&KxK8+>G&CZZ!{q8*qxN~paRgO3FN9@?!vD(X@^ z6~G;)DZW6M_YkURo}9Ydm7Aywyo^@OC_qstX3Ua ze*#PT742g_ysyZ=$bb39GI!iqc+xM!pE2-3M@>7Z1eb_bOjs2OG%Cb z%<$%YCnw`|V84ZEggM{fFxo$-0jPBZ3mc^k1=4L%yDt#n0@E{N3ujE&`?DMBOhf6J zU_AzpSvD5rLGeMLO_G}C97@VY&r`AZJVZ;{_6PkBB^=>hv{ml&uSTYk6pNqj(AF9AH*cRy4 zVN|y$Olyersy_gC$jEp`i=Zint~2-HU^3r7Xc8o~9ZzWs>ussC#VK z1A)Iy!p@6fipP<$LzB((?JSFV_6uttk(c!Z1&GZnyDO9TmHrm0Yj^5&s|4GnB zRf7A0&(R-=ZFTyfHg+R+w*z0K-26|af%*=`nT{M9zm6HePxD{K_AbWk?{8Pa1vx-^ zP~$=K?bkNy;&G7S&<*Ch0@5gsYp>!&P`3BxN2`phj1MLySSP+S>sB$!M4gfH@o|60 zkzgy+ttj5JR4X}n($lk*icv$}vmL7pAS?}iRWVA+dxmF~p>97S|6TA*b_j~=yinu7 zHlNzuW}gdBMbEs;2ppV=FLKXvuHf#gZw0iur!v|23ZoD-`YP6M^R%LpAyYgTR;?Qj^etP~W73eI_; z+-e+lxBe&93@Y=h9iMwPYo=|PdVQc(YJ}Cfn7691mn{{WKC;cYm=A~4hEmx9`+k}9 zP1a;VI++a1u zWPVY0Zrtrh+~$mz%75(7AqPt4;_keT+a@n$xpIi^YnJHZ+6vc3-2RN0%75&)Gcm@^ zs~;s~atr4TTQ1)dGUsLITN(>$wj7__#*UZDf9&VeBi%8##qMM9#?{Ona`Ws;4>Fi+ z;2va)rPT_#GFW2a!f4mNhtJj=tOv;=h$}tFe3`8tHxZfn+HWbyTMA1odAZWlnynF` z2bteH@@29V=gLI1v#ggVlf}P0WW@I;X!d)bFfIj$+38CVzTgq-&yNgq26ygDUG3*c zrVtgVV46U)`av^akjZv@m@|a4?DXHwwLRKVvx`fc*?^a+7g>Elp3+LCIyUl@?e^)) z1g+C-zC?O)0vX9*31q&^NZ*{d%zW*)6yz<1rLhdQs2(>Htr^KS3FKlQtj$=QK<3Mg zWUz$HeC?48Mh>}4SYvTs?C*|e^z|8`ey^1iTa9sNb;=izCo0>V5PGr8HfMQU!_xEJ z-GnQ4Vr zU74qJk^En>3fl@_ot^qGc6`B3Ew?RsV2~Nkvb%e?jc#myFQGz$lX+QJCXxJ^uASq+ z+V{O==Z#s&HH4JnT00hm5|Md{P`~Q(sn<$<)am+aFO8e*k#$XT@)~h@$+%E`suT)K z_6kV7Q$S%i4y--;kdQwNPt3z#lZ~=N&}s?AQJ#*~u?)fOKVCWX=3hlKK5EOB;9X+&6A#>!}ca^Zk#R^C-usWB%XA4MisJ*-J)+H~qfnM@T-BSUR`M($l*hC0P1G*P|~x-}^nn z|F3mpsrBRzc6j2zUYn0({f7v?Adh#i-V{7?ZM0U`BUVavb%g}iMmKe35})>65+}2j zZP0$TkOy_+Q$N%*i<(if_pU3doc7OkwZAi)&-`}JM-m~=>t@==b@>e6WN3SIde@`y z9%qdlj*u^OBV^64_OHZS^X9I0HO7*|yAg7&CiFDMs8pYg%UpNy2%{s~owpWG3oP|} zq(|~|QRl>rn_0_5t`Ka#M38)+_Zi(bdQ6w4pE&I<>hxo5zM%OMvGkNKOV@W<`bC^2 z{kVcJ$o#!zzB_o-&k}F$8q!G_H?wBxHqN7dRU~;q_^M8-~e*3QP`#bIZ zz8@}c9@k}FKQZdHW9QGD_Ha_Eb9RfacF^*Y&6jc4>j+`)y{OCQwp~6q7gEA11Uf#? z8yAXZ)`9G;B**7(#yvuoUYCiep| z{C+?>JMY$wdA_5eD=V&cc1G?u$==`Y@_BC8_r0A*PUeFbqhzjN=lvYAKbH8;Ape&Q zmphNX+10+Qlj*cqUF};sWWRQ7m0~tuf+1D~ir)x4B_N%htvB3sMWq)~W6WPUE)6m)r$sgJ9T>#V_rYG=?l!?(;@rqyhsdf*=0#L z8Ex(SdaLwQ=yGlJ%&ztu)J9(lGF`i$)RlRe%V19CUR{~5kUU}(?M|jTjkgouAMNZ6 zCmD;+2r+;F6_ETt1pL-^T`~GI4y=*yQhZ+99gp5W?h!ISDv#{{Ep^)Uy0$MM|0tID zB`oTLN_J;-J=)sEyp#FZa1{bnkf~+sYL_6hskKw?Q;j^^^*DEKTx5-0B)^dhDx7Bb z=B}Nr?A<3Iot=k`3)QEwH7n$XG7hY@^WN_Rf=q*HA3^4Ov`Hhca`HHoDqpZuUvsFQ z5+Wq1WEbfjk8^ywNRIT5@a@A2UHyF%3-ntIH4=0v!4UVc{v~PqU+t}4`v~$c&Q6D1 z?2elYs|}iXug1^JM3C3TWk$5~M(d?nJx*%S+}0kEc~!P{U7RWNS+2(gmF(8+#ymYk zwPZ$L9^^kXeKVo`2g*$j4Ce57lrZNoxH3ollx75k);CV zv`60A`-EDPZ|s9~+PCj&*Gn5qR9z!MhZ4lQkJUAjsWeboT^h;nG3acKbawK+Id?I> z^DXGJyE$3FHgZ1~$z>+e zqZ_-vGpAjr+eWc9K=*vp@p)KRrVPSHHed9g0kru{AO*ZdAb&SsJMH&N9ZeD6`6W2M z!R}BT>Vs>@4GN(A{;r`;hJyJH(rIy(C3Z z%WTf36zD=b$}HCE`U-hEvq)VsP0PiRLdTl$J{yariCpTC>W;qxRKk($cQa$~jf3l823Ef0G;%EBBG5J_Xj};^&g3Ecl3_AvlYt zr|ad{Yxu#o0A&EY+5<2KDFhC@tv;vJIxv;aY5W}&?8aQj;Q|6)c()%DZ~%>Zhh5OD zY=ZB{_ShDWwO&4jS(q^iCoshg$95>aInH{FDHufmmp|pd6sLPh^majIP5(N%cuh0Q X#m}#3EI|{4{^^A23*#RE00960VW8Ra diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index 67a001cfe208cb55027bbdc6a2e7165affac1c8b..8604a63cf6dd30870e50699f1bec458191e3b6c7 100755 GIT binary patch literal 18989 zcmbrlWmFwa)Fz6%O9*bk-JOHG1cJK;cMouI*Wm8%?ivX09^C!lZs+oTGqdhp^Y^aq z-cMC^?dq1wDP2Afu}{=6cXqLIuqR{VU}j}zBNKD8vNa=P=U^ve z!+p~BGhLw5D>=V{{s-p z82<~IGw(&f8&&vaY;&fD zZTI?(cqPEGsrf~80C?rG4GuM>&ho%BA(4^da5Ups&dxieGs={fh>NDBfy}c*7pKkv z$rQWC^kr#@_}hek3%k|DLODqq)N7Bqop2@{8O2}XgYtd9KX1z*_(=$FNxx= z_TIFsa~jIUKAICU{D%ubXbpUzA#$p@7a%6di@Wb) zi<9*g--{RtzbL3$VV!L&CoRq@aVGcN=+%my3M-0Y=bvtZIZbe#*D~{c2sh{t+mA38 zIMXT}EhNd0P|{@NCAMKa5!B<{QT|q<#6)9`pm<96Tpn4RRUU3tDBKkDBfqz}@F3vi z!T-*XPWmP>qP$XRQ#~bR-l|%D-Yw(nsQh^%cGjS97qfUB1w;Leczu#72A{0FUJ>5y zAR8y0va2QjY`#?>cFthnZ$VSI{HQFJ*y3Ho-?&0L8kSf#IYp*SiC|n`tSG6`ut5q+ zCzViF#mYa3Ql5W(RC>~uJ$G=e5+1K3OfmFih~%4qx+Iofc?SV0@Fio=uTngw#T>u3 z9_$uIi0cppjCcYbUd>=7R2dYy;g1b{>tM2LPHyH8x!-ZQ-qgd>&JRJwa4et#E`5eSsc8&uM-=s2#Na~tK@o~# zW^7Xu2d-1L@BfDtPsqASvmLW z(99Q9%hZlXMGs0co@8i*U`Jm?J{S0~DR#$jBPh$Va)#y-x zU&F7{{o?3&tuw^_R#qnTCc&8-k#Xq%E6^9a8(>p7Uyt6W8EF(|v{%8gG)dca9juI* z<#9-zN6~2&-;FHka$W*O#vPLoatZEsXtv!+aiwrN7Ml8I$%VArRpSgXby1+Ucgih0#;o%meR5?d(q0aBwy4J#y zDy=NIfyAzUiMIhHrLqi&@DObvgu zi^mAffV85XiQH5Ms}*spK6pvT8AuWZh;uJvRNcy&dRG87^24GyqFvu=-=|xTpYK|q z%HiqXbDcf@d=%SS+=y!ShV2vA4J;bg3Q?~L{{n8OpArZF29K&t-o1~cXTt(^x~|F3 zGSLsF02&`rSsczsf>DEU`CX2?o zb9m2qkhuJwsk)_hX8I6E0a!{ssoit&H5e|f`2r9c)@-?qy~u3?tQgvW=jYCrtK$51 z%XHH%3M|DJHzk1zW_+_=e;+^&SnUP14b5WhUAH7T$(l)>`%0}!y4~R~WBiRa!|do# z5eghRPER2^t{de-h)c^um--zuF<}y1ff#jV*Svri7b8PY98DRDDP4-NVSDbCXa31KQ#ZvtLrfbuqxBzDAik$ z*LI;=3o5_qsU)${iRF$+cG7E2d0bPwk?fcneGxAtCCPUa;)mr4KDJdy&V;P=tE<4pk@CtuD&f<+&@e|4!kM{Hfko)7H?=%-^QvOG;YpBcq zhR>b6=N;-S(e_)Atz*&6`vi_HHd6CyeMjPr7v8IH%ZE8Q9NZ_B`#ikg_~Bq`s<99U z8lyCr9jsRP7Pu4l6m~-Yj3Im!Fsvk&V|UwxIMz69QLVE9=F1(XT?qt9hE9^J6w|tnm2Li9tIE!27=OVKOM%adaV>gbE9C5(- z&(}DNqyoF4t5kw#+-6O-LOHP^1S$qD`H&lJ;Wdk(0by&i*X>>0{Hoj=pO=vXz>U}7 zGzx1n+yD+;71-n_(f#mJ-g||f`g0R)BfWfpt)rHK)THVki{~tF?xh7V^VKFoG&3T; zIMRXF6?8FTlJqR@oj z$8YpMO5gH%PtU>6-#|w{VptqkhHw6X0hinJz!XCZz%VfT9T4!g*ms;08*QBP;)IV0 zG9CXky-)`1)#0q&aWjhJMFDCZ=~WMr7)GhL!-$<-p^!tW9tq$Qvaiv5&C@W zT7DC~|CMO>>;JT+aZH1b`G3_JFSHj9s{Eayyl(PAtN6*!OsI|ZJ|CD!qE0-=ZU3wL zweO8~bpwtX0a43fbVhOTv-)P0O_hbQEdBCkMryIJ-2QBh!t}HU(Y?8 zuNIXu|ANEOvP0!6Pf8R;jcCPF6HBFA3e>-Nain{|+!)^TKbL=guu3-n*-7GKU*E7+t2vWv&enexJ0 z@HzJ>uZDeeFC>iJ{Ac^-2Rne(sh8^3mvs3cW4WJITq%rvnQU*kugtAC3FA-A2hfLm z^AuClwtMA|m(h1`Je_kNPj3ofjojQuuzb4J0rE!(s0nau{mIGp)z#h&9qwgs(!tp~ z-kS6TPw8Lb-uH9Nt`FT1-ZLg{(*Oe#$R{0O<*$_zu2fG64ELe~l7%|ol7~Ur+vV7~ zBxPFtfaSCdB{mp$T$w%t{I-*Ar~Q?3xY|Ug%8QGR9>X+N5*!&wAMzyzmFvqYZ>=Ar z%<)T+HD|2@XjL&?g`*I^3nRK9b%)IB7n)DsFG6|Qz~8}NhjbW;#wY$@`~(l+vd60P zW~9S51;oQpBI>X2`Z!&G1G9+8sf4j6M+W{bY0j7x-ra+!{8#B@7c0KN85a~uJc3II zpdHMgjWhjuu=7J6JsM5zr28w;mtm06(91Tr(jk8Kk^#ZEH=TipAB?3Py+FX!nd<~{ z`Ja__AMFJ!Nj;SbDSvX4msNu)RAeUX2n0o zNhN>7Y%|RZ$JiIB>~CHAjOiVI?~608@$&P!TTeB3GV0~d>nz;KE6~-$`jfBlqg5UI zDh>LXPQnk^3Wg0u!w4d8S8b=^E_Xw%&%>;5z^pIAtp9^qpM_b!fN`nR`UN$AjJPzr z*s~|AJ>z=!rykdS5e7j^tx-E|3U+`fvpBrQA(f(|$2ju%C55iwEVJY@A(LVi)Nit9 zgj8*nRRAC1jt>0}2(zVY>RiJxh>rNzRd*Nxl(a}g=I}|w{=5h|y4HZ844f^tVLtkb z>qf#|K4bN`LhJX7p^Bj})VQi1W9V-KJhof0p`f+dI$wc5tEm=fYk+|ao{+F+dn9z5 zbsUnV7CONys~?_P<0V&hp^7`kpo~8Q8C)Tqy2+_H>}QVj`@vrhzlw%EOU@YEW4fkk z!hqb7mMpB8_%K;WbTNO*K%q5KLUvAyjF#hb64-3uO3ZiZu_h#z>}*th?RJ~*IBE`? z@KW{f1Zm6E`#&5ld=U|l82sXo)Rz5&zOTRrd8V-r2jlSj83oRaieXR%6hRvTtfyWP zvQgaEGu@pWs^&1y;mJT7;z4hM4p?5JjRzMv3|EtAZ?qcLpYClO*!LHFonpQYrk~g& z_Fgq~y79v>*Yloz3< z9BmFMo6nYRqv;MXIV$fFIY3;gPR~h-_dnZEh3NEE&2I;qec@b=n}BNj4D{VoHbT^p zro%eEkKnKl50vRwcQAixx`ZKK3JpZFkq`}QF320mP?@=KAKZgGDYMwxE8E(4M$D!u z#i%goGAi@M&S_Y#p`d1ezylBnc|N456J{;{Orp&f(6bPZIplg-S0}7zxoi#6+SEkp zZwOsF%p z=}Uco2>FXwkY|&Fr|ZV8YW~v@?lD&Mx1z5ET%7K<((rIf7vMKU&NpKW&glyAsP8t> z&mD4FD=i zinARb#?sQxy&jf(89Sj*up#i4T3tKnLH1=5fS1@_!n&F?PTr<>Bkp-ia73T8*+Jm% ztgj~0h;_}ntT^ng?$adpPLs-n&aqIQ{GFVmg0GLo{GA>d^zY>YVL&usFvNc?h%V(rv9 z_FHnHBp#7LzYgYEVg@9tBs&8F&42*SPQp8XmA5mJr#-2zw&|ka{>hh+-SR2kc|5={ z(S{cv#v8~mGNJc3V57xE*KU1_4U=n&8DpgHQ6FC z6UZWZC^?N@i-&FunzdV9>}BBz3xi`7Lf8=@=g3_mTS()=^b!#<-@Yl$Dn+?!norlG zC#iVd-x)#`la8$2}M0a=&Gya~dC!5}YF4-3?+6VdWdjaej7uag0^hhwA*w^4>9U zXK@v}x-)j_B}HiZxZWndyt$A;wq-FSkUcHg0aF4BiuAFDhgI`{eYe9AFz`AW7vic9 z_*U0(jrx76a`E)JRxMEZ{Ibfba_-!?+G)z?rA5D_TXz1>*Q0N>HWHu*w63*8pQ=JV zb&GLp(4FX##83;p}|qyOT~4^4LYq)|iGTgS$KK{st2 zB7xpIixeGyc0W2Oo)G*;WN`U2+j|?+Uj;VApnKdzBUO)$&Fq&dJIZST{SScnng^>a?knsw1X&{h8M z!O)kGF7O<)hr{*fyT-X}Xf6IBzCbH-@Ha>8L*gC&3bxU%U_zZam)Q7sVGm(&Dl0<( zVI%F~fx)=f1WDE})PD+D3ofK?+~ONJfx_|}CVJoz;?s_w{a(V+cB?k#mKR*qq4nC~ z9cP4Jx)>r+>e7W%E6_HUxp#rl;XeU9La9&vWAPpa#|ICw_3}+s_0PE!bem~QC-{3| z@L+x$zvJUiU$1!WJ-w0(rVdPtdr3t7sSkH~Nnh{G#nxqg!zC3lRYO5X(8YV8d6qkw zj4NO1-4iNreU@k0@#iUgB(YaNjS3=RszIEjba^3WL zC4cAly042rZMO%6C^O8Bj*}c2wP`0)C~oZ83-s(Lh-pA+3~yNWSQp+ED^myJ>hru9 zHY02m(iA(2weYN{oHZoEP<PY{v^^ zYSToQ!ECo?TfU~!xUfiNwi*;|%p-FtJfHka-{zj+<5Z^@I=34iy0>F5Z>xw{8V{?D zq*xyG?vqx8T*~&lzV}o*?lteCgsCCs{Bn9%OE-Y}N-k(M>0ZIvsoT@m#`~~^5*a~l zjSKysq%C%0V26~3@sUA1_isvGphV(^CF!KeDh?u@aGf-*PqDPk9ej*eG3iD5#X?6k z4ryC|-j(RXWyl}rR>O>!@}KBc4I}}H`lB`Oj~Y45rDA9n#NZX?(M7$2r4&t<@}u9# z1k7Cq(?0a2S`_{8KNYuE?-BrvD~#?EpvkBgwdB|xWT09lw- zz)ubZc<(H~;FMoe65gKM=@~T1clbuX{#|mqLoj=C!));<{Ivsw|63qHxk#9qd{@dCU=Jaf%H(g zH_$tRo8qpDl7vSM!Xf5l{o!g(IA>36*1xPS)PvyG_SY*+20eH%X1(CAdeD+t4C~vX zZqv)#Hlv8gmy@P8xEjBN*_1F+rubhn7xhm5Q>583KT7*ZgCtaQv_o9yz={klw<6={ z*FH~qw*$2*m-3hYdO-X7cRXz4i9&4BBSwG9Q*S5DhSAi%_V_4ERhNayu05onu&&2t z+G;}cO63tC&hwrLzj%-|TXhNWKZHky zSZqp4%K1*JYgloXp;hjtWWTXmk+Vh!VXcHecd=r&C#ApVaUWoA(v8T}tIc2GojYL-vMU9wgoJ9k}C(F3R z%}7d&JHU-mGvEo&o^)6gdT8sNT`i&-67lq6JEe}WVEshdFB5o;7Qf>q zt$@TOO)tfln7Or3Ea_wSyFyq}HEHJ{8|K#CuKzY9I?V-0aKljr^xQbsl|NL}A^eyX zK=o3pSzV7vFe>s(FbcNj;834hFB%_3)p=jAH3;C>lzeu+3kvm$6+XiER!`P47A~IL zX^>=WJ!7+?Jj+gUfgDA^;bNIZ^7LQ~ne9gTGNd8HC)*m!=PEPC2b-=&{e8{Gj!u@3 z?@~mYKp{iy&tNWMlc zFfZXgh_`=SP!GmQzsV1xY{>Y*pIA`zU|qA2zj}_m;a`)4Uy2JtUtes4Vc&+yddPnZ z!JUwjZA3j;5Bi3)i2G3NPE&j?^RNw?Y$5Ff!rx%a#-Dk4$;GHmC^t#jt_4?Q;CI`;+=+{Tg?4?hKA2=Kqweg&Nu!=DkA3Na(O^G*%iL=8 zJtjD}kSY3-O6Rny_=N?bHsTM;`@eJVk_^K5ez{f;?~Q!=8-9(~4ReAVAKwx0j%isd z|1>R~!O6!gozwYI=_r#8fIdVxP9?vPeq$O`mh>Usbsq$X`rzyuQvO_94}O9ev^|oh z1QMF03;=I!2XiHSuy)Z4QKpLSaMv-aA>J+W<_%>^hV`$o&1ET-JjeZgDcLjJ(JZTG z6b3uVsWt96d zwj@5vz9|cizhhd$<68DYfqv)7>W6m{a=zL5;iCZ;-Eb{^KqyRb;ymICElZCGZzsQIaTk5)tiSI3E;4D@Cw#DXmC_e=-i&_kGad z*oht(#60N@){6O%>}pa7lU`GWvxvMQ4SovcaKrGYgYeuycH!`#1E;PZm-jR6NY{(o zEHD?ph)T)8i2Gh&4)kTefzrC5*p%-|#o+vw42g92Il#K#(mn`ckLaU~^y`<%J6zw) zzP|7yJc`$r7{;=LkyatY9bke?!%Qs!gMK1i=q6K#S(^@$6Kqs2$Dk3HsW#~AEsS2= zYy5EYiEiv?4wb_Y*>t>o6ya{(Ev_@47Ku^CywVtRQo;|TtWCu2Si^TYp;$`u?>My{ zlz5BWv?cR_e-Bc|tL)HQzj$Q8TYox-`H>DY{0H{%&I>zcj99lYIKrPOIVljc{{ zv{}4p`n^=eaH`y$*&F^L-w%@JcPJ%ZI3K~VG3F0J$062m+YBMoAx&4qZ>6{wn&@>Z zOFLSjLG#OyyfS+=Q@?pVSNEKEToA0i928%bH}m7zB`9+L2c;L0=C6~5z~qJ#wld|T^4@;dK-VVkr#o* zqlLnoG)5d%gPyz7vo{0%NG4eqgR{Wy2If}46K43O*qh^^pY+@J!AG)9+-u+P=l<9E zuRMax!Rvgip${Y1&@*Y98G~XD1bz_wFZrer@Cn=#Lzjtp$K7Q#EZS{q-Q09x=ssOv zPf{s>zY|1TxP744OL_V<>2#4O)`C*^#lzd_J}&d>2b?04is9dpRdq;X$-4!0qu*$- zKjMCuOmF%J?VX}x2%^HwJso}3Kayr+k6+9V%(cdvN6 z+btybH{0#u^|HJ3n3ROwxWno)0BpABQu24tL7ts9?1wIl>v_lMy(cY-PKfJY`+O`n zZ&R5+A9wTKK=FJy*DNA_Y`ZzZN}FOco}fuk5ccm)?Ca`qbm=#j!8HuhupljxC{Y3E zYlv7$nah|bdm=wkd}%TuAKLCvzX0|%A;zuLf&zxDAH$6)Z%+7~B(IEa=-a<=FXk1} z>r5v`NdlESQKCV|O@8=m8;o1$w`N3Nz8ht+m#%MMz5Q0QclyC_iX6h+AkJm|Ovmrb z^!pLLFxR5thM{{nMRAf79hi+@yzE=wuy@5V48u)P=hm%_KJa+k+@8?}r=h#C*_L5T zDPm|(hpsoiP4-;d6)A_Aoc6#vKT`Ap*u%(6n1}YSSHd|d2;oGwzi@MO?xh6@=2HDb zK2e6|L4RhU1k3HytwjZyvrU>N&V`%@59rB6Dh(OR-Z?7{wP$nISb!n;&xW{Z6g?Gs zTaA!k2NCnGiH2Ox=N(7Ba9o2^7QBV$?)a=Op$^Gq90k5=6Xt|$p$APxGhf=zv@I19 zp~vz_%*ODN1i6y#qVH{MP!2DBggZ!0a6R0I(}_R({svR-`eJMadO8gHiFM(bNX(Kz z~`z&UGoP)S0T9ER5O` zyE5$4i}Ml0;?%8sv24ElyOu!Q-P4)^rHu_f{G0*1vc&Z4T9s1|265DPm{^^=;egTvmD%GpnK(dp!t8JMqTyWG(fRhc zM4u@t@FFHLI6;;GmKW8+v!S;)H9 z%jho0-C%#qfRsIBeqIl^Y^e8b@Yxf&vHFda;v@BKdUu;JbFDP0UumA3fIp>huA&Y7 zKF*30=i6Nm5iybpe-CQuH63xTTV=s*lr7pzAU!|eUb9H|%w=k5F@zZZO`}4pa06A} zzZTiL;VZtM0&F|uirn=8Ob1gxx8T%n(-iA%vaYhRLfmzQ1c{ip80TI-2S_N?RRg{i zb@;SdzqCeY-t9DFldrp52-JPnq1jw(eF@TA^g7LWVD6!!LVL7pKlY{lYtVbu;S4(6 z!#c3h$n`sawy^btdiZ(ce<>313)m7Ftvc3nRuyLTk_oAM>Qud>#Lcjz47 zxo>d=b((Ll&QUPIWiRjHtuqQ-EH#Ev7D9PGOE>avxlhF4`$4r^_iwpEQ7ptxE2C=* z%m%mo3;JOI)-DEyGrTnu`bR;MuL706-IV{Zas@Khv}t&VyZCbzo4WY3nKom$XsDMj z-i8&HiAJSI^t!Bj6&6xPM<=W58)KKd(A@U&?R&dpdD=TPm-B17h$DE2-D>CCDHq>K z`&0N0FJ-#;4VPn1_%~zKdRM-@LX_;7r3Ej!O%+{KzH|RMw;oInr#Vmt=P2Fl87xnq zUhpU-;l(At(?4RJ4yjBCl+RhP)xNaj_fA>&p6fY_bA2=^YYDvkn@#?RJ}N$Kj`5o! zFiUk$(i93CXmcbihrGgQd%by`DQ6 z4mjSSNr3HJhxeMdeD?aLsW-yFpN7pJqc`o!L=-fGz9SCRWXxnKDyo)SN&&Nqo5!=C5s{;Aw5KM(iks}JA$qqj!8QbBNV zE2$wF7XGJQ?=Q2kTCoIcadPvaphj`q&}0N-$QHjONUeeQD^0hL>W zZ+ne^c|*|FNlI=NF!!CQN6%2bwRRfN^N`N}F*kOdc>=aPc$$1#yuZEn{nP;mF#B1w zZXNZG-q4(p=KB03HM~g%0P2T_Ug@QiAEej~yf&vj-Mm!}z}Y24pz0T}G3llBJQ?2E zn|86`aVzaF6RU~&skC9Wf4NTYK(*c3C~aM$AFIO@Tgxd-ANx~O=VwlSU<314vp46p z2H%EeHO+Fv{b%84>yMyrUk>WHT-6xvmkfNO#7+MaxIo2pFlZ!zz=^mjRgPAlq-Lr; zIt?96i+e^hW0a_6SD1iMKGcwqi)F$doGw}X@LLyykXAqcvt0cp(Vs#EYE_12zc7pG zv3q+ z5Vltu@>5hu|Jtb zSDAb4u>;6d>YGcDv1)d6HnAU_OWLloi=<6eGbVe27Y+> z;2ZrkGw`~6urtb#5O-y^tfil6@psn=cyOP&!W_vu7Z5#Tm|=zo;li#y=jp5FWy7zo zAvev7Wa{SW!>x`ZH{tm|6hVP{MbDULdXROL10INHe&Skg!Bw}4biy_LgI!(Bo1~lR zfn7~RZURGr=wMglL3_Rw?p|ZRw3?+3Mf&`B3SZ2d0@1h*co$ z>SP|sFmD59H50iBHLtrzL;$%-2&rjJq_gsSYgnPZ2aaVN1n2?E&SS=%<+zjh#hqd1 z0tRFZySgRfEfe6*W7&yg`54GuC^7){!T0&cyG+7iG~Nxn%AEJ!E#ggU*@|oF?eA_A z&_ZLWiEB9x0Xl_Q*3W)(p7>q$_uNZC6BJ*$782m$Py8t_NH$2Mav4}aT`($mE2ll)O8YuR%-dxuu{J1&)t_2 zv_L)j8{JN}a)cj;X559G2LYaJ+%bxXjWm`WOKj#TElDLH)m8ASSC-)H>`c|YJYK@Q z>UqrH9X6)D;)RuBF1DE?epswsK4K!hy zqx)$tz~n7*1WGv?7+4Q3$=N7b3KbG&{>JdPB}*!8cXek{cD^`N8`JLOU1lc5Ua@B} zl}CXRs49^psBxN7%gBG+N0Hw&Z@5as?;ypECtrKVxR{vn+FUv#Bh;4 z%Sx-+aB9UBeRw+F&kL#LveI{R`D02cM__pSBo#D*blzXCz@COu?rK# z+>=rWDF*sIG2O2@f$!MbrGR-thmT>TJCA8#q61P?VBE8J{?;6dvaI%w54@72 z_{sJK16#}QAa-1Y-r|xUZYZwJr&L^ABb=}ByX==v;_a_Uwe`L<7 zyRCZ%I7)%PC16O4-uJF{g|m5SC6f?dBg9UPJA!F3&Cr|~aQ%u9(gS}4POQ@P1LxuY zmIf>TK#ai};NH&XAQ&+^ceqKU7*zf5BQ%Q^7QZ^jZ>p#ORF(fbT9g@VRfxle8>MK4 zXU(nQdy|;5`&iD&O{GX-UkJzllfFIT^h)=XG#E(y!?@h<-(Kq;DWX%z>ACh0(N@t2{Cn{)?kAgk5+NW<47G?@-m!&O&iuL|3tT?D3Kq~g3+R`r;q6{lImc6(z_=HiVLoVq8tC*(v zTZly@wpa-dxtaARaFHh^P0^>!>3*AF#yZ47uvvD%s-1}`e5XN^+f3olTTj72QpeX) zDI4pH6jO)Oz^P!bEBKxqfE!YQQbns~E`o0j()wIyLNxsg2bVw7S7bKSh#jBMnv;|j zB|=Mna}P~n2*CIR^8XE6YGh`L=8D<66=bxqx>40!+~1{etbf=th5wHvLu#I_{)>kk zQ@tkWkbcUG&(xUOZD;DY^_4=v@|ig(20BQ77;ZkDoI060G3NGV*z8z$WM%})6%HTd zx-^t8DJhf}9XBoQ>U}zDERo)7G2bB0!a*3duv0bG>SNqX&FvTDBEOo5Xfv|2n)FOt znz~K$1ZCsE_vWF}j=-v1Vzc+Gync?-mi)gpe9cVR1%ciDdQp^x{0b7BCH(0dPxBM@zIKyr>k+2e-6NjnSD?=p z_JXGOpDOelP37-4pUE>>dHhp|6?;{A{O<70-z}<{`CMSq7x5QX^hLAl1j+*VgIwL< z+JPG1BN_uwSMWKTI)nI?G{18^1ScP#&-h%Tvak;+XS8Z95$5jSGHaSC4}g$SYOxm@ zRP(Sd;Sp-%=RPPkUV#45;25uIZNp8F%cz3C4G`8Zkt36;MPzC`tKFh6@p3#Kw8ae>n`RupU4QBT!eZ zg)V5)JNKt$Vu36wkdhlMC6a64mtKA%->PJm*1pMIL{Oh-UPLfIa}zN_ikeGIlrbD0 z#ovL4I1lnPn>}{i`qT0uIS>{0gonuhuOkg%5Z51r%h}I~}&4o8#X&Y&~)mxxy>9FlU;+`wb*+e2?ezU+8=+ z2U00Rn>nO4nc3D=J5<@ZI({UC_!ioUPbIF*lRJt~*Lk|mzJ2~owUht**>2^@2vqTh zgUp;qXZTeL?nMf|g0)D91H(hmF(-B&9s!(a?6kGAGBelTQr|zD-}AO!Se1s%2}WMv$*;8GN|WdKIdN&{S%H0^K06`peSH(ue9| zlZoI=Q;0m3=-Os4TUa@u5QyMF;Mo)n?M&8rthH7^wHK8d-HIBG#&pn^3FxpK9TAyw zOxE7jjLBTeDL2E%h&ha~;KJ3~RNLM!fnvx&5+sCr9U>tm$R8M%4vWPnyd)%b<$rCT zl+@tnI!h{ns>~p4?y$nQA)9&Y^4Bzd?GV~DI}6%AXv(>WTBh;F@KkB4{n{n4R0!kH zdr#Lt?&yorRl5EIZPWfje<~~0=;c)ke$h5wei!u+({TT9<&tp~LiR10LAy=$1@BUO zS^wI&kj9=6i2Urk`A$@Vi48NO!MYZm0q(g?fE{oo#Q#Y%3hx!S8wsQHCZ79Px6QHr zMwHnr$Z#tLICA-p(G|3QRFwIN*XyOQEOL*c_V7i({LYQqU;;s!6=|qHQNa%_G3y9r zD4x>4L>d9~vudq`cb2`Po>uMChuv@SzHjkC5Icgb{41@FmQ_DVcvouAFaY~bz>&T8 zcy7S{cfdX?VBZn2j|d#W1djX$j$psX7rw<8LiQU@a_QMS5cZ*nbC(6az;L-{S=!`VAE+w@x&j@yOQA`?xl?kHcS0zz%)a>l?G1++89) zW-eQyDLqpPZOD91p*XYfk<5n-DuOoXsyuwxIICJkfc zj@~Co578(MaX1T^CIrpu4T(J$_JB4B!VX{K!DuCQe5yQ?eGQtnKf929s|Cg-3_p>> zzmUh>i=#mWWB}U5f6ZO-jPJ4=nTj)rMxv^*~RK5H@p__ISkYLJTJoZ zcEa=!W!{gUIt)kEfg?O`@eVKKU`Uzn=B*osb~p?Jh+;yYzd*8QhgUcKv#__X7{USG zQ=!ca%4-ceNqBp?5JTziiz$;9OFQ=Wsh(t0mOiSbg|{m?l#vHAANAyUK@b&>kNqNb z?vzohhp0?MIH12z7=1>b8-l*UtyProayiFgg7_FZf;~Rh-+R3`JfoMhOtB?OZ%Nmf76L`MGyFcb^3PA9|0C)7g*Xd43iHS&v@Go`S*tbb;1m3@NjYFzD z#`m83f7MD3u7y^4sJ1r1M0pgdw6m1qTzg8_p4w(SZ^ypBKi4kHkvMvr z{nsX*VI?|d)?V7j{dTQVQjCvVKF+TAM5a5aOo_r|t-H?CV^oF8qq62W?q=yZ>bUl_ z8<3WfsDbYzHo2U8#nl&{3)|O?>h1ky&t1=Igo>r2r_zCaCSNunJ0!OF%{L7?fiA)T z5h1h4VW*+zz%=r=JP;ve-WNIakY$8T?T}e(0&;QoUvXKxhG+B2d@^a7Ti`dlAl_O8h8B`)!sP0xK&B?Szv-6FP|I--a?`dcXv|j z`>&nW+1(yWHKC`dv(|XH!^_xxU>1BGqwi7I!l*-283$8NZh(*F7lk64oWXM+mj;8w zUEy)wn7I&t_8J*J&E|<509VxW2XM{sTugey0N8)@pGiVHI+#~97@U-4%l_vlACQ3T zL3ZQ>$_9>Xy~X#wl0QPJUcSGs%b5`dbHtR2z4f-8I!lbAr2pE8%|t{v>QTK7kJb0_ z*H09qzrc!&-B`!Ku^T8P!brTryf!5DlKb^Cl1{i>?glAioghF&o1>7r8&LRJqgsj5 zQ3rAY)XZ$L+m7?7K6t} zHkR}kI3f!ip(PU@j)D9!yOYGU_Ys)#LO$MgAUGbSbs?E`&SNGCrQJ5ze&@?gM)c3~ z*vUv1Kmt!dM67`}N|n2(`KSjlD<*Z0ByB*#xS%wGT;Q3z1bSMzk=ZMf+1ruX>yur0 z^*LcfuO(l|Wqm2L6>@aP*e>y?IZ!)%hD@7>X7xl>STthSR6;6!( zk%=Xtc_Qm5nzJWq`;gK=C3<(LydpIgUf@!RS;2e++3y0}{9e5KCL#HNey!21>LglF zAi%(r`|A_yFsqTgjJd?hy;Qiw(yw=|gXzs}xWtlgtXX!s3dw(^rzWW{-oKbIMf(hy;ogDi+K%*T$1Fx2y>U&#$+$hg3Q z9=K%p76+BY2W3dUYmh3qFR{=C^mo4S61bmk3vC7lylt+I#=t5DNia0dnd`YzWSXuD zxVDfs1k6X)v-utc^FwDnLt&OhKMe)zot~|Zy#Y3`vCnauSsuhYc8wIDmEKHct{!8-bL?5?RjmeZ@AN>w91(dyuJm>;%_^24}J z^~RB`B8hgy1hD@Nu>S)%Qc8@Gh=1!PLQh|$vyF^Kl^%N>1sq9zi?4@Uycny=*l zjn^K;d!S{yw@9Gfg?2?)cIyoW`9wSgz3Vkc<=^BCcEY+w(Vy`E`=Tf8vweqq)^1h(RY zg1ZzzP5$<_r9bCobIj(+yQz?204!8KS?nB`$T zaZxrg!vNd!AAejn8$xStp)7l2_Fhu}kzp8Xyua*t07pla11*P1;jYH^9_J8>nLq5{OE=34l`v#^(8T4C*K>3^?v*1_zL_#!i- z5B)gn*0}p6sP*Uxk(bSFn`U)`c60=M)eaEItrR*gp#1Zh6?}#wL?u z7r0~l_HFwiW*U9OJT{JPn)&?|k0U^ETtG672HVCF$TVixZG6drq&eCoO*{h7Y>p6%NnnWUHR5}6~Az68e&po4Fw@?ah15WSMGiUrdo_URG6Pp8I7T4I;1 zkMELm(Wy`Ke0hxxNl(^Zbd%_tIC^Jh?J7E_JN~!(w)4NZ)Bf~eO8@NMtnP0ah0HSGC3dyXzpJtYgQcl$SG>?>+S>PRNx3*t=gPpYr_JM)DJlKS zz%D?ZexN_ZPKmz=`U#+aE75r&(i|JbfH4_;4;aRr%O?`!tZL;R6WO^T)x&d3l|Ibv zoI_IFM9anZo~`~nlNdU!-q0B5x*Yzyi5{G*a`aGrMci!-?Be1Kx2w#dIIsXR%)bZn z&sO;lB7bs{M_JM568*=5#=Q7Z{DJeYrGGxb3IBwI{+Wc1r@<_4ZW4bvp-X-6`C02{ z$<3I-xTYkjtL)J1i$6u!gSQnrHZiv_V#C{hq9U0CML zoA)~9&BDw)q=Yys1qviB1REhi2_Z-@CKiPl;u1`-G(rEUD2WnGkR=iWEEzlAsxijzH^WAgLe(uYP3eispvH7=cU(ttVLewP_L0Y#0-O8Fl zDx;=rHPZ<^U-h#dFP679Z&|;tc}-)<`sQaBVH-bl@;J?>weFm-lGK+QnqFNE)_3DK zH;-S<9r<~7*46YNWn_B6^szjqOL}6e*`{M#y5;vQ?z}wC)pz8qdY+NC%wF+)JdbDP zZF#C%Dp1{Y790b=!O`z#)A_w%2p@U6<{P%vv({6@A(CptMRx~%#3&lr{)CZ9YO0$I zOx04;x(k}D)uM#ne+;_BStX^&VLjgs{6tQ}N5x>m zU%l~uJAK3$8f=%CH}FZ-S3wmkY4l-HkIt$=pow8Lu)%iVwP3lfVO8v>$YZ0s5ik10f++RCu63Re?Flip*kiPZh z5co5;ghL+0T$IvSBIeP1Vav#ySdqR+>L@iq)-rr$q?k^WN&mim@*;g@M0yPf-YDnb zVr96PMs#~^L(N9|%6PGC*X#lu!3^EhwG%A_97hA@Gj%heyIr8g4E?9Q{~>63m#k+A zR8c~xz9;s<|G8+fEzQ+^WPE3FhjFwHJKuB!V40M8;SB;8pJ|Le{no^tNKxrNE)6In zjKiyl%qhf2SE?H!?qnL!EOKAm5#aG4VJ91i9zLVk@Z+kEoxwh75!GRl!({Vx7tN3H z5MnGx)HmC$Em)Ew<>wl_o}kJp#Cr#>TnA37nQsb>)hk@|GP9SS%foOx2M2z}a01-; zbjKG+$?-IxXWF&1p~zf_!$PQx7Ue{r{leLbHd0RN24mzL58%MLpc%G*q?K|i)ujdy4!?MB5#<)R+ecA|vkv5e7+mFMR`2AT1`K|L`-4UolQ{RjrdHDG3Z&+ zY6jg}BEZ8j;0SS%DkW^nvj~x5#MR^FoCY#o9Mz@D~D#}U_I zZG#_j=hKD2H&RA)Nr5B!^4ZGqA3gz4$`M_O;zADv28tE*t`tAb#tbbS{*91I9j~0) z^*1WLD9)#PF(VKsaC#LSdGQ)%vO4D?D)1>@bRR_S8wRsJwpY7|6>0BK(Fm*5v%ZbR z&uj%o`k(J0p02uDU9eQo6|cd}(O6w}0Ung9@O?6w00Vx8h)wieJGo;QE{!ZRU#kzMboIEWoc}7<)?)#h) zQ9`_{X0#O`bYy!ssqxvVT-|4PXa*s|5T3}p_CD8C*z+z=S;l4h-0ufgW54V_w>v{| zIc2x*tC$LCUeVQT%U2C6%5{*vp8e3JbKSci{NDNDzR#J&N&f0*yAPix&0r(bO9#Hb z!Vlsu5_Vr`E-ui>vRTj1IT+UnZ0Ukl9^^_gy74czD6$?XBfoM|yA%Hd00960_0RG% literal 18279 zcmZU41#ld((x#c28Dox_8DnNAF*7qW#c|Bc42hYU8F$Uh_L`ZQz32D8`me6)YBc?6 zdPXCu)h&(GBTNVgh$>{rPwM)4NPOZ?a~D@@M+XXaP8K#6b_xl1YdbRv4o(gVHXc@X z4pt5}c1{Wna~BV5Q*#PcV^doSb_xx73Qcnpif?AtZl5WQKmPMN2#7AR{{aYOjQ^sg z%zx1f@=PBwo9tT(80$M4WZS+6MBDd%68;~Jw46z1Miy8Wv=JEGdlFQXK^S|q;gMVI zP*EKhC+*eMfO2FgMgt(tQN2M$DX_g7-z6&F1<4EyJ_*=ZSoop8;Q9xq`$Z|R=A;Yk zdw;SpvjBLU2t_645sE4Zy2B!AfGLEG_H=E}+g!mc{(CEeW#D!E~Tcymh@x2Y- zS};bY*O!kw)hRR2loWE<>kIsQK8TzeJ#DkDeTsYXE~i^4xtTvTR!YWht^F>fGeP}C z1V1dA{e}5iV1AKvY+T`=(g?EqwUmW=U&tF4X&)dt!aOf?eq2LZupc{1gpn^PQ|re2 z$%~2{u}DcnaY^e@@8^eDYiUd4$vR4DbEMXEmEHll%>Quj#1 zdE00|HZf01duAhHkOfR8rj>Ml0E~x&Q237z<|zj)6@5^b&DO;N^wx%B)dvpu-14_y z*Q6uj=%oOWNPaysz>z%?!QA8FD*1~9xwJelF8K6?@*G#VVZ8H8L`<@rzK{lscb^=o zh|R9)sE96Yo=4$V9{=x7wA^+5kJnNnSn^rLx%0+1!%VF1F|buP&R@@X?YXFH>!>J9 zwNH|6tmy5Z@BHu61uQ^~rZ*Ep?CN+#v)I|wTAj%F_1m{`K8hw9Hxl;U`n0hljhFq) zLLFau>;(Jq8C@8XI1mlbLaqL#_(fJPv%81TC3E;*rfU(tmuNetsPIgF?dW9N_b|Ak z&HKMH$-TxT9a$>+$J%Vq=+14;36*6AV{li4?M0rS@js&ZzcTv&B3sYoK~p0B>kTpZ z_Q9bnhCWZD8fiJTJB2haq>w|7msedkICR$0} zNazbCWPEq}5r7ctV#vd>KMtIZ#%vt~ZbId3f%d@13p0?!`Rz^9s$sxk7_T z#gjTLGUg)h-7w`N%)nf^FBThb5m>+<*L2FIL4_UzVROhSR5}Qz975kOSDkmz?#>>| z9vh8E|Qj?QjPJj-5tiUHb zhYHj-`(}r4e{~=7aCxvd*L_wC0SAU|n`!sUGBrz)<4Rxe2ja;5@p=p-yQFu$NG!-{ z_2h5X4_GX1XA76h2O0w81Bw;@%>- zx^=fnMlL!!>{9@FpV&1zaTQ_g6;elO|{CZ z9FI6UJ~?NOSYUw3 zJJ$`w$Kg6YC(ogo&a}G6JutGx zL36j)pE*H)xI_}IlA8TGqlgq(R^eb0$fntSX+0^a5hoInhFG;~8RpM8M70(?Q}Y$} zF_0ue?rZv*fQFRx>8PyI5zj83Ou^15dxA`{Q1$JM2AP7?>mu1kiO+;dH9=4LOD4yI zP8<0!HOpB_Avk@^R!qFEkO;N1>fSHmnf^49zr+gB)!Q;nX>wmvVlr8k!8&!8n!baU z^|f5Bti~hf*`T?z`TKFq_u&jG`$m7ci+x)5Ci5RY)c5A5r!g|G<#w^(Ua^Q@G$#1U z>rQ?ET^2qts&$D_Q|k-UnI^+`wCuQ=k$`#AQ<|<-Lhy4oo_^V2wnGHhkt8m&^zX4| zEUY1BygSavKOlr7AHL{(HJ4-8Y}9I0;y>h`=glrKO`LM6#f??$34OR^77y}62oX9xr61E#3} zfRL|dRWqN@bS?_PYbR&lHv}qQKgmR$xciNDJV4wwDZgSdwcJX$=iY6j8s+C{*k5|y-E`N zJDVMx?-;DEeVF2%j!ha`uo^*f?m%)kpA_FJZk>eOK9BhOzyw= zM10PXL%8kl>a#{eD%(!ziD~NIHhBdP*qJm(-_azLCnsEum`HSn-<_$P$6Ot9IqyHo zoJ(VzFZS@I+4+BSgYyR}5NX6qo~6u5o5)n+-j7u21iE}0E$%m_y}flI_~!n;!~ycK}AeP!F< zC(PNJR2ATk@1JJJsj0oZ>iQ2lZS6%JebvgG2f`HQrvGW6nZSsIj88>c9^5D9VWT3j zAP$hXY_K~1y<_R_hs|%f4+&%2IC&*`9sIK1!}>U=az?iV*nditTp7t1d0o%T-LC~Z zT=fmNG8RiPU%7g#RVvIYyevpamM0F;F6}^MEV$#CX3Rep>!ltfPi!lNeSrS9J;%F! z-}{~^IIpW~eP3Dqm%gZD)@!C~a(`^;K-rk(N}_D06#{-`*LBMR8|S(P`p-b}qeZ%2!yf$yNeXN=l5jwx~^d`a+qmm7Nd} zCOJM|qPF0HU%qc<#|4TgfCoq&VseZjpm=ng)t_pSI?^R&hJmsf*k~9=($$C1r<^^nbLLTRI_#e!;B7!p$EPASMzIG&DlZ@^P$ zmLp({FzpfdhRUi^N0duVBdB-8LnF#nvQw0OdBc%y9#p%N9c{Mk8J37Y5{H%ZUF@=2 z{p_}R>f&(}Mn==FG_Jn?x()sEZ_pIpOP=&--gRsyq(M_S+`1%|9DX}@rX0U^7XLhr$FBpx6Vql-&4eKB z?O~Ogh{NCexiSu~ACoS-yTvjfP%C2MuI+=P?!#)xRVKH2Jy0FVy-2wp%d%pjBK) z+ZgTJLa4ya2CRrfFP8!ICc^RhnPb4qJ_6^9KPJ zM#Ai8FDCnZ8?Z+{6SM!+0*$!xp@wHFtNx&OF!GFiXniZgNU^My zoASPBI59rfq=z+D77h=_)mQ$u6)FEwhz>W=WCYS;xuA~(YQ_i+V8vD<&6PgKMKn$` zfO-I%ymH-&SB;hf`w*w)E8ABe_`uiuk#Pp`s)-A~+|$qB`?0VH`B3wQRtwHH|Lq-V zQSx*cg?ygZ$>OF*7fJVF@Wu&;T|$7(+Itt%+FM@G2iv*nnLc%P>J1{a7J_|c=44D% zcXC(#S}RJ6Hnu>$R<9>q&+K#$Z0`NMfYOY!L;hsIj$d^`DSOGGKE&%!_|BpBb@E0Vx89qn^k4Bf%QAi<=`3}*0wIikIcPYz)oiD~wfgU^fvdZrFPzGbwdgYhQOd z*!49`*`Us@R#2MK)ki0ViQ}zJgY+yz=~hzMpZ(!k%dNH{&}nFmqv*;XM#OP9MsNd7 zAJbG-R$hLGht&DMpR{3k{9_m@OYl^m=&oAwSL zWAvYhuFng@$sdDZt^I;f;i^Qr^te6+U6+L6W#W0!z80FnLsgwc1enH``()0SC+6?m z*RGYC!bd?^yk7)D{i9p1rj2#$rk(f^f>)G+^<^N(RA5ExBU{2t(evn18e!)`P8)tDlHi-K47 za=u|CIk^!oWjp;{f}L#!sVQSW|xe z6 zYiaKHc$y!+|AWt+@Tzw)sqS@`_$}OV0Bhi-ZT>CEkiz%xUSEuLIk-#E+M_d{7C22Y~qNNrb zzVz>=!)xd52$Z$Q^zL~FHx|PP26B-(TPM#iS}GZ!wuB{ZIb>hF`JjQPtF3VY06*?i zt`jaEMo#!SsQ~(6yuP}!^!HQ+PKM?i94Y}6va{wNllsJZZNCtn%vWy;HI|sQTTHHJ zQ%><@eyGh7Y3rvPGGE7=2DLy;23u+(Xp|;KPotdQ14+tB_+L_3i9GYZk~j%fmYb4J zt#qQgYXLMwFBw)L0i3dza-V>$b}2mu3Aok1{P_uUCYKgbj!N>Z>=%-LjFYWd9$aGA zb9=>C0?uLg0?zQA%IlCd*q*(ogQTA<_)f-)5&S7Pqx&^LXT>49@9(i0p9ABk7glj8 z>MU>243q3E$~kE#ZRV2XF>@*3k`nBt9=Gil#%{=t8Q9KcfIVv+ISlcTJE2>AiRduk zBF6I};{X=4-7+cM{^j7lBgjt;u5157*LD2}ae0Jr|C~kQOI_OtrwmK7!|mGyZC}Yp zi^{{R<1pXja7QxDriH@U;o@mD4BxJP(*)j3d%)3o+?UNldfo*=CB;(`fSl&B`x=gQ zRAgRJk^1BJJ78Z2(UI0=yFG|gPrV#YVFP1my|qZ# z(#aZH;N~hcs(+Rg;Jm{&-~CBttN6as(U`nLti}KLmum-a4ubqXT^$G9pd|UHigLER zb_Joecg`8EyaC&go(=MVTM?ZTA?5Lp;ml2#@viGh<~cu}bnPHdLN`x{ADz1^Z|ac# z{V)TFtG=cq23Ggyc|O;Uw~n&j8I%mD^vx_E4@jw8Q5f8va~mXc-mV4JwnwU1q~M|$ zK_O66MjCD64dMWt*WogC?0p+3qP=Tj6SC7IDcJ*pC-`HAT5Glv`m=byFAyE$c+v8I zf^j&RWJ?s+P-1x-sJUe`R1aKRJ-b~^=@{%;cpCnjpl#afQJM8s;`drJp?lV;KBWg2 z$tVDw^hO!t!!O=S?oaVjX0QF+`)(K>O%ddf%?|lQ>@(DDI_t&7hMZmS!TC2-Qqc87 zd_mXTNt0)0PnTUmVPlsUU`6x=Rv{CW!phAn?QXB+CzkMWjr9j)V}qI`8Rg;@>A$x= zmYGDN;>D=x?}2~DHPngz5{AdObnPHo<57Mll5x8+g@`b?=<%H3cJ$cCG(iP%KZ;XJ z*r~iIPLWf)-qp{HR5ziDwBdg{Y;^#@PMKY{2J~LZ=?D*9&N#^V-B~W4c-Xno70@Yq za!Xv#t(P4#E@vwZtGZOITk;Jx{SajYn4CLsGbJSd?Xqp6kRzPiBgG1gBJ~ooh#0U0 zdC4SL>-Uqlp-)6p-&jO9$(a*bi13o(W{?=n-P2q0q3n%Rp%t}#TgYV!$gCPYV3XlI zsP)}4S~s(e*Ot@u3p}Q~1qr&Xw%rY6_p6C>3(w||kWI~^w?n#bDTnDOJ|-NBc!Q?B ztGt(47?%1j_p*H26hQ7k)HoudHups_^8d9!+$sM+DM%1?R=S?WSIMQ@GL7DSi|YyJRYjP*Su6- zz)X9lr=H{s!u8eQ5lbw?z-80;3qqP3#>CJ4Ta-(3jNtg_7+Q2+C{$+V2+=?{#?*>v znJYYEuTqh_e&JeEMtx||dCN~%9r|1P`xHLczvAe>GlpvwAFW$>Cr&;KCU8j4dkKM$ z-sjK!?y<0a)*~V%^<>_G(e~_)M%MNVCWHw-w1@Y}!xqnXbUGTYRkKdlwn{ZcL+=dT zaMAEj)Eb;r1ly)RvoPZYY!)!W3gXt;43Ugug9j|3b91WHYXm-Gb5qJ?Yt&EI!U z@J{2lEdB;+huOdCEL-@^6)KBMyJa@E@tE*&O(mrBPJJWcGrY#=ZWIYXbzNLWW4ve@ zwyB=HuEaK!$Qc38NSG5~>qVUj_G~oW?f$74Gw1b{UbcTj>Rl#sCnT zNWdI!N#t8aMZ@XYcP}KdJBjFEdqawT&T z6fNY`uN;~t6WhvMN|C%Kys1;&oD&OI5dnpA=TYOsH`W?umSiu;nwXvSj6$j%P<8B} zEtgyb_`k?a_dP=Zzdf}!=_Ka zv^{3RzgAJ6Zm*mQ$k^i>2|B6ZWT*&K%u)>X>8RCab8N=Pm%SDCG6$Jzre!T&k#2v* zr4iDNl)aWt{6b?IgF>UHpKg^L*-ZmRWyP%Mx8pKg{2m%0Nq9(lYnWJ|e*511Pw?Pb z;h3p#zOa3@wyG62!QzNT+%!pTNYy-%b42=I`RDrOT;Y=uM=<-MBF>40WujXrEtWK0 z5=oduF}C$NbbD%Ju7sP>R|Uo~$^r+acPb74aSR4(NB7<0uWf_t{BIz;>`mJUPR#OY zmnx{A@1f|l>JTI0`ePWQQ4n$3wHZq(P=!7h#OIe9~ zn`JbpYKYFb${3iA=rrg9v|Z5J_r_WQOdOj-b;6kgkzQ}G!FdWp)o_=VTV42_&s+Xz z&zn%K=-J*dZobo&;&i_pV4rv6FQHx_j=J-EAk9z2K74`XkUpG8HTiQ;Ibq_4a4#rF zQq%SnfjBp5!Jw>@Zn)>Qgghi4V*1xNxM^zf9uxueJLLSGO?LV~B6FTQMUr~BH-Z2^ zxO9zGeQBAaBgtP1qb10n9t1X&uu7MIJx+BhS5Yq-==8Hm{L%b6nRfwrh-O>9^*;wcJ|Jn2yHQ919g z5C<-1iXfdU54cOpX?yXZgRWjjRFL+kT<@e*-;TZXB5$w?-i(u=jJ9M77+ zdFQtLQTNNNGtx++H!Eg2uJ3jMTi5kr=G*T`o#(3YJQ$BSUQcUREF=|9Um@eb5?Zra z5C#$HdXOIuK+&kT35>{%gz26T<_~0}6SCCVHsGz&bIspa?a~27l0ZdX30ijPpDhE0 zq|>`ke59y8$lIh1^xyUaL)0%%{0|)wE9)26IesJ5d zKz5@J9lCOBBm`}fffIHpnv(xtvB(-a^6|> z$b(PgJ&W4a0jOKTC?JFUY~qfA%U3Z^XnzPd{Atl&F4azxXAq3GdwFUgynPvQa_D!A zBgW}K7=6SS%xMj^nHB3C{C}|<@$k;H)iatBAZXz?Ziio}xt-~U&ID-H5e@46fXB!S4z_TJ$z`+^@mxO=5!8uCHp5~crhIv**)uI|jMJJ#ow;7H zy_xXH@as;YjWCtJDfX}B>@Fi7;AJlc(;A*s{u`c{lvq%!qgNJ$CkxuJpvx`S6n_d{N6Vn1xrFI3V1`Jh%QIXr#Er=79%qbn zfZ&O2<(It1A##J1`546=_D~i-3!zr2L>F)Nv3`6T2h27Sj)1}+0&g~7OV(5JyYgYb zX8U4v>Qne4xY4OP@Onb*@5Y>qgQ41)k4*E|eu+S~;~vSYD%T1CVtN}skn>dpW`lKK zSNM$nIb%iZ#d>V{mc_g$fN^ea<1c%!2O7z`6P(d*E+K^l7bJPo9iVn%@N(DSZqpnj zq`eTYwU@Vg{6&b8c~wMVK~dr>tS&mHB>X?c+;;r7d3c$5Vxnr45#iBRJqcYL;A~(d8uSsF8bB`_u)&~pC zjF^1z+J4Uve$*9YL&6N)4BnM{azQ)dBDr;bgEyNOMM_p_d~vEJ6It*=3af?|c%=ph zZsoy`-aGNrK=gpwH@{>O2jk!QO>6FAykU6xEfzVUQ1x)qJGwjTd&2MGUhQD?(_S!-dJim_Gii<*wx}T_%04UIBpZL&BK#?~B(~rS7 z%~!ALA6aU}fhEQJH1xNrpO!671diP0+w;~94VYVWNuGk(w@>O4-;4;h-QCU?%EP|p zNuGl0!bpB)9)9!MBkb^Z(hGi5fb_$u+voS-k4@PM;^IT=&N^68X`$>P(OTVSrhhno zpeKRyq4O$CzSasB3Rjl-)wlNdt6f+@3+%srw}hyfg2?&g zH|_aY-#dQH#Bg6zj^D#|@K%F;=etraFdbYvke1aK$c%OYUrjYc>8_X3?2G0V&HGFa z7mKUHW=9%)W2wq>x^qs_<}N2Z$sRxK=zJFSrZ^q9yA1!_A@&IOE_`H=?-_i7INH_> zf7F%V5e$NVaZ!yQ_H~}Vc;Mq(lwFx3afL4?z{x86U&px-4(67?m~fC_MujgSW~zhk zPkH|NeSZSpU+M-kt=L6Fwx$NmoLw+74-;DM_-AvG&qu+fTxIU+;1Uo1M?Ma3^f)>m z?>r;$@Silrd1-4cbz}~vSVNwot}grG#C?U5uQ{_b?Ukl0k+H{BW2+_Pfp2>Z=S(mi zM?uIUp*nXD!E2HG3g{{^thzqKg`CPdO+5ksSgs&`*gdm0Ep6^hx_1Tfnn`ibA29A# z6Zn$y^v{Lr=iQI-#598?TKdivX1l?rk6x>u5SHKMQjgw|{?&ga#C}b?qlHq`rUL8B zwa{uhSSAUn=`=jH^t%gxZ~U%nasw#h{|=(y)Wlkdv=mP{N-M|0X8_Ivu1-udWLm1 zsi`MlMjo)Ty`E+begLL-G+CRyJBiM2G`A(HqZ_q5esO>FNa;KKABfV2tS-)#reMA?tCVrTsqJCCH`xMreezaoP zTQ>D>HLgATkkF6nqc zezFf^7cbI`3J(hR_O!3EEe0tQjM_hl%kQKaZ}nvkUZ?8p^Y%;nue9Sb3J=w&e4U_9 zELJEBG_&FqYcNK_X1epPFf(1o5+AtD1)!wIGo78|B08QQ>RiTniqg9xHbozwMga37 z+V4Ey^}|GY>$9@Y75vmDeaWU`@-DO-<-5NehP?#*JdO#gWVE2Jsu{J=Xg-dMn7J3+ zBz~w$csZx~CYH%Fb9*4wUZPI!p4*i=SR{XNu~zQ&b0Vz#_54SD`DgJf${jTlV;cRb z7uJ$jKm4xD3*T$&?#oT|xl1a{h&xcXU+$38bKPq{bnvSkZ<`4();4*k``M!`pK_Jo zy?zQil9YYTVCoRKr1?HkAm!1>X%R904pLk$7G%jvGjRMSbWaS*b@nLb%pF;FzqIe) zZHMom-fZ=#p^Q-Ke$ij7I0-XDbzW1v18Fm{*s=S|y?N*35RYnGs$ZVUFZF(VcT8K= zm$BAy~1|PU` z^Xru8^*dmb*oyvhR)+h?iXW@+DtXHZ3iQ$4@A3WA!5YLPuzvpgzNg2rn$=s#s;G`P zs5@bZZZFVblXUZXk-X|X9<0=+XV~`2i<74cj?VE5a{V}X5WURqXJDG zec|;4OI{OK`88f69v^;OKQ2Tb^2RRjb&paO`BJx4c3vFTm;0*iUn*RGFn0joh*?#XRD|;%KBu8CPZ>>ycXbV7SlhwYx7feHB z^{7mn1PVrUe`jh?UrI*e9w}$$_vo-Mm|yu%RXfJ5I_!f*5yq1#3!4Z{dtl~}!g)Py+Jrm=kan)%p@V~!ChEKlVQZFl{9!w( znL$lkU7(!}C=e#zrS4W&-_8aKh?p6qw8aqRN$r(`cL{f8q0@QVcUteY2~)GSqYqE^ z0dGpl0{HEjIMhMX*>NRmK7L)#8z8bHhWv=Ug;wtch6eTFT`FIp)p?1+U#doV!h6-! zdx=6{F5q33Z21rGkV1ocv^!gVdHx!DrSV$R?i3AvRF8V3_tMqv1oW*~KE3Hg zJ=$L_YIi;cKWay{V05bJbn*uCPVMN!g6O`2bo*XCqr$-CWmkb($gUQUUSON+Sm;Yr zJV!(?TV@b^udeAe3-skKo+G;#d9xQF_)$En1*y|htMf7Fks+!DK`5KL{uVwEC6B@M zh*Oa!7|fW=puup?Dldrf(J81=+z)o`O=f>k8Rak715Aql>0U7-VqOV1OQ-7@d$PTI zDtjK+I3MoWt;UHio2Pr9B8rTT2#u`vfZ?eYx0GY9Iv(;G4!0ZA8Z>RF4f=KZomb34 zW<+$L+LoGx!lS@#pG@WUp`dXlRm(qXMZf= zqF3IvluNeDVJM%Y-(E}B{Eh%$8bNR`MV3Z+?{|_m+l$Iu?IuV~vNy)N*;hjxmTy*) z#Cm!d2S-g(M|yS;c8MQGesa7vUu;@aYdaI0fna_~Dw6J@zu4-eJB)7Z<74pRm>n6L z5iV#i#b8oo{463U=DQp{oAWV?D)FvcTTJe1Pb?rtyYn!w0rewt@r!M?mwff?zdLG4 zMV?lNKh;0yQQSnFvJgm9zZP$M{duLaD~Kp1zIPhTeCK#zN0sS{@M8JLLd>;T)d+no z(;Ulf85}E%7l*&dw=bHIT=+$@op9JxgK(sS!1=p$x3c2?1>C|0kD24Kj9qrZ*A~XN z03b1Yz@U~FQLN1JTrD}VW%LO5jVo!LlTd%S(_sH$>6IOV%vT|#f!s;su)@y;uf|~; z@9e)N(SD@F+jV3YX?0?MsCn?3xa^ON)lH-AMKDi!EqY}wC0m=`JU0@P&14(WM_tV( z+^G7S{%A6^iSO0WoB={YJsHOaIx3mrmmiM@?@`oDuE3?7&zLm0+QDy(SaB$n3QoiE zKLkyWz{jR9&ZsfzF}fjXlSd3mvw6od7Lr0VhD8!eJp6weQ$Y;pCNBxzjN-KaVQ~y< z+3^$^T4`I~9?Sex;47Y8ng3qaPRnhl$aN=eLw#oLg%xTa9a6Gwud*C>h`l3iT6@?P zhi5^36zO(h8J(u_4FHjmSFG|glS`X2%h_vtILLofD3jePHt2xhf60U|3IV?KgdHc; z1OgZJbo4LWAadoww0{PJzS?7HWZir7EmgVGY>x6H`elzolETkFr&XN(&I?JCK@TVJ z8W9{TzpKXRETp2ze5dwdXN#r7&l}dPc3asp!P))Zb6fk)dgM^N?#w) zP-^sI9w~X_#iNY0@Lqkx4kD3kkMacPkrVm(Kb_eXTKP%AIZ-;BR1ldk1y$qLOvbAU z*|AubE)kqGyM-x-p&HT-yyklrpTKRN9If1Bn_IEL^5g&l04^fha%LoM z^UR|)hs@?;l?d^skw-74_e>$`;h(;?DCPDxIE$)aRt;-k}{3Y z!zI79ZDQg^#+F`OgcAvH?x?14yVO}~F+o%2kD_6z?i1jshTVnRP9v9cW;=zq^& z{dX`lFJEIunn4y;?k3WJyZ?Sie`zVDluMXG${a9JdUlM0yRWJH{fhu&Sj;>hvrW5l zR0A$F>mrQccb_--+pD?p1w@nE73$+7`$bR!G%?om{@d zH_5En#38-UmlBmoH`NK-*r>!3@sX-+@X=IDU;a9{1YJ>?J{@V_n)Nv2ZhE${2NFBr z8+qjz*)hQ~x@n4eg(Sz|Vj-MeR?ntIdZ z`;8sf+F`8Gzqw^fkCWEYsFVE)`XxgL-M{5FNuF9W7wI9bf|%vaOYvm;D#j;jF>%SH{G$MU zO~@iTv)G#=GzD1*V_>uc!nqO1=F$X^1sx3A8v`FV?g?_=dSlqipZ^kndb6o1YX5N8 zCnZ^JP7V&1lT-ldm3trY#l!Ty6m3ZHn2@l8>Brpwt|r!$`i&Ir~^Pc|Iuco z4A~6wq5VVoS{UEmhOD$^5nw1LF?P%JYx}1tVp5s?fo}f?2?W9i(TeuUyyZuJZOpMT z5Jjwf;B~b&VF|JxTQ5^|er}e3o@1HQH^A`FNTKHO%YzSnr;uhkFQ4awk!4`uW$zi@ z`jgSA-Z6sJF>%#E4)jJ&@CFNtw`2HV7iMd3?=j4uO|$eRey;hB{1Wq0%i5NP)&~NE zahS|a$@4QJwBQb#jEid1_L9_N?WXC5Q$CK*7)a-c+V=1B9dkGJ>o2tE=m4?iZ-$R( zcWA7`a~*Ax`opsHX7K%sPlc?i+eQTaVQ`R41HaDtCiS_0uIiLC@ee=UCa)Z9`1XtUA zpn=d(!7$&>zG26b#UFht*^92Ht?fupkc;ig%vHJo4*Gdf)@+EX-r7K40v9TZ1xtxH zAv*|X_14SvC8iK-?!-&XolYZ(t8#_DL7aWGfRHI8y!hh-1?WC|fa)g)>L_ZFm z?Cv&=CcvqG{BypI-z=$d(#;0bGeTN_BSvszL~s+vw#WMGdt4=|F?Az`mbC#Ag5?j} zF&hwqQ?Y#zqUWneSy6e1I4iPXqa;DSDM7s}K|MZ&9z2|{z^hLf<09mnVgP;Q>!;d; zQq~|y>h#CIe}+$^=#SS7rG)XWY zI(QFgQ`XwcbKc*_gx0YI>;gPgR&qUUe@|8;Qz;`;fzYLl{GY_8fi&WSMcXzk$dVr{ zD9^8Kdxrh-abc8sXp&^8l4Q^@fw;WgB)JZfpgEg>mOK_TxJ4_q zLkksFPCVDi?SN^0{H=Gp=8mA(Y9wlIwDL6-ZimL0TGuBAcs^V83&Fm+CAQZNJ7|Rm zszD#d;uT?hjEYTA%E$wT)&k`mCt{5st3~09gXY$iCU>GoaQ48WXyQ}V$FGEqfq3Em zc;SKgE{JW3y{@}eVhA2UAeuicMi_)zx92?nG)JJ3m4S-pWhE&0BK0q51sB;;VR_|U z-SF+NFrVGtG~3<}W1w~!)3YyqxEN(l64ed-5iC2>Asi-t80oV#!OaBQ-V57aDBIpJ z+a9f0xg}u2n0gaQ(g9J@0adaaRko>R6Op(L6~h3+ocHO>nPMM|wDw|5aN{cW1b0zZ zk;*Ev-B@I@UhD}qoZ>NJeY*I4n+$EK6@Jo+HK?rlK~4j$@igHkmp#7=qCEByBv$JV z?W${W|8O7fo^=6DKvJVqf{wE2uDT5DGX_>b{sjyG#!t_0E{V~R+c_D&HTrHk@C$`o z!BSzQm5EcQg~sTmO6a^l-hCj(`(xmXGy#$ltNU_4a)Y)fyN58R;zdawwy4R%uTh=_ z(53n+xcsr>PawU!h>gFy@{#?xjm+=4RbuYyGSQyn-J=O0T!ZOz*gSqplFK>&JFJx1Yj1T;w5P}1%fPdr z*@`%%X&NoM#2!QRLl;75Z92}9-7yRmIpj=mL*@Pl+A3>R?FNySbm!xvmqR_Ovst#7XJWmiRVN1?_PQ#$T-4Z%@1h7x0qsNH-j* zpNkaXt7ZqRYx^r+%S5`GkT{|ACPF^-ygbUb-GTJpFKIfAAhH}pgtQ)pst>eN`H9BY znu?C#?z>h@=_t!KOle;WXmQJm-1__Ws?zoG1P3WJt2kut^G z7&v1Lq@eiQiwe0*;1tR_upNo_hY~sXzLO!Gk2ai-=M4A{XO?%fB74Q_xXw2K z-vIuE@QfEq9oS7{cTr^w++o{mWivyh=#2Y?s(L7v2Hr0!N@z7tXx&d}#Z72!fdpg` zwCW!_4k9KD|AZ=`gCz68_nD;c6ozs&fq@f+-py2GtT{2`?bjkzmcx8**>^qv(!%r2 zEg1xZzgH$c*lxsJQWS1Igx#DdKosNoSwmfA_}_hboM?hE~gyo(QR!eNplP_aN#H69t_HM;O)RCDHF zCZe~;5Kun*#$i&^g13SQ?)_M_rVa!ksbKO{l_` z%D22+pJ=%EwEx`cAPb+#2S12KM>@d&dEM{Mg%$Q6YI7iHho*0~p52CPs4e&~RQUn- zk@oA4^ka>>qP1&?sl;>rimLz_w>=4CIB_hE59U+8A)f!}o-eHeU*1 z{_K0E5xOa%Tuoccv#7?`QKG4brL($mrPi^e){&%)w)_QAo|Or1@{EC>A8Uajl$)@H z0uCLLdNIq?;me%ie7NCaW~>NA-kQt6Z^poVV<26BJQKwAx+@3Veu`QttJL4>NC|z? zkak+6`yu-Iv^VXxlFDmp@xcX#{y@N6CeC)C(Ed zwG+m*EXH*S#m~uVe*le>pO@TFbZfjY1?7q5EsoM<9a+LZoEbGi#WE#4jgh;l0SB zD}sbDg4H#4DhLcjb)?P&b;A7U?$YCZ*5Ke5AazSJQR= z@&Of30$$I7sU>&Kj2j9FwLqqID zibO3t={)S0nsxl3yM`%;t(Mk?>pO{2@J>eOIefI_S&zjs0DZC4v3S3l$laez067T% zNkrQM5W>;z=Zc4>$_ z!0WJFr@ZK>ci&MT60F6%+b>R%s&$Lj$q-YEv;z9f*TV1p-AjC_O7y!~&gN`y0Nt#^ zOvRn+adk0GyaU&$V+5ooiozn>NXHpo7f~9-jdPz1I!>{W1_jQ3e}+?;Hy_(b4Kt>| z=nxQKuoq;ZrmY?BH=Nx46?KFC_e$70b$WFS27lG%4$sT;)DCoyM=F}(9}KF!I0!rv zzp*45`_d7R*av0U`etF?3;unPXZt56f%5e9cN;!}$S?o@1X%{A`4SU<7{KWH&hG5( zZGo1s$?V*n^UXKsHD~5};sG&02(jhY?O#$SDycOj6G2+H0^Q1*K`NuB>on5|JYV&* z9uHQuwQgO%u60dw>H5~E>M@OTk?5!*46YN zWn}t5IxmlOX>X*OZ92B4TYm5A&d+16xh1pec}ChY`^5QR9%t1}IaMtcsBSt7#=viK z^xOG#K_3VaBTv_S!?t?2x>Ot@tu|b6cTy+DQp5CzjZ9Kg-DF^@mYUXG$V5MCqs4wj zi0j|Z|A{&=g&N>0FBUj{U|5uA7DdxAoeW9C=OM9(egs>m@#iRdW0s|wgtJn1V5Y+r zAYLdOdhc(1Wk0^gQ3DpqL5b(+R#LY#L-!!$r;52xn~Ssf97zp$!_yjcQ_W)@ARZy< zs~7(m2Bg$N_0m?p7O-=XD5dxBLoV{Jl3L`jp6>>JB4=TR7)tmn*Wc}+PK>9OA&`@@iQAH>(F5`H7JX! z(lheFi!!Q76GaRCdaJ$S940{-p44DC%2bZT%%8tG3sF%d3hRneD=KO9=gjFE6vw<&N`BbQr?IZBVN+VM7K43B1L8CzQxXm&1|1!6DB zHa)lbQ|e;Rbg5Gq76YjjipS{vTF3lfx>^$IK!R}7)Qbi5Yw@PhH!va{ozziBf~;lu z$|y0DBvb!+^W<6TWx9Dy$joR%A%4mTF@xmx+NRnksh7!M*{<0QSj>cO>gtJ&1e{0> zzA<$(p}XCnBN_U4$G}4{;Wk^EHCQ4_3Dy6^J_I+H3bv)Wx{vMLRo&s7iX+ao906GF zxV+qjfGf*%#(wd}lwH_=GB&*LQ6m_KSCLps?+-55G(+7IYOpMdOHpWAV@tiJS@36LFNUD^-k+4OViZS$l=fLG_z)3Un zU7@mhh4n8oy^LHQhRx=SCv z58Y+`%gtdB$mj5F>G7iX8Zk7QTBr(bN_ifK#|&y$ITx58+Nvix^dR z>Qd$Mbyv~Z%+_mP!Irq{N0TRT#&ufT5r^FRblUqy%7|_t2tWr8!ugE&Mo1;aBd2!%g)%OR^QB%)1mPs!UIj;?cY z4F?0c2&*)(z0Ji>Yy(EdpD!4muDV)7uuRVtuc6FntS&!~2uf4< zvY1SOfS+MvGxcjrI(HAIUdB*$UA2iXmJEUq4ff2*mQDX+2qW+e=#)lgA6~S13q(UA zk*iwiur6YB8DrYZs}|uaNo~1LS)c`8hRSChxZ)yN;jqus;q6wZi;C*&br=kTkq#27 zr`MO}{)S|@@Rje{wifKz*UX-1q$_QpsdTTq+ZD__{jvLd=-(x{C`fm1g_UQ8CD-U~ z#a*v+k0>SX>REdWkQwsyHtAfmQ@OIv;m{01gc&-SXYF~qrLgx|o?>$qKKt8&)tE1j zmz%AjIJ_gb?W>#)XkJa#Y|B>-E85BsFYi4L-43QZkAvH{INbF*Q+N-*{K<2NKO@WF zBhyO3DpUZTYtv`00000006K700000007kl00000004La>{d&U6gLpwNl;Ka zh(iK7L^;$Yuq(~(_ROFa%PEr;i$Dw-b~djQ^7OcSP26_NkA>!v{3QMv5;w$S`P}ZA zXCPHrlV(+eko`ta@O0rHxx2bbsgG7g)W9)T=1{H>7^V z)>tuFTVd%6&1&UNc%Wy#ESagh@=N+_5RI;v&=bbzTEOgAjT3PEB8X#dolphcV!q&Z z>0Wp4{e#sDxY!+ChX3jR1Oh*!VlE)kyS!kM?rA47{;|M&&(R|Xx~h#RP8@9>Y|&tU zf3Q7F2O}Cw$A1l@18vY@%n7ZMKeadHEhn9SUwp#W;3TJ6NnNF!<#wjpH9mz^bzmZ_7ChcA29pyrDOS#b{POzI3 z3{b8OFLdaZWK*b2`bD4@{1iw~WprU!S%xhQUqtC;6MtY-wzamAFl%)tnBxmt=**W~ zxyT7QpIh(_ya3-dJkM0eq!0>a76 z&uwNz6_{yU7|w(7{u1{IQj64ZI&9%xOLmyyWyG6Rl@uA9OHP;@3v8`(g;1Z8N5Y)| zTCkNO#(&yJ49rozOg^ql1l|N@H567J6%vag=|US*7csX~GUaoYId6dFyk5o;k`67+ zR?=b-utgm(4^NDE60jH688P=x8@od^m7Kw#;W;X##W?ANxC*UtH20>dxdnGjNV}8t zHZGwXjJ#2NpPrpZi-nS;NKw##x47HVeN!xVnIgKkr!<5o%H`4S*x(9kVh>Cx}bl;X*ArP3rD|kV^K+wCB-xt?W8*c`o#-?KO7Ay-P;`ucbnFqO=&>0JM=rB)6H0~ zQGYNzLq}+$po;FXvb|N#WBb<8^`r45vVD)~SXbMUpK?hzl@qT17xo~2$VwsG_cyF3 z<3HeiRCl>i_o-~1!|8CeA6dUyv98ncCHAg|knw$o5mWkM+QR=r%NEqUm$~K%PH7j& hY*I=;(bii400960cmd*OU}WH6U|@(cw6oO%0Ra-0u;l;% delta 907 zcmV;619be=3DyaaTYty{00000006K700000007nm00000004La>{iW>+%y#5p$J5% zH~{5)4S$wuB4(N&Tn#4{$?mS$>W) zGXYwTTp*I=XZwBr-g|Z~FE1~D$Jpt=(P$?DS+nK`!y9ef`hQ?JkKV%S6{KE9>7OC> z8Mda1$<_)>*J;rzcg_R7@O8~hJCtA3?}6xay?~xD{;dVfzSB7Y$3FmZ#;p^oqI=9& z+^*dl&ZA$j`VB4)$B^NF`agldm#CPli1Z<^n50MAiGsf_@X@d6kz?JoMpWmHc8~Wc zJ37kt^E8{#RDU{d7#(Ya4r5Mem3*(gA#XY9@-@ddEUnN?l_ICLv}2OG5(SlB6+zlk z!qkd1I?j;QrF+T@r?Azt2U0jo)7gAZ4Kq%GG3rP*O2(Z8Q!Q!l8t)FMqoLqq4oNjf7dN3&9*;(MlJ- z=E_A*$O#22bzFu}_>Ya@*2^Lx@h4dIFgAg~Nb&i(abTzl)jtOZG zlF`n`=!P@x&>Yc8E<1F$m+JG-F5!)dT#ZoMvwtVJV0S*;oLvaxJT(1@=ULzh@?W3K zXeo8^B+8GlHTd5A9szpao4gKzg)a)!R_P_}(=1d(W6-OVX1DV%Zl@E<(>#lcKgINw zNBek7_wUmr-(Lzx-*975Ns%SRluZuOgN*KY0r2xlPU+3VEI;g8e=(F7r?*b0v&i-drZe5_OMby6-BnJw_8-`T_zA0p?BCz8 zo=v}l_fg%oPTiZb^)^oP{3x=%zhT{`;|lg}g^=-mgb`Ev_q2uog`O>_`Ag=yCpe`; hAhSy;eNKCS00030|9Ao7W?*FCU|?W~GPBbI0s)&?$29-| diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index 83c367785fd4ac3cccc57d8be09a0863fc09bc34..ff98bc3be9f52cee23e81bf55432c737c87d870e 100755 GIT binary patch literal 15794 zcmZ{KV{oQj&}NK@ZBOiEV%wP5wr$(Cor!Hv%qO<<#I}>2cfZ|VTU&Qs-Cb8z_c{He z`#z`Zpn!mY)WCuMlg|G>;eT4q)XCY>-j0}=m4S(YnONA>(#C|Cg_VVviJg&|g^`7c znUz@C)XB}#*pyh&(Ab)onOIqxSl!f!Sj@!I<)0^D`@e7?AdnpYTY=mm{f}a3U)lYRZ*k^fE;UOj|DA*8K6BGwSQU(FX z<3hmmLmmHIIRFv^!`)QfVJEd5fMAL-jG#jN>aXvr?(XX9uIdVqWeKd^dAK}N-r;hc zsZdtkTAIAr>~KCtv>}`)tEabQuvrcxjNS|`z}%ubG_dhD^alCBGWPc;-l}{0JDEyU z>0w}BwO?R>|AF@6Z;%al{7K+#H|FJBKTNjbTR=fg^+a^Yw@#KX3nIsl3O!&UK~q`< z6BCIGR?449xA%fck?-PURGkC#6N4saB;t4UFgaurioYcsC79P2PL00Ehwp859$C(1 z>+Q9*xj$-vJF?wmV%}a}Tkyso24XOtuwb~myJbZ4h}|YPo?l(Dr!v4>l|{41_niQK zy($zspXRkSx+YwKUdFGsGd6yLzEs#)>9TW))oV76F`ioK%|G-+{XXB`^3(-%A9NYo zFQ<@abG?Z3DhO?CT!-2aEj=!C9)tbOe6d2J_DgP|CiAAq*T z<%i>Gus{|t|C4spz+SddpVMCoNf=EIg_@{>OT14KS|IwGE3#Nq7y{7+hN?gmRW2a~ zTu2b`BBqY_n!feJnn+Y|qb2>2I3QkJE$0)QZ@%D#7rjDyXBAiSfL*J z-Brjq5x@G(GAUaNh{9m|qep+JUva2w+-=NiO(;%U9>xjq!uSSZ{+=}Im?D2Av@?CM z&H(d|z8SlP%aQbFzHM0c$^Rj#O86Y!-}aZ@G32u2i}g9P;BnZ#Fk_vF&bw9ttZMRH zfR+C+LSL`EVqN7HnlQo-?h!hjnLQ~cQzeEj?tp`6&i~J-nC8v}5BW~UyJIhawzs~g zxx>lqqiA%bB%`Vc;2ng+(?yrS+QOoX_2;g8mzVaB=Hujk`PA+Ggo>_9W?AF;?Y>Ih z)F{kIKfkWoJAa8u`j$lk&e-@78mY6%m#QV1ZhHFVfh}O+(8_TuRX4vfdYhx~xs71% z^$G>#?2y?$VPjzuoOY=0B$!h460duyDjjxcAcVNcjeg^;LXfJe7#9GwlQS? za^Otl0G06~N$lp$Ri|3l8}%8)1vn^%kVgH2jVr~FuE>dov;LqfRrk{BC+G|W%LC!ofyt1*VU=_==AqWA43IrrzNm*4Erw}8-3N&blj_c6+p52 z7ZjU3|G1aP5i(P|qvd}4OSv-iRs8w(;+M?QYu%%=)Cd ze?{<-P_IUxv%Xp;Jx6>|#zW97`RPcg&Bwf`pB@N*AxwM>zRXR6`x?E=9(MOKrz)$d z&U`T_nZ3`reTQ^9Q$F~sHT$8AM$p04my}QXF#6Mv51G>_uN1fljNChDrQfHx(&t|9 zzk7TO)C)1P))Wt)bDzG+vS&>A1Orqyz;X7~n-e1$`=OpreKzI_OD`)}99fd5q1|l( z2f_HtI8n|fS+BAz=0!FNa|FM1pm2UeEnDLxd)7w&PWzjbo|n?vMJb+J1gS>bj$UHUYckZ(Q5-Wy05m%E<%sLm0*sG`iZBj?n& zFhNSpc`snC-s?=pQ)9dw$5}oOy8E8TKYR}pIg#1D=CWM8t|C^z=S_u$u?IW(O(%y; zMdPM+{mgdld-&1xHFe$Cc4Sx0leh?;AX$d${0C6&y>Co9j(c<;C<(>J%^B9TlYFfd z7>`+PoS8K}2%V)iu=5>YCz7ZG|F$>kQTLJ$CC8h)WyuQN218+b-VSDa`R4M8@HUVc zQz*kDIr)?q`2T4&6wX8BcD$uVaIjr(Z9&FkyAZInu*4}F5SDd$6Woam^*D(dxv_v% z52%Wv4a2QT6vmzR7a^+=H!L%i!MhC2fU#RvN#jxgq4q!%dIGX|lZbx3O#S%`kejWp zu@hjU7~u-a^!XZVGCabG-92+KP%f2fMfA4Sw8=eO?VM!1hst7+QwYO`Fh(d_u0H=kvDNjjlB9=GA-)zcg;Mx z($}Tvd{BS2Un~~PjcXEV@Zp?`sROD-b%KMazK}ZPZ$H|l zA21xa9#Y}$Q!-!`)dw;I%ExPl$7fJ=?0M11E7f8mcc)}Zqjt3}7Qq`=@rz_S*0H|N zD^uArc_~%WTup2j`K0-sE7|eQv`Q(^9Jn$Peye99Dp|1vhM96pR*XZqrk)a)QY0_G zEC;4WE<_RAKsUFVYL^eDVVw#K&#^{i%oT1e%^r>~i0aprR?03d0;`~>?qw~D`g)60 z8QpI2Zc@8=^RZ883r&nYEaxnYTEp_l>pqokl=Rci zNbFjq`OOqDW_0EI7~t7DSF7FL;3l!92%w&@qK!8b?@7hL+&FLDG0S zPD`ih_!%}yo;wb^=91ymYf0EYQdPD|+$W*HwcgDQI<7Qh-@DxE9c>9JeZ5Y&#dr0{ z%#OX`L9j_c=1Ppp&^0{7`{=Nh=+2F?B}wlG!va6Nys9cxpjY)#Fbfu%Lz>K1PzYur zI^Z3IeW)|KQj?Gq?qYubf$+YMxpUZ)5@5`N-X$j)mc-A(^2$O+CYR$EZ*Ln1UqKoX zRbZvp*dV3O-UPoMgbF3MjydYy_ zDAUZ9=i1Cw@DD}*Q1TCDt}cGSQ=wR#N#sR*K9x1m<9BUe&1_wePjY>fuXGLSEcIyK zI<2kKqrceKM??QGf}LO2ca{aS(?HcWX?}o(IdmK^uy%KkM~ip8%xC1i#Vr}bxZ0}` zGmVGX^!T5p<(3G*Q6DPTz880BTaMkei^Ut*T4xXHVzY&Cw_by}TCPXgtkETF*KQKO z`A|E=$ia8zM0XN&c8(G4dKD|$+9aK`(@Q+@DZk0l)fx}<(1d$l(E;Ow=sHCSx?ds| ztVGE>d{{U0m?23D58HQwkQw#t1V>)#R{(=NfxA`c-MH6SbQDobAY#00$2;7%PO?WXb6c3oU+Rd+G-3?b#y@3bK8wrH#S zPdoLi`fWX6XdSeE&eAyGZU6mIP5a|aO9oraZqQjns1OZTEx@(#gK5c|x&M_U9drgM zAKt-_$|T@En+-f?M-*q*$K5>O-uwvO;cF@D$B`5<9=Sj7q;OYDVanxl1ZwV1R67#7 z94x$GHJ*_P8zJ1eMBWXH9D^Ri_vGbsqk@3H$D;qSFM)`HKHWyl?%r8+ zer$)gk>TAV_p0RP@x2Kv!xsWX#Nwm!yj_L3VqK^InHCS%Za_w#(>=iB;gLBa#+15? zN5h~&1KQw`G6YFLwP!<+ie1qK6X!E`7<6RHX3Aql0DJ9*LRjdnGu!>T#l#H(lDJB9 z&Ys(|EJmYWJ%Dhy%yn>{^~nIAI1l%u&|7!5JIZ=FT@igX?uWz#I=v>5$U9Sa7X zQ$;|0)h}z2>02y}Kf)TiXmYz2Y{e|tsyR?3dB%=={+6Jn`!qS?44PtGv^inqn!^S{ zw4%XX5@=a~1`N>^SlkUcABU%3&5ft1(!8f|xYKg&LtR#kx507Cjpb}w_dMJ=`y_}& z<%Ve!zr>jk4gEN{pqUb>{A><9jj?PTak+9`b{Bb!2QELyrLt7vKoVw5)o=)pn>9zTVr&J>RM zK_hWb3pUVC=WB2d5W*wX!i4IN+wO2R)^Nr z(uFVT$6ZMvVRU@rn{(m0E_E=1gAMP>HA+yE-%Yevr;Vg9fzc;+z(lNWy5Tg*9YHsw zB0O?ihSV_ErNSq4Kg%LnwtRqXm>C3jVS3W%;tO%gC4lY_r71Rj&26m5s&jHSw~J@hj;&vmt0OM1if)dLDo##y>Lsuw4uVH4 zou!Anf+3VA))w{RSG!dG!U@HIe6Dg(^h3UU`7M3Qrsj}qu9#MLlVr3zcME47b9<%A zZeF;?&xG?|*}5YeCqdKWv8Y>Dz)=`oQ8#arwaZKSMlN{=)m6v9xM&!XO;5t21|#~l zaW;J5izY=n1!-E6Zo}dxJN<9ye)qa5-CH*Ctu@0TH4$#jb@7(V>dBwUqTEcD07FXpv!TGi12SoUs-f2c7|8 zY} zCpLY%z>^a?5c||Bb%+oA_g`+8wVgnlR;7#&=fp(brCwY^6vQQXw1;EeE9fV6pH2?8 z2|ps=C)mc(BH#8OzjS>cLvkGVwf`jN+ruOS8YJsj6?U$J(y>4d?>Yj??&TlbMHaHj z*k?xguJQhSNKHXhB<$}%)!kjMl$oAgOemtKgT2(nD=R)^?;gscQG6Sjl%35*_2GV^ zi2GqcBVBWz%t6s{jrkxLBLYMRfzG}z>ci68viGhhqI`dWL~&EK^OmiP3(-%1RUzsC zs4Fb`q4tD~e8G3sI6f#I1MK5<7u8^xJS2nP(itRu{uy@>4d3pIWTkJT6ZnQdChoH* zP^uz4mn)&quzn?hr6}HiNL2DZSEcgH)33?Ys0exbWd;jW7EQuX4LVO;{b`OVYKl1q zkK*r5a<_Xkr;>#NJ!|RxhRT%~$wv-IgXc#3-PLVEP$gk>_|^4-N0I-oTZ>2=yXd&M zJN-9c7J@-#49I$5@YfX|EfMDVl`NT&bu*ni!6-B!KHN1WOhKprm7IyT7aJC>P=wWd+Rv zxlZH$RH!;~9?G?LXST7x-2@oZ(ix|xP2|qBppR#&eIIgt`G+ekhfb?a$1|b*&C-}6 z8_x0cn6@s>kfC`XGW~7QPi9d8CCf#V^3)`V_@KKaW1p|?1muK(P<2~jT7LmosE^
=Ippbi&384jR3#z304=NxYM{< z08VEBzIfA)DQAQXJkNH=kK>V#!-P>h)14pZYhk>HKJcR->TYdD#m)^fB?<=-E=KpQ z_QfQgeb!R};|HHaHxB(}am~TK9k8QQ3s=7Nhqp;HoQlst);&`kb+^K&8Fgc0`!Ciw z4nx5AC*^|bm=CN=E6%xL&P_^a!vlhil3FGoqjfF3=@i^ES%D+_3fe|kWGnrMS{eVH zPT=Kdf{15K8#DgB>@Ftf{;!M3M8~lV#dz|q*0x(;P^b6i&RVcb`vN|kcjtP4)~6Wf zII;3b^kAjhT_Q?;FSp zbcegA`0>Z>7gg2Ilw;2ZfICo|#p}aWy}<5^;us8y4{P<`x>{qVeTd!pFe*i1>!DGgRh(t%bfz2^NmcTa)^(&DudGFOzc#_jc=qPxYE*vZPxc4> zf2PWKnzCh7k>BaEO-&h?F60>=@(f9l6sizI# z88TzU@=JN$JgLh{$XB;R*?W%;Z|2u!i@k zZEy8dbwCOj`#(QYVQuygyL@f%M*lmrod zEirTRA?8kn-$R-jMo7G%`fSUK=C1g40~mAum{j=O@MFjA|BTtUZne@bT0M4)%c(r- zz52T#h#a}X=oI^GxvdwUL93=&^qZj6c6GV-n%mb#cjffl3+wUesrfn1J-#Kr<=HLH zHLtg}r%Hqu`bS2a@doX7u+~uJlpm*F-&2UJ@`iozd|F9|cZr!r- zae*{hAFRhu-qq(TMCx>Kv}8Sq<^Ca8I#UWjeAKJ(_#b_OlTx}7m(t(+n#rDV26ypJ zQFZ%@MlsBI`j%wd!meuGxH*!qYZr~7%tfBHJ`Eh@hZ7_?x!-)3D5!wU_15^z&+251o@4kXBf6e)*1{{mZ=w=}YnCD+l^`uZ37MphroZzOr$aBUN9tTZI{AB=`gmzb?p6<+b!K z`bLmVUgaPJ8?W+Udzyha&Ue4iG4M8%;e|25z}tAJ)sy zB1w#s@JPjD@`cu}j|=Pw@5#|>Vze%~Op7QX1fqNefb@a_U3B$zG-!m1K5DPzJQQ|^ z(X@VUYc_Xl<2-l9db||8w(J?TukH$KY&Ll*zJUyWXSjJat=hTsWpI~O*Gk`%*-urqoYNvBME{OM1eB#jHc(=T)iDod~&91w$}3ti$p>9=QjT~ ztL$q!Df>8G*4X%zdYCQODuC`ny@A-31przr=d-6?TH#$hMnX&aB8C}O);c>B=0DmH zN?3Yte9eH*q|XfbNq;rhotgj$%G@}%80ob!A5y_tS5Rd?si=6U7tDn;pPU8UGS~dp znqF|B{8_~B_b=LI{h=DNeeI0n&$&g`j})r8Y##9{VDwE`gWA^;x{&u3ym0udJ+J8)t2)8xK_zhmXo`?{Z55txuV~cNiC~ zeyi?cc_>7a-;!qEv6D@gZK(=N0_Y3?Uc#)Iy2YxzcTG7&d?{fU>$!#N6G;sVeGO(6-6L*j-{%O?7e)rwR%D#EH5T+Em zei=!b_%d+{HO37-mA1OK*~g?KUdY!w6E^wnyj!g{`7b(^v=~_9PI&%quY*0GM&D-d z=9P!+-y_DQFO(Gg!9QguvYrJiua!0oWMP(xU#}Bx_O_(%ChB^X0u+Ln5Z=Kc8c6L- zP^>R$NW~0%Sj3jfkoSERF%suhzVuThq55uy(NUD>?j~(eNKK=48_-A(Do>eG75wokC1dCNnUeu#u$vL&Y-9qz?(JRkLyfS; zC8?jlb;TcR0nW*?0+8IHt#~Z#IL0l6HBXP1c!5A$bq0&MQGfzZaSiOa$irfVT z^KPRhynrrqW^8c%lo4U_adeyS$@kq6f~00A^w)nW17h*` z_xXy%wwd_m<6LZdCW`%=?Psak5Py}QPb$vsUjCMcGH!#dyv^j~yWc~X!mh__oQAj7 z4a%&VMKe;cTaNfUti`BRbGFd?TCkL-1mN)_EvyT_{ders@7ZO`X7|7+XSwYK;_IIX z&yKsb3l0F#D{b5wxMq9nX8GCP;yG@?_gZml=u2Um|x!7fdbvU+sJ*fPvy16T;%TU~F z(_P;>ac%JR_h0K31)pu=+6e2=Z0j_${3Ua0K~E^Lt@zE8Y+7*5Y*{ncp)*x%>;Az{ zcCqgC9{N>tGtif8xR>MwJ<`QJ@Xg=lbIZX``M8&21wFdOwjh_bxR-RZ3`-W~!ETY_ z8xZT+Z0j8bJ->@>K`&i#FNX_sOXe6LY`era5Z4RD-+x(9S~b%OdH0IH!&@N4K55RT zSv3O!TSbd~37bJw%5-zA9j}PXrPNJPAqhLu0wz$u+pB z(R9pt(r2hP(xgKru`b-Flah#;AZz{8aAmP}v^J92Gq$^n&+Ivz!0YCPx&xx>fRpGuVNpPaCEvt_n2$_Sx$rxxN(ObuqUU^CabP}Zy@KV7EL;g@n6d-mA zqskkZ@E8dv>DX@`(unW6kTbHsl++>8Qp4wqHkR&x2 z6Q)))xjFF}amjLP4F6W)qrmf~VL77Vze)Akd~@Twfbphmp3Fd$yDaB(<0F)gs7f`6 z*CxUg=X<}azm^E0Ocr|q$-EACSF7}85?1r`czFx7)wfMWs>J?dR1g*T-{X|kCSyT8 z_y&SbfOzOZ(HQCY1I_plF zzFsmka&r;}%ZoJ5h~k+76GY+oXAU=!uG-L|WT~G)$!Tp~yembJJiBG-vRoQOENC{d z%%y}Wms(mqYCgB+=K?^mDTQLQa7IAmD>y==0GBD34EwWFlTI$hq-G~UESJa!&=Ejf{ zl!C{H1c~%^)Y@?eWl-Q7+ZHs@Vo`JvsfwqEsiLi|e<>&hNiMSUE-Fe2kD65*J8Y&e zcC7W$3@fb=Kc*pC*2KKwK=()PCal$%=iggbT1sAv;!Nu-W9u~$d!1wllYf#XN1dfz zv%;Q}2YF*G?S-73rhZiamaD7|{#eF=}1CmxXZ9y(I^ur#O__~KnVS-1| zFV0<+X%vx^8eFNixpfrgEM7Y~iKQz$yK{xkkaDCnh$B9^RL!BFn%EG-vWyf!xQ=6v z|1gJT;Z_OCsdjc!30LmZFj$n1BZJ#g6h9=>lwW6bnfFUnKGrm`v8Y%fHI|W}qU_<$ z;hi&u$I~>fQ+B^}O+EzHLE6y+flHzCdb$=Db%~UlMYGdr^7M=6z(_rg%Us@ue(GMY zT%VnT#q}-uyc5Se-+1Nfr*_M0U>#xk@|B}ip_n{7gHxkpU~=r%KR?S9a&tt=7Vcc! zu1RW`u3X$d3sP$3$>&&{OYQyRBl6|7TbJmZy7JSj2WrN4g7;k<-DB8%mIj%;J1-$l zi~-Hy0eh}NPFmE3PX5Hi-KY`!Vwhu}yeUc}WBma?PrZG}rw)=Ibnm<3^yk>yja*c8 z(odNP4+d2}f^yt_(1*Bx&q*1)p`BC$LN45D)SH;7z;4_%m@*;bgx5jbhU;NWXg z%dI?zgB#)H<^O*g1O4CG@?Pb8^d#Wzg$w=vu14N$VtUk!(I+6v!% z%3nv$T6qhBAP|Cu8XI|GidX#FYnk?8;okt<7@KgY?R+bYG$8c z1Y}59`E<3eaymNwhVo%<(?BRkH|=-#ie3uve%%HQ9K{=Sd9i46^s#Pc*8;dc!9_Ri zvJMU}a@SA|YB-iIW7ZfGU`GMq%mXG-pUl@uUS{jbeK@pGUYHu>l3}ZmeF|48OsWVP zr?rrJy=?e$xfq8Yma-m)GRp9GCua!-fWuYGBsnNYalu$1V{T^|`QA$RsDe|*V={qM zZiG>cN*f=qcYlQ$o8fYsMOwj)1hl)y7)~AoB3O~upjrk7RHgCA8{5_nx9q|<4Z}Ar z!#7RCKeiD&HV|KFiI2wCEwO}Q(-M#70&YkPdu)T?Kr>I7`&Y9+8w!6eSj`?>{@@!x zTmvAk?I3#oAjXHzdR#XsHpPZUY?fe zgB89pS9GhwXqb-j07p){-IG%zv2P{aHmW;9QL9btCbI4yl4~FRS7Rzh`{<2E~WIjeBY>EWPWy+vUvsf<-^VV0<1K_ypMn6x@ST zwL&ZftC8>oAj_14zjBDWfr(6lqEK5#Izia#I5^`wItPj@>Dgb^WcA>aUn1atDYb#3 zZ+(+j)A?`Rp}3L6PhpUz%RGbrZB=Wp13&tWm@&#}KzJVDnFD@wi(k~P0R!P*?NYpn-RzQrd2v*iZbrsfm5S+$TpKt!GwS)qbozbAXQ|y4h?j%Ta9d zJ>epa#Eek7a&sR) zRPUn<{ds@NJ;l$nyvxzi)TIcDKXY z`J(-JD*rVSeZ!tAe^CuXb3X$D%kXYQWOgZA2UA;B+QJ~G8zBq%XL?&Y&B_F{wLTGJ z?tv8V)Cd+heIS0rLg^rH(Qp2Py5tOUHP0f0OhlP>F zD{-2v`s1O3D-kGnF$wx9Q41+U8!5k;m=8WoG@!Osq_$1O??=SiZuX_H-@n$ej@SW? zTsfcU{pwPV;i#@5Xa3QOP8I3G7)Mvz13-KYC%9AK0SXCDZSN#9iZTQ{lr&%uJ?}T3 zCkh{p6>{u2I)m=5rdttG8#Ce=T-T$1-ipAavOG_7K_y>Cd(%I+JC?)-lLbUAivP<*fIuhFg(33}z2ZWrm6B6{UI+kZ8eHl{ z4{?sN5rx0864cOgVJ61^@>;*gt7b%B$n@9UvR^9Ai&dl}922K{q5}<@$hvA|t5(Xh z7lYSA`2YqCHx0UtMG!RpYi#3)mS9HCI&c{=0m_>KR&^Gk1da1rfN`}U8rpsIF48KI zvE92(NojuM;E0SBZ|X|(sToP)ntp>{|3r>P_hxTS;fYgPi?pi;lxd_p$a% z9Xk4m(dX!4-@eETBR)b;(zQ?+n@IKYVsDIX8K(X_9w4X<;nhTNn3AQuc(Nk53VkQp zP)D()gC(*pP-FD4X-62{Y&eBbCHS|A@Y~cJlev))0RpKg{JEivGJH%CMVU`n-NwvC zBK8hD&Ow*~6C6j2#n=yz_z_0498SbhLOU%YejqS_bm(*1v*7XG+CTSb1r`e*Xy~Vr ztY`TfRc``Xt^+xa9S_RDxc8Zv#` zbf<{$hdxj;;C(SnzaT<1L~EWo(vI`6KbHz?{WZ?_SK1m!NW^j_48oXcyOEGFNhU86 zk}ncyFA@~iN%BGe9ka|Yh560HeYO!_Nr}4+8yn{YI$h+hGW*jnl6v7I=!5E<`gLsU z&TyfbSHO&)Ze7)e9@T~r1U0~}tK7y`E)wuA6S6N7>NZGrK!z^bf)#DV@omKLjl^s~ z|L(6vR}1S|V%=%+0F`)vEIdFbL8s-Ml!hx~`9JYIh5UOVoqu5;r6?E6a}@JuBwib6 zYo{crmCt#HBFJSKM7d0G@=&sxNn5p1>L7#K(8F;(Vw38CwKzC4{vY4;h20+;lh^gj zFY>heQOJpXMqlH|iQk)3$ASF`3I3t(d{Dl%Qt&IcotAvxmn}OW=5}G))e$>dD1G2y zxY>DP?MsLq(^`N_wIKqvpnuxBN2muWg|7Oyw2GTDRv5&Q=7dYg!<Qw+8eqQK(5KoExY|&#poY(rPW5nR*HPq&(glz}_kLqTYci=#C?$KX zTVuDXhCml^aphs=?ybF{ShLpFTvL3EW1D+<`y37;^|N;-msVfMP9zVmZGhmAt=xW< zvsa9IWIFlfM9qZDiCn=dwc~&teg5KwEQwRHWZ{rJ&d~BU`w1@Nc%6h2%*ZaN4P@XR z`ra5le=O}_)Qpb>ukhuNOK^64e*k^cN`Di3x@YhxzkW!yjS#;2YE?wnag)8vCs=a?~h_7-FKkf8r%Dp6}YZw?67qE zM^C4%oX8I>rA_g*d2p<8ZUi~YYPw(2IaVW(lISDxD+x{WC5TUt&$d=;p{;otJMJ7? zFVC+(4qgQ=fAg~HaYa{is3%}fHP}^p)Z-9gQL7@GL+Sg?!Sl?N^Siqcz|0+m%{MItaxh>XqyVG_u3_+QH zx8LP@CN~+{2*BIf1${e7iyERJ_baX4{M-7|!~wgDV-%9h2T zym!hUwWb9eOcpEWX_@h;H88wZoZ*f9iSGe;q%$&?9kGp6BGmlbw zE1&0sUXGQSf55FD_C0Epo<{=+hM7MF{ZCwd&|Q3x$G(lI&;a61bslvi4HvXd0RG@2 z8o6RGWxYy@U??rN8=ar*fV!uCY zO0aV<#FlDa+`BVqkH=bA_VO3Xs)N_{{fq9df1uNcAAUY6VKKw?gyNUatOwmE>1W_Q zfzY>XMDig3=`{bxDW@Y^`|#cu9EtAwpr6F+okl#?+2oynNc&F6yNC$)%&2I`LtS+p zeaWO7a8<0!F%@sEgDCDA9{5}QH1;?}qnmmNsc8axTd6{ofewRAA_Pk!$wUz( z9CM2zTc1!M;8XBZnyE`kyJ0>5Hpq=0PV377fN%#}@G8q;{@>Gu7WGvIyG z%LWmzAA95Ge3@}?@GM|{JY=?mygijojHdXEKI;?)p#E7c5#5r_!%?fb_3Gihlr&nKUBwmIjd^{Y&a3=T1EIZED zaq?Ps3)(m-+m1%_kyoT`ihn$ZC|T2AB(pLNwX(|mchQYAVqdDl`8zSPG0<_E!=}o; zs=P6{U}+uh%I&3oPO$xxge-Ycg@amlNy+t!H2x91Gx|~;yX)z4GkN!D50d1V$mSJokfFc;d!An0a$421^q7Ay4&hW(ypQr@4v{2KIX<^P< z74&>9!l0xoMSrIOa1YHN)8@=Zfc!|cws#*0kA)=d6KzDvSMkN@u;Ee^Pmk=@Pod2ByJT1969$yU=`&#l47-Lw$$*p0*Yw~CIquKa6~Kfd2l&;!Jze(zXx zM6$gy8!gTXc(5)_=;iU+%HcSA;}D+K&@wFCbe~6O=pEQ*xALa2i#FU;AhFAW2ct4U z82C)9>+Db6{Bsr*Tj=k$$f?U`w4t|ynAhJ1T_XP`tAcSGobH{DW=o=pL~>+TM3j=G z#)N6`ry$qjuTX^&jU;0ZWX;K&)ZaGT4iw+_Z&EgcESBB)N9r1w8TS(~>u-BYqgpX_)=h7i%V$Gpqzv-JvL6P16!mPkV4;AxE{!{?P7*WP|+F~1#kJQ;g(4X zG#m3eSH{0FE4AhM%0F~Q4Iw5wZ|8Rh3&N6NO%(kz#8(0O+0EcplH!?_ShTqgFEqx{ zyPsc&Wo|>NV1=fJDfhMEL&&f^nc#jmF%+Zm_PlPm(-O zFxcwxz|76VidiJOsR+b^ctOp>Rx$eC5T5BFq6-8HQgz2&@y4h1Y=p@alM+|B2-*ImurxY3 znU{FfWZe=4TA!yjhcC}Wq>5)K+ge4Q0b>J;x#w%~O}AKQA(6#tad5NasL zUWvpe@+EgPTpv@aALL!mLYQv}jGGb^u~@mHL>tAr{TQd${fa0CslV1X^ln!%hsItO z*ai+Nt4fEB^6d3Qq>36Ek9fruvxL=q>qCoD3~l(j)l~`CBA?NJWwGqIF5&AU8IokQ z4_YR*U1YTxF;z<%j4UI>21E(f@~kynaoZ&}Apw-vPhR#+&pC*#VUP*;E7J&n$?NFK zW^A!NBD~PTHV=jWnhQw}{8|sfD`L<(S5$o1MV;KcD}U>T#0s`JB`x&oZlU?!5f9@w zo{pohQsl6rfM6{XXbmTP!xAOpS-#XZ9Imbh;tZ>8-f}5xV2s)2 zG_*k|OT@XOLFMO8Jp29#Hv=J++>>gy1|$=d_FUhgXRkl}8v#`heL8(@p1=$6qYFDLetJaeMU)o#QGZ?tj#i<_zfWc2AipEYoxej9sm*}FIGjVP03 u@||;91|g2$^s<|o{2kv5oSk%be>ANTG@_*_;K+xF!H-Jk{oLML9=Whx{mUkkTv3Tnj)N8=9}fBlui*YI?)W<16Jw2jVr-|;qi z!S>Z;GL_C|JVWpL_ISC`vI~Pu=w~SJsMEmy&RIwZ(R3z64gGf&d<6V&55%ni>8U=Y zipte$-agWWHGx3s3A7LNr!A*RznhQfB>0#fRJ74SJJBL5yxg%%yDRTUuKaokAI1HD02NYx@F_H9mBqw#CKj3|qX;j0%3fT%S zR_ZKZd>}3f@gpnneL%dKL$s60OJLb$F<%h2{@|tKZD4m?WF@&g&~ktAot+Yju4+fw zoXc#v=}=VrI~#X&+ITNHj&I;{vXCg08Wd0e;%hVJ0^I~QkAIX^Mb9UipMZ%9S>#-u`jFj^#Y#&k2ljx@9BJn zAd2VzQSkq1I>T(GZ>5KMJTSCcB}r9t9&X2(Hgt6hC+0NWFJ3??lLEyA^Y4WDnbf~s(VDb811LfAka7lW{UC#XUAkdE>$Ho+7l zs7cyC@>j$Xzn)*2NDoSCG(Ku_@Mk3`Q58E^`@h&@U?=j6{_CxX196~izijn#o){Ij zPbF>;!;Wcj`B;s!HTA%>>93ZLPoWdErqHaEQDd5^C%cIPZRgO7s?CC4dPdUAp&K{+ zA$H~1Ki>01q#HC1ouJ-B2_isv@eU@dTUqkHUiphx*+fgi zA2b~VSS|Yha4Ry1vKCx~>~!l*4$ZNHg=%qr#J1#!O4>EQE(wtAhqCmOo5C_(zI1|h zJ;MF7F823rd9TVnBg(@2Ri(vZN;7{IHd-^RRP0{IS?5Pe-y(1RUa;-a@!E zJe@YG_M(%f6ks2|+Wo3)mp2&SxXzh}lx}-(d_Ma$zpfKnE_~R3{&cEBZ|8sQ=28?n z_(|rGm~)q~3G{s5%|4*WDb_0X?`^u?6upf$y0HpMO-s*l=W^WlNzm0^r3!pNTYpE% z&lD&J(B(1vOyW?uNO(()$gLf;4|-SjZ1G!7;Z?nmEVbV-tgm$95)OGvTfV8av$RRA zuQcx{y_Lv*{E{yA;_}&eZM(#K=vV7+Y_z2#xEui;e_mvpjWTWa{#Q1!_>AnHlJuKt zcX&u*riQj}?UUg4I0lK`XYP4+S9TcMc<+GH*vGJkoaa?qr13M_p~Sdk@XANKEg%jY zJO~oH&4z~*`Cy+gyk9WWjtS@dQxyNbHp)cx-nRn356#bCzq-kp24d-0z zkdwrF+&tGv2;(HTbt5>YD6YSc=QCXcE>*9%X8&oPw$Z-q6af^+vt&}`MAdfa=wJ{r zKhfns&n+AQxLmqQONl@=lS3}3TV9+JRjCxQDaX%LsnDch6m3$XCt*MMVFDr$l`fGX zh*TXzzio)E`sW+Q+AXb_3`zfTuT_~qEP}x-PQ*?WlOH<|T z2C&X;@k!k{Hkve+{3{>z!njo`P9nx+vBw|i6f2oH|+%T9pV{bNG!D`9wJLs^G`H8cO{aNN*8W%&4eGU zRwV(AY-zdwQnAh(b#d>uEi?@zo^kX zt~7<1=he@uDv1{GP*mm76tNRp(=~A)76fihwT7*(<(z&r%QS~=U16h#XG>pdGa+^# zSZ+b184b@-epd!%t73H%T9yT6hDdKKJK%v=wN=@&PE*-bxjqDLTJpp1SjBP*tX0Fj zddp!L=NPgtjJE}_YMeuowMG#Rg@T8%PAT0G<+3>(oj^E&F}$t?u}Q(=w(5v@HPDbP+QI^qz<(@omi%IGcz&p^Dd6uG zr?h+sBSw5VzznrH)g6`nE1W?y8Yl4nbTVN6ymWhEBX#t?u$X|908^gsNnzigVV%=&5U*i z?7Yv$A~T99CB>sf1K=PNU$AN|$(#ru$4J8ZW8d6@y35AV(P?J(a#ImaCoAf&CQjg z$6lNb(kweWq2m5ubKk-W)QnYF$bes243lk}W&4T4j?>)X^lAP?*MewDM@a`Gs1B<@@6N|w&8TvCU@36~6 zUZ?4$n(4;lqZMPK0* z%-AweHJViMJX$QdJ`pNG#yD+okBat)Jwp<2IaxochY`FKYN9TiBKskvR)DLKaN2X6Lt^jyjqP!JgbEb;Ba zx2=RfiNG!4343tQ!8++%ll~Qg^c*cgx@l+eKt8vX(D$AzY*Y8vc%Fg{o_l+`0$Tib zZgSynG+a2@Zt%99Ky@t9OF(*d)v@Hvg#c*!3}ve_TRQM|brXla5nGhdS{JZffA>tL zK(RRF5nER`zbk26&{{xcvw$`jqXP68Q+Kl-cGmh2+_~Hc&D$C5kf@fsy{%1o1`Qs0 zM>JGm-4*^3g3f~}zv&*CRzL*BM!K0@n>;>SxOF^P+hzm7*kSgi?*!ilXo_buIQ^3q zwAR%cl(bJc;ryeyaKNJ_;G0CgN%Wh<0_bf-h^@@5*INK~ z4-2D~IsCh7FTl}SD`GV3n!y#d9G<8P#A1-rE$GzP?AsZVIXb;+S+kgfQ%+mQd{=~k z5Q_TUhPwepsK^l^B*57?)a|AM)ByPgB39cMc1Z*< zUs$UoHoO_NOR}Vz;J@q^=abHs=>h!kb@PridB?B0?xG8o4EfA%i(?^V%O=@K>3F;s zx|isTJ0&3eg>#h%94lS5!YJj-Y)cT?O~tC^pPTz^2KIduQ;$O!iIU+rxNXuXmrMPY zYRsbW%9TMDc0RVk_wLR9XWa6JZ(%VivI7(8{MANRH*}AS!+*V+IG#ylUoOm}mMgOf z8GOVyM=Vo|n4{z)0ja7Jk>IJY=sgw#Touzi8!Iry4K{iUVf_StD zSe#ylYOr`nbKPmx;6z-qbRKHe=x2KeK_>4oi^DxyxHTXAZD+$< zsopp!f-$~7AALsR< zblA_N^$|-uwy0CKBe^)^^Ob762fR?U))bl_n^HI)QkGj#ymW1IvIOa!Y$qH76zy&Z z2+j05&P_O-7MAN{QUu_Scl4GX4+>i_Pq-WKtCn^wdNp%XzFpiUpsJ?=T{;m6zuH>D zPdzFc-E5OEuOBSzbqwuPC0b=+l{zovGayWIOcG|?@N{e4)8=wJx-PH_Dn_rW$vZkW zq}WG(Tn&5J%-W*~4RjrosHj*!dr*rst`|QtlZS|S2alErg%ug;(m6l&)kBxbzC8OX zPgF2GKj%_wcrlJaOV7=@#sg=|cMDt*x_0Miqqc8O_=IfT{^^t;Wty1ZX(I6JDa-qG z*zMzc67a_-;j(pJ|3x@br3UtvPn13>d(FxtELC)T_o`AM;_N`!5uzIa%Ll8AS`I4G zmIf?!MD-@SI6`Z3UvjR_tB>?0|KUb#c3S$YCxwOZ%}nXl0wZ0D%wg`wIkxQN9SPaD z;4i4fk;9Rz`(>BDTDJQtLO}bY2?#Y@o*`{QcJ6u~t3s|&0`ZR{z?goMr;$Lfj=h43 z1Ok!f|7nmB(pY=tbAg(CQBzsgx4+&QM*esi*30*f-|PAav!08n)B>6YScmPXDYUlN z#2`FPUlCrPUJ>^CWaHE$N!Q94LDXDB%H5#xd%SU<#p?ClJ0W z{^PtRdKYezel0|KmIrWSL=|NwEdOUXr_&n#_d)$k=41Vst(tW|Qj*n3 zBrB|xAiE)Wh^+SJChL8P#He#%Nk6ZSI~%w2kFubuA0EcfKxsFnT`7Dt6d0rzLt!)2 z$PI2LgCt*CCmd@jvVtFZ5{%h4L{OrLzhPn-W;e zLCb_qHB3)w3dhZl500DNBSr@I+U7LWIMKemo0pfXgTVJEw4reL*9wtKEYme0H!be* z4etxbcMax@g5jjY^JAK2G1n;RMz9sCN=e9Y*-w(~r`^fPV%dOPKF)GHcTADqQ@zrM zpZ3#D@?weiS_3lJnmoZk95xPWFR= z$v?q6FLAq?xba%Cklj^02Z4}OqPkrIt4Bygkw!X0M8?eAPlo7Ic%?gHe7Yy4L6hh9 zAIGe)AwP#*Nsf?T*#|43kI5lhP29F6i@<_P4D(OdO_L&-={EoE}_0Q@Mk2&@-AN0H2Qx^5^ z4PHS{fBw`ZoG!9=1+%dA;fhA!^bWb73Uwc{2K&4`c$5v8W-kD~{#ar7S5&iaXRN~I z^Xp)?`G8Ahq(J-&(VU~!8e6SJ2w!=;t}Z3usfRe^?!>mjZrU@tWdON^_~-I7iB~^A zhZA-3Ge3A6_#bs$kW0eZ?BG;yL7WSs&A`7Ou14u!RzysEFi_;3NK;Y}sn#`Z%^AS?#sDAIRp9Bu9=({q|R2_*i7t zuT;)PfBpSA4UwAhCiJqzeK7X!k$t3cLd1Kp%tfS`OE>Us!>(LH{{E1tz*R){j}N+Q zVb)d-?n}T5`H}Z0RV~W=*lN?M9dr|SX(ZESFC-1pgn#m^qo90(YYrkh>gQJ2{|RZj zP{Y}EVc5}k{&4-Yk*K*f5Dnw{$n(JBm=k|8?OT}{amG0KgN>{P%RC~AkmZisWmdDw}=e9Fc$KF-MPL#r;KadD{ zrJDZ_oNmMGruuPq-EOVkhRz1;g=OGioyBnubybgu0rs(o zN8%Ln-EZiMUon%7kj9v&JZYWGd}KKtVP`?_bA5LsRvU4sOSURD1moioXHN*2Xy1(| z=-2M%t(&+NO}KUUW@b`=MRYl+6YIa~?VC55`IpNorKx+QADs@zEblHD4&TC>qHI#xYe-y5;O z=MymeeWq}*(HPJZ)6LzoSxV0^{i|imBl#9%$++E0r+8w3P4-M6!91eWOI`TCd6;(U z{WyJR7D&0vcRA1wZj`p@>!ivtJ$)CcZcm5#F)I`mF}Lb!XtNV~cXuwI%)ynjPf%k; zV3gL07Jo>K>!V&#-&ucG-0st`q^2XErr3bzZ$^G?Aqbj#9pAH7@ZYaUa6YP6u zMA~wU^PAuN2Nx1yWJ&jb!y%I=Y&tn`zCgWXa{}fs5IO0}?F%ypJ6+7zMbrzLUdcIH zln7jeej--|+*@zi=rnFu(6eYjAKxd9(M`}+0C03W+(lRV#$Mem&P~t5Y#NfF-^HRx zQ zN4KnW_15jL*-R$#__FNi@koZtWDYOjWi{FTMzi!x{rA9Y?v0ZVlaf|!bkyBD6m&Ws zEK_V%%ijP(DJ~oOzweXQ+AC#K4lhr*M-R)O#a#n#xqTuN&pDca4jZ4`f?PM@5kOoX)i8oUC+1_k4mzkSkG%rZI6*a4xcTu zH)lRUDk=sa_%Nfps!KXubsiBfZe5eXr+P^j{irgj!kI0c4aOW}u|s}ZM}*9yFUewl z^G~0HJIXugp!dq1;=|+Ph8@fY?K`QLFz=T;%8Z;tSI>s-n ztzXAPUymJvrC+oqMV|^^|5kD;iii(l5J{*%-|@yUzl}NM3qknfK4&5E+pNBf?h{^H zOo=4EL_YsTekr^tbQkJU?q~@dw(J;Pk~PgN9x@oWAUN+Rn|lCBzsTA?IL2mbdJ@0y zDgMsW0hAD+_AxzYND^2BmVG8n$^P44>74(RiHUktj-I}VB6nZ27Z{`6h#2pFli^>c zy#6qyzJ6Qi#Tt8;sMa=XA?Bo6I4(0y98p@%mjCEZ8Iy{k7%_RQOBGmazPd@75&ict zy3>=k!7t(6Y`w-mrcutYk97(R6A<{?-S!Uiy1!&u1CDi%sg-rh6U|FF!IYuA1nB7( zUo$h{qUH06f3`$)qBiKXwucI&+%X?n3lLB&mmOr)3T?`gAj(oqDtzo zlw#PP(op*40ysF(n(IL-++`8%uZvtSFp3+}hX(#03rNfc{eEJ^7rBABo`a$0B&qF# zMflfM(0-DNQ*nz!X6+Tkd*e7mM*T{q^eGCFjM?~PlfMZJ{k2jsiuI90RNAwG$I+$S z6EL`OaMDOtfueK;=F)N%9_0uN)Jw)_xPwNlY|J$1JQ!6wVs#z3Qgur&Wll+ONr0cs z2TEh)Q#OgAl5XBy(4NcW1L!J%t&WPlN z|47R*WN$zyZeZJ&YF56a>qU;DZ$R~}l944Ag=j!xC<~4@nB%3Js4-VmB{a6<$QTOi zTN{cLCjvzC!Xf!lCZ31T_#Z61ZFwPRW2ksTMOku5W;9v|Ts*n=CawILCk3J*WZMAmh-mY*B1!Z zpM*LN`x-j|rck?Kh*w{rEt`2>$c+p5>zYCxOK~fZ?otGsA%1V=0U1b}t_17$xmyRb zCVnmr03OW7YpzC9-$$>IN2giuzq6l^jd=L$yt6h=W{yH{>3|II^%VjQ0ka)Dvj(BJ zV!%20r6yt(R--LXBjIfK{%pID=KkuxtlHBAg7vK2Do3{+&}}51#-d!W#k{D1N2ZWv zh)c;3cBu6wL~DpfSZ0L23-^X9R(rA+B6*431`aLY`Rw9=OI8 z?#2co&mMp#=(;DtI-Hi38d@+duv=<@y->n1>vV0}YK^Kf<>VSNVQJp`~V3H_AQTjgkW4RRTTcxj!R zbus%1*LckH?NYkr0^Tsppg9{iggpHKo(yIa`0F8pJbiiEpzC>vmzc9EJdLh?!KWnI za5INSe#mHxR_cT zQ$CmxKNL-jlJz9H{({;ZY6QB+9sMvE4{wq>g;6$%&Jb$;ZNeaHvZjoD`50`0n9xR* zoosMz*D=!gFXh|b*?$ac^SLAqdyAhl{i1)6t5+MgKO8r1HZdaWv43**R_4N{Abb%h zyDHLvSTi^xIv-{}=(2r*Dq44F!QdfZ8D%GRT0yj(m%*a_xnlf^++2+1up1exGAZ`H zDr!WRY(L@Sh~VTaHM-d}YUNUXeYfrr+0LBkXEivbp$GMQ-&y?-_a*ZKds5Z5&;@)&1|;qTViMRZ<&}Ka zb}k+2#{TYMNlXinv~j*;zq6;p?an)yV56yQZ7a7Fh+|@{9=XbtZPiQ@{=g{vgicm0 zthh#4UtCYfGdwcMb~oLeYK4SDqA8tSaIj6RDg7v{zA$8k{2lez)6#$B9NL4ca>Br= zubH@H#(-HfP?VSa9qf<*%isK_X0>_-die;|);uw!FzICkwy^{tESiLpx2LWrtRZhe z%#(nq6}lh_>3DM*7Gxp?tnR=R;uo`o!uLfA4GBl_83f|^8~Wok8T!9|NmB9u)RG}l z8mDUBy`EbpOoIPDyhbvnGn28loehqzDkB#+OSWmrM8!p*DAujAUMgsXTQM!HCd4r< z)C-vvB`l{r#G*J*CK^iz72nnWUf$0OY+ZJEEPRk3Xf@TXe)E=gV^&W@h<(oPZkP`% zK&dwj38^7ieatE_42VW@0_N_66A+y|_g-Cs9~p#Ra$=a16`;A&&DIHyfFVJ`ZF1hf zumte6r8K!b*jG$@?+!-xZYMH(`qj9_Cb8Kup-FpyHA3pHtrO9=M=Vp+NlJ zvnyb;P*dx_F{|*SQgN`dFW3;zjsM?SJOp1-p_4HM59ZWu_#y^CT2|h_5p-=gQZ+Qx zw)EK0r9hGLpGJYx_EKr*s7GyqFNpe=uQJ0ltcH$%pWC@qix4$T79dJ!0%$YK;j8V+ znOpnI#{a_pUjj4g@_%Y3To6BgwfG6y;ie{vxO0P2n_o&uq`?HjlWGeP&p&{ZXbT|! z{~!Y?Jg+3V1epfwzjt!htYXO+VP~=5jH#Bn-dY72sEF6m^q8-{Vy)9U>5v(id?IC= zfnC~!T=r+;npqltIi>mRb`&{|IbdwC-!J*}6?Pt59p03&D{DC2EVA7}5XY8YJZaf1 zTaPOam5q6`R$Ow#B;U}9KX$o|tCe>^!Ik(S8P5Dy#2XHDZ`euV*pz9eJKhrAk844~ z3a({rbuLQplZ*h0O9TI?bn9(Xg=Th`VfOM7xFvy=n+6e{)%v4{j7(;w826YKrJA*S zFQW&Sw_J}Sx4h2AoGrzl5r{*WXQz*fbW5>fjD{PPUfxs;4{x2)bH_`YUOLq*J4nhJ zq|lz}O^e7-O6!79N@oF3i>4anGg%0wi@8t-$~+8!2e*d^t|E;zd!uZka-|owDM&^=IF%6s~6f z4BQ9Z?vk)$7ah}ztS5uixa(d}Z ztzN4dqsW*BIH zev={@+@aXUl9-OhHW-hZ2#(V_RnrB2RhMav4%H(A)_%^lRPW;$ATx|-tHu+#6OHU?a*=)-l z$`9ga7yf?c4Oc%s(=bZP0j~tYOj|HLUF6?l83Rpu)qZokb;EMjo$|b+o8X9j_Mdcs zE9k<_$wf^n(_nZmaX_$GN?LixZJ)3Bz&%N?T^Ui@Sd!j!hmqHf4Bry*ZKai)46u+6 zd}lq8EDK=VlGP34EVQEc;V(M!w0YzP#$jz z4}PcC=xkWX)Q#$TEv5;cOj#KJY*axW<@yStItXn*0b`2`qi}P&_7|kxtisZ1?0Gf* zwz|*6keX*Z|L7z>uAje21T%_Z3l=cOOiUAnMyX=ln_^j!WT&7E1)ONs_|cj|bb+Pb z$s`;Q!+|%_JZ!oDAZ)n5(WP^uyqUu92dg`0V zz{(y90~A-IF%d@hXP}Z2W}iR?_NbLkNBG4ivpnzB^}{cwKP;x2WM#s^3mgnpEbCXo zz>W0XgB;u}vQ*f~-=hkSM>Q%f#JPEQ#xQY=_b47V|+1^MNb#fsWufpJfMKAZp-`M<(A|RcBWj zJ2GMpUQFz^HKG!Vq)RA1Ar&)Qocb8}HPv8V1mtM&DG%$?IT{>j#6)8PasXm7Nyum{ zJ&r>uJePDZK0V-5Js6(^5S>W!dn~rVc$CTaZ;ergeIA`r9K1U0XdD%^l!`0pQd1>U zcdMm(mkV$O`!O(D8}*vHE43_Q_5H@$7n3a zM6Byr>{lWQQ7nm19LW=?e^0zvw=U+Ls$lDcuVuT3W8t&Gmu;^-@^?fZSI6p;7HN86 z_gYY2=Lf7U{yR9xd(z1}NIhhUha7r60?Z#NIFxzZpQamr`cq;0;trYUjkkSMH-l3U zh@#Mra8jECiu2jMy9|zaz4Ko=PWnS{$uY4(y!CRSYxG{|$n$$13`mm&e2g&rERF5QNh55IdR}-F9dWa9GnGZm4?v!xu zSOqzeF?2i#U_dGqc|!=n2ImBgo}w+tw57x}3?KZ4`^CI!E0)xhnC)D~#tF-vso5{( z>thX#MteqMQ_Pg(kLC3Ilv)MJ;_*((tTH>5M6^h0@6>Vb+;JSD;9vaV+f;(JpvB#< zpY!Psq?ivTm=8Q~?l1($n?B0v4#WiAK+$b)+Pw9qdwx#5Hp9Q55Cg%UE)XnLvzv{Z zP_Bjrpd!gG%YS8XB=26uN%4ZPtdkL!m$<5Yq1bR8y_fOlmVheRT!Z`RU5bfyV6q3F ziM8VqL13dZ;FZK6PjD+>IwZooa}zJR0bkS%bKSPwDody7qE3DR+YdQW<`4q)Qn>PC zS0;q%7h{kYV9b`BF6UNKQxZ=k9appWD1Ef zewxns+C1+UNK2v7D6Ejj-^{#%eOd+%o2{r>1MMr|IOIF*CYqQ!jan^%R*d<@tyKv! ze=*-r=W2<*et}$Bmu@tiwCpDbD%~0HX@3Bm{kn#tom1goI0RS8oAQjC4yzJjm&c&< zxTacK>&)sz|4@9vMw$C&Z+D|_al1#&mDJSk^Al41Fv&!qZnHK|T2u`L-3k=ua*G<( z10GaDah8|{iisgt3NhCxsH~`Ig47jeLcy5MI3H)X*)JR=S*qKh(y5;dP0`YWLZgW* zE}L7b>@^j#6sWRQy>q%_D)?94gYJIt@Nd?dnK^+HdYdnejMz^!&gSTVb7t5HRVn{Y z#N&rQ>*myG(tns8yoo2V`c9%!WuNhbIsE~s%?r?w(qo|zp`oaPkgQ&4;M^JG+>w26 zQSXN8qu-wub{q#vVx^*zo4Zqb6#EwXVh(pr*hHmPBvXI$>l6~71QMSV5+pDMn-A+D z;YoIw-?(|gKnD6Ad@`e89FGVD4m9Lwue2j3=EqnN&og0%D^y$@PYi%uBW%p~JLM*t z1NF6&^mrT{4s%{^2O+nN<-w==Q!I7cJ2!ihpJbQ*57Qu2njjh^xnOI4C;&j~c{C#{ zE0;X$1IakDn1>R`Cdy9=3c*Gkh^Y+%6Vmf}wFiYaYv2)4Qvofief9!VF${N)qT+=K zDr7uyRnI0uJ%fecb(@J$z!$V=NkvYRtG! zWZS@uXWm~1%_Ob2QjMW|Q78AJgOH?qMWlPeD7WcAk<`VLrk79%WUcg=+M|UPP!fdK zltZaHR(CRFca|*W*^Ij&wejvY!B9x|2>6#;wdvnRB&y$wjm9S*#6bBa0TQ`FI47PY zXE`=L<+vL{{G}2I5aLn^>Q(`%qxts$7OI?gJsO(A4T_kgu%3C0y2rf$-Sl31b1 zhce=&D2-A1lO$z9y0ocTnaE!;+jK$V~E7-J@5O?6)`-ZxehjQY< z^wncU2hb5h4(wY#s<7093|Ugf&G6MM?LR1#gn~?7(Z}?~*o?3dn|HzIt>fXuG@KUFrs1 zLqjWA^W8??EuyHrZH|0=dt>E*K~Ki;ubTI1d&es@0@1i1` zl%!WF7%vr62iK%~M5KG5D7VDO{!`fzU9ET3?!4{jJnphPl~>ivYOm~}&MX;NaKNUf zlIyev&up%ajta}xWqh^GrG>A^>g}t8H-K*;nC>xsqAf`a@l@2tN%Y;g;)<=BYjaXm z2IbhAZS28llFp)rT&nNP&Y(m)_M;{$d+|1)?ql?23>Ht`y!4Y>&(E76N=*Tw(?ziyU>9^e3&VN5PTT) zKi_A%WuOU-0F2v1qS#-*oaZ!nf5++?Etz^@Em4QS)^x4V`e*6ci?yx$*SF)x$sabqrr0X!=QVsObj;6UC{Q?+S$B@hpm~$F8xq61C8|S6K=#a zoX)x&Y_aSv;dJ0kIUXC(;x4<3%zm!9mi+wS7E_n3wvB8Oh5bVK1#KCCzNMNS@umpb z<+c`_vAmndS=))^{`u!dV29g>Xj*pun2PqfFj)YOH5;!3+f%Qr;3Q|BGvSL@=Bu^X zaJSeF&gmUThT}oMrvT`9^$Pc3{JFz=g~iP;w@^o@_%hsG+(|FPaXDHS@i44xqc!7Y z=dDT}!@SPlT0D3pv+*u!Y-j}=e)l{tD6bR3|6t9e&3;&%6Js@L%@mCV;O8A&1$NH- zx?OYA2{FS-T;SqnAAJDTL0b?Y-*LD{+HU*AIDh~8{&DH+%MG+p)zS(>6g|6%R|7Wj z&U@J(20a{IM3M;o=qk(eI=KVM<%u)}`*)FWU!kBc%nwNzA%7+mbc4tkJ^vtxk6myI zd4DKKRD<3TBm@ER#lPT5sm}xGQQ3|zrq^4TWwW<>u3ULEtMS8mPMnO~+yk8G5$xLDcr8UI@xO2zEe zlKq(}5q=mDJfm(&S4c0IOQoc5>|v ziSqZGAY!eT$m)1M=d%7upo@z+muvYR&XhJ!te57U*qg4P9Q}9Q%RYf8u zWaV+kyev9h<`fk8=h;IG&+fo*FL95^W~i(~PC;T-pgmTZhm{K&6mEsDADk7mtPDLC z_jElRBdZIxmY2&G1Sk8fUfOD8DC7qxZZ4jO*`~G<=b;?qRC`#%-*%5KPM4jA4=s#on0L-ZdP?sNhM2l^l9`B!f|r*fq9VK|*Cs z69lFBLhtwfTp!FzB|U?oW@C!_5mMvO46%K!Yis{h?0E9~b7F%pbBM@7&>bD}pOYOtV*| zBe@^f$R_h}c3^^UQZ&jnWu@qQh;acnLwU!9__-8o^I(-q2Qk9e%2#3@IA-%}au7r+ zHs`UxLZb?Ei6U>b#QhWOiesNA6G0%gt|<0;6RB&kIWQuI$p(cdtEz9fQ+LW554w_S z)CkY1=hI%T8MSTo$-DHU+11a1%7&nYTs-`B4n?fNm4~Ncf`4U-EGX-g>q(wg0$5oJh%um2M>ecIg0{MTlJWZ zqA!ORB(dHG@vke^R3or2JFnBEw~7Q}6Ad&PB!Zb*ACMgsiYu(eC%+MNDOnUTpk1of zZO4{1d2#ZJY8{piXmz6#-ITY)%LeHCn2mPFG51S>l`9K-T2gkuA^S{i_zJTW=U|U5p5oKk=!78(TI7L{ zC)%md9mF}j?v=yQYeHY!PdS&gy=o^!Dnr1P>PXX9D?c_6`A0o)rcvT+5~? zpgpt!O8;?jaepX+LZ9xQ1lH-)I1k9a?_Vmu%zbe0WCx02XTRTVHY^e7PWlUN6YbYh z&=3PcBX`o)DgGQ`Ey7IHGHQEiigfqBI?i zSA!sif}bd?s*RbyAZD2z&R^OXep7&?H~}nO74xvS9--aQ6L96lIvIsI48Oqt+l&4e D)g}Qi diff --git a/cpld/db/GR8RAM.quiproj.3068.rdr.flock b/cpld/db/GR8RAM.quiproj.3068.rdr.flock new file mode 100755 index 0000000..e69de29 diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 40014bc1cccf0d828281ac194e975f6e556f4e14..c8f31e4e73d8c4038fa31ba4d11dac5fbbdd7c57 100755 GIT binary patch delta 107 zcmcb_beU;FbmAcf2)F^IgBc-=3dUdm|NpOdV`FQp52#|C@LBvs8gth}CI!py3f&6s z@e}+cUI?TmFeFaktZ_IPq~OPrG(jq*hN<(ve1@)%pP0rz(TDPTnGOJmGa;$~ diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index 5c2267c55e3e59865eace8b0c8aed4b5a20b8111..7e6160353e88ee7415ec372b7029242e0c745bf0 100755 GIT binary patch delta 1140 zcmV-)1dIEM3zZ9yTM^X+00000000#M00000005PdY#D#z=ns(Yu1ELmgk&S=CKz0Y z5aU3c32{QejX&D0I##FCq|S$_Nhe5RQh~|zoqF8cr_Oy;)pEI9{tlnD)4$PZ?O}M_ zoF6Twv%T@h>EZ79{r17vzCHdPJqXgTFnH+a$8z~SD0&)(f0!?}_d7LPi|PLK+xB8Q zpT%1G=X-zQVRS$I`x!K@`rN9H;l0Bvw@dUUp!Pg`cc`t;_m95JGI&Wg1hh{7TJWBQ z=MKEh`Ef>W0CFz^a$5)EjSvuaS{Kb;yCMwrwClX~We_*!$FmIJg+sFf_$r8-)4k7& zjNl~^Y$^C|_frONc}W1Dv=p@CE`Pk8Ep`ug=CglU2#04(J$t&#s&X3 zc3$DyL)K-B$3YBCJMq?x*~KtxiMF1-^E|Nn-<4>OI?zI4muc1`#BW8cbk26 z6&*xXZlbAW^Y?K>lt;!S` zfCk2zO2df84XmIowX?>Y%BNg|X5fvWw-SFeV207ofUZ)SK`%sfh9cXD!&)n>BO1Db zgV2E9>+%$Ub6sLp%IX^@qV*y=FJZ(Pp{RAzY71GxbWSN7;WNfMO~(l4z82;bMT{vl*qm-1WCo@J8ek4O zqZN7)pVMfh$HM8@Ss4r8hnO}4F$aGl1C;tgq5I#oqTCv9E(W1dIw_g36G6F!MDKMh zN;MQzv`P!PbQOfKIWbaTG`iQQQBbKOnlaJhq?E!2#Td=dY7=HOm`dx|VP@!9n9=B2 z0Yr4h8qo|*i+8-$D$M{LMG#3jiRcZn)WaXZj)EC335r654`Sy9F>MBS1X_Rd!|5Ob zE4&eWe}x(9bl8{Gy0v70(okU3LkFROHM-*>pvyb@I32?!xs}d4`V+AJdI@st-6~gK zv8Ny)!*Ni_Of(VX>MKU_7C26>zTySV)mMzh4WT#Ak#O3Ba}|gQ6>ECFyc0W9B zO^;{e$^QEL@zLJ;+nvMDLwo!^x)-D$Veqn_Z}a)rpy+WJ{%$(kIq21F&&CJiFFUjG zbP{VBp6`Ezhtb{e?|aaA(dSlm4C@X{?zZSvK<#Px?or#A9vpv~Wbl$~2xy)Db>KY- z&pmis)02$c639IZ$Za34Z-#)d+qr1=+6%(aK)c>+p9gVsdNRoXUOF@@fG>i$HQxU? z%LrZ(!H$A&_C90)=T`*qQAa_$-sg|kliA+U?sR`L3E}XJsb^3BbGb60&}H0H(0bR+ zpq*E^4v_WP;$aX2(-z*EF}oaQ9nm(jcb*5<@VgT2K@VCe>@v*;g!rw9b*>p`wy5PY zX2fcc&oB#5T`DYI7Ddtj+KW}=W6^1ATJ%L7kG0;$BE~{5#L9_Pw#3XLI$MdN%Eh8B znpl4{jS;aFF>MCMTIls^Rjp6}8V0-I1X%2^ z*Uk=|wG~L3N@j)`X1X<3T`5+1D^np{(<<#uGm<&wra1X;=+%^3XbyiZ ztuUu5g$(FSQ41TOfvHMO!I{2)z&)%ym-`%;_mw z=b&||vWI5q4#Et~jP~|C8qX=<2G$Ej=~k2?Dri(%$)E~Cxz%VvRX0)< zpfjeTky@+Zz>AszVN&SO>k8`Y(S-2s3z0 zQ~w38Ugv;t4h9BRiwOP?y8e5_{$WiE7grlc2U0c;W>#i4QZaWMJ9AQY4t7#jE*3U+7Is!P z4pKD>7Y`dV3sPkhGh0$NQZ-ppEelgpNpl;weco?H`5IIh@1}=W{@qghmh4tUq8Qe+pDWHs=7ITLAM7iv~(za%v8E6 z=IXZlWZ7>t+i%1$iTEHjOHO%Dt>0ieJ+M|-aLe+7Z8)qFLwpUb3e%QGE*W~Y-HBtP z+SzLmrpAQ^LVTgxHSTrxn9U$Z_A%Ny&pd)v{6J_J7=cMd94*Hb(R=ERz68pYx?u(j z&54n6Q>O45O-_?cOyuPuqi;7T2d{dNZB(z9x># z>5)5Yr;E9KX531kd7957R9fp4j8lLtfq>encv-x zI>ud(TB>1>aO_h%9Gy@Dly=(f5v6h1dM}BgJVQOS{!V40HoX6qysw13)6hE#rH84) zTg5jEI*?7HM^z`T5K#6mxDz=F9*0bW^>9Gd=ewBK>AOk{PqEb^X}QYbELX_kWnP96 z>k@OKspG4zz3VF$gz>oY(6`b0e*^zNO_jd8>$~futGS66CgH{|?~~Cw%L`#%F{C`6 z1H&ADFk@kET_Y?}NNmm8At&JVb$8peO-a$mO2Em9Rg-v!uNjLRv@kF(VPpjSY4eDw zl9j|iPYX)~&N&%ga#M=)FS#Vb5(lE^c}fH=@+V;AMpOPyuF^CGDaBn7YWR%03UNli zv%W4;@g|80S!Y(dSD--*lMEEyEcwphq`zzG$l+TG)o#8;MiwU4pmVUKPZU$`6f-3T zX#9B73zJST+Ld^VjZXY~3{n@VdT$k!*+FO-L~p)$1GG+!uH*KUn*JpDbJ;GGtl0wi zntVwW3;^*dES1F=`H;RbEfM>@t;(=Vsm?WeJ?;2Od?gd(0^ckFbgyNtL?@umeYHo6 z_%x0KTz;(Et){*M^8E1@AE2N2v=34UC_Ir0y2k_$rccfp?5;UJq*Ld;DnH40jE?O# zOXTv#&#>CiAA18jF(fDv1MkO+be=6koW39q;(T55j3+5FB0I400CZdtf58_=S+XDL z7$)7;Q4ol<<(+v(0;zT+J-y1gFRZFXEbsgAA=eOOlaY^QBqTKTmwz<7A>i^Wzcf{B zL3$%l)Aaq&Vtgo{#z6lMRCC}P?pY40S6vbZ|4`rpu4#Zq>UKDF4`X4b(RsyM==?i+ zxxgyjD>9Mz-Y;9zuepGZz@D+womx%C%LT{&i4O-3UEzo;flay(+qcBe-07Ex`kmgA z^Na&PCCSA?jnOtg>wMfIfG(dXE`d%^nETO8-hliK7T-XMcqohjXXIlb2rb89C&j2a z06aPnQ-_@kx)|VEGm)S2t@G|R%XmnH}g>b zV>_~2bbBrut@7=FuOZoTut$mj_s)@Zm}EFHm*p`U$S{8LsGuTbq463AnaqCSY4w~q zpUYqPI^sWSxJ3asHp%3_-ZQSomUAiY*#7Vam(2A2PwRkS$_I}WGx>bqo%w+2X5@{ z&Gwx4rpPTuzf+HtYCGB4O0J_vKg_HcBZ%Pxa+wLrit{s>4az9C=?%hQ`$>^PLQ^?} zD2CDesqfcT1~2HGuOaSIkLMajfh$&{7b&DXdRR3xgVA{WAtCh?hNv=$3}r+fB+CwY z`j~0m^%moxtTk^*Kj3*o*Q;fJH+j~ANq#C>z`#9Qev(o;Y#+a*@4il|7%^3Go|OuM zcN4?OJ%00=);%vdF_pcUUq6*kEvywgmGY_9@;Q>3lF*Z6y1Xd71$3<0?Z9sz$SwbY zoYgUiT5=K8dMb<^Iy}O<+TV){eUn8Pj67@NF7~UPl~@FvgaRgB&iwM?rJ1J>;gBO4 z`-KeOD9r3zA@qT*rmb_|m`ce$xCPdKrdsMYw9e_oMDJQonP)wkCmpu`b*KbhhNFF8 z-t{7>YKk^CH{2g);*p?FV%jcIM!X8X^wYK#LW_R@drdZiMVhz4d+>TEPKVxKiOX{)We+W}fxUIz znql@&d^1EH*E+&~%UW9!{#yv)NgA0F1g5tUhQe(G}fombg5i(ZYBBm5XX zkykC7u!hBUX~N)LwtG)^Em@7W&8YyHihv?^0%y3+tbuJk-QJkMmYMc?Lh3%O;U|Jo z0uuN59lQ~joMx-}&vJvedTZAwm~SOQsY07EQe9F2QBRrcsZqxs4twnMA^k-GJ|FV=B>F&LK6 z2dm?i&~9+lxnlmtI4zVqgcOu;%mZbye3{Z@mGt3ny;uu1=q#8`>9$s$d-}0-J=6uCCFgu-TzHE-YdwvHZ$F@0yk_=gTKmhBGSftS1$x>?(G+N!NSr znnNr>|#jiL$XJ*<2Bklvn*zLM@?o+;>o5)3VtA=lxMF`rYM{?hpFpf__E>G z1d&zJd4G*9ht5wTzC!xBiAx5bKoH`}qp6POBRLu2J2~PzDd9VL;yY>KJ6SPjiWXA@ zk3>OD_TKxATr1gpClXxj&*4Rtf3{^MXlxZ~2b&PWw4F7x(o%#`dLBFS_F3OOvkxr? zAm_C)6Svae{jN*dcUm@FKHc|fo*M$UFOH>Hm%@!~if2x+A;=~1_E0t(q=)M zbpK)BT{A@;^ppaC`;x1{nW~nYuGaJbwXqI^c-e+QZEV9Q7mn@J{hm`Z_IGP9-c+}* zA4<*d@8jdcAkM^9!yXun1G!}u9JBlO0lSZXRC>Dqq3a;_?U_~=`X<1GqSfd~%BiP4 zem6japzq@+R|rVM4(hpj1@20#7G0o6(;K?nm&haha`v6DcrGAd&RV#|x+!1lJKqjn zBQnDpI*X0Tk42hU>{TUH=xMD`FrXdh#F=OO4WamVROF!}xb%d3H&{~o;C!f*Q^Xsk zZvK1i$pCTOBoY=KXtz9lZLmifZPF{cqsm|OA_a(uGCE-C7|a-_^U$eI=m<`&qfJ4g zz1i=)$vINlt?7Kd(bP=tus z2`>Af*|>qKUw~&88L<{P)zHZ^0Ds@vMD?FYIFpQcMfN{Y&OgzsCS{)I!(^`nOCHJ4 z@ls(7WRH-jq_b8%Grmd=KrW&(0j2M7SB9*PP@v9!Y(yfq@4%{_9t@|0r8WGa6|Co6 z1KP_VR}cCnyqv!GLUo(I7vNn9(OCzog?Mj=)d*T457r1WdM-n7s-w-cWulLHZ@1DA zsf0&H>}^*L$Xu_*+kH=(06U3Pg5eRyV()!j$_AeX6d^fvcX0H+QnW>x z9lM@sm<@2RumEWkNu8{aUqMQWLqMWkM4alxcCc}g2*%xr=`#xWCQ!0%&urseTRF$g zw5+{LAl|-thjqS^+sc*WyJlXdXg^o3@Y%(Ua4Uz zV)s&>jHH;i%tOtN)wMGMVzKU&g9+8m*C2D#7^)V*nYb&c2bV9!$*xsiCe#6@a$P>k2G#LnoC8Abvm8+kDemW-?f6xU z%!M&pMk0Vwl-Z6?lTuIGhONF(Lki^@*}b65Iwb&l>?23#s{p_u&p8}EedoIj{8N?J z^5O1aHnJ@Zmk*uTnxFe@`LZMpg5BOX_CqSmonHz)-Ynsmda^v5K{G^;1(z2uJ94Ei=}cn@mtoG7Uo``3$eYMR;>kfZNRp)NmmE#sq$*T<4wV#4?l{_ z#CJD<4mAPap@%oOlwVk1HH(=qS+hFu77?|(P_(AyhDW|jK;|Vy({|xS3VYoDt*HJv(0L!``j$_(&@L>fz2TjG3aNG4*m9*S6DZUNvftgU#IrwvcgQTaQsIR>Cv6KP4jP z&(>e($j4fIe!Vraub*|Oy1KN67EciqEq?yHc3gfmjc|xjGJ{;YxL90l(Ad{L5PGYV zOm=%BrY49Z=!kkeaoVf>-a+9^IWYeSouJ`6vMr5v@|PN14!nri?E61)!yIKF_2@BJ z2?r;l(MZ)@H`+&$(l!F`Fdknoh4y-%?k%L|!uc6dyyVkJYki7;fg%#4+#K%JOZ$cM z>E)-G#!tT^8p^7wS%lv*ldf5RKJqTqvEW}_0SPb8F9}7o@M$bOWe~iThjF&e|9*(> zTtl{B^{jTho)u z-Kez8g!4G?`1|Z<0|6Xm+Ea2 z6D&>Vo703)!|H+g+{yS;R~}3ay2J6~rB6+?wEiM)9l4hU zwn6wT$xe`CUI}5SK_+L&3?$2H^ZPep2C#m`QMjHmlu;65I{`w%PokrwaV%o0=et1> zXp;pVGjz6@pZA0BPmU?xe^2PD{#=7m3l7@Jdc3FpEj^Nt23BGxFJb?j!^ub-9UghT2aqLu-Y_7- z`Br&Q*{2r{J`TmKeu{P1I}moUN<)rLd?#J5Oyab&9F4;O<)@l9*ZiIR{GY3IRsKpj zC%WzUbeI=TJ*nunJ?#Fglrsax9YJYMdNmeN$DjDK{A_T6GmEt@`7D)CZZcz2Y}YFK6u*gGV2n+Zp^r;t0oPnZU6`Q5uQ>+8hn;4BfQ-a}w$4)sww9(;fLG7wP*%NBGMy)Si0bmG;Egj?ojscgt+ffiJuZ{n^uO z#_--?bpeJio$oI5bur&J@50Z2lGE7XaBuiCpCFMXQec}Flt6FAkwABX$#WuH*g-^) z8*4!Bk7HGW`Mbp*7kdn3Z~&btu>A#%%5Qfr!+-kwx6`!QdKXQzfZ;qo>~Wr-q*v}p znn6Vu@bBts_E+3J^6GuEQ*K>{TH+-qaBOX9%E}yB}G? z4>Qn+iKXe*r{-RCDWQNCrc=U1^SDc3$bryhRu3A%qc2sn)}D5Y$}j@vCtWqI8Yq-& zAwhUxHydH3p~dR*VGK7MfTII`i0aTZab-|!52XcqoH61hd3jx z@b++s8pGf1Dk9#hVqsTH)ze=W=qZZYxjOsWK(}~eC$8wu!$EBPRq@L^zSd@``~QYL zGhumV5O{F5!#W~*v~FEd9USFAMw3oU3oL66%VIK6f!5gjXX^2 zUUz6qp^2>G0la3W_9HLD@dqa)T>)E%64IVVf#z&kMUY&t`S`8-`bB*`q^qhbAA<>I>ZEr)N0;nZ0ppR}Q<#e%L4Q`Ynx<{)9M=yS539M+& z1LoAe$N&kC@?UU=?+_>a8#rxnu$?if*Y-o|>TfvnNBp{MMAD}28r_L$CHFu0A0u?g z#rniNqpm-*2pL21&;4t85U;R2w~hjT&!m)Y55(v=QEDG{NOHx_%6A*3Wl`;vj!&js zD_B^Ld6rx<>QZh;HgJStti9Fu*e=W4I)O9hjp8^858;DFKnRQ)<)Rt&Co6P+nd8Ko z?Y&X&xU+Ng(ruRR*v_X63Z-UOd1kUVJb+}!7`eI!h4T?0^j91Ui;jDGFti@%BVgjb zM5%SFi&ik);F8?@&ic4Q*!ZFW%;s~iLV+m$&N#UwSAWjLKw*vbd1SgUJt9^`D%WHN zLDxx{=Cz%Ewun~F7*e+u+KtS~L@xYts2YtsPJMKwQG*w_U3tDTi4W3kgJw4@zi zo0n{T6^V=%T+g#VUq3$lb3ZV<4ss82Kei6G%InT9&oE~EAaLxq-m9JqvFafjxu;j^ zgcRY88s9p3PjcHnZ;USt^;}`(5o9RYoWAs}{fRilC{{)>yVor41E?=Sg7KsECOx&U z-u#ikFRj(2+SsORTvoD~?t!TxuHa(IvF8bh?g3T5#$e0N(abicq2H6jgMIn-iKzz6 zEIJO^o_u$X;ai)Bca~`LUTI?ERzycj3+fZ)l9B<*J|8*fVecwq)&tUI=OOI(54p02 z$$9L@0V0oLpB0o3sorPp6q4)QGz$?$cP0KimTY8!>g?|+{}gLgt65Or8@#W*)@jp? zmk$>CFx;6Dli1VKFQi@1(*ZUsZ`v+Ch-$8qgz2MwGTLjDmKfZVou3r@#Xl>s<^$4c zCIkfk&9%IQQkc&BaeqJe%)tya)n6V55vUC2Q+)=_xJza#hRMSJrgEnpDI9^k8Di}C z*?66VU8I^hsWjrk{uQk5Pjx?3+)#*<&_(qHK>6$%{dOl!H3t(&=@z&>Abo&Mnj74e zEX`CUaP)heh1{?zQ~8#39R|d(mO$U59)M0<@fKZ`kLEAFL~PkCIO^@>(X-)@mvfz# zL3h%(<|oM>dlzFzyKl?Qa?Qt+_e%-jpPon79?ukoHy1eGxicfSCNMKvtl6QMXAOTh z^5;6T6B^I*;2zmK5^Nqt!^((zM9^!j@R|ZyJCrZj+_dk8LzZ1jmi?I#;f0E4@EH0p*uJ?u0YPNG3?! z4$BX?=!E(6fm{G!%1#=|MFvw~)ocBil~h2@juqh}2Rp@Q;zT|^s5$@3QtGSnZNwrl z4FGDne7k>Edg7R13;YM1Kh-B369cPmkIqR*fUjzg*LBm^Bs;jg!}&}dhc5?4fdHq~ zuXgpRN~`-)wf@_Q&DLb^wQ=R+;0Dm&SV%;3F=GdqY@l%JY@`ieX5Y5gwnCK729i{bfTFj0 zw{V&&3R@Fm{J|=Y^JAq;1N!=Usx!K1=NT+M`W`70S^%2ZUt#jWIJ71v6}l1M3(Rg%v>tpvd*V$!xzhar_kqSy`W1wCq@{0jCFGKZ zxHI~)*%VF`>Hn4nYYGs%RI8d=!al^DlB{}(qbF6>pvL$%QI)Lx5#Am}YA6u78&yyn z4;Q&Ubj}hh6{TKpkJcotDyHL8p^nyp0rzaZ9#KY@zG!zrS?VCW5S3(WA10ILQlVC1 zEGjR-9&leW)0O-sLk`8jopnR9e;!qx!W(Arl+P|vjV0@YZ7Q6UhEU*Y(j%|OUP4WIror32luOZ6U75GHp-vf&yZj5t>l7n|_IcNMf5Yno#wcunYVL ziz_B>+eNvs>lcjc6C%FxlD^Q^Un51e z(7+zXbvY5=a!Ebdb$-Tm`~riYbJI{jjM!%IrWW0%Mp55JNq*QSZtbRw5RYWZ4zMOf zruFawWSyp&5RXpD4vZ#T-KLq~u=e$mAPDPN#&uj#J?pt{DBZ0hfBYs}#&txI zj^!djk|xMno>n4fR)@K5*!6$iU`0EYQarH^bItdJpf>4nfIXsm_H)~a>(MyCKv6x1 zIU$JkPaL4)>{Fxlb5L`Ckw0EjnIs6xdH@H=Q9xuj=Ku*bk9`84WfhPx+w&%5Od=1# zV?u-n(aH>_WHXdns?kKT$Ej{RV4^g_(+NH~lMnXeD<_-M;paiQ-gH3i451i0!eRf+ zag@h_>dO&?>$eM)#M+36GegVSMW*|s#>6NArKH#Uc4{0vAW3gqtE2MPBaxoA=fPgB zqICq0>P)vnI*A!W5LV?7E=`^YBn>QCzVk>Tw#V9Vuw@;XH?TV|@{)?_T5urdm^V~S z%hjdCYkYL#uMm@OBb$+t5llI!!ftgqP?h6wpfPH{fazgHQH+lG#W2*R9=iLK`AKt@ zjP$(T0)i>kcHzeMl`8wCAYna2v|U%ltU75wF-az`z_L4tPgj{4-MS=IB9M+S!M%#Y z*GpY;rCWl-Kji7HASh*z-!DqNk5ft)TqqW6V4ky_x~ObknGZK-tK5iQq&;O>z=W$A zHCpbF*mg0*R4f*i#IWbyGE(J}6_DTFWk}``w(V}!+!NSE%&`cUns2HAaPLG^0CXu zTUZ(8UpI3IY(@WPZ-my<*2#C0DBM8-w^2;}zq^h~Dp3uodzOcpVu0x4$|nmYY{P6p zMV3lD>_;@-hPwclT~m;UUg*X;_O$AQzd6Ll(!iG78FbOR>ZVU;!sNeSF{DALMK3&? zhUE(=F=%xC0lK6|H$34o=x!vbEb1T%u~TLq@>4^8@~AIB*gi#nIbE2n&Cv3f8Z%I3 zEJ>J860VkxLoAm;u_-PWy08{|+~Q|dZcbum!dO{d#y=~>3u%Jb_>IUUVZ+Y)AP2!s zI8O?Qq z46L}N54yXyBxUt)wFM*v;4Pka@jKQD=mY?Kov45oXMKBtEJ{)m2yj-#nM*YX#XJ}bipRWsfMdpmz06e zwau7!#5`ULd&MyUw#TnCxS6@`zO)PBmZE%fP=lIC?Mq9i3uRzZgVEA0L#k_%$Je*X zVsD*ix0qE{Kds+{gTv7EfDSd*V5O_SLxNCES^t&dgbqh1F)u`#@)VrZgc?@jPf7`d zB-7C#9n_#wH%MYf!;;hLXx0t23A2bd&@-;DskcRhoA}n){E}RDe%vioQsTFusg*H@s&pUb(1_xC7>eOW)Kv$aX; z9*QDL!iHi1Ih4}PZkTWUKDcChst=nky&bx;#ZJc7dvSUdTyb2D*n#i9#z<`{JsTqtZ^YQGJa@QDTgEzr#u`Ztz z(CGQqgQ)w|qnNkN&V~kMEcnp3^YaHaMwMh;*5@)k-*;uh^JlNNqLJ!$-%c^BZj!1$ z5*|-(wuKbmEB=IhuV97YtdL%j(d4mJE)?e+o#11UcK7}p+ZL{S7GR!7Ql=4`_R;h&u%{1rIe)(M&)2el_VF&7nRxa$tY1GV@5<-^aa zyT-FKG2CXz_2jdp>{-Yt=;Bqm1k_j)G!$LE&=B1+Y-sP&7hb6z{MW_9mlJ3R=smlw z8W!roaD~j?>DrSVUWG@@IDH*1kMjjD0U{y+Fym}WBhEhpf^K9*rjlp z7b$%c0b$U7Dcx3zGxZaE5$W;q(HHCl!>)drF-A#<3GpGTQhToQ5Nv#=C#U!5a8A~4 zIHYch_Gg&^xtgxhpvEmzLrA#K5I+OM3mg|bH}?wPR)RZu?n5~4Lm816WIm!}h}0^F z#TZ4aT~frN`+B46h@7*CoP!8Eh?3QU`%STz>+G0t{X-m=dNsHm9M2b^D7U%e_f=Di z{36}1EmLtgtus84RUsT^B3Z7b>Vx0W2E3+CNSC0kq}a_QEl5?nIcx_q?l!WWF=m=L z4tzMSbZe*iP44RHS6Hx1$o)}k*H*4YHdemQ5;k=L+e1(G=imW! zo-u%}Uss5j?M@^dO}uDN&?1=e^2jRE!sp_Y@!_Q`tmNjH8To6Y-_kQXWXA+CmMG-296f_N*M>dyh}ARn9Pvz#uE|CgD23R$~(wj zX8%z5t?;A2u-41{`caPNSGY=L{)?btO$Vjh=Q3wHrOc6j*@|^3k@d=WJxQkH!s@Jap4R3--&zxBhv#!%Pf<_Xn0VoRV;2BbQd}r z+x@J&GQl@plSP&N8kh;&~`=pkT``u0?C?2T0g93!=*i!u<$= z0pv3$aTIrP6jyN+Pw~972*SMx!hi56f)E%%`0rpKq~qhZhcmi}r-Qptj`yW5<%zg6 zI>s?wX&VBFcIu&Q#YULpms5crE#P7|S_PNXG^UN1x74JB9|L+hV%z5uol^#rR1Tv( z9c0%|;@cMyojb^{Nd$M?+=l@oH(JVLsIB4eL}+#FyV-TygkwFcU$9P>gB^VQ5x5s$ z3CMN!?4^F znA`+BSVRve+f{2*YV%jUdo1n*y|W)i>L#xj>50yWYZO{hhRj=-a4WidhQyg8KE}k? z!QLUT$I--@G~UK}m%(cUc=>ofF*FV&N}Jtztsg-HMkwQ zGT3%9CD9Jy^B zxeX?1f7!WKZ`9pk^wD7y*Hn$FQghu#CJY^>w42u$ z0IXWz0xb6fKWc;O%ZYY6ZN$;9ggm2RjU^6#@fmEnz9sybm^*=e3(7-LuJ1vG2w9Gg z^I)_?TKene8^~&`+*y$?)UUIg>_8^{&q;*{`<7U%J&!k<&rN)xZj~VBb|SRpl7l%1 zA2z_^nk%+_jN=j=;&u!;`@kiI9boCSP2{J7oO*NeH?QGpqQ53~f%_wS?P7a#MHuDm z3S!_*QpUxEGWxfJNqf@cSz~xnTB^JyS@rqri|3*&4o+Whb)r;OooUY3Uz{U^s9aTC ziLa7|Rh_Vgt!5$|UJi=oCrs%Rx^d+Cg$EE{0O;R}iR6Bo#p)5YMz06!)y40X4iK5i z!BBDb+2`0nwNT^{BYxfPKB|O$m#rXvG8ldDL*QO(BU#Xb+Y}t?D^P0 zP@P&GVW(6Hn~;kpWX>GB7!w8D@-FKHZLv+wR4|^ZB4k+c$)LBD{c5Ytl5RQyjrcO3 za$`(vUms-x-9-~;^^NYl&Ygw=g)pB0fi#K^3vAf)^^4O$QQ;i4*Gs7e3Bdr!iV<>$WTD&*Km>WB;!lQFj;kx%7kwgL|N{-jT5ntd0VeN4qcnqa4w z%b(nbKHP`pL0ANS)q10V%_O4^GEZQb@A|;eD^eHj7;7yG4{b5XY4H?7WcB0G{a9-?2xB2P&_M8X zu{1B9mC-OWp7l}(3M!4MePY>3*1Hgs?NH+87bMC|LMvIZ!zc##AryBBeAI+9lQBEQ zw9{8+M}dXIsEZXZ4Ier~PSmf>m>Tt@!qPUi9bEAK^!P|(*bJ0i=K>$sRVSJK8}pV{ z+zztp%_OzWBxjM|jN}F;)2jnp64EOOoQ);VxyAl+= z3fB@-_%!9d#?v>wyBw@K@pN&qd<+C850O?367S7^lT3+R^b$(vKb+zPMxs{_87&&c z9?D_t494Yhqg;b`GxMuYy=5id#X527o=+IiyRwb1jmjhrStNQkqrJj0Z^!K<@N8X0 z|8oxLehI5Bl*z54xV^ z2U6oT7-cbiylg9nbkts12bgn~KR|DiL}2h8Jrl_v+2Ru_Ok1KBl`H*NG4AtRWm!1K z;`TyM=Od|b+Kjayi=mSS4TVf@GYNAuiD5HIse>#Z@}HYE(-H31hx}SZaAzUnHDK;- z=45wUwVBncwVBe55XBGzxa-viGM|4#Wm|zzyF9qaiMq&*A_#JV+Fsd8a%?5zZvP(- z%T2r+TBd&IN!o*LAB<*Sgl3?+O-OKCMVc6uSS7yed) zd#+|!!O*Fk|0L!=jQF_br;gXLKkk>x)!1$UiS7-l5^7fuBoshmMMaABuyJ4w9c&Sa(V^`=T`aWHkFGq=Z7gLd*Lx zzmIRNRqYN91YP;~b>&i8MB{$1b!zWc*BS`UoB@3l9j&BCoN9Uhv=&!iuMutK-u`nV ze^7Q$=Q8YwI1IDlIvf!lX_VLL2|Fhw$N#3eT?6u%&!punelr^(8ZBA7m8Nt~ktsiy z%LyqRa_nF+&by8vM3r&I?nH*0CW#>_eo=4EVdb(-viG@{js`eK(V|?ZU zt?Zg~KT!XP|K&u`)vJN8#i`id*;U>EI1%91y0}wQ}CCl z)9_Q?|o~(N4Oo<{ifU9)r3q&+`gLa^_~XuMy#*)f?Ze=yg$_`5}8yFymSW4 zz9%vf;(Eog1tR*w_)kg!5^eqp1vEHMPD?MmR(>P?p9B};@`PJ#Fe!Ckqqf_0gf4W2UGuq@+v^g92zL;w&M9^ zBu~#l1gWs$w#C0C(Qa$l5ykG=#WRAv=h?{)CR6 z=YSMGX`Q|_*-ye*uey(-kKosYfz8utPQ{04Ou}pC=*s8PkvnaBo%EAI6Mey8~S!`}#Id`QX07#=Eygu_MCW z-OId)p`m2{XL}}HzRTQvHJ z-P&v977E>=(5_gk(rpiOseCW1k{jfab7mOV(0VfM-r0Q>^3<@C*hP90};1h9kn z*@c;D+3sw$)4_h^z&_fYNU3?3%jbBAhbipuc8(JjRr*DhwVlLr%Raa8FyO50U7z8k zqF?D^Er8XZblB~Ok-WylA11X-!<~KRC})RGI;*SpcFS)c`>)1vR$Iv4E}TGD=?OCF zor#ToO)i5~)QD3Gt%^K{EPq?Ucp|9EWg{n#-pKgy;0==bM!Gb9x}9C>m9Wt7Twucn zc0K*8e;rT0ph&o&VfuNAjyLiEztOCyz0|lb8I?oJ6P5;01Mk0QCq!^xvA2QqaM-^_ z;N%1y`dAM5242X4oVie7OxbuyZ|qFU!Ya@ECCLSm2SH8sw2=!ctZ0CapHg@N!`^6m z(2E%?pKK7xst8vOg%Y2)B8-ozCV@HBAm3nUG zL&L8Q@nMbrI{@H*Ud$O#C}p))lWIwmZRnrO@8OLtX-L94Y?>eZY4Uh_i2}Kd`18R9 zFfXsE^p)WY9*k+c3y#*;p7Gr1Gry;}-O^@wb3xuPJHXJ=6V5Lc!M|8j%KWHNZ9Imt z4Bv#@!5sjRKbkMe36Zg8j8}Sz?$xu-`ITX+0D&Kb26gF%P<~+)w;IbZkI{VO#bc$x zs=Er|#j?(NY^0xzo{Euq95B5oXo2(_KDF|z)42hsoBi9S0E{BuHQwYnEf?hJa<<<> z`AwRNv2MZ~Bu_RT-&r*c3WO6m()WC_xDqEH5eB$7&z0TKiX$fW1WN5mjG%8`rX+7Y zXiVvqeEkD<3^*-0F+X10o(AC3;rA?ic|={xQe+ASdm{hj_Xt_gltsFclv0(k?%ik% zr}u(BCgSaB9jK5N8QqN3cj1R~J5ZHpr z&o6+-mij5=k{aPonWAz9KiQt1f+x0GDVO4Yhy3y>WtzeCJ!`{@(4})Juo9r^{hR3F z7m=O^1^Kv0$bcIqFUd+PHqN67`Or3#Q<;lA{$pzv4>~ezmQoV!VpGDUU~`l!g+cd_MhA9E~Y{77}P>cV&SlGGokV0xU({7GIk%8B`)gW6zh%$daDewjU~GLq|(d zZJsDVBu!jX4-HtIXB~^kY9heGRfOiOs1|`AKadw=(ag(>WFL)tb~}{@SFMajg9Img zHEC7z3$Lhxb1#WP-Rck<>_RWXM`M2~AUG|fo~cS;rHvmfsnN&qF&sVVh70`=(^ZHh z5Qob|2S>(Ut27B0<*|Ykwb$cc{UeA z`lG9B!`9Rcv=SS!;w*^6qR%^YDgaZhWK~6+T)dKq4&@LI?wgoXt$1E?_rEr=nWFo5 zqDs~At34eILO0oNqkS8&>q@%E_Y6JCY0S;;%)C#j0+XNrmFaZjNXa9r<;|(Z@(a=Q zVf1#GXj;KXB-mZUd>3Lcr~nHr2HGu)G2d-tRDLpT@SK{J*CDs%JOMXf< zH}4g(P+Pd1R*zsVqctbTT^LJnoAZ#hn+j>>`WyM?D)N>RXSd_j}* z^#-1ado?~7dCO}xL`Bp}nOZ)a6;pIYFc{M9?;ew<$!uPuOU~ylRHk>A2jt67i2#@M_{=f3Emw*kAKry~7ptUF2Ot3DzvP5g* zSqgQigEwKOkWY97SL`{sP^Wa~^SRsLo6M1Jvc_ylzLbrpj3?Yt6hInbSS5Pp zK68IquXP*Xh#|42UYxtR_&J+2{mpS=l~v2F=Qr{j z2T1~_hEYUcxu>-~jg&NTedogsuhH@74|Z6};`2VjZerUxNAu^leN_AL@N|T^a=+$O zWcR;@rT?#|ag80WYp$8~l$bibAYJ{bw0-8vk*gb2KbNcGDHGiibhtgpyGdNW{$WS% zWR%v%UWcYZe&TouP+{Ie!~@J8=Fs-viLtuu{AY~3kEvu~o|ZQl&lHDpHCS6VLY!|% zrb2<=P?>?)@0BvEkg0up4Tis&o1raw#VVYnRoiDA__RYk0k5vaoUtDxyA%o*0AWf@ zpGfT28V=p!@-dtKHTmGQ8FlOL0nk~Bo6t0ji9@+~8=Hv&tpU^(jUcgTEnVTYh|{^1 zJF9X+CGQP4)R{Uk_{aOwDcwZk>lV zq)OjAniggUXN(GQPm!M83nmMM4c^Wl-t6WjYhrPMik>%U$CNGzjWK!L2+WrTy5%3E zm>!}ZVrLML3RgWo66g$vcL{qFBPDIa5NZiaF`w`ALPfI=b5D|YqKZi#=o#|Q|+Ujw*=?f=}1W%l)1 zkJ+4@&}3+0tj9dEe+t=bnMNW&UlVtm>U^5J)^3}qe;S-j4{ZMHF8(a$;?p{_(Ut!? ze6cz+d1p5biVHNmwIRj(GJq^HIKw^}W>x?UFBp~kK;=)27GV0!$G0A`C+;}D!@o4V zLnZ)fd`e&TzXZ}az9d$3*6F9=`B0E1djdAf@N*n52IUMBqhCtznt1gkF|EW`kKGG= zj*D`$2*gK^+3(#x8L_;_%U@Qv6vrdCwXAYv*{>44XCjvn_Auw%_~h_UPkEA(5=^R> z!8jf(#_t#PnxztEniY6rB+l)tzETcZSK|6e_iEH&bge_oBNNxjbwkC|IkLkh*Rw zid&;K%O^M9Ssi81con}KQ@uXFAJ1u==6P7X?2;v2JngJC)u}3kKV-s@rb&?dD~&JB zNMC%r0qO}Mry0|oVa2KZi~;R)Q1KLbG_6~8Bje%0bB3Fx@`hpoGk*qE?y=(5U8EfV z%>A$WRwRv&q?PWrb0@o!Phq@*%YAqyue495e5P?Ca{1Rk18G9y+Nh~zGwoXZwBO9F z7-?B5gUe-f+!;1pXDXx))Mpr@vOQm~soy;KArG@XWzEA4l&?I$I!nxWBI0KXcsX-s z!lpW%M;v%##Kzhg`Sg&xrUM!X$z)RpDwnZ_vpq9W)R@qQ5O6D_7ZGeq;U1n4>|S{& zXQ@FP2{edr)xzFntpBOb!?|1dK)?R!?Jc1 zsAw*KPfW!mK$<+b7n@0Rn=}Pei2==^`rGh0T4YrB=sdi%jJ2LQz4B(n=Ix)MZ20R^ z=N~bVibO!5CfOyDeG-e<=%Fs${5;XJ=4vpO<~`S5`Zm%sNZN#FI(_N#8(hZJ6CN1< z$N5M|9};)YQg2w4yi_?sSq3e$Kh_zoc){XbbMEBL@-d&$e!Cz zOW4IriDFJm=YK`;b5-9#z+NT9)!J-(sLSE?Bs2k4S-9;l1Ufv|9F}go$zm#z|1}Ly z_A)w{#@2~RGp@?;#XgZFL+Imc5gU4x{FxRD!j6(Apdz3d1diL3X6G!o%J;tlT}X4h zUKG42NTQNG=?+U<7sINm|9O;&YF_lb?UB)0xxYo80?eqsLnxhrlK`*R(loYIHXii^ zQ$5=mK3R@fG24al8Xg?^j(w@1s9F|FY%~Ol>52sCsVRfN{}dCRUXn#B#n{ooTV;pwR~Fgv2dj>N^BK-X0*^xQPqG$bd=%Pcv07HB6*ELW*dZ+y#8AREtU zxaVu<{8}`koW+8gR1!N}cTQM{ZZ`BM)qqr(ryezCck)L!X+c(tE%WXgt`FXt-`V0)%{H!!%S-wgN@=SLBU^ll$-unOy6T@)Pp@1w0}DJ$ ziiJImsq7Z4b#=?b1&}q!1nF)*h6tR$=wdb=TOPHi`Pq{sISUN0Z@sAV z?`YFasdpYF`sZQ#0TV|l1uGEEX=xdx$>^qw4F~_6Xs^O{vq#+bM=%X(ni78wlfv3qUi&$12I)&`z3BW z6?m?FeUZQ4c)+|v$b)v;fJVkc()gaScD|T)IE{L}jC#9_dU=g{H`{dGMc!jZZV2d* z?=44aXw6vQcvpriSrH;X#91 zq8G}bdF-wQqZXj%VHQql?89aU_TfMu_N{Vu^ zz_;_-#1@aFKh>eNI&!jFeM@p3xaClI>Jw4ijx;onY!Nw2Pf3Wt0)gP?lOHk4Id68LVUHtDBB+znagTM>Tfs&EQBCo&-YLXB~l)5t*- zWuyZ{IF5zxd1^70Q#(SDLyD0)1?}1LO7W2|Ve(kB!o%3M?drQIdw3Fdgjb+Qpu1uD>-6&lO+Vx(rtFwLON~~T~jBT$!Wpn%f z#n>>*QklEqhj2C+w0}z?cMZAdn0A~HG5Qo!uT@)h%fJTr^q?>ta|0bNO9#aVL~IQs z_%K-L8L8Ig&c$DFF?NyKx51}0x~BvKfO3($>aFL~EVK&m77;f@t#VkqtCd{zLKCw! ze(}zLPtl|+8!#sI6-d+J#IBa4)(FDJs_T1=HH zUbc;tjqC-cL|jV#p+v*jU2r%h=Bfx%Xeo@qq*a4Cw0$=9f5+|0O_$!WWWVjZFf$dI zAWM9}%O;CnGwrN+!UmjB=KQW&&EA~fM@6uE;c#kDEavq0hT1oevjb8H+grBeahZBO z=5WfoK)kigoDZGi^JheS5Rj%_5H;Jzq?6a4fmXz1LB|Lnk}qm4mgX&eGI!A5jE$gc zgl!Lw=X1i|gjGsTlpQLg&sFk=pI!YfEpni&ZwLg>63taj>IY`LF}_K)M{JWOLH}fK zeB>#y&bAAJPF`oBM7=n0b+6~^ww`~xostfhWz+F?xNScZ_WP|l5ZGy|FSCpCyTy$@ z2u0%$$K)kyfFE&F|JNV(SN=G4PLUU=&I;%-r)neIdTFola!PzL=Ou7e6D>+ybQkk< zqNTED=(_1mP)AD3p0$KLO_Kou>$Ko2mI*_l5Y3h`0<>Whu*^56V5+*J&Ji2b)?kRb z>Hd8Nr+UKOg3G65){HDV1~ZI@d+9z@3;A(?bXJ9Pu|kfJh_abo^A-~8xev^3avKd>) zL(3d^MgkUV`}$ZkQhVgZm3)0iN0wnj&W?HIJ_sHLl3?pS$KZH5xDG{7sPe>ubg*H{ z=nP^TN22N<=OK9$3|fuYx5OA2RX1&~z7g=hb7uh(bh_aXD{~3sw|yPKbp2>Nu0?ZL z_?;9dun1e{j(+pBzr8E^z$;l?T3|4y>y~zj)ym`s-r=Wpy_5REE7K$0br!+#7G%5H z&wmWeo%|YBZ}0|*X$h&3)-81uR|W-3-CK}W`i-siU_$UIZ6Uxj&NM zT`bSnM($T+_+!4RJ}kZ`xIoC44s?~#R&HAqXeq> zjt`^e^0qiP4R|* z-J^8OGTS-6Df7<^ubPw%ZWJEgjYaG^1^qm0_ujt!1S0{2N%9{fJ8*pQd8s9av)trD za#DM|*B%M74QgZtl=CugYu!+Ylk<92w_m!+NlQjx25D~{XdFUtA z5qAVuzg9+c?^pvpmJX_rOi%W4My9v;rgfRd_DuX-YS^zPcWPtR&+#qq@8v}>plmHlAQxL$c|OZ!t+DTtA- z?!_o{2yD0K!q6+gG?9|$>R6c0ej=i)l9#~`>aKR$c_Xq3=#W22Wy7yf&gFdl+FBuJ z4&PSAaN31qfp%e%B?q#)UlZmz?9z^R+lGHKW(_YAIJqF8Zl(}&-!%dARGhV@)X&~~ zq(oH-nG!JKs9f_LlFCGK(i9W`_Nk0*ildmQ;lch78{gU!f#Rj%@*wbi3pMOhfHr|% zPNkVXx^2XmL*-ZkWk`ZZ)+zmh7^+vKTm1@;9_L!DxhNc#Kuce3r2RAnR#@d1%?l2B zQ!;)Z=CahJ;x56VCXOK(=gizj;M_XW1q6z5Zl!OQ*K5YX*I_%8e3vfY`N?rSi);?*KX(=UBc~gNopzeK`qkaJilc@;RcUCn zb2`@st{kiWO{zl%xt)d~IRJ4|FjCzxy)&Tu_5*}=vOL9aHRI_iz28Y(A9G{NkQ?tM z=giej5H86kJ*il{v7YqstxMuYss$vqmk4b+BiT7QyIm&D;LgI0w9gm19Q>a;mh)+) z2YM^2S7s~cSLfuJ^OP6qtvx6n&UrQ?*^fJ-cxnVk3O-kE(|?zfMl@#*i(fe~ZTDXf zV@EQyw|`CK@g)jzefzE1)XlZ7ko}{uYbF{*&S}~N6SH?!%Sgx>Dlof-oN=QRS~kEv zX5Y(v)a!EdQ7~wDiUj@lnC+>?kukgoUD~yew!)_W&O6qEnqb%Q|S;tApS7I}w7 zk=etL-0e4&takL_6f3kIfBa$#@ZaCwUy*)*LpfI-Q7*6Ql6TI;Tzm$HTNAOg=!@szd z3Llxnl*w|}8Tv|F(Fu$CSjdP#!U!Cx8Dunz83-Pj9PHjnMwFpFtc_&%m)2UT_6{{@ zCOUFR?)SbDw8Yp~ZIL@09fStATf=|Anb6G=_hEfHHu%20*%-Nfw~fR@NL#RqZn0J& z(0uCKPf&K25fJ}_KE6G?U(dvn-MONe|95(`@ip>h!JuPqWYPP&p?9*YW}Lfd828&z zA5a=o;q`y#ATN~BcQ(fNRb82{?l$8yXGFr09V{J-5yV+BHduI9m{S}kNR@KN+J+UV z(y2BQ*nJH3=#Jf2!`hB~Bp-ODXVN$bqO(7qUc;++4HRp4MvjwGxITIX=y{b)Y)|G> zUK%>R@R<~#i*&QvZ5h1R+P}88vcWBuYA$uwx0k!BZ8lxEKdzj*?q#^Gq>A2x`JI72_YQEl%0Xb02BNWc0s-9KbWX~$&?Ol}H4dj5C~9cjE4hdyKHZh#j# z1b=)dkGOqBAs?VSy^HTw&!A>bqrWvdmaLOclnE2@hOx|~YYB~UqNY|Dr;q2=a;x9o z7Ib<4fKIsc(Cvt#>XDxzoc@Zk%>n4$sre*hB);aO+A!tB$A1(ayo&5)U3s1<8%{{y zgK+my&!c}=yv@PLI~UTq)&1pe9XYXJnt(gI+ncoKt};Q=(bg)SI1*{UsB)8kPm81n z`K||>IhIMS{PHIwy^q+_9EbTB<^Cm+w&3q3z&8{BTBqs6x{HEHzDN(@wH=jaMKU^3 zEhe{hpdanh^3N&O=&o8UoxbMVs4Dy}d_3dq>2FP4XD3t9$I8fR_ph@tn2|;=f2Qj# zv#)CwYwyb8=!>_%O#o7lqt`G`H@An~7+FZ`7njTrpAtTCuGg^-M_P*eYspudv>N=P zyC&O<->=V5ADsqi3o%anyuvdcPoN?D&^93bg>P|$G3ttLNm~9*!PMmCPl(Oni}ZtD z;zlXsbmJh4D|y2U<-8Y>Pl%HN4OW|G+6>z?^vtP{CiiG#wBt18LP$C#Zf?tcw;yii z=O(A81*eum8>M`tqwkxriBtEHJmyWu2dkGq9p~8~3I>x=Zk3Fi+p0;G0zlFZ}~t=?78p^<7BU-diS(RtH8v$^Fq2E?9;@JH{zw3 zq-NAOD_MoKVSN45K;Pbb1cRITEtbF7or`+0$5DOj;a0D3yh=z;yV)kLp1svqFB<+E^9LcjOjJlIlX2SK_Fu~8SAF2Gf_*`;0*c1L1mn6 z(j-lwZAMB9l;X7~y<8^#J16aEj^WI|l-L_8go_3fD_(?c?>JMFp0jn?ZZzEm2 zKJ`zV^RJux@A`TltIcB3fUB<#+0yTd;-WA4cgN+N2D1P9u&4aSD|_73PmcDB{nz7+ zx3oeypzJGQD&~AU&5QKY;B|ifTk=ryHQrmPyW4yGY1{CUbRkB=SY| zON@ElCNoFNhwA5=aW5`TKg#l7)k`|mKJ~BipA;Dc=OgqkWcwLNIF%K+3FGx)Ur27qTqow8j|T2*pbw5e?-74p4~Xo#N0WiLx;gu~DsXOCEKLw~6$fM={E# zXO5Uez^nvC6xDWR0%xC6VFguun3&+%8}Fm`Tj|cum?y_XPu7a5F_0EAykn7&;1@yk zAjuThNd%=}1eVFD4~0#5p%l}DaqYAki#uS5%QKaw$fbhL?5SR%@Wzk?eHIvrN1fD) z@B+GQa9#FF73&h&)$$VJ;?DdZRR-nLMZs7rO4b;%a5 zYastI;OTD!8)T!6S|i_Vx7D1xzd>Qf&46pwRPIH z)7-Ab{4S`*pXM+NctnY;|5_tMzto%^rd=E1x6o*u5U@~dj0tF7$kzg1D;4QLZbXW3 zMl&5izcil>rd^}p@7T)cMQN;2Z#40BZbgIa5ys6lp4$Rm!;W~upY=8#<3_$y)@c0( zvfjw=LTSWMZ)^#04i|Zsna#GD+d^2&L%&?k#nYd&2E7DFzx2wjsx>8muqqSj0BKZ1 zzr@I`YBIfsfEy5ELC+rP1EC@=ovV+v)rAUEm3Jswurx2D9-us&V%vqvi2b+EkCgWv zXHcm6CzzxU_k(Ud+Nnhnb}guw(MoMB#9ZpfK%pAwA1)$7*_W0j;Sxh3M^BzHwx zBLFMezOF1{&y4EHxx-CL(nEriyGfkE_?!r*R8Xp&NJdheH}xa_mu8!NSrOLisLh%~ zfQ}NpXjpK9OqoX+gg|rZ7e#v;FDQli z2o5{ZKh(y=-j*ct=#oK)J!=qLgXD!*>V@dsi!N?|c||R;#`($jj${=;J`t;&b^SG}Pzlx2bK(^+ zSnZZ4{0LK5T*OWkA@;b?vU@WnA@|&OmBD>>NfGB8tu-iNTdcrAP5Lb~^ZOo&n4Y}wOe#+5k29Oh{P~r%ph?C`-kKxE!qR&p%ZElTIm_x zGtF;)KAW(x>?y>_t^`PqF zPt*yo9H|(^CD$Qvtrb8e5L-KUT;oR~7U58ZDa6GuL8S7(3b+e0_Yj8K1Q!F_v=9S( z{7#bf6mCryEKO9l+z0p<@lv1OiVnyvJ*M;lyExQ{}$A&oN?3o5v+k(}S^3Tj$_d0cocFqp5VgjfNHd9rE8 zAvj*VT}_JCAbAaqLb{gWAH15B*h=+t!~Lis{?E>ZnP^}yMqz;TP+hXzCC$&Cg;LrZ z-P+zL<{ZHMdWwbG`EqvcQ6`4;>MP;X)d|*^)L7DV_3H=YnlwMThnW#m8 z{J@|Qe<49ycG5xpA7Ujf44iI~Ofx|_S`P$A*ZT~fTBBw$u-F+4=2IzJf@=r@tDt^V zQdT;vs4QPlQBx|bsV|q;F;Xh(9xwm1DXVx|*AE4Syw)5bP>U<|r;;bYH?i=kkW>mx z@K;&y*2V4y14id&uv z)J9rp)%C!nr6L}U8vnvBtgV!ZPFyodE#OS7N0pjDiI+_q>d*0OuzP`1ZV0FnbK z^Uii6&1CZrE6hLcxDoScwh)z>DEv2L6N!!!!~CO@=d{EsR(}ta#r?puoRU|y{4^=G z`*$a4q;j#I5slL2OmMn-_YN&tUWY7M>9v_ts`w$_{1Er{O;kw^d6=Uu7K2uK^Frj+T{nf8D}n51f`6HZV*Q!h%_BO2>@CZ3`x>?4 z(|V12wPJg0{ZkrWeMfNwT-qt~Tyca`8vpEfVv!g;MU#70=H@YKphG6^!Z~Th^4Nnz z)}Q}Wv`dP4N2qMyGB;MPmG!Op?>pE#M=>?pO%-R@t68qVW1SHhI;9zkld#L5Awm0T z{3x{@gSQ9*G{-U38pRVXc)PidZYAEjSG`8)udy}x*ovzsEHP);fyPTRqhtJ6M7`LA zBVpG$-#jrvz@nx>0*A|f@ki|b zO}BEH!*l?|vZ8N9fYa>WG4zIrUu*!Z_paJ#$*`(e6JQKwJG1tG+gPs-Qd2Y}<+k10S0%Di>SHT?Om z;~)Fj9}|W0<<#!aKIiITJuMXKVfo;wQ!xl&5(9T|0=5kDmg}7hDweLyS<&fnDx2EV z+X7Y^dT(A*^%`00Ta|FI&Ee^<`ujtw^SXT4S{DS*RjpdJEfz05y}oe8HXQs=KuRE> zwhb|>n%1WdqpF;eku#K_nwye0 z_1tL;v~Yc%+KhY2El0%?^5sG9wuWaPQQp7W6!+*24ICumTcwuR&*CFOz4cRt?$3bm zNA+}H;tnKQyTVpuV{5mptk^QAGa1m>_$g+t7blP9-xg`)(Wn6nmwE3M*2BKoTeTre z(@pr=tR~X{Ki_o)fJCr|nBCMV-@iPmT2;nbSbE~L&`zAyn`fyf>-}{rT1x(HfQLCA z1M@OjgZlJxRs+Tf8oL)ZEQ;iZ04+Hr6)JcGZX_{^j^%%i0ki1Fi1^PDLWf5=)la=5 z?qohP;of&Qq|u5WPHs=jmGXcUj>KpM+F1@<)y_O%PP4Kj9b zbd3lm4@}S33yjcGX;TYD6KYf{229lXy+BYxq(UGj4sA^*7?)58K9NWUB=D2JLJr5W z8RpGT$Ve?@EOx+XG{Hzb1vZULC_0wte|tXDK^fBlCYC)d;R}tbu_h^u8m&+=s6ESg zU*cjmzf+ZI^zT#kxzel^)^OIm{S*<4ap#V8FrpV4S?7q*6>4H_n7~Nr-F;0VcC!ySjhDMNBPDDTZ`6obSE4g zhDIhq?FSi8W%aQJMx*nFqmqJ3d&jc6e!}ex!h`IyD61T9WudLY$_EZi2QW+r*jRTW zSoT%0ZSb*sOSfcdqbWwCDu$zvOa~Rds21<6YNJuUHz1ViI}Q8%IX+s+k9b&jB>XR6 zSDZM@HGE#@>c2O(zA+h|8ZDZwR}}+0H0W*-BTW(fO0&0CKgCuLPwpw&eY3%2>$(uY z{hM&`Pt_N2Elp3Kz8HFQUF85?iKGR^+i4aO|FMCpnHDsso6%(tWsYsk6LF1J=awoWmY7K|!r8#hK*oKI~`S zCps9}Rl5Ruzvj6w;(QJKQ zb={mh|6f}iij2PBgYyG=fG-W8jdj@;lR4`VSm>~Uln9qclOXV?BgiErrUM<|JW2Ba z8grU;Xtc-#1ObP18wrP7A2rV-c_-^IrYLoS^YZHT$+LGqB!vihWFST@2U?J1yxxU0!mX z4j-6E#X~7>D8Z3|3lt%4d^T&Rnf^v9hOeQcy%@+)pCcze2R5!+C$>O>rr<*yR&jx^ zkxh%IgqN+;j6e7o@Hpa|CJ?jKrG-GMf+2~%uL`K(&50oY%IBlYF}Ecl>U@TemKKJS znITCeHvPCL=A#-cSkmwIi6zt`9vNZSa42v4pWUjpuvl?K1x$uzux7x-Sk-V+qPNkODnm^qBlP#BRxNs&Pj;=QI-C_wexc|8(i zZwCvGUSvrPq~O^D{Y0|9=^Z&?y(m{fF1rZ~W1^R2G$QDoGS(eZU1rR?qH#_0>M!l$8O7rT2)D z3`4&FuuuY{rLbz$Le=p^Dig6Xpg&>N%&6d(Wbj7|>?4Ws!~ALo#CtN`aH|g^q+2v3J6=S=9WSL|&%ePNghD^@luioKHc;V;wG6*A z;~pZ?tuT@uJfgo5J$g{5Ao`s-)*U(49UqoGCn2YWw5ZOr9mN8gbSX`itO{pdwX>jC zKlJ06XCgtyp291ofhjKpBXQuE$Y@BVze!+oSZGREs0wJGM!3-5PSG(HdzBd2W5CyU zu&nmi<)KR`S+|0)CK~ab%47{Z((BCB2Rq^k@?FhMx4(3b1@Zt~r}f&XkH=+7FIva0 z3h~}D@g6%r|9-v+k~fWzHOfSrmhc7<@f9kPOIYak&lwR42n_}N3|f)F9O1znkwG0_ z#7>VG%7Yr>J#8eroXXK{VWMwDq;FKD=ZH{9Uqs6%+{z&|_9>LP!j(~vH)9uXp{AwY`0DJh{dV_`{c8l^cyZ4xZk2Aojc^0H4G5P+ zm7He1{TEJAHtXy`lb#_WkLrWMB}{H>A@T}5A7NyQFvs-nK`K@Ck77^Ydt5AgV!|Oh zS5U-E04hM#u88tDk9cpDe|Ge&4|T&_X9ahnYswprb~0rPwb_lyx&R}UdcQolqL+^? zi;0jWB8`bqmLm>ILj4{q%yVXcQ32Tx(mUUT32M5vyPj17rVeckQQyKIX?%}az~RVo z=K4BknYpFL=Bo2+mDj=JXlA4z%$n=zX+&};CnOnwz*@9<`+NO}-{3KSP1eEo@Rm|r ztEGg%8ziws_O)?f^hDJGs#?9vw6ICNsy6}QN!({FjMlRczb3m`xf)+p-U5Ea2$o(F zpeqJZ9wc)Gzi4GYk8;}@NZ7XEyDbAVG5_p$Dp;@7BL@EMl&iVhb0(NvCE;I zgGnG;p*>4=+)nD(btsvoO&`VCtFPrZ*j8V52REO!e*)*;JeY}%R)gEbk^`G%>H{=) zv4_jWiZjC#kEp|Q4(7!o;|zhxL7j*>F1>|oZ>UiK%j45<7&I^5^0xF8|^Ws|CVgU8-(lbvus0EtjnzJjmM&f(da22H;aCZ#qLe@ z`rftZgQuH^>oCpjUt5?HXLe<`UgX=#d!$&`2;gbMnO7fbdU$(!ldsbYvWK&Feqs}E z{9_u_Zc`=|VqM32bVR+&N69rB;hAIoop+}XL)x=zreWP9s-6V`1w{#-?{;4^`^agt zhnyBeVM-WFwLs$fl8tOi(*-Ar9W!lxC{HVQ2#?nJ|Z9&MJQo4U-$vJ2UFw{1*)qUJL15jyk-yAWq8 z4(Unto#jT3d%L14eFIieZzh&%l2 z6tUz!2jd?A=^vw=LTk|OR|ApOa>!-$-D4Xb{X)vC4}x6}>~l!4l#^tPtjgQRMwnMaooEC}bi5Xd-b2a(}_d zTO_I4*jyjQL}6%bRPj(?0szYgpkq9F$nhd%#NTG)~8bz6w$?hiU zS|P&4w}@%@)D>0C)=vT64EeT%J2-3mzZ~KQpt_O9kf_7eJ`FcwsVk_MP{)p`HXhy9 zf|+SMe>ZCz_~EkOSCLfzx)yi_c2p9Y6Tg$Ou@xsvb=@kT2){~88Deq8A^hGkI( z2W3}fbDz>7Qq(lU(51X!NPW9_8v`L{!-4=|Eb!343yqu#bZ3Zj>aSscUdS5xi^gE8 zAli~tW*D>z@bZF}NFt&dH7kl+95}0M(}JkPSU5Sd0io#a1ts)%qQ5qI zEF8${v~lR#SvIbp9jIvT3E~zFgdHMli*3w)p@n9?cP91xZ;Y#6-J5 za_w2`x;fVW3xef~2xbZMGy0OPF+UNiM{mTTC-nd(XW2~QQxM||J=+{g2n~)+86%}o z0azqTW%|s%)>UKdKEE0q>&!Ssp=;AIxlS!8bU=56F->uVFeP>q`NfbQYOVxAWP>yc zQ6y>;$$*rc?EzdZh`*A{xcUbasnK1)l)}sJv&0Z-3pS@zqcIG%sl&j=&&&cpKOU*L z8J~)5D32Kc&>=N{mLRU5Ygn9eTUi-o8)n(%%0yh=BxsT#-ESg^24pOGydj$x}9Gv0W=?9D~rG_-cgYwG(SeH-7b-Fp@vWth)`DZQ5$)PlnYYIYE>ctG*CFSN0JWj~G zHJ`T%CT=7hNC_Hv5V9+MijwJf+Yz^i_pzN2{LO*i2W^hB)jkbb= z<1%8ZoLRW%$gW%aLZ9Gl=)7T?LKe}K(5 zqaC8nKpW-RkXw_&D`_C>Oj^b?W-MlPTk?zeSYM#s>v!@x7efE-2O+g%y%YTR=K22smHp%i diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index 1eb59c74a2ba7722418cd81391f95ccf3414a57a..eef323fadee1e6aca7f8af27391eef4aec664fe1 100755 GIT binary patch literal 28691 zcmX6@2T)U8uvYmIkR~7^H6YTFBE2Od2r5lLK)TX@ z0s%sYP(vWe+1=SYd(Pdv`_bLHb*q~4_RZ>j^SrpBhPGbbj_z)p;u0ca zBI2Acd>vhEIG;&8;}nw?6@MoBOiWyYQ{UFh&(YeJ^X*4#XHIcW{WqLOwpN@kZ5(}W zG=Uy>Zp_@eMe6o{X8|5FB!|EB@B_j1WP%wIMUbsih8U)CuK(O3NVM8OUK%6#R# z{o?hzx2oj#@BjWp?&nQ%{)PH}8jO_mKcU~}?_B18-VXn?))4oplhcX-JKQtAs*(ua z%n3Q@3{!muRq(f~?Zj0H1pCPe8CJa)Z!ju_lyg)neQ5dR-AybOEFY417jqetDGLF{|ze_hbRDQ9TC8cIg+iHh|KbX=E4LDYBQONlOI z?hxoyqFTId&)K&93aI?~TtI4&t2$p=r|kOmseo&ZU*`R?H6PSd8Z&wm2NEXkG=-Il z8YiqYug?s`v9V03>#|y?>$gl-%l55g$9LJ*39w-?-a*em7ceR#orh30;#D3AQ>HPl zqbKNCn=vn#c*f)7kj-EF3=7FbmIXKMoJd93kROBaXf>(dQ0}fv=jz>^{GtR}+e~v3 zA~2NN9i@{9F@@3-SvUq?Wk&~>L)^*+M=Aym&NOwvVbsKU@Bb?Au6{CFDQiQMxZQ7^fVn5yqoC}UYFOi$A26s!Q=~P)={hhFK{N($N_?RHB z2S{=!h%@KGdK}bIhf6Py)I?BKgA+}Z*gV*LRI4B+DMT=a5P_=Y0jKSdh?;ZA;C}Fl z`3PcKG)Mxn%<;12JdfBoP9xqOh!H9uhc1egz}%S@9)Y6>LaY$q3$)Lc&S)_>#@s6& zq`b}xx6!Ja)(ER99YUa7^!vA!<|y`M#visXOe~n#&|o-{x^aF` zGG;8&BXH&Mmjt-n7ZTY=Or}e~w`dE_fQI6q6pcWVgp}-$j*)6lx%FDuS!<(#E#+V2)5sR+ac3&iQ#re#RX(=h>phPr&#NQsG;2y! z41cb5eg_`XKV?^Xvj_FQ-P5e(Un zo;ZjWaLU#Y@SP8i9R64B?nSR?uh~A8eeRZ$X(b%J^pZj3&k zi`=X&c>1Rw!++*ab?sx_XtWcef`U#%Ut39i)7rsIai%HipqUvr4`3gXY#V|^^_{oN zUVn`%l6`z#>t0f3j6CZ8q-+((YwK81uOdMkou0U zNr5G0lb+lU>2*s65$oRv?fWnNp`=!aBg17bLzO!2w+ENH-fMa+7nt`W71`HQOCy3` z>=+5~4MS@^D4Y{E{<9kU1H!MbFVc>$1y`jbMHu$%|YjZtraVw=Z86M!HMoB8zFBL~Hrs z1V;fL^DDC9p&zH9JNY#{wKy*3)ocy6ooG4qF6n{*SA7cR!Qb;?o&_CNo~xxB$wy^r zp^|TV5$Z#;@HgVa(C=JoWH`SSt8K|O^U$|ZCcQWj^ur8UsUZdskBTC{{OA$&KC__MbM(!Bb^`Gu>NRkl_4*;tu{S;D&}X|+JD+gRv3!7@&>AV zuiE}V1E9w}bg>MBy#=2CkwF>N1jDVVV)5#jzaF0eTv0LRyN-AVS&Yy=6zl7=X^3>vE7D+7g1!EqBjz{nYGo74Hz586iwy(SRMJ(dfO?NV zzis*yTK7cAlg%_Wi=Q0r&w5fb6JV9VlgF$_?fpceJftEI*HE0Agci9DQ3Q37Kp5s{ z;Asi4rA$J{!sQ7W`yT##AEfh0YUN#wOGz$2;@-JdS?PWyokBPD#GCY*q6e_3W?}=` zv;SZ`DqDR=Jbq%1jvy+?ePeYC$kS}T|0+ygG2c3`s14H)DXFFpvC@pzNMM_+rs(nz zJaTc5Ytz|#^|cKLT_H;Xt>ALl8_Ulwp)>?V8=hTjA+wVSpQZLF;t`f@4&zHQC7B;|lrV~4GlGK%DNZ@U$1Uer@x40V-=TRC={C17Ml$WWVEJmmk?tYTL zrJYC9D&oKn@HB8bscC=eF+jcx_3so(f*FI&bgS+F73VTS&NKPIU3+`gh-#;iGqB%7 zYNN&ry}&@QOG6Q#S8~?t1cIGPzvHQfko%!5%BoMJcaksOFMzY1K{YLfk~XZM;t8&M^vFWP9)q7REcTGe`UNm7YnrC=1( zXc-99x39ct4bVU>AF+zW0 zZo%In3$G5TiDXjsefr(h5Ydb-2h8RwrYU^5uWUD~DGD^`*9lFumOi8eJ`5cOFc&p~ zX8+bjF~`9MvTlRh$LlfwAt0*G;moXa^e$i$0;bgvN#((4FejU>jioiYy@N=EX&#HUx+XD#Qk@ufGggie@A67aJx8#xSfrIvK|ZgubWjrF$dO8pWPdKMa5)MszjH2RB;@Q?Yjy+P@uA$_#{OT zU;c*-y`IA1R_W(*y&G?4U3!Po4_#S`3WnY7Qkq3{Ybq)u2;wV<$h)veA734&ZYy z+^rP1acDUIg1oEys#V_?=iQow&SvcsF!0@p3wB<1d(IJ=ZC=Hv1+e)qAD6L%+OG$8 zavp8(3e1a}94sZi*@YrSRFcXQ)fg~+{_RE|cQ+`>yAN-$m zU6gKSB3WxE$}Ue+3NGs!jNBe&>g9r7d#|^CrnZBub2~kiKZP&uf`1m!Lk0t@h3R!|l zg?Qn-5yEFltLRfQ1Xid7p#wOgv2fqBI>iX#3PL3Pm*Qq@^aXO zccgWwU0yLF3mQ9}DTsysIN5If9>Fj%nvFc6uMyXMt+agD`U*{TfF2XU{%H(s5CTfh zpB=^@4hCk+SPFucIxZj1FhjlfDfevUq0R100d8>Bnz3w|GPe_64`=_+u6}UUlI;NX zwP46%$M%`PSa!c`@Xcix0*A6>e7{=BkpO+tY4^}H)BhIA!*>0AZx-xRWOK6U{Fu1h zaqct-D?+>WegpyXjtZVMZOk6gl9S;rYNwWbb3SZQJVZO~0Rhe$5e=bzCHnM04R*jd zbJupt@#Wj~laff<+QV#M4(o3;cQP%$Z>jjAiuM0k>*R@Y3TUZ1@F>)o49KJgEad^m z02<=FOC~1Xk>%m+m3oBp;Ip?auV1irDJ4_poU@Q#;5R6f$;7Quv_HjNuH{6znX=V- zT(1|Nw{DWGFJez9XI!suyM^vPBP=Il;ZSKpK*$^X_j9Z3*Z2Y8lOx)S(FDG3jFy|? zPwyPjq2e)sFo9rl`&xsv<#O?&miSP_^K6oz$&7y22|1g7b8eRe)-1mwA)TLKD*YGE z)Bzz4pC;Pae*tu`mWJJs7>`N1JgA2x_Eu{yN^x6(6o>;p|GccDz#;XrGrH$jfXmCR zQUORV6n65Yo!E~k%N1M1Su1M|xF} zJ~cZXFtQ{-g&W4BdZ(WR7esh`596IXzJUY@cE<`FbpmHC{;K+ZL9u!{X0QRwBS_&u zH;sLQ)#{W;f7KjfapOEZ81%mV2dru2%Am#Xw%fi}+D>EK*~gRYCXEV+QS02`VsRX( z+FY{+Eur16s3ToXv)_B>TVwvno|wD%a)K$U2>+FFR)p?fw<~Pz{L|7^)T#5XC@5p1 z8kV!VNZNYFG%)sYzZdr}iKLz<-n|eB9Iu9P&Vg44p+{Vs3HzE#i7Ssbf`GG@UtQ<( zlIEt7f|s#f1GJ@;ZkSlA6B6%PG0<|+NgnL-#MmD35OS!NcO5-nL1iqYY3`$@@=06#8bjAn z)Ypf#WpX`(R^Nl!a&3K>bq|2BoINTZe6omX@``F= zEZy1Y2V>pZ1?p~Aw9-tyvpF!`&Rc^*REoH1A?67khr<~BKI+g z61ze=MdVLp&~~>COIL;w?ZuBzlAF4D%@)y+c(UkOT#EOiOyYBZ^cMoRaaGy zz|wfC;OdbULVtAeTI(A9I2LN>JSpF&2G1k)fnx}yBHU!F#N@A=Q35dDmIo2jT7?swCe$^GuR-brk-TBDHK zje2S^?OWhq#M#-v=uY)8z07*1vY6+IbpQ5LdiBxs@rg>|Y@X*UE~{G08>?bvUvw!4 z_WEO9Muz&oCekT>?cyglS(s?nY^D}|k|(qqDqcXHn0j~iE_di}jR{g}ADe%{b&JT2 z<6gofYrw_}(v~}rwU@(%FVGwX(E}SL;^cJu>QQ0uxt_gfpC&V74t?dPcN^?Qh3qr1 zkm?N-P8T_Wn<+=r;!>48#5Rq; z_X5%-Pz+_;O{^cs&cs5ViW(OVYL#rgJ1HaypvL0!+uJuR!YAc8L0@<-*P|+!P zRxuE0pWu;qK$msW3^?eJ37jYg8l(3aa$Bm0TarBBeOKez4bvWHi6gRybbJCcvv#2YSUgpW_}N65KHuP)|3(;8orl6Ut`;~NQNnfxxSMb=i` z41IdMxhHF;q!c#yJ7 zlkZ!AZfpVN4_5_g*;W-r?pn3vN))(C0h@CC({oxh$N$J3+Q@C*%0=ROj^>)yJuLQU>NnQxA+3RbUXi$Gs_6}DEJ`ih24i&>Insr6}@OW-jx zpYjA9Kf~*O-$&aGL=}ZH%~*2!#%~tOpI6-0=^WH9E0?j7x>c@|f`J2w#-iawwvk{S z!IB+Mmz{+7dS1Sn1S_$J2>O?SvwJJo(c_cby@y|ln^#`)MbYj0ykC>*Z;54nK4rsZ z?W%TZ>ImMBsl#QgXIiUOrPMt|XR=ZzW^J=KxTd0*cD5Y)E!-_H;D%J^vy7jd2k_^a zpew9gg(O^0>B&=$roQ5erMgSocXZ=<+`c8w=MprsxEP+RFFaynW+bs1P7aU*Y{1j# z8T~-#SR+fgv@-k-=3IeZ-!<^`vdt&WWk7C(gz|RF0P7?-Tqr@MPt@q;P&m%%HMSv2 z#GOkiT#NRmhD|?WT;$>G7xqku3O9=PD6!X=Ccc%Fbt809UYLvNXe=Y;8S(wRD#S8k z;cSMSF2d8-Sw^)}EB$#~S?6!EvZVX15-q!;zHr-yP?ib?(<)FhqQ^hGlg3~5FUhx$#{5dBb}e5y zzqhX+Stq^sy57rkdpR51d}1-li+HYBhyBe;WXgU+SrR+=I`E|fcJr`WaA-DiS*4j* zQZ&&tXz&NV_*;_ojvf2K=|!w8`ZTVHxWHTr;DL{s`IJ}PgVi#dCwjjnFg#W(*SE>} z@;44x{q2)w>qt1s!#jIQyfKEha_V?(qJS&A>$ZDtO;L8(SvDbok#l+ruvv-a!!AQp7Ad2C zg@mJVouaFiuqPqA2oN8$Akutxd#vz|tYDitaPzNx>)@DlpIb?8F(&VT*# zUqt0blA6~yFOwKq4(oab+8=ThZLC5hOrLj|51d4rqb{|63w`AYQ|b06&jHLnHm&D^ z%Dg$ZBV9PnpsBAF{1XL+>DB{`zv8#u>T8AnL|y;Tt#9G~b1-i#Dtg2H(XBt^SqL>D zKPmgrg?`T-MK$hcCKxhj*Nsp2f_z_c!^X7#{Oa8UQO@UT{#GBq>A8-}tKfQCOKB=d zcRd*IHL&+W#fOTpuc~cdpE&q{VtYigAQeoyd=)3RwleJV$DBztq~wd?)VbMiB0m`T z#27dEPpq*^W&b}e6`>URpcxp~H8;EGz_-AysqhG*pekF3gBw=s0_{Ylm7t2v;0_%6 z`|po`?A_*7c`IjwyQx#2I;@T?rv{!XbPdt3kJ!o^w4aRb?8Yp7G+8kn3^j+PCw4vI z@bb3J`fAS!dMm;z{exB^`oW7_g%mei$zh=c`eGmw- z<7um|7Z7)goifRd`dg~hy5l+<#I2Th^+i_Z82m*39=!k4m%r6{1@cNCSC@Z{*qw>Y z=_-Bo>aw7xp3b{Hl+KI{Ja7p8{4C;=8#I1?{FnVdfis0ZDZ;<|Zz*iUT|&vUH+L|G zwzWfI)f#BM{ic@)OKW!}a6g(a-zyYUh`i|g-G1nAc(Sc`#?Jgk(#GpYvbIRdIbAolkNVtNNAY%|V^CS+E9hJp-c;hAgcryFjVtNW zR?jO;ST227Hd7bsWRsN@G#q(#UPv&vZ7D-=x9vO$OLlThy(`Tw-SfU5*=xOSm2N4em)@qgQEb`WSSu$71-(gkR(BNaO%fy*J)7*cF*74uRO3ypAiVZm3z&lc@2pe){DgUHFTOm7Q*?9q(3>U^YD}o!^y` zDg9wpbN0*07}v233Ypv-1TtqmP{La2^?0;@2b+R&^hbjy_lHJ7)pp`P7rr*dR_pQ; z50KgZM;2M zG$ayq#*0xFV4t^XPiK?O`&?~`+jgq+s*LP;{hhsJZjo$) z%?<@6RAwjeS5tRWoNAVwU$cZq*K#!*FpN2lMy0tYANhPiXF6K!4YV;_s1C(fR>|>O zlzyzNlBdT#jV!-+Ri{kxFY3k4GqDcFx0a$ysXom=rp0;oMS)bSny;7aON%act8^Yp zi~f;>UbME5T#3AEuA6*Xq2x2~|NOxbg(FFlr|I;_*%?|x{h{wDHP+|78tFqjen!(2 zDHF{;6K_EBx*r|>)p^jWFd{e`n4QoMmqw z@r#zx=+c>GPu%4k+UxF0;%`6rfj(PYS+xk5;z`+H?N)&AIDQCu7F0@8b=|-Q68JB@ zIyJ%Hq@@1TrzD&Gxc*JpMudP&2Ngxt-}mDNzGnucg?UT)Kj#xZQzo1NnI3XQlJV-* z&1hIftTu|6W_|wQ^_YRsL~dRg}QMc;uK~Y(0#taCi^C|8Ouk%Fx}-N$nG} z?`UTD{JBIS&G!ad%D|fC`+NCfo#vaH4=<(&B=P=HrAm_1XH~}{*}t(tu*&R@V#lj8I)m|$Wy@jF z)_`_Ba;;~DG=Dr~1U7-9+ax67KT+?+e?n85YAdr9tET#782Ga^pRd-p>%s*~AH**T z^({wc>K12`Q=)DgaqT~1VAk+PEZ_L^;{6#t-LIP2r7+mCsD-4z%@S z*do!kC!}5eHQd!ur||GPEc1_yfnyHVoo`pX=D^l$pO^Ecz4WpJY&1X@TptgW#FxguWBXN~n^YD!IbOXa*z2;)KtwV1=5j|J-9;K#DoP z7*i)A=R>PaL`itXzT||jtz3h89hkO7tu$~;9 zoqDy}fjaUCO=0^^i6-v+J(`UsM++YP^cc4kt`b#*3g;Y3ln4XHFO|eYCZt)%FSa-x z&6&oloW6U|FdbQc_O4A#g@>5QLX3p7Jwn52ykzXSPPKAoLsC%q>eMT5>ri9hNIP(? z?;;%%&o>ebf?f45)mUUUod6VGwLwpSv{aIC+6*V_K;qrlh!5=Okf&BSIHZQg3mz?Y z)(X<@B)+HkIrAd)aAT(T6`)l-5tQKMcK@mU=+!qPhFou_7Y>?UwiTN|?J;o7eKj7b z+E}N>G)X55P#0E zbfCz0Ock13^VS|2+?I99g0@>qtB$yMIScSj zhofMFsW81={we6N={i_hgIuf7EUQ_z{dh9tvbD%N&E$GoS3~L*Ph*`8ve_Fol5)@F zMdtMQ2ZwEYS&NzV^rPODb3sV4ch>zk-!1M-{byGnitM9NW9r#lT_RbqX%d(DTx17DL9HpF;4 zE?z2&U8lg`8BP|~kiF^yYKw&!-dga3v@r+GX13AiE6=S&Z3We>lbP$jqA;KEX)}4A zzuTm1)JfLmz|ry{xRWPb!qlWbYx;dAV4B`WOz>=ItGx%@rf{+#F4>t8cZ}dco!{ z`E+sPNA7Ufy7^nEuwEjYKFeIK?qZ^?nJS2oT2a)FL*p0YKKE4(*SW{s&w4I$5#{PH>)xe6&7B6WCO+r^82 zafsQ7eWXLDyk2tBbgUtxV%Eqc%ew(}{70WB@z+!I^;8{4sKHl;fUB6_e8MF(bD#dZ z104sxfKsdRNP)oLMk(#JY<@fYO5)(X-pnV;y?v``}m-sKYI_P+aD!QW- z+*xH(&bqY#i%nH&X5DgjJib`Fy+sADLnz5x`xFpvw4IDVb4ZIR8b+EoMo*yEfu`Aeg8#@TXbrzzte z=5hJO(=;5FN>f?~hBQ#clEtCpJSO1UA#H>dp|)`+Er=zQ-eO5tZ_6Vc6Wq+Is2l?} zy!;kE$5HK#$Th4DG1L}o;*YDyebP@^1h*BGd3Wi+1+jp0HVe;6PmBLlkAJHFD|fil z0I16oZ!hQ%Rhc{3X)>ZrJ`{j7Ae$0=4;CHISWqT>wYj4GNpCvcE0>>dxCEl;10y^~ zJDPtbGNWUDGF2`sZ&(P@zLV>kt9f9+H`68Pi~V|RKw7Kx9vv!IZL!o)Id?jxg-yr% zzZ?Jd-FrP@Vo2m6__Uk099%SPRQY7UqRfjgEx2O6@+&HMpS56by~%lXlS&die!yZhPhUil9{M>tgdxfeJrcqnYy*CvZKMFzH;PSEH(Ql9wwQ zti@!qaK-}Yly-U}Yhxe5UNi+LR3}vFwX-uK{`-4ob@ZSj^UYm!`)%?P;Ywal#t4kn z+r}3=W5|QGiS@f_j2UjJi)i*goGQMV26txKxY_Lg?1urA$eNHb?qQg-=Y_i(s6A1i zft&%)>|N&i90~P4&Us!rrLKQbu(|{WlEx38$w>3c8|29^r7_~PC<^4;r+1mZFfS8- z9}dW%CHQafCbDc5jQ8Y{&yjvNK4d&y68M4d-uPNio!>RlfWcSQMM(voq|P-&Fp_PF zIZS@Mr(<$=%-1ONaYA^`OID$~@k>8m@+7GDB$!&$y@>{7O(nvMz^Y6NX3(;5Iu6IF zACz0Q?UMQwzKc(a*O+ve>2TO7Y$%PcDj17s8&YJS2s1Kb@Lntcn7*M&c95 zVl2qgIHt%WNKD?&DTV)_<5n6|3;cAf{&>0Z^RCrK=zEENutwJ3*@ZB+cGs^l??$2# zi61*}K)l>A9#t8%e(b}JN2-OiE-sUAuUhR$vwnWt+>G@#yR-VK@b-U*t%%fHgYH8qS;og>FKe39wD*A_H6K`JhlPSyDd~M0IDV`^^K6)Y75CfWa7H2fp zs|~c^ZLo1Us|YVplX6HmdSWC~(UZ^8aG+q^P*fE6(^&p{S`~MTv2*L7*B5P4UdAAY z8UHb1cKii7N$KOCl-2LZO{8kb?k{E!GA~(ln9x27>Kd5~@Z5TUg_?Y7>BKJf$7NJw z*4~OfJ4ZZKwXL<+Nr-+v0~^E98oh&lG0PJnT6Bi%3iW$23SyvxO#EgayvwnjcYw9j zzuy^uKHf|)od0N>R-}n+0`|T}QXBAL&1)+$zg=&En&Px>@8K@aRQ8F@fV6v3zRZ+5rda_yQ-t4%<8Z=@*tVL&Z9~9VvxMr z^YE>#^_$Kha|T+i%6@5|LBr}Qy$pN+GBG*#YOd)L<7Z&Xw19SsU9v75bJyNvU+{?@ zurG&I#hS)7ht;#l?f{+~g)Vmu{;p0SiJiDIlfQ_IdXju~c<=B^0^QCg9JHpr1|4d- z`f<49>3e_ns6>yN?Tq$d{YVd7gRuvxzNog&sluSwuV>%#gdSX_gjC)YIem+-#pii5nXW++xPa>3uH8*H z;$>aThzgStQ zQY(LokkNgG67!rsE$Hx)BFLa4gxEo6okXexW`u-)f`k-K41d{Z z)U-V@78b(YO~Rp(u+eT~`y83OYy`P$)jW1X!`H(Gci=p3fpf=f)jiQoaSNL$=FBAG zT+D>&zpifliJ0jSwAPR}vCRxN54;ODt1vS+aMUXu7JR)xaez3*FyPSg-A(KJ8@X?Q zile_o_7j&chW!VG(r1vE)5dno3*gYuDK7Yz`RN5#sL)(H6+-x-$Y1K~QmWq}<#F=d zoCZ6XMB<01{0=~5)`A$Vi#wo(5_80ga%w|EdhqAhudx?ban&+AKg$Q~!PBBeT1T-c z#NFI0>_DA}#x-k|>H#~c=(gpQ{l?-3YG=eP2jh9BcZq+I+pfKk*HUC&)%kaMz!b?U z2b`9%NV}G(0iA%o=JY=Vf$9KdsGSQz@7=x!h`d4MrRkwe2(;r)22+0ru@ls3b}n@B z))2P!`MomfA7qhbTwX9Bn(!axa45Ke?-s2Rg*gEt6gDYPH=9 zc@&LrOLk`Y@V80?zqn^@Rv)CNO_v9N;sdaLX#2yKlwt;05$;1S0D_-=pS%T9LgiaR zyzsVD9fZ4uY?j3B$!SX_MI!g}#) z7k_lZe#MHInh*RmeC#`zTWvm&Fri2=UjZIbfA;%0;ZC^$-R*E^+GKYIf-F|(FQ{Z? zLhvh3icuc$n!5`9@d`Uem7xZQ2MVB^0|zkJDZau^fT$dLbb>q^cMr|msJ5~>G8>+m z)Sr@GW+l@;zP_yQ)!gvOJt@3HJmUtQw4x`vw8lcdg07n72y^n_TH;+&f{fe^C84~A zY#k{k`Afy!sZcqNeRW6L5tt_T|Zn^mW$GggoM? zlh6@I3q+YR5x~AXy{$s+DmH#aT)?V zZKEo0-r)P3n>jUF3Sa~mL5QBVv**L=pkkqBtHWO_-Va!5b<89_;&AURZ{>iLEp`tq zZ*_n9nH6LtySvU7N^x*`b+#m$BLdV$x;h%fF#yoZmeNW$A ztnpr8d}$?U76Z0t<6B5tc`kk`xq-nW8ZBo2y|4{h{5=RuIEiNk5;~U+jES!IFht?Y z+$8@{7sf+aD8d(a4Z?dK6n6u|tqh0OS_okNzBm5gS4fbR`*ojhmr@(DY#Im#$(YoF@kU>W zrHF|t?SyJ~P<Cp*CxHoM&x@2&!;b*;*Q~onN?h4&jx`y7hMVm^x zS>B8i0cRH5xD)&+zw-yhbs)jNXqraQ$d*WS=Nd=9K3qu)v~)F?C7zJttF`#sw}_Cn zzS=Nh1HZ;Dk)zW=Vxo3l(hb@WnMaHBnM7>wRSLZ%E_9`6tQEl#HNo#`!5)8GVxig0qG2*q@;#k|C56CHr62a3m8GxvPPYpng*_j5 zChdbxb>>J$ueO7bceZKv=B0MSdLEW1ee-jCJKH^nEv2|?Fx%hvJ>Vp8l5y~0cj`EhosK8I47pRe z7Y~hT6`jX|x|dNS!+=&COTd1-ROowD^dgD#b=?#mIY+XLkO~V02IUN#kTh{@;grNt z$*D6)PYLTMM|Ue3mUoHU;RI@RgSINNP{uiu!67&G-Q7DnFhaI`Ae^W`F|H7~HT@D& z+E82b0FS@0=%{0MV;}L~zwxWT8`l2vNua1=lCvZnKDe_y`K1N$XVYNJ0^knKED1m< z`OiX4`LjXqaBVcDAh@*Ovku}VBg(lzyYf4+y`L2k%mq)>UfbVubP|QjfL>rO#n7;{ z8H-S&*0b;bR3m(lmwtOnf{)g2 zT%GOb+-~3TdthLoKT9-fIzPrie5B?OprnI2bY&b-<1o8Q1h?cb_}Aa}6cn-q?o@-E zsOn31m2+KUs(bvpe8ei!K@GJ1O%QCd^^Ld$fHBbG`<2 z*hj_e2m9+lm1DL36gEDq$3^v22@^_)n0y4}`x zqxWMbzJnl_r;j|a?reBptG@<@f<5S<)d@%JT+J;@f%*PlPf>@Q3=@9PgTZk-WT~2E z_gq&C6`%`BO9cx8($08#iB^&U22NaALw@X$wto5B<$dYEgW(K%ob@N zg>jKUJ|Q8mk$M92r+xn=>P%uvDVC2Q+1<&M1So0C#bn(@=2rB=&wltnTGN5UyB`jV zlPA>QZ4P)NrSnWdFKZw)lLI_fDc*nL1>%{Z3D2m?p2ef(WIeNcYw46uq9HA_*oY*v z#A;AIS)c#j%_i%CMJq!|hS z@qI?=L}UXSjIKy?XwyLGz| zzv*PZ(JoYaolI$dPrar`OT;^4s40-DeLY%D#3Ln+=Fb`MCXc$kJl1cN>(eEF&AN$A zwu%2Krb!s$8K<2U_gKWI$Py7L7 zV_DXCxB2e=DmD{mL+Yvej~?9{Bee|KsVvo@KmC8u5(mupt8d#H)tRvFU;>!q4of+k zPqK06RRs;c;1}7xN1YGP&G_sTz@#*Cnwp1t1?!xgVETLVw(Ojo`dDC%A@D!e(}gc} zHhQlv&P|_?HquldHr?Qvw)2M7ZiWv$n$?hkBl$ZH!uwB`{d)>f>1SMb&<7Tt!4eP>_-sfd^2K5~Y~|L68uXMoPLFItNC&Te_q> z1?esUC8Q(=7;tE2$blK=ysY)E|Chb)^XZ%~d!4=aeOZIvuo;o$`Uc7`YV_E8}*d%788|=ArvSx3&j5PRrP#wh`FJ^vq z6@`&txg6)`c*IkmT!AwK4n%*v|D5TV6*25mb<;oPV;b!<4&LszZ_|7TpC4Ef(O0{N zf`%&wL0T?)#$&HMO!7X`Pp|FGTz8bWOQG2kf|?StbT!XTA4N@)d7Q*fs++J^tn8d3 z=Mz33GHP}k3l;>Vam0xQ9KAhhEYrG5e@R(y;lHaY)GGeuk|-s+RQ-U5Yk9*&%&R7I zGe=@0hOW7oQQ_Up?Y6S@2N=JCiA;hGVppv!&--VAgzbqMe7%K6B6E-!T1~| zYZXZ|V#SDT)&=gG2Yt6*g81Z#7>xsiAEiB)d?08R_(2om>w0p#s*7)VCO+?x)LEf( zFfk&MN6?OxudGg9Dx0|Oj8rF&T7m~Aom6Sd>sEuU43Hg<{H{r=M(O_>+2XkW9wt|PK$vvhCo){!?+D9R^`s^uH|~_5qt}u z|M+2*($E*g<(Hq$ow=cEiq9wgpD0k~GHtFA9Jd~dyHFIwp%TV-DYK6hX>6{DJZc~z z+n;5;)H_{@Qs1Q9&+6Gx_&pA4;8v`5F(aGlUmP7_THGHAtwpr)3$xJX7r?1@pJ^w7Rf|a_B zDHZY&ZAIFeNa-vG6$h?V-rYC-Fe)g`!H=A}s2sh}F>X=Odt=8lUc=UuOZp;|k-C3K zoSM`5=e*Sb`>52XS5U67{V+(ka+BHUXevmw_v9JNrrG>`$6I~1C|+*!I)2_=t|(UXca4&? zUC+zx=>=YRZGR_!am=Py^=on}t5*V>uW|OxchqvRdc6AmeGgMzgCRG<8-k!%50CSj zNbqUqc2X=_Z!?_#eh87s5lIs=>gZMJgGJKEcQ0Pw+8dB3>bFw~R5TbhD10meUikX* zO7?mCZcOu3-J-^S`5KRXKe1CCA(f7wnKi`?E3X@tI7|-jINuj51 z#^SSp1nC@2)rQTa+PzW~*OQLor+b1NdAC!b-G(a(SwCeXod^Hh4V+UiXUwI9oDa|x z%q7f@9Dasrk}XXRWc0KulcZZkL6Bw}f6*NX=`dM@{bn@*os-AUTN z4>8y7u|!s2aV3~GkJgJ}q(ZkU`G;B3z^XvE=L2-V%;NWeo&|(RdK{njZtH{PLx*6f zSC5S0Sa+c5SDx#vVUROyH^t1cRu0sIP<@FP-~HJnDaf3a_9busrg!%8a_uC*y|A9# zATS}4-Xh^ka(^IBRJ&y!wM9$_U>2VG*~wqCg{#-tYyEL}$dr3yclCVIk`t~T35&?P&X;=&>|>t#U#t^v40gK&~ISnOk_g5F=m)YI1w_Jf|-2u705C@rPSy zzj_fXo?6jQ3Uk6?!@@&Ml@lNCIIMc6PxjON_?H28CK@?*@4l=?pNc zme8VNXZ|2Jtfx2;p~WR&PoXInyAVI|F>MX7HwSzRmHpm?aJ#6*Iv++Fb{04(uK5;l zI4It}u~E_E6*JSCj;I~bFxGM(#+BC3KQ4)3`@7BXYHsI$^ry&d6vt5DgyrBOxpx>?;FW)d3co-aD*@*$fypgJiJ>_y`2NPDyiB=k5ae@O z{G;)@bBv44$zv?t*iXT0;@mpy{Iqk@)LeJiylaN}R33)~4yy>C9>xznQO8a9mcYvb zeD=Hd#c8O9tjD}pT&Q|yYUP;B$Wcn$barm6YFyrd3Gz%aiZU zLF(Ee(t4hdOliH9`#~8ZO?`kB5&atm8GM(`U8WY)GdC*J-md6>_Q@6tRjc+PDXUHA zGa>`MO20D?H@cL*eY-yX^k}z4`eK|7m!r8R6KQT;9N=tGf72QyByF&NVA}HI;56(i zi`k8i_gUSbm$H^DSG$T73sL@>=ZfA%JT?3gNk8rXJ+un+D_5Q^{GzI-AK`YPh?VCZsG%kl4*XWp~0E*u`;fn=!NrCa*K`u>6~;R$b7& zy7LEEVwRH`qUPJ@b^n;=;CgQT@@=YR_jgay6{g{oca=Y}5=cY~uxIR8&Hn;4JH&=O z?~@|lwjUPUf`thZtt6~LUK+oVt2VvK4>gI=6^KxA=N2kT!qy`@F^sQ5j^8w9EcWCf z`0t6>9!++PMBFaX%-T8bKyVjlY2j7+PpDq&MAk0$ddjisr26~j^0`u?oz&4moA-_| zpRv=V%Q#dIy0Y?*2`{3K<}!9CDOVfY>s$6r$sXSf+BMN0(T`vhVl$R+DWjt6-)c|% zyQ&$ix6N-DNB3XRmWOFUeU>=+l&;tmvuJ@hdW5EuHG;ExifK7aZ(8;v^h82*{OKF$ z?-u`f|EUP^N{b}Ezmvb(vrevMkj8Kc37HWJ;;jU5pRGi8REeKK6<$z|GIP%?VTn5J zBieLI@>|LevjV}K+ML_`wkIt`ADjNfuyjx?r}D35aq6KUl-ADZsplnVi)NO;e;$Dy zh zaoE*~f@UqDrNuxfeJpWGZ?*hkF(tzHp2}X1$6@9_Lq7VJ)XYFgVGibYjl{5N{pMwd zG4_+8Le3SeW$zk&ult_`Y=Hl?^T{Io`2#UQBZUY54f>_KM=HTwT&q>4Csv&AuAE#d zD$lhk5F(%5)7kgD&>fTH&niY5tAAH@R1({*ZH^_HFmWcMgws0Z^;0JHXNezBb4@Z+ zh<-5Ia{sG3{HqJc_sP9)1F8O@Iv|N(YomLHINtqqUGOpUL)aT-J|@7K*s{?(bhBp` zb7qd?4~fF=0?GD9Jhv93Z#NI-x&4Q(*VjJ&+1P+a819XH3fM@FnlM1JhuzjGw-n%@ z;$G#!tE*g0vv($cVKE!o0sUvAT<%SA! znp6e|hg`@ms6g&zaQgGxp0WNJ4k?w6+BHgqqzSY(!tWaMcQ7`rhhx~&Qs9(8$bE_Yz!YOtVHans~D{$Er=z*DIWYvlGs>c%5=zb#qCQ+Tk= zc%oW1Pi&q;gL44tC%nH5LjS3?NSwRqta$9@R8=*_w<0)gou4$F@ixRhi+l& z#E{=T)c6ffds!>`2EGz~U+Lh3ZT%|@X!Mry)zPQIi-58a8~DM~(2yGr zL#+Qo{^PuMMc8y+t+M=wACqyeNI+9^yEet8$Asam8@PXsl=|tUa7H#I^?~uNG6BJ1 zXM0sE*$0S9T&o{_1L)b|bI?-(mZa$GYvV*fco66KXN&D4@CVz?{p)L^@%?LEsHiS; z+7M_3Vvnz_ci&k1+1Un z1mL7zN0fLI!|z8%0t67-1*|52SGt?ggyJiT`phLTZk=rh+E6s+JI>4 zbN{TGC(8Eacj?^0xQVfrxbQX`A3q~H!`|}deClJN0gD^V^PhJ)MJ+;^Vbuad8?{c; zk=X{J>1RA}M-g&kefM|61y&uzgf2xNVCXb_))g_?VYDdL0i_K3F;~ zt)XWk93O<}X~!AWM}Y;%Oi1_QfTSy43%Sdqhti+V6|$Bd(7wjCO~do9c%1{`F?sn{ z&?#Av`4_N#`}OVMG-WijV%|)fbhy74{wp015#<63hd1G)>3DTeGO!_ZX(GV|I1X*X z$I|h&wews#OS+;I!@DMnh|r4pYz^08(C{U zojQ|$x9wJS+>T6R=4iR$Q4sY4WqaMl1ScHB8lYt&x}`65SAo#vd;z==&4u+fK_h{H z#7FoGoA^9FA&5IcYSXDx8TX!cgTriWwXy D2b@58?DbkBsT*-cHr&XgY1}S@3H* zP2{mq;q%`Q8MX7j)Wqq~*8L&SqRc9)-src1UByx=y~=Cmuy*N!-Agd~+WAkOIKr9e z%F)9&b&`i!O^fpkzaF#Be-4A98)N4EO|Q|G^uY66785$&ZNRl_p2lAgp5EgFO-raarcxI2Dx1FF*H0VZO*N^!Qopk@` zzlkQ3rk$IYa8p%+V%!L@EOaTrlngLQqCpJs!^J1+I;RE|HJ9EkZT|kRUa{sPWI+gH zdXKt`m5;I;j#iRjgDVg-#+nQuvt&u)YdVFeF-^1rEdc}Z5pN0GCo=Rv@mWmQAhq`w zz-jAj7tF|-`ns6R@(g?lv_wF0{}CJZ%sTe@$0}1=0A*Uz>R74>yvmg%*DUHW)Eyw$se`8)(v3nRrsu4` zh?1Pk^W$6L*4HZYNkypyb&vC|iE0SaU$34~_2Y!E{~lgEVit1cR8=r>AraHOB<_EH z)PvYNnWwy=`fR%;Hq3C)C!>H>iMa~)1~qR&#@+>8IjUQo#9s>Dv=YLg!&gGNb_tlP z5=6bwrKs+=egW>8GupKPRC3@usylM2)kB5DAQ@?rJsnmWXJug;(({kxvw=V$Gg zHv(p$ECgO7Sn7~LayaZ??&+XCMA1^cMMvei;VUG}VEW3G% z<)%uFN8FneBs2D-=tlSh%F-;jFBf+sId<34^BKF9l&Evkz zZ6|d8X@aKh>07*GZdLh%uCrUWS7YSOg&$q1kOWH(0s`zDuoIv$z;Ea?92zM;HrSuf za)r}R>{rD=p@I!e*V1q-Y+8b9i9gd5%etWHgISB~wmL<8K zh)!w+ORHVwiI;Wn%zh>Kgf%Orc@z+?;(SGHCq({+0P1Pr?y6yTW2DmpSuha?ef3dA z=K$O*<;!NR!_^lgz=J`x|MxWY-1-;rsZ^J#0x*7r`TmP|hCEeP{WNlZj<&@`O4Req zo0qq4E}$gyQY$41as{#jC$Yy{qJf-+BKi z3Z5$NH^@~9v|PRLys~k~p1iWUlgJ5QH1OU&5o@Zwgj9BXLGBi&2?ZNrtu3*L4H`mM zr}EX_Wo<%4Ge6ezdR%Xn7;*Jhmr##5Yukt)0~WX8i@H>dH00U`fp}o}tss_a+85V% z_2gy8L~dc-IWYoP`U;UjcpeZZTE{JUEe%i;TJXBBVbS3=1pV1lli5@K1p>^ULVjhK z+&=mH9$1GNDjJFHfV(8r7-68M-QKRerJj6CPMo1%r;0i7HZNXj2-NrwX^{;wxMCne z&(GqqxCn%xD$hhDzMErpZO@%pxdUpye=GDfOs@}g4UNMi{m)au!;%Chk2Sb*A5feK z*D4wW7UCd#w-a^i9x^Pa5}=z!NjvaA_X%wvCW`2^&(0^DJpZu|r@X7sf&}C0lS*E;n@RyY1|dRfNA{fL zwT8jR-Y1_;91Wj#-_UjGfjyRkgb2W&c8oqI0_Ze@vA8_HJ}!~bMl6hK3Q5l6byNIn7nZoidP})*tNSx>=T>#eV|$FE)z3HKdA%| zLk9n%?e{uEqP`bjg9>L_r~_Ub4X5;mrL@s8k^_rzSUQMZbH$jqy#zJRuy8kB>g7mI z&gnd^icJV^d1~8~b|5fij7Z~Ow66O6^txdO<ZGlaS_0Ku4R|VW6Br_a{S@#w1d3#ySAijk-N07btvjw z)OT3vD_=E{ULWbT|D2HjneRh~35MbXayqLJb0YN^&HnLRV zhNk#G4V7RjdUmC~xZP+L{K@oR6Agbm{w=`hOo(!=yE+&gR@)F1rqQbMgegy4DW;PD zSMPLZQ9Zi-RFS(~6JVi73^ix`K@1yg(i9d?%yy9k9YJpXNwj&|`|)eF^FvS!@cD6Z zJ@-DlKcdJ_S=|SKxm%fi0-n z?G)nrZx3CxWA7#0^o7?n0jyu$lhK3UHBzKruIK&2P8WPXYyGYGBOn@`O8ArFAa!6Sso3e?ElH2h4)78R>JQS@+8oJ z#ecWqC!f+PCW=|87QOC+t^5aHr#MS@{oSO&BQFG`1IRBqAHBKBdi-m`J^as>*{-Vy zmoGwK{NJ6zemefD_p<2rs%FRTuNYgaYL!V6W96Y5@E^FJ2?cCU?@l6Lle>h(ZX|dh z`{BsoX_@yu*hq!@J10)ut$H)7w=jO^TO?wRW=nVFcJ^j;XR#*{h86AT7M(H+YsS< zSyH@#6U;Qm8=66BSQI{CD0nAhXle8d>a<&W^B>;XIuVx^yPNtS0hATluhIRPcBDou+yFd_W z@WCK9V*`g_gfT&A0UBLWxMv>-Zbq+f{`Q2)sQW{XHB0?(oWNB{AG~dteyIyWa9c%Y z5uSI=Bao%|_dpgW`L(z@SPeLx_nUOR)+~raJiDm8Sm|e-UX@5y_icCV%=yunqVw#i z>}@i|-nDOm@qgtX?+8(V*<=MHvliB^Wmb8_RR0Nl65)NP(W#W1t_>NWd(_5GRZb%` zrX7*OTQE14kS< z;D*~QIDVS^kU6hcp?UDZUpr%D<8`CUqSK<7qoiZ@j|>qt19hfSaUZpb*x%`Ub#xf_ zVu5GVMs&YS8lnG9>Eg}%4Z_dZEL=#A&2bMPlC@zEqu4C$dUhNvb62)dTMhL#^z7n( za}_2p$cP?7lES2M2SPW7dew`1`v~@+!QL= zPBR5%YuO*5E3u3AhZay_&Rxe+`OcNEWzBiiXOx-+t1ikjl4s?4JvL?O&0U2$yZ#2| zBHu-;Evgm#g)J2f15%x!z*tb}-i!OKHuN2T${)VUoYS>YJ>vW1+IL8TO_$~=9Hc4= z{B5xND3J8czh_x=b=l7_S9G*~r98`+%NaMl3$iG_7 zj>{SMyY<6xM()rzNBW{#n9XNLgp@r8HT+l7Si);HdEaaG>ED+~NbblC_?^vQYz|<| zPu>XI;ae8#QidfzC5PApC#1I9!*=gN%4$cSN9w&0){5!z* z>lfg{t(b5%qVx$qWjLtrxh*Yn#r|y%l=@Ib)ar#PeAUdB3Zw!_&Mo^qQd#J0iIxkY zpMCzzA(vc>Q>}-iw9i43R4tUYC!W3XWA)%UWU9@b&;?%9ds=FKZ_283_KIA?SU`1k zRPP4F5vme*%ulFN3r^I0V3VOxKew`M-YZcB^W7H~hAG_mNR zp*tiKD|%pgo0jQJs&iLc<`3jt-MsK|s^y0(t&O`!)hLR=W&Y{J#eHa5b-D za4s`5oC(72W#&y1g45u!G_+gdA-x&WGhuwD+=61MVJf|k>}qVt>@N45Qlh4*HXt+7 zN2l^?@5GX(#z(vHH!JtnhFRpx-^LwJkP>toDlZ2L_GtEqh}TC4NVZF<*&7$0Q}Y=; z=6Kw^0yDs|YoN(SuE@M?sB1-_Zz#311fM-pF*Z5a8+<-6<=S(GB@rromYJco@gVGO z{|y7X$su*r7%FF3x8FQ3n6P3h=*TZf*^<@UF_p!ZY%hLP|Mh;D@8jpHPp>={f|r>E zH9KQVei)NhT*e5T`W+2iC)P{31JWLkRR(O5%a`~-s>N`b8gOl+4Z{c}$3lSzsZ1Usj zWUpQ9Ze?oQQ#7XwKIs+zR2AL$~p`ta-) z;r>{wZ z_0&rUk&Ipf5U&-*%6(XKgCh}5n*@a0YL5xxm7uWo|vYtmgM`7HZ-rF#YrLiF}o zl`N4S2S?!%rA8Tvt4T2qRjlKWq-t(8stHV#h<}p!T=YPAQAFg4{r%Z;R@c`-T7R5B ze+W4=8a;f&eQtR>B{dufG+b=r(sWje%a)T48?7F);xCPxweZii{j6%;#N`Y(WxdZ9 zH$u}bDM#@n*j#T{=(}Ru2X8am*(3@LIfpq0B6WP%#{q@L|8!d9YrcBC`|)*D&^x{6 z)W)9kR%?0-HGAa88@CP!tmV<;tL8E#N7^-~mQrC6XY>(-1=c3qv4rf}=@RB(&|V58 zZ>}|+EfBGV5w0<(fIJ+6-yxzxNoAAMQRB~cI!LuEz4yr~JT@dkpI~*#5eqaz_sIFx zC=g3bXN>Z5k967p!=6NC-f*=Gsj)`JsP#-D<27i7lrnrh^e#C5F;l?ZX0mu7M+nn8 zC`AeH92KGi*S?D1=ob4F()pRx!q)rqNFM0v9f6*pBO zO!^OE8xnO3c3DTwDxMaEP_On8L7nL}y|cfraYtFrd{)!Oe}9}M@b`O$Bz^kKyOec} zxoV@6_^Z%qBdodR4WpAEn<iQzW2TovhoV@P>YAB6x5{hlYR-?yOoePaA3ns_?9*ZO&;m!8^dbOkLw1 zO?MZghou6FI!3;*^p@;O^pXspJ*n|f_E7AZdXfV)eyoQ0y+C4P&#ziTMJZ!Pix zY~wu+zTm0qZ-GC0CMNQEB3Mf)5Bj;Q=hI%Z;YnSIX7;gOC}Ccyh=}ybe*WVCG*Kh- znCSY#UE0?&Io0QeUuFb3&Vzb`(@SaY@M!f%bXtI|=ntvUAu`_-=x;|Ce7r3`Evezv z(X8?9&>LDTvw~Sw4s1hqrrc?2Z|Wm!!?t#VdQ)>$-R7lF=8285DV5D8t!vKkMBg=TU|*Y?E-_IqPfnHQ;(Gr!Wu_ zjIEXLRG*QOx9lO->`|L}Cwqc^tn&5M^IfIoEsqAtIQdokxHjZFt#5~7)U;(c&$*cl zv0yKb#A<1$xd@K^$Pyv5x7Eszxx#UIOMd-qbEXRqs^5RNcMct} z^5o~pyo*stcnq3K0Q_a*=Pr+UY(pBT52vl>aRv`JUCjK9aOpdF(Uf*Zzc_|W+pUe@#?IK?jO;VuVkM5E z)wUJhs#D4(R9FkPgy@~|up=B!5ZDhf|LU+cx|=kMs(TS2)kMExMLug%{mWeR{{?|R zj&>ZcC0ug8rOE5)-ILJt5u#21wN??yEfDe;oUSsWR7d$;xo6`l@q6k)Q9x>~vG48s zs@hsJN)j0>rc>p%!6dQ=@Y$#LU&~dPWzgw+cg9uLwzMx#C3?LPXyCOW9XWoz-uSSb zH$azQlGM6?g*|)uD3snQ+A+rAz$(;Q!Uw|7-%a4Gi~RWdzn?LNXJk(|*B*H%w4bHv z6F(`Jr_4=^*Yl1`I@HN63)T9b+@@~zCH&(N<$QWccQdFE%m?UgX5YnLfjCXgqOiF+2#@1e*L?v`sp>VlQ^12u~;>mN~xHF^eQY%bQP zWNT7YibQ+&MDiWJ^Eb{wz7j||ym#{Q@oySf$HMqWyUgmfNHL(WT_w z7`C1ry<-vhxGFO&prPb&^zHaVin{LQr>*A7fU;3&c~LC%!yJ#dS^j5`hHYo`F-?$1 zBve}e$>LgYL9^JffR{yfzUXyC*Wq>MT=z369g7}#k*{wq(qq;M%|@67Nv2KOc?imO z2pg~JZWY_9<2$;?*9ETD+qDi$jtM;0+xR>!*WqK>NT-tXqLE*Eil=OUI80PyBr5@% zDFS}$Bi9>HqKcTjQF2Hw)qYe6Z{A7&F?UPYCHJMvTZF$+6-~3Pg2`tn9V@c*EY#2^ z$(aGUT6`?TGiBs2dHTTYELMWhq3n*ys5E&_x0zk#Mp8i;pmwir`YZi2C{IVUc1@uJ zo*~$qky?@uBJWY%Tk$n-L``J>oWD`-Q|udm z>?t9_@(f_bC=)_1w)!NM7^QoK{ilyHkGMnKV0FjCMNnTSqNxt!OViPt`4DE}uQG+c z)&2lUa}f%=A6(D8N!1CVMN3h1 z{PL34TH?OxxlH_fD~{qOX;|IVOGolw4x(b52T{Ob^_2+Eh1Ml+N=)-lo|SpajCN=?_c4h9+r;+BIa>-8MhS>R)+uLo`G3Y zcaA1LsF{z))z2SSYAdS0^exV~q+?P+^#U-dc(uj{SI~kh51vlGnOYi{V#+T8D%~8h z{)xZCV&TS~uZ!2cNZ5nKb79?*sj7>anhgj)J^_ zjM>yCJ94YDZ~q{iVWgDjBPP5yOe%j0TYpZr(2bYJ`f>B}=K7sn`1DO3%c}l?JxwX= z4fZ_@GGcD}>y`8%_n)Q(=w=0w?9-s3N0hB0OyW|X*EN;A)^;5`i*YZ~A)Hs2m=KbnhO-ul8HopOY_icAgX`9Egj{^#YFuxF6$C@@hyaR7E4dqD*@+&J@oh&U&;?}4S zvU=5bhLp*x<$K59DCOVg+jneyl-U0?C@2;Z8k(XV<5}R?a}-r+i3W}nff3!yW#iD(SYoKd$v`3$9f+wri<3~cWQ7}R`yLuiV|vz>J3zitWzu@y4qNMlfdyUzjbWh`J zXY<*VX>k3G*t5ADC;b{+}xRzd>KV&{xS z1f0uGjlciaSpELUZk0$VJ>`EtT>0lj+DcNnu+*g7%M+Eh0fCKB=Pu4YJMQ+ zUkYz5mz>c4(`c{hF>+^m;hFvq4qgOl(YbTcH4tA&j|i+jc)Zv945!#lX7nrYZvHE? zKOUG$2F%8weQN|!FZ_mGHG0Rg2KiF5(!(o5(_4bpq) z9YP3!1X4cV_xur_*gjITclP_| z*=l?1AIyy#lrH}(Zq$?gpJZ|SKN)oM=sQKbrCI|C`1o`!9Bu`*71U>v$lt6#dT~qj zF8^D4dOpfW{CuC@3&{Pc4`fOO0Poknf2sEJ?bCNJRo}jSc{3Clmu5?ZA&*S2e<_Dv zC|oaxlF23GmRqDl#}4XCjzWwp%^F-ns&z=l%EqBx9)a#%o>Qpb&gIK&^m8-a%6>|o zJY(sz22r5+8lQzzB6G{UcZaIpe+;sY{vz~G4~$5LM2(@6A2bC<=8>OzSFdt1FtFzw zYZ-UF%{~ab$Iz;zmdrwag4=JTq91t3`RaYuJJe@Z=&(8iQOsctCu~xhRDpOxZu&;p{U4hfJs>6oaz5vPuWyge; ze#725sw3dp%nc^aN4~#oE`?-*ZuZfAwfkH6k?t=Qq58J9$##2`h~sVLlVUP>X6vh< zgvlPgnN<;-#^R5s2c)FLm;zRGH+Q$pVYn$oOY3WQl0Ct;e0|E`!<-R`u|Vh``?2wB z`s1Dj9`_(S(+v$IVV+}a-Oxr#04X$_3;L3s^@#M`*-)&J^3kI`2G8Wz_R}aHd zw$3q1ON=#_=s}z(_%O~B^#$p!@WP&LX6M~zwbba4w-whz1h&|L9dhB&G93-mq&2OP z#Y6j=rRw}QIIiWo-Fagw)#)sn)kbF~sVwBXde1_Z9QpgLc{_2g-`PjXiayghyt5=D zsemaxHIZxmpzrj9k8`2n-mZiuoYl;eZl5hPR4tgQQsLBD|e*UjewVfJ`t z>GIdBhbw?H*|0``@Xt*OzuCX}SE+byklRb{P0vuS_}BJ-E+m&if54jLO6jotmo!#+44(#>0|JscnJ*2vcL+8CRVURbT4=9_kg3tpjb&huKmC%;R$e2a=t&;SW+-KwO>Ru(73gFR{Wg7m zukrX?=g%*%$4ahlp|GZ7ordW8-)SyyZJV?8j61s|r8AwhGC3qMfADw?KA@0A}%rgo=BJuw(Ofn|INQYHN5T=^w2yl{hw zoS7lriHTW0i+WY;NS0evTmHUHGB2Ce)bz`;=}*5Y*QdT9pm)Vf16(? zjylk3mGmpo$Jb12Xu?sRFJ&_ER(=(K^_8@APg9EgG@a|XoVk5z`qve`|^08L2P>QOGnLKPEOnL%gYpi&8$wX^E@ zEt|go&W5zD5bj@hqiB##n4yt4)1b2G=7AQbSgcmsQmHFmhI{L1cRh^ zev^+6+#+s$(>ohnEdzZ}EeKB`5g+ZYP4)bz<~4d^C7WdW;HS{e>MfVqn3s}2@qqQG z^y7Dg>GXrY4igNm${7ZNXV$U}bUXJ-*tojX><=8{)2i!2ml_`Gv5&LWhG41oqN9b=8v$T1J_(RxaB@;3mD>GJs9qx9RP z`Ea!xzwvg3x(4woH03juq=OyL=Zz0S*@?Ra$+(y@RZNdU@jApcf|R0Vk>ok{g#lE@ z_NQ{FB+#p+^^vNNtE~`!xMx$M_MbJ9B_@k~;Uj{dDDF!%RK_^H7UG6mVy}Z%E65;> z^E0b6Utoi=#H~dtzCF?k?S+qI|EaYIs50Qr;IELDK7YnFXOhbR?P+RsrHD|!c>Wm4 zg6!c(L^99$ODuyq&|-?U3zqncx0*Ef96QDOzIwnc-_FN%eaOA-%Ll|;i?Q`EIJ zA*X+!F%NSahdv%g&Z2~PD~H-R8oRQ1-9S~Lc3hAOY;(amHFghocflzsxMw$heD!Rl zeA3EGG*~5xk;dsasqAbKJMWm2S0J9Cp>KSZLsQ!^DIMckBX&`+*_-BHT+s|R+K29c zR#_E8(Xz!Nu{aOYwBVJq82%3=#U!R!?1KAw zRZ+=3NQRt*#gS@t)0*xPj27E=E==}~2;${t9d+4j1n{&B@9aqC70M2M5AK8m&#m%X z_-b8esN9g{YF5_EyC_sX5#5e$s@2f2gxsG2qDW?0Mgz_JQ;_id6Q{h|xUw3mZEo2L z)|oY%=%@6IL6p-ZJ+IJqP6Dd_t-u92HpL!|p|`u=IUlJp*b=#L2O8gEp9IgHD4|2U z!~y=}-nb1K=dO-N*Q}ev_U84Imy*6uzVDSQ>I2xJ`?=Wwo}q+e8EP!Zuz6rmYt8<7 zBLiz^jhzU^I#LMa*X^-xN`)U=HJ20VJ{{V3Cdz@}6rhM*>_p>1v2VF;7z7wR8nNb-152sttAGS45S7!ri$22k+xIb1-)h>KOE-9PeS?mLFzNROW#}@ zx3_u}8mQ-kwz6mTa4JsKyL6t~T!^EBu}v}x*|%Y{XM^z=?8AQ2{oT|3jNh=2UnZ?j zpERJW`Iv4>65C{|a$H1%_7)c><>i9<`B4#2#HykuXguo%H(D@YfAM4`hqP|GYz#?;q(G5F?cIi5a9S{Qz@j&kn z%i+L4NgKG<2hZ_$V)u~N-ppi(-pa57kb0Uc7NU1vJI5HTqb{FDEGZq=biH8X09jVJ zuaPx{Dn@HlawB6x2j(RGfi>i$z;p8hV0G!Pom9KU4lttP=^x4jlPVVL$tt~Cio7Efr9>=n87(JD!Rfdr&(bl#}W>#n6%I;j{OfS z1hG$^M7?{}yXwzJw0JEI=kCj0a0|BM=bQ-i$1cdW$v)l7cz|`QTD@?BV9Jk3d72|L0A!=*s3AEJyb>Ma)b^KFH5~9srA}`MPIvSEF2x1~AzTuv|83IS z@>Q=0Q`9;_j7X0B1S=;aa_6dC3fc+9u9*(jr!~cP%C-noOA!&n>(U6b644lvU`&$k znnC0Gk}_*hxKj%aBqx$2fp^#Fo2!4EM*1S>kfW0HkRIar2?(kgfW=DT@JSX*7d{U0 zuQyT%{zhkwbM>2D8&iqr9m+-?1c0d!|`PDrBeQP$UHhwn&)w?=w#TkthVC_U&FU^H} zUUMwb6@m0&!77c_Xi%nr?v7F^T}>|t?rD^zfh-z z0AdhPut64hKAw*CD{QdIKOmW?6yr%m7QhBgV01I2A>Ok~>?mC?o-|F53kA(0Z1B)0 zxl(KghtIvxIhF|4t=-b5Q-wYhuJWL-9wqtjs@LF#&%HBy=zwd`Nz_tm@SSJNHVtQW<1%hOMzHSFh|zp__D_3u*};U9k#8P%F<2;?x)4Ek_LKnER(BaeoD12duqi3`q{WXA}r5shEtk z{lA)Tp8O~Y{vfgPeP3xmt=A?xh8CpvVV!Ili`VnVnnUPelFVH|!%#)K-L*c2Lm}*S z{CMWiBC9_MwMoM4%hjcpr(c~9JxxF#Y7VOskh>6bATSMj3_74Gm-gJfVwu;T&b#nr z3Gz@@tEw!8yKb8EKTFizPH*>&Gfo3C@$b5w+&ml`V7KRPLN@RAMe(Zl07sBOsjZlkqGIy{|cjn}Z*sya{MAmNiT#s_a*836GZ*sm& zahHwDujsfhU(4?F4sae0&}sB(%nIVO*q2T_0PeX~>!(Wt@z^MDE&Nq*QgFIA6-QPc zAoQshjA7}6=O!f1i|dJs^_ORB-(u-E;+ijfXow9D22nFq=%;-HT#B)H`agKH(-t~R;fmk}-3|6yc^ z5hDQvTOVcU+pBGbI_J2Hk@@PP9L$J9xjF28J!Yh#obG^Sr+qo-A^9coH~3{0rY*^L zRin|r?@CRIeRKS(AKsfs7jl5>PbL;A63*-dQpndv?Ha4n<}2trote70a)PNiA!UD*?V)VroY;+?}Mh<}FU2q<^r9zNWGPQxFV%sY7ZH$172Z*WYugcyd7SJ`+~ zm-aLJj8!2j5-nxnL7;kSJZcdW{CD+XfVYa&!+;@*3Lip0ZsofT%OS?{uh^`5ef+zT zex6#Dr?g>^aL>(=%M4VkLoC4$Y+x?XJU2CvF#vuI9H{d9(B;0ofM3H zyx7>#hmH|r(x)U7a$3mFFAfN{2*2)Iv8DjpP>!JkiGmflbd zy{IF7zKM~6dywZ+l!5c=s>IJB3#J(1m-w$Y`yLthktSaTg}CsqotZF*F`zuAMx@n? zcI)Q;lYRpe zjKRx!CsYm8LjHDKDWceMlCque9dTHVg}%J`OzusZnf{n_hF4-}(W+7QcF+=LfenxR4!uwQQo8F|1R}Oz!UMnErMxU-p zQSoeSJyd(T_JDbE(zV!NGEaSD0BG(ETsEhEZzM;Akme*Hng3j;5B(~-{2HuSEPlwiAtvQ_a+}b9jqJ}k?mbneV z`DZl~rq<^Z%@&n%k+I|2J*vO%KP*_$Dt$PEQbbO(cEuZkA+hLrjx2N|H%AvRg@=7& zTjXt(1%+$|Ds%|@QjbAx`+koGOHgkJ16ZG2-FeaMQ0V@jd2O^IIQTztcj2sA?RxUdZyq?gS0Iyz5VLr+-dFU++oiX| zk)+jOMF%0SvQazK*6!WGD7kzqj35v3^-#k2l5*am;PVB zoMuBz1xFE=c59iOy_}cnKxl;Q>)*QZoSI>+2ibEKG}F$C7v*t%~+P{A!Ua$Vf@H^yQf4arfpN-;~lVz-9!|E z{V;{H;8_A|E?aHYg;50|h$EsT9m4yinw7ygpd%K_!&L|DY0R|)FKuL!P7||)Y!!br zeS>VPb1HwC_tVoxy7#|F=H0@_43k~t$)Wp9%MDlcm5WU7(EU6Ro5w4a-wlL+y#n6e z3>kPi$l<+3w52@q9#$b_(EcElZKk*^2ILN#YqVdT1>8MLeBfENnmPLULaEh4$dW(j zOYQbztbb@b5ATMNzK9=wSRX)raL5ov^sST$^ArV@T1V{Cd$w1D!RQba?BP%Bi;P~FlNq_#){>=j|x{emJ|KQ^aK0{}`iAvs%z^2C|s)*ofr5BmA z>O(s$o_N84G+7#96u`R1s|NLO@>B;Bh$1pyee96&lw;rS_)>n`8 z^BneQ^SpS&QdHn7NDp_N@^@RFVjJ+pHZ`Op!GK?=I_~=c`s``1Us?L7ctC~NEZh`tF`3==O)M8o?1vJoXz1mnJRR6$4 zc#4!fZr*6$`A74Y>(AVBlC$&adv`-+_Gdw#RE_gOjZQ5fey*Mml;m9ILh>hTY|-7qSy zqO^4gvwSi#9i?Pr5)7^ix>LvJbr(w;jvmste=WO(jviXAP?Oy(jyQ55w=xyBAtOH% zpi5D%R=W^r9U{JqUr7e|X1b|+`~ZShy=$zNAG4p?{qr%aBh+=V?P`3f?oA$A==Jdj z{Lb>Kesd!3`fjob_pAmU zS7-W|CoIb`H5YnshtSXF-6%!obE!6xXz=$xZMEc8Ow=3&-{HdFf%+NXeW`{z!F&_k zQV*=r=(8g~U#Fype*2SYxWPb+b~-og9XjO0tWD;e(YMiVYqxzUStMC}ly48P-&%0L zENOy3{dF1iE!|4`nTp1<8k$m`r3(GTM@CPNMZQ69_!q|Y56XuoPW!J6et$4}Z{UPJ zv;S||mEtgzh0giSJIB5nS`NM>Ix{-*2A=4zJzsR2YO`lLYbOWE*)J_?5>jaEB}_sp zP@8E5`G5zbD9WXey!3!!N%f5pG5-8Y9U7^5tX zpWm)>$dATkO*+Ze)u-xyeyg22yCa*xarL@7Hgb)|yq|}04iJ@-)2zbExvdQU2`WjT z%7aR4ygm%!#A(wV{fu@d@pw0WkK0Y$nG=+b@iMhJRonT(ZyIYP1(ROd1X{{Gjg(S% z1getj?QEfq9j0tE2i%X#F08*ws0W^2UqrAZ(5U93ug%Tz;~r(mOIN?t6F-u5Gy_ew zv16o|*;Tumc+hy&fy|^`U-(O#B~kWAPHJM7ZjK)l8_Y+0At2MR@}qIei^Qsp#Frk&AEwr7Z4t=w zdcS8k2}x(a-_oCZGLG-6FKtWJ<_%ka{8VYA#^GP@1t7)Q(P$M#5A1GExskaQhM;O7(66c34ew*-iloP z$6kJ1&tBg9Tl&+yg)8-Sh{tP(90BwBsc-#+*TW@!KZ~td_t=yv{vzJcv=oHYc}+zT zWX3h~e6&yx8LiL7MChz>vja^A_p6}YN~4qG}{P)zj$;9?)kmdxpsLwV%*Ig zZZkQE_Se#ph6}fRfsWmu*7?hb`J+19=)_QES*?46ehjBtTN~ZJPH#q&;y8c5ALnVi zUuKlim3!{C?PrbtbhCt5!V){M{uTq_V6i0 zbzjFeQSlc8?|)8%dsDHKz4)~~jo;sYpqy3rm+SS0G-|?lffZM=lb(5*epNKr&kFnq z-(HJ;*$ZA_b#6`^KVn6PJSj|1_9&`(C}mHaeoVk+Q9hC0;Ch>48Ib!mAQ}9lXg5Hh z+ArdPtn-Dsq~!PFd(fKanub&{Q0ARTIFhzx3cf)qvX@962ShiGrhEEjf&L<;7OP`{jJp-IqEM z0UsQgFdfllREu7g%s+Jtrybr0W#uaYo3@V%YZ)iEk5Us1I=Gbri&RWOnMBj^fN?P= zr}7yA>YUv~!TnxaTbsh?uAzrD$d7_+jFaTNw2^6dFYu5fi*DZGvnZXMWmXPUh*hL((BH zoF3a?UO1(h!(W*M6J8S@N2O6@zqS1I>2P*p(x^So0UdI8jm@M+UKcgQ_l1pVxzO)r zZ8hz4ihdih`>UG=4^AW&2TU>aEKE2Q+~AW!1?`xZoKWh2XZhgp_l`3>^#LP#H{D;N z3~l4OoH+|A6~RmHjgen?)Z;aXkvSoMk{O;wRm4Seb`!N3^$TytP0!&#@j`T=8G zA`@f%_g_6Tx?FX{C54H8)#l+JJ0Vv=BdVz8d%ldXKL2RUyo+|@eUeyCO&h_f_uN1E zJk*IH<5zj+-9i>imoj(M{NK-KD4Xc+4=H?4uD_n$uiX>>iSs|HH~^@c*42b~QJ8|! zL^)LL81Nl~i^Y0p+vnI~%~l|VCRlHCx|(V&{W+hg`btHFAo7Ls_q6~%+zRg{sl&l> zmYjE3ib5=ND&Xt2w^hQy6Xy^L&BJERnJf7HMzc}l*`+|oB!-K2b=t|wooiiwtJ=L-e&^}HBxJ~KUYs1m0Q0F z&5H1hv=nV$cF0Hy*bC&g@vKzyCHBNCHl({HTgewZ^`L#vJ@t}EMb-rE5KK8gq5U=+ z_%|VH2E;}_{@-7a-hy|>b;}20bkdH2pO=#cnv|~P$Sd;Y_mB$MxCC%oqc$sBZe6Uy zo$8r-q$Hn9dK$CSgZr8A$TNXuIDPB1Yw*b~{tkEJeHGJWPL+bE+~YV`-o--4Ufde( zH^0V*Pso4uYzXJ}F1!qtAH>Z7$0@WpZnyrhcrTw)zw@2A1!|yuB|lYeC>Sb^pvQdI z<~J7@dH#w*yjSDFI$mTOERR%_&mGYowXM}R)Ouh`w?BcBjo!m5PfJduhkn5qmp6KK zB4g`7^1IasdpSD`UaK_`MI^uV(W(-vT`yWieR)J-Lh5RVg*-(2X+_7tXT?7&R8bRw z-e#AUiI@8qotJ2qD0$5JP{>uIxq8ZW2NVV_Xu4IuN;0R=WLH4?#=y?N&A%kST|&gY z?=MdULreWckg%%$>-!~KmxE=OB#l>YP028j*C&Zd0sDkacwsi(mvrgfu%U|J*YVl+ z*-|XB%3GiY(%g@8=-!}9ewJ9)zt*kNGg$@M{WI@OOS8IwXEBNbW(l9k9&mjFz${7z zWSzhQE-f*KXz>tH#B>3FWXanq)e*S7C^uqG3Kk&F`D1&oAl)}N-TKrl@9#gm!tuT6 zbZCItS+@VV16WHX;A6UVoY~3AZ+!cle$t$SllWcTLW`^m`MI>hbX>E2{KV`gG|^1u zuXAwc+}W=OqCBI{P7=S*MV96KN0rC!gdR;1)!>EXA3`*z&v`t400vw`0^&>VXB)Rj z&73d(+^@S6`t-M_C6ysiW3Ohclto?lSe?r*%)D9%?muO>Uv;x5H=0~qhEVlxZOVb# zvB|Zy={`Q^{nH@i=TGl{UjFVSrYQ0Z8FJ`A|B{_khQB-1w?rrxRL!&dt=N668q|I_ z!5pr&cNI`tafc~RvO31W?gAg>dwHkr@?CdC)%s5uyYz#d!QYYJYt@U$UkAy5YP!gV zyIzEdr|k(oW@==ScU6VfyxL?8E3-w+RxcOi0-qe6(?{97V`>a^eHXEnLK!+1+e4e; z!2X%uI$@@GoiPbCtD{i2^Q`ap!o?(ge}2IIQsmTuR?vM45?{k-bIF_D1myaYp2f=0 z)!Thp((FBp{mP*n8$n^t>uxLeTrvFD@1Rfh6Cb{1i#JGZbZz_Hm}jn3@az`9)>5&h zS&n;5g7xC~@#Lag=oz+n-@|x`wF{wQ3LE2wJzKeUNtu;}9w~sGTMcHPd>Q)J-r;tG@O?ye7cA0 z8D!2~OBzoVo$39X$@%VMM=!o!^)<^p73isG-drR041Z4kswO}Wx`*2fe_ZtTYup+Z z!|>(qh~Pra*6OWJ!+Y2hOgBSeC>wJ)eW$UzK>1kW6vIGsv2%w~veEIhDiudvd56Kh z)2_(}Ggd{7B+d+%vp2>K4;T4D$jpsG)7^p!RY|(S_|oAHHLQ17+PbK4QoweKALw%; ziN75a8Tf73q#_da!D@Z|Wx1vhA+7t>LhtOnKz$3J`!^D3=#TmnM(z&_<9& z{Sn9%_e3oQxLofPg&g}RbWaz!867k6fOQV`GuQ^W%6!auAXZj9jiavS`f8VdUijpF!WgXZGeF;S8b9g zOnCEq=&&nfsLOql!?FS0SDWG@!gnF7%vwAanpwyrRqK{+RkFDh**kE*SuDlqm?No* zZGB3!0j7BbEne&>&NdmDzRp;jHCU?DkH|4>Eth*a)462SKqy}Hsq2;rK*h1 zYiJ79E!A8gEIuo9oKE%nQ$^mU#jkA1s8pbFqu2Asfo7xRcX4uHeq5Qom({t3ghQJP zgNejL@mp%oi&meVh!Isi-k5qFsFxkKH{6pljIU()dGfr^J9;@6`?tR8js^&On8kLk zK9`|>2%NUn+iV`fJT=uDk(9XGsHv9(uUi*)E6i7?HV%5TCf{)I$X?lkUhq^R?*{G6 zV%N!CKS8GMBYR#BW=@aH|dsAIzNBB&P`Q0}Xm_A+i4}Ki+IyJ}) zVTw48!EDAUeR3vy-xJZm_k6=j_5z!`ZY&J%x9Uu7%#fiJ(YIF%>?R$;eHp?-{o`4m zl@2|A=6*;~Xy%qyqYxCp@K|>9o%0`z0!?tpmzoDs>NXCpceh6;(E(AP%~aLWQ$zC% z9MTg+tyT(tzMUD9;$PG%bjkKd3_Xi4>rtHCOE0b zB@HxgZXpg$Bp=4S6MMx^L}PD79g?>qtU>j6piTLShdNkLV zjRdf*a_QI&<6hwLh8ab`ia+7a6>L8y8_+AmZ9Ld!OS0W>$Vt0!Nf#P6+k~Jv`#KtxuN+hHi>V+KbQHd#_`BpkZ#JYO->pAeQqCPFgx59)f zI5eDLp~R|_iYR~=ri~JmmSs^>SrJ zrgxMjT}R_V&u1>wE*2~{E+XgUocIoD!v+>c7MmMZE7R1De?lbs*9pzabN!WLWSZ8O zm8~OR5W~MIFH8_n1;qCIw`aGv_0ow38Nijm?RK*mqgDeU7Y#y0n?k#&%G^~w_v8Fo zNxj)CUl6$BCj;P;FyvoBC1M3Ld%h}?B#$tZ5H^*+R%DYd`_pw}rTY{NYufkKT!#Lt zI833XQ10zKSMmQ&oyUALsnlQ4PS}tfRJE_ldPi;p<5ScT{BAr(7e+HTg*u<<;cmWS zqY@|ZK98dqf*np@-$s8Tm1=NTPx-9)y9N@1HT$+rza5%YL4!c{r-J0zIgiFhm{+TI zRK@i{Nd6Tzeq#7?xN7IRIl5i-msF$6)lW=nA6}Oj8u*z(CotM9LWw%^=tsxVE&d*ya7!BXr+pmTN+gWrt z&KX8+I+f;B&#r+vTO=kWE>{{&HDCR*DkCZyN%{nR@I?DE$I1}-Gfp9KT}$WH&vego zpSGR(%z1D23>01h2v}D+D-a|YG{prXc=Tp+db%@rlkTsdZ~Om!dNqe`sq`lqOA7xF z;qZag6)&py6kF?$-ap8&Aoa{%ZtfpwTr4WhyAl3_U4x$*3C(#&l!8_tsn~rR>V-3| z_r`1VO{?Ng>z18GL9C2m;Lfq`aY!2WRP=4$hG+fAjvN-GhMh_qbEKoOb(8`W zAb%;mraGe>x?$jNPaK4QeM|kmwhG82He&HcF4YK%5D;W_Y=lZ=!&jV$KcVV&|E04^ zET4Q_8|Z`IytHf^P{A5?K&Y&E7W4^3X=SH9=;hC62K`HI^{2RTsbkBH@ZH8no2THrw=pIfoa+_0ZlZ7&+b~(2|CV(5avMu0l=~dj zCrnOgg)0(K^RwXZ$Kz+_4+Xwi8L~}_n96(m*SeXN<6~Yd$#xD5S_|86SE&T$5Wl@E z=@E8l2{}IVE~d+M+&o}D`>W>C_I4q!x5ygb`FF>Bjs3Ywik!8Pl_p-g9DoM-?3%v6 zLiNkIak4=4vzFvau)C^&Q;Vw09KG+(jF+iyfo)2%m(m0AB9tvEcA3n1G25LtwKfQWiKUXTM5+0#_ajnx!(OEJ|RxGF9 z(&^6RKr+%GX#K6$AA#?JiJ;6w7GzW5jDX!i?{!7T2)IG4N%3E;{nQ!9?_X9BufEM8 zMmQ}=Lw~36a7B#L_N5@Y_WK3Fs}q(h`ah3(h3y1^zo8YRH(tqf$Z{t-0cq_5V2`ZI+gSkfb~CB+?9iQc+lfcK_i%2^CEYYb_@~+ z&~g7&zuy^unW|pt zD@FqaVqez6Ar4RwMUtUKpb=G3Bp_$!E)elJqazh*O#-Ly0_vjx+|=Q8LuiEHhBPdg zX!0Bchfu6@7h=M~(mEjvko4t4`~pSK^}M}xU|R1b9yiZIj2S>*&ee1fd#HW7FRxn< zIY}}O?bn2%472myt5uV&5u6Km7{pfDaKO-i+6UT!$lEXxRWmZ^KO|K>ASe^}u7Kn1 zE{YOJQ)Qu2c~Dwe&J1JQ;%s-$T$lgEU|Q2ilB)_R&Y)9xNh3+b*NKhJb+ht(bS;Qc zueS2+>VRx#eK_ZnuE|cb$a0>q7HVnDpXdNtF&b=GX3eu3!li^6(yoqqzKLea6DUf* zLV&V+j$K2$2;fj0Qyz%`+JG)ypeeb36Ut^yPkMn3xV}TuxX_UkgtHgEq@s6He)u=@ z%7L+eLVvrA17Hy&9s?$H)^`hP%)xSF)XZK$HPy(;f0AE%pCATLH~sQIG(Q- zpf`LA_ixXrZ$v&dqw*ySNS8Hfw~`0Fnhmx@{Z5x(M>n|k1Stj5d`_YGjm^R(Cbgas zQG18+Urved#CcKx(Dtx@3DQ)k!Jm)A6>-8k+1A!Ubm1s@jo|YBA?1~@p_O8U=QIVe zHMxL3rw87LQp#R%@~YC*CFkfo#Rs-;{72vufM26ET{f+RkYzeDkSlcGnL^T}ofQPl zvu!v_Qah{NKfvsQc~GP1BLhBpMyYi5@~RFaG>`Z%H4{s^4lN=un@ld~m*(vT3y(_n@I^65x>O-$Hf+uq2(bP4BcL-eJ_X=9JLt6G-`Bj=0ScD!d zdS2snm{o5+*NM=&yfVa+nOIYcf3KaTb0xe9d0)xB6&}bd33u5T)9-~ZNbVlmI)nNxl&*w21hU6S3=343ygv(GbqwA%PK@g)D$ zpHmOqE?Lzt&&Ta4eVYWHb}q-kwA+={uNXZw_))T^aC(AOr)d#(E9`tSbRAYrEulcz zu7)X?hMi2VM}9!kf9}}T0v4hOt`fsygpq$=&bN*{JWbW~fa}Fp2dU%^lAdMtsKuIYUR9-3NS`b?+dRSH2%9SM;y;-j=J@ zvG0`P(lnh;LN=p9Jw`wcSTPr5nM|lQfRP0KwP21>ue2^%#JgQO{Y6xZv$rDBnmaBy zBV_uk^nvy9xR|5Mrlc~A$wE>=_9S5&Lz-Z#fcmp((u5+IbIGXms#5ht+e^n!=EHlcs(n$##WK?>+BX>esm=w1;- zD+ag@j&6*%;z~GJ=1@ zg>hu0vb8C{uiqoZlM+L`Dgiezzz=qNzO8j6J!Xj|h`t;sEsE3p;nE4ismY&C7FB@F zob~n(6Y6My+yv(MgX_l%tJ7o4#e1~(VD93}Oo_0758=qvuKkSt9>mB{f)v%-telkx ztzP?03iwoC!yWk+t$A0FJ|SFO^E{HoTL25<9%Q{qGTrKUx7~;ejQecf*?j|vQ~<5D z(?#D4nh#7^?e9fa?>aniJSEgZc@1kj_$^~Tjk4J# zJTTuA8r+;MtgM`SEwvZxenEJLb$dKdg?e{zVsnGy@?Lk+SQp|k_Ag~F@wv9wiEcDiHYqj0pHI|rUP92 z1h_8VvQLi=2ISqbc*L1Oa6n`Xh~){863^}hTGGg0hjZ&q1@1|on%pH~ZtGc$G2rJO zV#)51TyJH>)>2RtGj8iyuNrtkzSD(uLB-?|*{Pg75Aob}hhR#MFt7l2fRdvP{1iJ& zOJtnck3dp&7HWmUX<;4u5|KD0S26K?GZC>_NEh76+oX51$v+MkgS|;&=#F@MMPZ@# z&hCS>yu{%(6D@bB$;9UF+ML#d!wm;L$0DH;YFe5>S(1ct4R;pQ``PIW?z*{{mT=?^ zvg=R1G2)0N4RUutTsrFBU8L*0GB}>(e>H_uHzWJAjPecyPP5Q3uqMh z0=w2j%^eIH7_s`)&0+>S$xN!e9aH;?y9aL@~QolA!P&XZPivM2#|C@$&yUt3*EVzR7UQd8({CP1{nM z{^*mD!cM`h9p#t4JFMdhju~pjVziwq&w!9gRBAqr{2$c&Q_Jf+frA~&5ga(7HMOi9 zjy-7(yBno;6N+#0nY#Q}Gvm^J-m8H=RCP!Zv-@dPwv&I1e=_%S^U7Hz8GN3s%QC8v zoGt^_tP0EO_S%*;O1{n*a3JcG|K$lhRxmW>z5kN;!p0jHm#P&_-^%yhXWj;n11JBO zE1t?+rqe=PcPeXdp3XjbU^xO{xorQl+B9(yeVN}G^ZO>bKexESRMAFv{)=WNQt*#| znBow(jW$OdI`(h4AnwHmIL+`P`ecbgV|?-UJNmjGX7hFZpyqrd|BUXv<-7m#syK6w zXYAChUxZg1U!cjU=+V40Iyt|e1~io2;iud@5J-6JwerHAIqDaF}&8v(8=vi(8j90f8%N}~nr*!nM-BImWntwP* zF5y%$8rCruCLe1%PQHw8k=lDR8B2xfEAr^p77i)?kt%LAz`wcuiX6@WHPR%s6J_OC zN3GdDe`2a);#Hhzd!wPL#Jywn(DuPZX0SvcOR3}QiKExZ{zrS4R!@_E^3lijCl=g) zY$uW#FYNPWko`A!?a$rVV^%FX!5T7}6S1@$YBu!s1uInwy4<|b8f@p(o7qjbNdRIfmo5jTAH6# zVZ5R<#ApMW_-oG7iE-1e6TXF80@i~(yl3=x3YOhfrxT&KWOfwL5!>M~vCj#06%U^SexzFG=hx80!Rf7~F&9Oc<6e*K^OZzX+R??p^n z$~w--IWoV(0{gx@8Bt4ImJO@--G05%qi^kEx3FlrKzFEr-B_9^wb$P3yS?pmbIOTk zZ0uaXS$yJ#kl;W3qoG^(93LWPR-YyK7$%$)jBJ62UiN%1fyPW172+)>(KBroYv`7oqTEP$FB?Wi>7WW$Je) zEFsMKo}L`V3upQ6ryfkc)(JnyKW$mweD?b}J27Y~s!p$Xk8x9dz|l0D6Rc@Hw;G!{ zEz8QJit4tjc|{%xFV}b*?>nT~%!T9o9_rck>VAOaqb`{Te(ir;!&zizWXbl0qNyYP zrjjR?q-JQ{56<_!<5Xz-J}qyAt0921vgW?V?U<6(#OK3r-#v@;v)qaOVANbXo7%(m z_Vz+?du<(4fJ`w`YCQHu3eLXfRT$jVC!L>`{eGOow}}rU6^go{kxN1wI&JZCsis-Q zJ))_0bSAWwa9iWtgt}6JQOI)R>nr@qQ-5vj-nklw2#a}z!H1Ta9>6!oHJxt-+LdVf zR{z@3N=dtHj}c*!+v+quM&xnx(&1O&)$pKG$5pI8pheG^mo}Ke7T)p7&^{F)F7|=^ z{GCS*RfkIHAYwzu?$gPaFKFZ?uYtz8eczYAhR?e_JvQ*d@;u_Vc$C8LU|5 zfGZjx=BKEBESlCNs8vr5y)>dBDOM(CQ-9=A$^*KZrh_Z?>8V~W(vUl=Tw4QE5oQ@P zMD$%mu0g9D*5Z}xX1$%JjooFH%``vF6mxa1*uJUmV;WZ8aFLt@NyYg(ehYjQw!G>_ zCfc)mX4PFWwQv69IjTHRb^rSJ;1`+SNK32|isSl;EyiqrhHH~lyS0x$4@~WofW9z7& z_^~cjms+J*u1rl#$kXbLp>_6+)F%uNriN>uH~hx-(%0)&8CePcPCwlfrShE^RHCGq zmoU6hl{8(YNNP&@X!bmAA_%#}ukQ%F$dj9IRbg|C)i{2t)~KGb%z7uq%!;*`ye8Oi zf?BFPMp?0DW0IxcE{UvX=ME+5{`z=azqj1yzZTizq^-%%Txcj`nlzgb)>0Ati>{fS zLK0Sk)Ys&#p4Ngh$2B(6j$`Kk47p>%aa>>%6jHt@SZmhA400=Z%pUgZTNmn2O>?O$x3+fXSj&51hS26^U=+6hL-y2mkz)^W_s zN#`xO08HuUjf%>&OYfnoEBMW@hfe6rXrQD*-ERF&oe~#QeFdJ&FPh7TSdxysdw`@b z>)iL5P%!(h&+xkWxJW}JmuV+~y`Z}==SCIc-SG$?SCL`py?Q=b_gmqiHf-bh8&>+} zKg4fbC8QH~YJFKq#2vogVoIpA6N7ZKvh>*9>S3(SW6*qu5BZEd}hm89w0DCo0f4pJuGD?FWe;qLXLmXoSy%%_7 zn$2UkBk9caL@-jpM57n91+2uNd?Fd*jntr#>huS-Mc#Z|AKm_tGX{v?S&no>!3s$S zFFx*iF+M-oF^pMjAA~C2Zz*cNx!cy$W{vjz=14YSuB+ycM8tX?F+nU_w0M$oZ!LC; zwKITkK9^TlMV2Mmp4AT+ zdbQW%v`|7{`g$q~i#<8nQqQ)^ODjrLt zNwQQY`pTv2H7+_IDQL*-i-pgX07uCqdda|++G!$Z$wQT9Rbnn5en0N<+-2$@l3q+~;YhG7y^6~3y8*VXWbI5D}#0wNj`dH~H$G;F!Mi~!N3A%eJZbr6S;3BDFz zSiRu}VouqzRq5W|iX5;QJig3Cx?nE=s&hyljAMMski`&`QtB)F_5-su7Oo~7#x5Bc z=uy^f-TCk7@fE58lz_c_?yqch?E{i`duTugulhx7*UJ8YfAs^?VLZleC+jNt4?LRE zY7R=Tv6}d(OY3nAG1Q)MP$B$wk>l#Im11$+iz}aNqX1a7NV73Oq&fzwh6Ow}U>%+p zyIm_cpXd=JndmSN`0051&A!gj5Dl1*e4J#H8b&j&fE+$3N>Jpw-H)b^msh#p3J)26 zNdNY8f^W_5&h$)@0_a(iy&#DLl7oe^`Yvi>fqR!_gJp|k<-lPN{3!vhIm|*B z!2VH@?6Nqf8ZTubP=EYKa~q~WK_j5 z{zrL?grst!=mwJK$7`+;jL*hZ)>DN0<;tJ=rL6@_$OBEunF zQH1q|>~Vrw+#v8(U=Ijo#Gw@V0aW53C^y#UJdqmr932d9k>4E!Fc#mYw{y_GVAg-3 zSgq@!>VzE&t$fk>lr9{lid(zIP~|T0kC4>u5hqn`Kn=@J%uS)C1vd|%$FbIF*FPKs z(No(L9|(={{)f_{B+%?dOua`5gT?l@i&or*(JaiJ0NprUsbB}yG)#vAenh&UjH=QV zzF3k+-9+{|aQj7i4!^ZZGitO_Iz4cZ2 z7^W~3?KvHF9?}@z;jBd!-2_Yb?tMxek-r!&oywixSeXFG;J^kwIyfNnn`_E^iUhZhfxO&eglR`Md0$rJ+?G$$|%c*s8->i|jw_0sHPw<<897l?PNE z^WMTW?V`RjW!zuJ<_bK_{CgbVTWk?*yVP1!i8{^kT zejLe1^kF-mqmL`uKuryOy>|q%!MLd(>%~$bD=g?$n6G!|yLg3TVo1XwsXxW#78d4> zv0Mx}dlw0em#EY<*zTx2n_wh)_^s=1=N3hfV!23xA7C7)#TY$h4dvbtZ0tDH_ZMl> z%z+vF!DSo@?t2~dYf8kMG}m>3Cv=3XT*YaK5Xgf>85_w`$^)Sb_a01H_cDh)ME;4xTm|A!w;G zkq{efwfIG!pK@h>qA~*+$;~>rajZ$0h7j}wU~8|W1QI`hU3;Y;W0fRJ9Zn`FNeA?p za4B-&J0lJ*8O=1{@RAlNjT;21j7(($2aGsdxdKRlgO;4%%(kq+$%=4`rZrv~%^ z28R8r4sl-$1$H*4>~)Tc?l>Pg+ArydB8~O7?G81*LxYJ=6!}5s)88&A;zw+ z2XiuVfJ>Y|s)m790sxJcNEfip=94Cpilz*|u$d&p&_kQ*(X{4KN(mR~-hSIn@sRbI zDSGNeCIDMILYg0v;CZ+fqCHD}2i~HhKf^+| z7Pkj*jfeQrMFHSS+f>IKe)Uq)B-tAqP?JIOAMwihvBjf9nzIm~3Ur9oG<;lu%e|~q zgnX`A#RlKrP-PIqV`D}cr2@SJ^hO@b6P;|maoE;elD-PYDrVISQ}dLA z7T5F;_aoE^*^=N+!=umx@Rx`tK2CV2S|f8D7a&4yJpW81EG|UF+6LT->rK>K&?yX zUb4^?b9d!>h%C1vp)9U}GbJ}V^IZW8Fj@L0ec^;iE*mZ?aocCF}p z_Xe#KCj2@*h*=34jh6x?keHR1g5>nx_{24!ilFt^*>~Mi=~p?v`U4O*4Dr3hFiYtN z0&9Ff+*ZsJYG%%K5lLVAF2y7FtPW$Mc)R1*zOVsEM0%WUAMW3Q4lPN9Tt!?7_w#Cs zI1ASEV!6HThXl#5X*J7g{jx#n>hn4h1ahGRXH_*3>=dUfFZY*L1VZ{BmIn}m{sXGj zj-jk)lR*{^46L1V%)jFh%xsEJ`8q_?cfvcw1c0cy3EK-tii7nv1ZI_A@(tg^V`|6} zif#y7&DH}r!hw2vg6+3s2>KRDM1drE*ab8sfZCnjTzlThFH691!2zcPh|-EH7Jd%e zf--0l7qQSCtmIzT%DMCakj+Oh@FZmTAU$Rm0nye`OcNcj18z=g&ILF7w6vz$&3X@O zUI6(uA>Y>Y&Qv2EFW+eOLKQWMuzLhLE)|&;$4gJ248)DqB+GAy4rl)&l}F6$`764y zBcKLcY5V{NRe-P{ghRc4^@4WAw>PY^lx)z{=?fNcnEakh#K-~#N48kPNv4|*lYG;W+$+o!hZ z>T}VrY~L)W%nBgK+i5-4ObFJJ45oO}BXAcG?ej@}!{gXwf;Jh1hkH+vXE*LEXd*hI zMhWl7;*Hkd3KBg0`?metpNoDZy~n~kL7yQXZjFHpAq(e0pI}PI0pX|UZ7p|_6sRx} zF4^#&1QNhQYc8pVZfUOm=D=H8nvwQ3zIMUNhCq!RW{3L)9Rr|+HxxDbiQA~N40EI$ zc2Nt3tY&-gMXhlf$$Oy?%w0K!p}-V?R)J3X^AJ8A&5RX!v|jbk`p2Ol)alRsG7f3x zL+LQRi`%(XbYZ$YKaeXzA@uQt7XN%_iikaju+{3Cmpk8;WI_#C_yb+`Vjv+Klp(A^ zdJnPNgUdH`vwCMSzs53H5PgZ;yl@YJ<+#QQ6}<9FQkQf5tH~VS8)5dW4 zGcg{%8{Ls?Jl%+x?JT_p7|5S_V4bTtZQ*igPQqab%_WUbieqgtH6)*k4U0F`=2QRQ zL;uq*XHcEp9auNSYopIQd+wLo)$9Lt=i7D}EGNb3(6PM2D}}$uyxg+;KLG{-mHqqY zA6SX5ey<`1h8gS$`yG2HL3)g~8`#=s=lRb?YX60_tpbB02aqO46f{k0!I2C1;NIr> z9FPa=+L+mANQ~mJM-3zZK2eXhQTQLhS4S^;>4IE6wC=n!OH=6FY|Xq(=Y!rF`|Zn$ zu`DbdK)AmUTN$Df_nw2)M3ip*%lNyo5m zTNF0Z5nXa=K=qk={WEh2rR?!%kjm}mJnhIXu}7Cl_by;--X?jkXq%I6P|Tfun(9RY zF&w4XncSXu2I3m-$`DAX`goXe3tN@!B1V*tgMCRF&f$@Kwkn3d)xo>E+!j-~{ByX!;z7VpNXkNVxjNT9L`MD)j*k#&F^Vas)Y~b45#p(Nj zZIe$bUN0zBGBT7X#IiuEn`k0o7riUFgOO2jE!RJT~N%?z6J zcjukK0_r7;OJ1 z4)94IEU_w2)+(F40f@L34-NWsqn&O*= z!n51W!Pu2VseEJ#T*#R3V-@ZHJwXY`_bWFK>Q^;kZ2VJ+d*XyT>ycWFvJU)Er zn%xMM(XTtn){k5I&iR|A{-%)1n<39kkjE-1xo9@X{UCtzx5^jsqo=t`C~Rh+?3W1+ z)e`FLapRem=N=@|jcq3fFWuj%AJ6BsxN2yG=e)a?F)|keMHP_^8;4R7cU7J(eAH}s zbIvEtPG8QzOFM2SlLCw}p; zF|Qz`!!t9}nb)f?`V!BZ8$D|YW)}63j>3n@P#2x?h~fxa(mm{4#wk z^U~y!j*t7CzA%xAnZ*a22zP7gC$ElF1c=oS8y5E@=0HAMVG2LtE332(C%jz0fjgNz zPgyj@%|i%l%+ll}96s@`?lRfm8{tp^qbCOW6Sc1%KV^&1+f~pm?^)=a+@7vI4*(nK z+lU+&=u5=zK7QOFe!PyHuH9v$DNT=`xEw34`BL|_bMLFHx4R;~+{xR|Qk`3l9CA;I z+-1I<2xj8_7H!YNRjaKnkoT1QzfR31MDH@%d3MR;-EN(D<XK{)eUHR4m#u$eJm%ER;)CeDG{?BflpHVXP(WE{N@ zJo1qMS}06J08JhG5OLf0h^1@IDfw%9%)^1lwno0kLoB7`x4eUHv?)uee%I;ETws_U zj*diuvsB`)yZhu6WkXms5xu_B=9SCkZy(-5v!q0anmpo7uN0ixxzooR|86^~yD|!L zPrsX6>{`bD{l3vQo1YRJ@6qS~9H7k7PmkPxa`jbpssBHRD@5f0e?E{l$^a z+k%34y?_6u(IwK#mUX^IC_l~;anH|gz3HE9oBdow)z`Kb^{91BU*DFqHfKbsspy!Wv`+jg%N4=KrJ)1(&|H$-Yqg_dUo^j7W!07><{O+%=o3=HmXELGHWXjTRrdkn_bU2P3zU_ z`)Exp^<=}D{`{7^uj=1etH>ASz;2%$3D_;C`o;G%S)~YU9}D#KXEml9!{42>L~q7p zqMu&(dXbCGzA5GMB4IUH^=*cqb5x)Q+gEoHsXsYT|C<5{ibC3i+4 zlP|Q|Dd@%Du=U2iz?<&KAg<8RNI3?`TO~4}DFK$CU2~5Ci&%2jIfjV^J2N0P*ET5c zVwac83@D(|jJL5UAAIV>Ie_#JT!4Dhufv>dOH1+%Q?U`0fLl%n5|@;9<`& zlx~0#iSvff6IQ5z3hrapi%p+B$*gC`iKrDh@X-hC!4g`-4ESv;63TnkI$V;W8IcBw zH1|8bGSC=Y-1}Q|0&FUGw)Z!~W{_ML%)1pe?aDMi||U`3y^QyYWJ&sK@jE2%9Lbu)M){ zRWes#)lGw5MOBlP)Viw71nFp=RA*I${7!y3oXO#-Y{`M2y}U_0ec`k7;~Bm#i~NFB zbP+qDuY=2&XcKHd$(sM3szH35N1=mcHl^!ajn8jmD?5MS9#GOlK*4qTpQULZLtZkG zSP)9L>=EO=078n#&#RFP(EftS`@Bo}Z%bIr)zaD%!$8oZv2DajP_V`tArAXvrEew7 z#-rZVqdmgqaT!;4cntPIdcj4JxQ^>-Sk%lIKKK{RrPkjC=^a=J(jlqd@2cC_cCY;Y zwR>@ENUjCk8bV-;yB*Y#J0oX^RrU~9=#HcT2k;&w%PNNH^~b-fsHPCg_VRP?8WWNC zFx^9>Y9HP5LxM>j5Es zc@@|3$zpo+bw0eMx9)W&#w6%Ns2?AzaMdsJWj0vQ?JyCGJJuXc4yb zuCSOt2Gn@wFHbw(B-jkm4kj^5t==WM724dAWiVv->}L9XN7iVK9WL$8~E|iOw{ewefqbn3vPAf$BPaE zW*%pEpXRH5bfCVbX~BatV6{E?f=_ z#s&6ZUNy@QH#M3ZHzOz8L(6If)5Cb)KCuyXe(0tLUk z3vk6cq`u!C9#37`&U*p%T%vOJC?q^`;vr=Q^$f+PA1Psl>UVoAi@3=BIGpvA0KU*w zu^*{2VGVPl*J2gIOh;zs+I#cU!%T0dFFqIL`wM7?n{n-@6@)|~R7LGGSxrwB?Ar<6Am7{qa(sVt`>bJN}EkB~f5e zsxe7H81wn)bQiDHLo3*=CYpH4OE2Q)C}oTh7O6pET;Hi}+nJ|Oj2nvf7jPh2{guwA zuT$iX@ZQc=r2W(UeJN}tt9T-}>X&Vh_$ZmUiivH?l^K4obuT^59sjh~x_UqLig7vF zd+aUbor&9Z{^{M6zHa$yrTr^(UI@4PP8R!?)1v^U)+oVzVA8PPjapMp!~bxuS(hO` zid~R14lL0jz#r%#@bSALpU@+(dlI>`cQuC03Ul*P@ENaw&=bSq|I+!#5)%Wux|`06 zQ{3RDe@YBrdCJcnH+02$`JZ_YBa6*7%{&Y9O(+x5gd(_z%7yW=#PGSh^cO(4!ERB{ za3=JL&9C;tghXT9+q5=v=F4jnVAU-)k(%Yw>zvoCoU%{R?Vj*4yrJAor%7u`_? zoYp7vqWJakm~WV3hV{>ikCz!-iI^S-EvkQnl(ik9Rw?W)7$Bue3x!2R1UPjrnrx2m zWhqm^ni8sw%5g&fasptE1an{0lTtB+=>R;@FdD%wAeLrZ*`E0Z^lApf?(l?y*7jJ@ z96qS13yt)28qvC~d`LtLmH-nnBB81%W31g5Ber$ z76K#(kItScIDBU4Yz!z)%q>{w?uQ2$t*uYna%Car{DUn67Gl5PGOm`OjGPA1(;v(a z^QMQmUJ4fk<~;It`l*QjmK<0y(s$qgLZy;$ci!D?mTC>4l9xw{Z5V#a0uwdfUBKOa z2dLb&G;*=r%YDe-XL_?AdL^@iUpF_7y9={*eiZ@NCGX7*V>*w8vxuoP7ZU-t69$f) zYMqCM1wqLt1Mi$4Eb4AnBa2heV#J@)W7ebKgZNf7wLi5~%n5`y$6|fylUjGIetHW|oRrsPOG=%VvpC-|81H-A-(%jDB{4A^UI*K5`U2z?GUhvx)7~PSd|9Lc6={SQMBv3oOLK~ zx)sA|;;ctXepKJK^Zi6@_?OxC&){sGgvou;emwn})=In1L%ig+B7?NDgeFO=z8rY0 zSyejn7s|)ApHaWmTP}e5xXG00(5oT;2#$RPW3$X#Nlfc*Gr@Td{nKZ^gyci^eu!#s z?+HFT&DR6ZU4Gm9!4PyKQOOk%PPlZtg`FNC3xI~U87-!Tn&x;qg)D~{sJt~7G7PCI zmO~?5B;sJ@NwANXW)QI_)Lacu zuV#)bl_jD--NqhBnMkZjX$Y7<594)Wq7$TfsB8?rdGu24@6~F9kMK24qhV0wjf0~I z&`;1jd^>n{Yo*E)FcAx?xJvbXZ2$OsE>UB6ef%>3xmwEv00Gy0jFnxOo-u_KN})$r zHcyOQy2ScajjhyaH4Bf9#@^B#`Hjyjm|TBP`Rt+GJ3A2;KNg+;=v?eP3ge@=X!&2y zVU1tEHt%;4S)e8?UvF!Qe$XDMhyt%%F6HF?r_7=*_Lod#^4XyI;&Y-OmwIjPKwj5d8MK=(>bZVQs!T3zfszIT zm}+h9oiq1;4ttvLKOdbBJd@#8cO(<^{N`$TQ?%%P>Nd?c3BcIi|SR3n4Jw6^J|fGyGhBMq+^DYYs8~k{W6AiF|YcpJ>}Lp;XbH z_oXoQTI+xN0o#Xd>^qa>s!b1XR>ZRvaBz{Dpvo`n{W{PWTXM&Vj z-0puU(Q}Uc7k@`$r#sBn)lgUtkuJa8EPNzWZRIM%9`lUGycKE#qx6?jQ@+{%VH@Nb zwC+g;c=Ay;uEr|YldX@Tc!{DuQZ%=}{E70K?Z+S{)$TU-A)%_LhLrFTEnc}QyXAgb xw}a*(r8Vo+f4m=2qv(ctQswhBKHVLM9xyOvn|t!EHpdbAb}uNJ>HoUn{{abDz~=w} diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index affd34eb0b027dd190e3a670a605c3a13723ecf6..cd286f3063fb2093d7166485302069c35b34cbb2 100755 GIT binary patch literal 22605 zcmX`S2UHW^^FB;h=|!YB6;MHt-U&@WDNzvtK?2gH_m&W_P(D;eK#(dTO`3p!Ktk^j zdg!4C2)%~Xm+$X?&bxcg&feWSbN9^NdG4HNcG)N>C@L5zua5p#yUvw-<>cq@=Itdc zBPaDpN=8^G(9Oe9SXNF}_>rQtjI6ZmBN;hiGbg_wHwP!-XZ8;6!ZN~UPlYX<-U>f< zbPKrBq!gpRGDAVZXa0YJLWS=CNfXQeCqpQA-(1TVRhWX|e?B=WzMmhEe)|O%O%d%Y zJyz`FpRrUDCjSLE-tQ>9VZ@R0U|GsU>%Ra>ZM`!2H(FY%@9&xXlzee3gnS{Yk|~#& z8IE2GM!i`A{!x?8Oii8e^Ak($9}?ic+QwYvHIFZ2`uOf#zoaPAT>l~#&Il!Gvhgw1 z-X$HToVY#TZ1)#D$p`anfBMML=1KqPMF>m&N>D7-BzEmCK8>21SY69AB=Ya!2dXgN zXLWRU3>NS2?wd(YAsGqD_6B+0}vSxo!8=@Wvx+HpnMd7>S^L7M7 zt?g9w+1DD*+|kKDe-i5^e2&L`+V9v@O77m_kuVSC0S=?rY@PGQ{&D~Y@aB?A7}}nr zHbr$8m6019%G-k~^M+~#=Hf_CW#_Gf-S1^2_vr?6&$8v)d6Py`KK-;(P3f7+ewDqk zb!n>qYt?-|67lIT%55o<3{5CRC?rWSFmHS=93U%X6aU*5T)`kACKznYY!$B}(nhnL-Ck zk6wQBTP}z=Bz`A=HR{6|m7eaj}PYJWf8hZ%3R(##!N zdKOeKUx0Sam{dTDAZr}f!0fyc?ElvE_PivK zflb&ipl18tfW}4Nqy6))*hvESJ2{k}@>`+YZG+@$2L2ak+*Zfei$z7*VMyEYf@_I_qm{`8)A+K5Z%Qo-62rI`||G&u- zlN8mMHEKKE+GMUNX&6EwXG)VSz$%@uqcv8!6)pJSJ$g$)-@vJ)n>nM5*5X5eVeM;J zMR(9@KPt5P-vg&j-G@PnMCJLKJ>%V(!RXh!cAnw^g)-`0QZ|^Fn3?vua?fwsj(stX z)#tNt9^<>2Z*~2Q{k{|=&$mCJ=M|ILgV&-{JP?WUe_V$0cTOoF+9aVjun->o-{Qt75T+ButQ ze@5jPk)E{(f--*u9(VDfqdtDkYagK z#TFzSXgg;bianB2MIYPYwU1-BUBwo&IE>u{7q%+TcIp6G+rB0lAq2S}g&RqiET06| zsx1{vhPxkpHhB-v#MmPex_a+YUfuCtYSGvPLle88WBw>EPD?Wg*ria< zhl~zUy*n-gz5m~z9NVnCr0}OQah3rsb^micJxjCdl*7}L5EhIZ?zw$VOc2<-PmCS_ zI52y;)5ZF;lP@jBw0qbC5Df=95ESpnbwN{-^0wU%I$9PCTc~ z_j&O<@HIA*@-buRYk|Uha;IiEnlwf=_Vj>}=s#T@vY3>nt_+u=p%$snqe(ZxKB zZVIwC$ekUEOJXnW&xtV|=A~0tRArX#prfF#=QEAGpjotPG^qZeGA}`?; z=j%lKpnj1bk3Q!?qU6oT|FGJ`{CKzI>ai)EO{si?>yyV3T;cK4HWPw~!26n?-EW(Q z^lIbZs&EJ}fJS`_l60zWhz|I$p_Ha59x|})JiBXaNXxO8Xf3A^R4&iBqEx)%E8uFF z^IlzJ)Tb(ocSK5OL!MxDjTbiOz;yAuR7C%`kqQ$_RCJj^R!{Qdk5nf!Jnq+WLwL=b z?Y$(m-oIwLzhe|~Bh}&YiyYrn>rNrO`0*>}|I(^-pEu5fZ$(?09EG(QTe{qhUL-Zy z+FZj(?e%0WOeB%J%4ZglhySv8J4D_LxHWoRpITwS<9;??Urr+JFCWK!|l|2-@310eb&z$Bh?XD&FyeOV3LMm8d4=kUvo$Q);Tu=B3?}L6Wq5Jh6$+aiX|F~3s6FHd z!Pka$)vnQAM2%L1%U<37Yb>|7J+4?YHm3ZqfT*pVweaS}vI7GN@hkx95m~TY1Aj%e zVH$eaX=A=E*fLhEQ8YsP4rt;r>uMb+2VA}|)R{+oYD%Y8aBuumcYD0N5xo`7u*muI zK6Ac{jOdiJo7lp;DCUpNpu1mykg(uo|SYlddlj*H$uUzLln^{%>} z+0q}$e`r_kx%d2n|3T9Ft%$2%}%#T@^W8=-F4Z- zdcK79TPNoGh^Eoy@Vv81`13D%m1tlnv7%p)^Q=|{H?F8DC{wm?qvliiX`6{MQm3NQ z{sW(?Wl)orKaLTA``OgHR&7obn_V_$c^RIiIWRG@;5syVmx3XzVIjsIIS%%vGx#TW zp_0#Zp<*6j2YdT{pJQ$*;mK3!s+WVY1)J+!RG(GlCWkz^cjA9YYGfOztr^cLzS4P; zT>A>yGnz7@0gZPR^SY)zuG4H#Tbh14@aK4!AaVLy_Hsa@0fxRjwHR!hW%m^*rrI1m;ODx+(wj z4v8*wyRBQFb)GQUGxx7|BKO+AHGYoUIo}s~G=7~WR-F&??gV*i1fcZ3-fdX3wzO;v zkht3;)V_0gyP>&nxAw|HBT1D5kwRKlU$1f1_`Fc$KmDSl(Z8=XWi_wXn9CgVuEW z$Zn}tEqdq74%Vdhwkp}Yq+#l9aL)JExYZ9umz^^=%+SNOep$8>l)!QY%URYE9?F8i{H)k`)IgFHi+Ao305Hp~kOv>nwHjP)PMz#}ZgbtH)W%f9}P#*wOmmeXzc*wqfvXh9`N*@DPZpUv4A-5ZG9MU z9_ce>bd!AT{>IXoMJ_||uAimiaO-{zO}fPC&p&2l?kBf6-H2Alq;@2B2WzLM{MtI* zKiEDdiaqL!rIg&*tgO1fnCkV3a1D=e5fV3B+NFp>w-D$7sohMAP*IPKnZ8M0cySa6 z|NPDz<=Zmz71Mlp-dOefoj~;4%CiEqwt(iaC!Xi5xpF%t<_8n!j~x;B>Zd*<`6_+jMv)Rxn3 z;%F%ky1M(LVRA<@M$zVVeCgob(S$BHOs?h_(eo~m0<>spkvIKwbz?^jEey!$u&_=-%H#@w{kEBcl`m7!MkIv%t)y_{k; zEi`O9O z?9`HJH4*y80rJEpf6r7KR>)wv>29cYIm*{1fl0`$j<*h6!W$rpV-8^)Pt46$D)|zg zL@#4{^+}`lgp#--zb##At0h z#Rd0A0)ha9o5F9u>`?Kgk!t$HOM&sEXihG6m9KZ}d0%DU+|VDNE{~-8!dlJZ)p3K~ z(`>i!hC=se?3s{8qLvf0_}zMuSONuU4ab)^P^% zUcJ;*Qfd2r)Kb^xZA~j)ah))FS-s^nRuH@2;Ogw8`3TKkp@o~mpae#vN|v>oM7I>miw&EhUjxd}Vt*?Y%^*B{#N!+1Hf z-}me2)n*?b6-di=E`gX0%m*Icrz|xSyigkZ;NSlb5E2&qOYY6c?o>_U8^CLK?)q}Z z|2zyCK3#DPm1d7do;GIF85KO^w3_jGzi;4nYIc)C=o`5(iUfx#jV7CQs=kH@U!1EJ zSmpg#ip->pHE^OzGPwa?&>O!WxYr50U1Ym_p!6e`mrLlaK8-+gfwat1&`T&rmBvdJ9KJ~qEQ}rjAA9pdI z=XY8-Uoo1?rGOe4VkUgINmvece5fvrqXK*!*25JRyM6m^y*!wmf}MF`x9OvaoSFW7 zpRj1u{{f+q{pm3Bz~JW>_(I&!=+qB4VJF_1zFF*A3O5dTqyz^(}<(e7k@q7x|ci90l zK=W3V))xM)t#>z?#JjTXuvah%2(}>As(Z+Zhg3t-U~_p5l$tO)=cCzQl5P+njT9s+ z#C)xY9-g3RubX%vUOJt-CPJ3c%|RoD(Don;6=RyZ`4NEeu*ApZ`%%Fg{B9A>-Ngnjb$TLNz!5j0 ztkYl1vzZGzIhp4Mj%2(zl@Z@2qe}VocLt8poWybzVqcnKGmvBPMeYo!v0tfVV@#F} z%D#zafnhe~aIF%H+$Rd(W2;syTZ_~`xf3jDgp*yseDg_;&esokLl_+m!g2Sq7V zyfc0S9JfNji?1@&x(%AM)w6y{9gO{gi91qlY}G=GKf=_SBkE2xDlE)HDU*|NuZegM z{XWO;l?iyBw;2lR{FK=U;tNDhV~H1!kQoQzA)N0n{ju4)H-)sE|4RVf5D#4AY#=ri z9)x$cK%4N*0uWjrPvc*>!#InD==mg=Sv)n98H7Cl3ns_t4{1`U&mnCv+q7p;o!sZF zvOPbRvptHur>;!CXQI`B>%kWFQ7|jOVDO1!i~hm`_|Ec0s7~OSXU5efKKjs8;u`_n zvC#r2jw&0tH-~<-0VrQvat8(j&9}V^(}=xPWY^@pjN57x#{>$jOG6Y?{;-!>gw&J| zH|IN@?*=iQdb?{w-~Sh&fwYJ* zR(v-KkeOzD5K?E{)w}tCT2C--TdPP~F^pUIIOT4Q?T8b{4I=WC^N2e4re4*`f6_t< zf)6e?BC=1rork);czce$c5p^`?^R-+Z=(lf4K2Kz`kBhV(%&==Ppp!FHq($UC37>M z=a!x2@ma;)oG;!9-7p={4EZ|`trJQ%KUdjva28?{pGI00V1w0)4q&kW@w(9qNqg4I z`LD;<*orG*4qsv6Iw&*it-&fjtmDr%aN`zUqe!~Sz@>il;=O(4f!I^jUco`r!_!vB zZxPX)rR8NYyQY3CLfS4)?&O&URg`JfsZNZk_Rq1vGaNhvkSHl*R+Q|+E7$18+Abu z!%oH}9xsG7S@I8EGh>?_p6BYQ*&?ssC0*)DBK|6@7oh%AZ_JRncT!>;21nVakc|Z4 zi2CZf0Ef{2blfTtPAbxX9Zoe$hO16%hBS+e8sNtRzJ4K#pa-L4699c>Syni(;J@k& zgLAeTPpcmUY+ZdjsW@WVg3Y#5NeqT!aK3(gvhp6{c%pt}v@cXXV|j@(!xfC; zO(D7RLr;$_wj~h3v4GTnvAh}Rs7-EKz+F$*8v zYw-YnGwq52^0qj6UPlw{ti~}>jTbD(Mm7)Kb-B0UuRBre0`{xOnqphTBrZ%v>zDde zn!(asnM866<|&~d#bwyI=Jk1#)g}2^-yKkNJ}jcr{%beGk@f*7ht4yy{773YFZabU zBH`#0@!}Rda_jpFuS@8Q+$RG7N~yjMG0^#5EWD-TVp%8ph-(Y5?kGe1lbvGwM2j;y zJ+4s{S*W8nGb*-vp)%L=AH(9ANhI1mqtsJ|Z6Rg? zwMN8!*+^cpoSr>10upT=lOG8h5wJ4*!!|gqv8iR;2MM}|1}sT zO^Z7oqZlB+ap8+k4l<^Nu)H`Gw*0K~kILxH>-;o%r4uT#6L z43lvvO3cv4gMmVD@UyJ*(`Tzyu_1v!`5ewo>|fr%kKU?sv*u$ZBl97AxP-K&TuLCl z1fJ?>%z%h)QZXoeifX!HR<}L#c!=6kH{9)8*xj3BGhBA z{-dNPqZeK-;hn0XNx~stfakP3@xdC5rlBu?eSR8|s26Su`U8Ky@(tRVUfay=f>NSH zyoboc9w=co2cg&gBdV#^ejCF|t`Uc+h>WdGxjGp6q65N+5)(#U;IZlJMt;ss+8OBc z1BCej(okY`EyfJ*>vg?63>p*UtZ@W-K{T?g)gx#c%*%JCs;(l6PdP)flV2QfsSeBz z2gVwmh?!vT=jk0Ol@fuyP%VrL2}T)**iIpWz{xDKimClA2LTFQ29giXVlFJV zzgz+BzyuRinZeO8`TX}9OV<^1HT3(sRv;WNUkwRm-}!;>i0qn$q9qj$8d zXZ?mzDjVC;8seoPXBJpAWYOGvN`gOn?3>BNfO70Sk_1Q2~ED` zTQ#!Y3|yV>Pa#(;R&rsV(;Y8A4{f!)QybZRN6M^W7vS&-woVBhY~)A*ZW{L`A->KY zS&QdZtp_OFHRD8}MnWvrRrb?(GIqC6_X-$A1L+ zdKPUv)+j*nNXD$fEHPig3yH)XFtSBjuJ1}BJPY6hDqVHbdzfAeW!9s#Od1~bq2nprjR0|HA(A1XG#oJl?+yOd}g| ztX@1ON(4Ho95o5PKZxU=wy1Ei-R0weS}WL=?F(^5eXqW81Tp(Q26=tyPhGR~QE|0@ zczLV2260R}LXG9so>`vXLu;zfE>`s??uLRJp6+J1s)y3H#?SCFVXZJZ# zOjfTWE5W1=@o97lP%C*mOuauAUSH^WJr(l(g%G+6O{ttb@bjW`dGTGR%Rjx!IcVeW zV!b)+Ih8My_Nurf=F~+&@RH!0P%MpaQ;-_OL0WZRwj86%hJXl?HL^smJ)sFv*i}jWL3U;eT{<>4giiAwyW$Qe^QBc&B683Md#k95 z^*o+qgOkjTZu%PLG7%Zl+S)BY&m`B&X%Lf&XSs%$7vK93J%D@%_>W zex;t;+bdrYX+#wvR44#SeF>$^!FJ@9DE7B}Iwf20R#7Eee}ygGBR#Hvfo#H59m6!ETPKJTp%5Z)lye+=ddVf5@$hs*&AXy87!HE`lpiF z2g(Q7j-g4}Yw8eq#EX1*{SPSrVV;LJ+2%16vh2O|9(KXuj*zGh_LEB%V&vwm1N^2Z z$f-wYKSz04h?3_`gLG5iq+3~wh3lY!#b#^p-}^>0sScj{coaQ(U1>B9eZj!FTYA>Y zb6hpAgE|QR^%MSa-um73vMl(GZ0w0W#N!t7m+~|9MVB-JUKQ1FO8IM-7JohR6x2h> zAD^6Ent#CjA8GY5SOoogt!jbgizb@1XM<9KQ{508hfAi5jiaNU3O<|=XA=sqVPxzq zlohDL1&3pgiJ?#l><2;V83s?SZw7?}s8gbI4rcq;Q^D~xJzT}Kl5Kk!SEVk|qZ6B~ zDcvW1n!;yZxd0$gvmW&uY9D+3_D(Rzk<7c5{ui&tkfi@!li&?Ms-8^Npq;tl#kjK) zReb!d=cH%#wx_ekcQ^(on8Ha}PKZ3WLPQId!MPg-&riV6 z*t12Wv#JQ8(c0lggC4Cu&BLfb$g#U>wUe4YqKp1);E%U+`tQRu>%w92iM$5 zB7f0tAGkpaWTnSRh5bi+`{C3~DPF?F@0PM!F;cY$14R8E4uNRK{F?`Qd0`GHh zezGt4Ynspw82ke++x0(Woi~6f8A3q8{z4M}=l&k8Oe|zX{}!N(xmf6u{m9qkuGCdt zj73=x&8L>XZ*fhtk^K_5LP@bFd3FKJgj`!+|)remMni-R^2ppcmq7%g% zs%8bEk-XcQ_Yq-@I=$dBKhKD+gXUcUa-o{x8!J-+wQu&fVvyDu!fH39JuA0qe$lM| z1V_a})F^o#>)ouD>8PQC5kPF8Xcnu7D3u8nN9U^^914wn9O!DUE`3xiI6K138l$YC9{xL}D(nF~3yaB^v_kb}nZTBstvQPwSd z2g~x8c2OQW0eEQeq{Y7 zdB*uU6#fi-Nqf%DH;T4jvz`S9B8+9?4Q#{)CK54Yyu!L+Ul*a64y=D#%{O3|3pq@v zq7DF4a^^VlW$CMNzn+gBT02eu661Ne(7}3bWBlhf^ywv#6H=f%cfeUyT%>;eZbUOl zJ@rfRf7R2$vg=3TV(U0d*IyekNklo^5vGcZ_WRD!3wD-IHavNM(TFgpMU)*)zJ~aH zXlxlnaTl6E$*KNYY!&Z<{3R;O8cV)jSsWi4eqQgY2i{}J1}eJi5T5Ke$eYNu45pDY zy2w{A7My;pl@u|44L%+K{{hU5>?-tA|BHtp$L>b!%~cm@fByfuLynhFg<7-+Y!KZ` zh>zGshEYGC%eYpFo(BBXS^id>(Loe^r`?~|C0 z&=Krwl1+uu2UtnZQEz>NEqH6ncR3CV$BEGQYVLQ{jCs_C4)4ZP^1%l$ zYf5?_;UB}A_U;a?OJZtezl|dIiWb7bK6yiU^LR27AVjV0BDp*FK^V-|6VEEh&)gQOkL%t&7!J4hh?d8trRrxCPucfoI zihKdpH2d3AyGzU8uea;hHXlA=r|jWa1cexkOcNh=@xEO@sz6)%Fb22Xj^I?sRCR;Z z0b|Dl1nt$!hFyM%P9>GhV=QSl#O`Tmq`hee@3{1C7D(TPvyIrirUHh-f7(law-!Nb zL@er=zs3b$;%lPBZJ0ujxj)qt@}i`XR$$qavWOew)D0{#!j$_o=8vW+rA!#%smFBt z-7X)1a5EWGafYg_4rF=IWY$^4nP(CY|~_`KbVU-CgL?{ zw_Dy)Y0rg&O|bhg@q*jbhRpnoIq%@6_iApUJYBgo9?RONUbp=A*{gdSxLs zTxvB=SuVkm@b7y)((bhTab+H2J?1IOY;H0m!U(z+KJgkw`xQc0cp#NOtbttUl${|t zF(&p_f%)QjCHR4`VBpU3#{>yXKRRa#HND?S4K!MnTu zMOoe$(iiV-h9UGXdZB|aXCT|3PEZ4Se4~kR45k~uGU|it-%u8Oh)Zd22;-D`^hWj? zHMu+=fyt+M662m{upF^|YvJHJf0K&g%J$_Yu7pEf10ZyR5aWxeVZySAF{^Jou?uRl zs4s^8viK-o%m#~;o4qj>wjCHzfutIZ4~Nl(qeQ~o@VYd*Dt{&+t?Mexp{}i)pG<%A zRfhH3rdd`YSo~WF_(#*C0nlO1p|jPnbaQ%Woh>0^+UHz%cF<(8&Q|WK%V`8}1(MOW z%Dv_nU`84E-7^wRH3X4;;S(CXy3)o$oz7ns%%Dr^tU2#FlW8@@3fb@x8_fThKU@Yf zfIr_iq{fCu0`XU|v8_ASV-(`ym2TUL!qRMQ2jCkzU zrqZySHE4%>>}t@|pLfkj?=aGwp%PE~aM)LQEBGJCHp+9o3A{|tKWEhFhLtI4zSTSS z&A?q5?tc+H6Mc#~XSm2=hnIm)Pd*_L&Nk>2;!J@b;%VC3 zvj5SLq(O(q;L!ypCocS$+=8Z)zQSS+PXW_AR>Pk8h zOVV9y?@4lh^-vEf$tnT7@5q2#e%nWQU>}sJGO#B5g8HC`{_^79XL552IiL^9J~t%* z$4Vuww3O~+HCXHJ7pFr_MwMCc8rTKPd2(1bN5+%Rv1v;?8uSS+c%fN1@xi4yi{W4b zoe1cTM_W?dQzl>z(l5#Y;RM&h)!k|)_O>|(EPuWz5P3;Qz2wwlnYGvFm*;QNiM=Yq&MAB{1 z!@ugMfD*c<4ht)?ie(tp9z z=G|~;Ecn+-p-qmUZqpT@nYNt&YAR+Y>0;IYXo z07a^t%*evI$GB+TPE;6-zh<^oZD&^(M_{@Dt|@cJ*hr5K40LK#Ay#7X!H72Gtl6Q8 zMeT{XQv-ENZgGC%-}$iRnulQV^gR53pcvTkLz${56~SYZYrJ8LpTTD>i))G{ToHWZ zgvyW15rvgd`7J$U%i$hXfe5%aayr3P?xCw2bfyhc5BiBzih`@1{j9U`i@hurLY(wYB%KRhyI9r3rp5G~=?>O*@FTZnWk^Nn3 zLw_O>E#O*%FpcZjp3y-zpEW8c4EglVwcv;m|DH_7{daK)V*N@2uLv6ps%sZTe_8r> zX-qpUl&Uw~R*VPKh0cA}^UK}yO|%dkBYAqE&6i|G2zmmr*PK-uxlq@jysg9+roMFG zu8iagsSI?;yjcA>*nqLr|Fbs6=?~pdyP0@-0&(8E|1FHaU)=?NuhMVv3s$H=C=>6< z8a8a<+jV5`wDsxWlCy{HY*o`%16GnjhUkS0J?#4Yy-(_e6Bbeg)D}01!QxttDl)oC zAKqzA(&b|p;2BP3q0Gjt)YxVNP4BH(7jb1zjAwXPeCGP1@&JWmrdLL;;Gb>{HrT|L zoUsE?cgGpj7MV~MILIXEBy{$b&n^vKvo1KzTi^qRT1C?B9} z%W^rAaoavVNWgJk-SgE)Z;E3*j3{vcqJdKtCBbLvLILUwenT6`cEk8MKR>2T!_&P# z_pI~r4qlWb>J;>YEy**%MSDD#UJYCs6f1P`&TWtrkJ1(BZ4s>=}eMCtSAE;(RHR%B?mR9YW2WzdE z@WbaCwz6MsZYZmogtq?rWUFSkJCb_g&ged5^{f}~Ef8}Vfm1qMSh4-xggH}6GPC8t zHhXmX5)*O9O_$fE0lGp~YQ~A!HY`_un<&Ywx?4>2(1-$1Ml*6AU--s220fOQQ3MS6 zyV)(*o8ezd`*cg48T-StLn-q2oVN-bqSAFdL?(+Dv(yFmCs2@RK*h*B^6$g?JV~ku zFdC}6-q{VTvjx7|s(*CaBpBEt#bnT$TQ=%0vZauXGF$$atz3TINn5}LISQR*$smoN zRAvfaJXIFcN1F8%Ik8po+sFs|7D{Ey+kWz#39Ezr&3aS3d3gSF@uTWdC@PW88Ol#( z;I%db_wgjqz5NfCr2hLb06s(uE2qjk$YSn|SP8zn8RNyJ6(L}O`d|w#KE-@qT)MV@ z_Y_H*UdqgIo8WmwCV0yqq@6V$SmG%MMcrK@{J-Mib$KaC!leu6NR-Z1`Q;e5@4-9Ni}+IfD04i zebjN#@oG;g1k^}%VaNYtSe~Jo-R&?7{3vP4*HGhl{Om~w-p4pvl0M_gj3v{sGgTncB}$M7BUS&Ya?Wr+f8q2e)q+s=O^4fUihGx zF{_-i;tz>LtT!~A%7T+7Ks2**;3En9d#6nn7&`uNEf@0)yy^RMj)>YUl!%RhW(Dyh zs(3T(4su&0@zJz=X)?!6Sya**!y&m<+W>!KBahs(k7EHBJ8G7Dlxcm>`$S=4s%~(u1q&gA~8S2l%137xLOK$^?7? zjVXBK2tWnd4`o+AQh9Ywwf}=nU_>AmJ^fj>`N*2hM)%E&G4UK)9}fzg#~o*3)J5c= z=HKOA;D#zeZ}bh9=bw6q`98_C4B)*_XjyUn<55(s9uG~X6A!uA088$=94jFQjkf=l zJ&BS&s5yTb@GRB(VEn2OF1e-s26QkX_qDtmYD3E)! zUnj8iUI2DO1yW~`+T8Mn%E6gs@_FJuzq z&LUBmgy5kuRppmRSVYeGK5+KI)OF2w?F-zjZNiG})f1sCvr4oG0d3%X-U;{g8)m_L zsgDVxcFc{B6uEwA+*%v9PcfL!!z5#Kw2VOWdB=JCD}lRkdY?}XeK$5YeftdI-QF(f zb+Xtxw6CG`3*5A2kv_otk~0*B~-YpUHzi2_*jv{_-Ur?Y}HMGDM$bb|OByoPFCCxJ+V#;e+3Pth&f`B{xwu zf_pA?`NySy!r8XeKdIU((K(P!v@y&;&^)M$5`)3R+YXHRzl!@?UofsCQe3KicN_8{ z#Tf?kQNFv32#a}pe{TdJzjA>2|2!QsYD?kymsUd~iQD^9lj>}I?RrbXVTD|hB9#E5 zT*U8v)5?YYh{59YJqG>|XNf~~&Onz25wdD5^@9KJ4fGk28weUiC_ifN#Pq>weI7@s zn^nghgAzLP6i*TihjV-gKxwM$gf$0uCDYS$at2@1^#DxH%G6_}tH`wfWY_TB+P(U+AEW za`g=7t`@#d4XDPjC)9H!w^h8?hV8i|>*ts5RVy8y)3)qGgf3b=;uMVv5|dc6#SbB( z45KcOOR9Ml8osG8Acq zLVHz6uaxTq&SQ2L%=BB!2$VkdcIS`r2X{M?qZ5bGb3^ldRo&7O<=STs=3(k!$h3#*SRDuVi!z`l7^I z;tSfaua|{J4s5;kO|Org^?23 zf#BurtdoYl73<7zl~5)9#SiDCj<`6uGcCWx)&Br`4;Nx+xZP#JBc41LNeuzAgg22; zmk19l=rZKA{}$5s5NdRh{9F)6*3GYF@|$`Ovk%c^{^y3R6C~N?2FHf~EM1#fazzBw zkUzjEO`dG(Wmmu6Gh`!8i! z3G5%ZG7z(!6oD5WTT>Zqf3OzzOv`LDhEM~;p22oD&9!mu>du$x8F%#Xh}aJ<271_2 z|9ykRqb5WQwpSm!K;7ta1GYP{7FL)}c3LKv(151-LZJmmAPUmCDE1^gw8sF8pn@_U z-Y@@)FiZwE{sL;;a2Q8feNny--`INe=j_(pXy-Q`m5c@C#&VcCEQtiWzL)+9*w-kW zW3-ZytyZn!%5+^IZ~pg4$U4EtmmhjfLqjn1A^U3_PL|>DI{CwywLRuMjZF~KeE}L+ zCS9ayXufW`IW6&4byb`>_lW3AOPqbMoB(j-oTz#a-|BTq0Dx$79<+7=>j;vA1h5Ja zkts5dIOp;9{%p10*fuDN4K5_w9-_rtfY(X?ZS_j{=;7CfKIEd19?WHiKZhX@_xB?} z$(*4PuyiDfMqT&^oZVF}$QZqCrE~8jtU+zg2Qtzr38@4;6RhmM;)O&Yr06}Pca>V9 zhEP*%gd||?#H$UeGbTcETn2s69djP@xW^H6088uD7rA;n2t_nlX1}B5!c{bUtYL6fH}*e6aO$`!O_Wdz5ssY9|@A zra6JRbvV4735m^UmjfSPoe8)iWR=%+d9u$XKTPC0`OMV{DDPQC1H;qXPr{sn@-^hZ zE-^ne*xN|0$ly*m%n2K4F`l|{rg8G3dQQ9y5)Vf+Wyrs>)SaZU9tSyg_pIoIX zTvSj2>x`of)wP!?P-i2FPAFqY71ggQgP%qOBVP~CZJPaa$hBNZ$#NI^?2`|%g|2v0 zpT8x-O|=We@aTBzL~j+9^Z{wGl((`Vwp#TfimbS7vBJ%M41|wagdHt7PiljOSuQ;&@H9LEr=BqYX$y>6m`X z=XnK|kb^MR1R*PkYXY5yE~6f2RiYkvIKHPKD4Np zi&wJ&p@PNt%H6(YD6mcDjma)wI4@Mq`2X6u@^>h>ux*en*$OF4CFG5)*$t&oilUUV zBr%nQBHJucnnW|0G6^%5Q1Tk7*KWqXGxkwo7|T?a!Hk(!rH*En%hCH1@JcyJuhf>sI4}> zgypn^IT4<2z6n$#r!U3?Xeek&D{7KzBdyb%xw`di0D;{L(EsywVvES?~ z`_ydeJC`=1T4$I;=M*VeU{p7OP=W7is;ypFgEYQ%zI$IddlCogS^Ap=9B?mFV7cqa z({>=g6!kewN1p7PT$k9tL#6F0Dctp>LAMbaLy=0%oO||9bPkak`iX3ieEgg}o+4et zerj%Rp@JpTr3oRYz1oia+p*qcY-=~M;S37r=&MC;V zq--v$*VKkKdzI6)5o$#V+-m8vUm6N<&0gN_yIQfpEv1~B15i+4j?dacJ%)T1Qj6M( z8(fdc@P?k%0c`l(D)}6xIr@{H6@DR{@3bbZ3zO)~a#i$cGA2AjOAxg>O{4J{-zl%r zPJ#9}aYMN7C1Um4&RMh^Uiw4pNv%cDuEv;hKJOY#-50;?WE~P`-9{FW)?pTe>}na7 z@gM$QZRjhV!d(_U7X`K>7V`37Mc8A@O=`78c_Q7W(bR@f%X756Lwr$kCsQ<)xBfBti|ao^kq-xr zj76Xr*`^LcL?$fQeV8S!-%U>nXxz9$&fugz#HX}OiYSK5Dy?mPhtHDe`j=aQVOorv{O8-0Rh zHX@>JiMGs^#jY3SsM+GfY{FUbHk?gPaNL)j2vZmwA3GFAmdnc7WcJL1iMw~=%t44k z5&ntcG;?{Z>xl(<2Sm`KHOQ!ZWw(7mE)vJwd$Dz_p5wrYah2D`=u<*P>^m7lYsOC* z7W?c{v)XZczI<;sh({kJ6mmwr8@pXSDe_{Qo zDJwhnWBqpmQUBH?DV}GepPLH0r`Wro#`gpOF(|b&rar)T3hOMhKE01ZGzQbToWp)0%dG>m3pLCbOApZ-!nGfK6 zD4Bi0P?^bj+!j8~HV9ewh38L$Mry=rZ<0wI58WOTUGEi95gw<$bSyhvGIro)Akx;| zOKp68Ci%RlECF&^<<(W4K+^0shhAx1On0q3rZ z&FvdaO6$H<2Dj;Gf~%~6#lm3qUUbhQl)IQFNvxc0_efxcM={`bsh}`f;@dyz9r*VO!qQpA6s~Q ztaQ(XMi9kc7d6_(`A@=aLx=z6;fSV%dh0K?Ur%eoz$j+vJV0F-T1i*fF_Jz!4g4jb zK6V#qO78I6MA=|BQnef0w`iWseN}tniTJObqJW=D@T}ET5J4W2yCwZg9SP#8|F{cT z1;?wIndPvoq!2CW+d=BwFPO(mFAeeJ;p^Pi-U{-uvh2{*C&PwKrsy}I+jm-=sn@@c zjITM{QabaqtL({RTfQk-T3k4(jQ- zKV)EtOqn}e*s8jbrnY9#DE9;O27Yt!2%(C^Tl++|1MQSV@`64M#8@3LvqU(yPJ%o( z_MLCRY!i|Nb;=yf?bq%zO{Q9hNuw3(dLKXkAG3y$cuEtqt-l(>Hqt2Yx?EySEZU~kn#dv=!-K3?$qJk(hVui|8`X_t@8T0&OjxF1vINPn<9lEE(! zC&E8#lu$ut3GQug$rIqPdSfDVyhkf07t3?ebEP`$^5hM z;#^B1nKcWm&$0_0#q>zmyzBD$vt=i5{R5=#%+pc%9l}kc^?%fANQR!-#W*?BovcZp z#3{V?E%+Wi;vG(T9DX~4<~YcfU~1v;!T0n10T}SrBjocQYPVxJsn@C#SeU|1nQ^`>BNK>eik6)0J~Fks%H?SO@=NO;`%Zj8 zaSgbq9&?vSWtZ$nU?hMm*A9w?6`OZVdFP$lp6o5)rjy+9A_l``zDuJf6SBmd(`nL$ z>Og_%6x5sV`J_1_YNBMbQGJ^r4$oHIyx~8POJP|%m><5Q??#F^7ErFK9{`BW^j~H7r z+t(Z@bKx4^?c2AV;1}2C>CR~#O?#1`%|<28GPec}7vTZIBxjNxs_gC=0Zz!KHu!h* zb?V^1wImbAZ*ileyY6ODQLFydl+Q!Aa(de$S?Yj;Ytake?A@P2MwcW;@4*)t!GaFa z*wG>O^{|8GQTL(0ZEO-%es>CZdj@qIkoI){d^#eJSdQ^rve8)Ex~af(BltN}p$bvA zhnHL+hHOj|QF=2@qdTCE2&6?Fg;`{HfD|4C1es@FP2J7Zqdo}Uow8@byP~!7seSSC zMW1rRSoPejl&AJe9Cv{8&n@kyWRA|GP_UY#qm5l^&oKZWyJs)r)0dU^vs zza+)#yH|aKnH;*8IaR?<(;diG#;$<8c8R_gn`|GQPLY+0>wMLCq<&#?ID(r9$}}q0N!DetfS8 zH3Rx^pny9a>maTORW&A29na)cZyh?Dxsj$;`bWI4nn&Dp_$01Q`b_y}|w zWs7`9**hrctD8F1yZ@lQ7&rv+IBz6bh0>hdO9w%BcZQ}Dc+*3rd2}Pm2Tr`Xr@hhd zY9Fe76m#P(tMBLsdl}AX6qKt!xzi0ev8q0MDzLkCWgwpQWsW*zc$O; z9pJiy)_ZF+MwtL7HNDSRya|#n@$*6z2YHB_{$%d)AJPq8Q-8VLb5s9Agk=llAK5LX z#ns)XL$AXFZzfcxqT(jNi*bb1I7jPx>h(T0;HQFcMoD;>FqnIQuk++kDzs~>gVO4^ z$D>667|hxuxJTlJs;n~@A`U*4WFjd~V8g;*s%!uIxL+np&C892H}k5^B}SZBxP=65 z3B(@_h!UqvM!jV}lVj81yE&-hR&$yod8>?&-$AvP^x30Z-at2VKPnXa7=LanvEXt55pLnz)?3`Z^D@7xWIfo{KRf-HtWoZc|t!%dSR1621#Lj;`ILMC9LCg4% zs_mbn7*_4kLx!zEgum%p#U68BOPc`ZwhnKFThSRlx)d{px`DSFM1>UMkxsasmK+mg z#3?=cIEzg}8)Y)t7((H4-ul)gA`nX!c}xFj!CkL|hz-l<{k?;e3=O|F7&WOABaX;n_qxvLAx@=bXzVN?^P#$n{&{nHZog-$ ztMQ4~)zlc*FCXus_Z{~rbNN!ho;%WBF#XfEy>8!?{l1h}=I6c~X@9lvc%1zS z5B)!l{hnp6Urw~wQEUD?_}}IHhn)YHuOo+ivQM}(4^=3P0X)BQ(dEkJeFXQC(G7n_ ziGKf?!-zqX4RGpo-z0l+oU2BupR-h)6fbHrSN@QlcQ#b%FRAktLH~TbYH-9wCf!T% zZHmfJ!PWg|Zq4XaTGIv^V%L2=^6Lj z^)NDhm?xtrdjE|V^XoO;bk7NyuL5upl6OT)+IRP8!CR+1-t|Y5=4hCRvM`RX%*>O! zmlQLsn4@;3=FQ{a--+Ju_f#@}=s5b;9Xr2{)b5S;I2Q2fb?vyT7;Da#l74lZe# z#J=zNg3__Vu2Rt!DU-3_(SFes>5iBn>d%XJVmDtJ|M}>4G;`yMhj-Eu4>>SvcmQrG(VH0g(q!4uso9(yRdk~dWpe#*?>L{0?zEa=My&R^0<{NPq+EM=f; zxm^-mvUvX%DXK+7qWxSTrm0uOMC{!{{9)rX#KmHt%nQHIpB>jUuT|=jZ@ZAHcXi%u ztz*0n8<1A}NUQm+(jz5Kbp{PVt+*z#wcY1crF2K$3olP@Mjj5^=lJct$6tp%E!t}R z6lifT@4xrZS4Y)H=H8;2HOJsB2jlj|OIJUKD0z2%SX`;GewV^pKV4_nrR z@66SPI{(Q6O11ebK~EQ67_)n!U;Ct$cj^pK6We_JiNxja$tnS3Md$yPh5LKP>$4FUT#W|EpsR<41)e3Q{ZB8SN_+{twL|k?8;c literal 22067 zcmXuJ2UHW!7dA{$L1`kri%3zB-m3_R4Gc5RNL{ERG zpQb8K${_CVGxz(qwbdnTa=w<-JV{TI@F{&_mP2zROp(Fh?fZADBt+)M$MKEvB@J%` z&@bTo{`m8)jg5x9yrGZs-gMWlU3;v^o0uF#7CsrEf294ef~B?OsbDV{X43b#S1M9R zb0diNN5E0u;0p<`-Z$a3D;~Kt3G8Atic8FigtxU!y(dMe-g9L4uj3wkH%Cv=QiL8NB_S*nv>*d5^`2VOHL>1#26g>*30HuqDd9hzXwU zg7|DMh7jm@@#wi%YMPpzm$BMBmzN-_&3L$&&$Itun{j4rFQ!pAvuk9bwxGVTmo3Ng zO;f5budyw!w131%dMNO&b`9o^cFy@==V)-T7Jw*$)~j3M=UQiz`eTcd8c}l|R$F}1 zp7p8HdpYW~4;&%roy3DzCzMrDEYDou<;tsWrmGJb(5YowkC^bQacPz0*Rb*14AwWK z%9*|~SqYPDUFFPo$&!A~H!Ko;esSO7e1wEkprPEYJH6&44-!z5SGY>nOx6=!?h75^ z{ZshMVKVK6!FIE}C4X_oq`WQmq%?c{y(KW0Uv>o@II_ z9IH2fesRz9HBcoX0rPC*nFGnc+j{TNb`}TWz2a48xQ!R8AMEw1D?YB=OBM;I(R?g=g8y2XR-H3;=!o`rSi;wqJ@L1yvq5KCg=5 z!#w-N*L?S(JUF*~GV7gD=O^jgH`#u8Hzl);b=4%ZgfNX6XV$w)2X=i*oZrVRQXkXY zzrwE7wLGC`aFn-owYP{{F?`Oq1CwvRi!mn|lgEpX>hY3|=I;I(`_m zRi61k=b}->=W(m7?cZVJoY5?PP1v{g+%i`^kVT;`f1p1<|Uh2G7A;xBl~)N zFG^nQUI@n=^dC_jlR{Z~M9ZfGOgQIqP;b6|Nc|pAu9@@tjXuS~e+eOK70Fk_+K+kZ z(3V8_xnum4H8I?z99ZKR5ZCcQ`X;sd=HxS$shLZ!7^y_ZImvuEdzeZ5y^~?KBgf_c z;2V6OUo*>E$|FU+4;B8Q@^>fW2FGmHk6-B< zjtF3cZfLDseElis)8BY4)o7q5Z?9w7Qg331X!v%+NP}{cEZXZI;>A6w`XFut+>`d( zZM3+6v0eqxh{&mIE_?U-%We7AKxkW8tc+VV=d}nki*K)EMC&F~KbYpnhh7mwsY5h_ zOHAv1>zkzZd&Kv{4*L%EzvEclDxciZe$d)dKE8Ny9venf0sRd1aSB%srzTccz%wD@Gnxxlci%?FB*#y}YRLtMq#Il8o-*8~-eFA%I@AKl`8IW&e zYJPP7q1Z{5*~gtnUkTB1j^&>}G6)uG0n0xp9KKEjJW{KEEJ<=^$hvE$j`g^n&VPNu z|JA?8A1{=Q^x?5DEwZ1~EXz#*%HNPEW^2Z#Z*nYEkfXoeM$U1~DQA9oD0%&np0XvC zxAt<@W)>e`M1FRwO)=bFxt!FzY^HYoO^Fj~5Z>t&KQHtk{`OPDXU6IxmT|#*YMcxZd4>^yo2&gVvJ7m>6dYRnXm7Q^P-aoEZaAnPbTY+ zI^rf{xn8BkKJK}GDl0YZ;VYaveIz8{^dvvx?N2)1;HKiJ7WI}N(?|WxibTI;CE^PM zCY037QR(HSMY2*ydvR3R%U-x&;o5=LzoVKs-Ws!CYnB2|{#MCK`~cHl9|k4sKeSAs zS$n3z_0OiF8OHbQG`XA$^r>E@)@{C+0?_1_9!`9wnQ;?4vq%Kzj=px1%D2ZHLqn;o zJh%9jt?TT5<&|2Fy(5nNcKfXL>g`2`c`yI2x25w;!)NYKpZ3KY_$DxUzUtR%RDL#| zN4?>HzxpKpl3V@U^eQB4s}>zC@+{ZpY&rbB&@aJX9EE)t^iUO$YP5VQTPDps^@BH21zQ zqrxNw{+%B4z)aaAW{}m9&4jsUlIeiu`qYbp!(=8|cIl=x1uNX*y=2qSN8Wb)P+YNA z>2fFQ*@{uxyvjn$+%OwXG-Tr3Fe`U^=Jy(J*pm_w)}8jXN7yUQIdl`5pT=@a(>?fN zJVq^;^aUEsds$?k=`FH&WJA3BgA6SNqk9ru3-Vbrk+uul+_zJe`0nsa`ybg)?|<|4<+OxW{Nu44ySe=p#Q9;=UC$o4GCWM3{5pfdv1TI4 zg}HNF@dnMOTLaz2^)1KG8`61SZ3(S8H3#JSFMGwM8!Q7}dfsjR^?LRmG|}8_~K-FbYIB?v`?lTw4XmvSC|>*wlin)Y4|%3 zB(ualb6vrzSjLqA`49~7`5?$Wb-cqw9rCEO#xC6SD429qzFZnx28&=4&DT!q;K2Ix zzbTUF`vM)vTDoUz*)_J!_#g~Y=r;m9zv*L}-JNrGeXcrxHXE~mp z+;0ry{_Ga~n4v;JZ_Kv$WqeHisfVD?Kz4&`Rl;{c$8^ZcuZO9w#n~-I8yojiU-Z&D ze&!kzjIt9bqbz2pdxHiKy2ALQhVJ6mFXgERzlJ#G_3`6*eyG1I$60B0Vrs2J{?oFl z#miYpuAwWlTluk_B+2FZH+9cbN&`smr8_R>KC2WfCXU_SO8qvpn0-ydpv^94^K-<{ zUIXPVWVEho+t0x@C-C9v5VaCMLghsGV98lkJ=j0$C(j+d#@zFS8yS!6_5@7=3-*(| zt=V^$cE`7`SGxx_y8rjG*=6DSDuMoc1k<`E1=7+Vo@=qe~Tp~d7 z(66agKgRu;@dvM=f3kK{hxMIVpT-~O`;G<>!RB6n%Ne*W(z(#O|aB$CPdqh&lkrro>xtVX|bng1ldNlcT@ZyTa#5v^DJk+4lI%q05L8l+tctGjxh_nn>Tqw*B( zdBW}cH`FFctv6%&c75}c+w+)X?Zn2E-!81U2PlUVEW4daKu%zL|1BTXLls_vir=Gj~POR-tjv#94Y z*}7s?`bOKMk@NS@C;Ge1$d!k3Uv_-{I48N(GQ9pQy6X~VSkkVsNq?Abz!9Yky2jKP zYWW~~>l3Q^!;ihEtm1p-G}qf-(L|W5i5rtYdX7HZJ>(g^+V)Fm0h(g4<|T~Wb(*$I z&+Xt3eH->WTmiKYpnhtU?y!*4I`=(;0c_JxW1i8})0SMY;=LR-|;ntF4F2@?8B#0T-n@K3JyR1RP)VFu_~7Q zY%ZMMOwv=0n%TNl^*7e!4KF9V&(#jw`9xz?#V0G8LhaHsp~A1q1@Z~JAtD^)FazmZ zK9?lJ#$9-1_|>IS;8or_E5Pd>#`+S2)?2m`)U>IZ(0PhGi}MQK71RS}EN3Yd$wpuN zdC8|Ic2ne)qr~pc=*d09>gJfam9GNY&vblilPw*uQH!zuym!=?`?3$4o>*48d*U*N27ri0rOk?{rJ&6>NnHDJojBl&9N65i(LAT~BLgo_BdS}dMT=@1?fN7t0= zmiF$6W-FJWjF!vflU3#iBwwcII+gFnC+*=mDsLCL)G<|*nF$rCqwh$IW2R0wsi}zM z*na#f@e21_$dAU8m0FjSaV{C>OJ$7A^|qgR{rT@>N!=N@ED@|jPo9(iI}X$WfAyPn z2{WASogLyeM<2nTK~afWkJq-Hc-&c~kne7gP10|k6brr*@Ea(}+p5l#8oImv>}mPI zl%eYu%Wp-UHXiC4pKmA9?vHXGBp41YdoagZJ(Ulgqu z9rQ6J4xJe*)*z{=Gg(wMPC`byn=;KRefl-S+Yu^RpF66Tiqq3CZtw8QDbV@3>eU_J zpL@VLnuzh`@4Xhnw;E=y1{M8Rq;ND*kQB zaS;=@pv5LH#dJCqU%n{|3d)Hat;J>znr3JV9HW02`uhXAw#B-Blb@`vSr%m8`QT79 zS_1$ex7rvus(I_BOJ-!f1uT^u^Xgjiu06kacC35g{l&lL(C~+(%II2{ogxCFz zq$LM<5b#EdF!>O6^_LDULnRx4eNfje$0b)76{=j+2i^gW^y0if89iOf#ci|GUJ)$4 zuBt3b{J<6qdeg`P4E+%U!^NDR&*HWj!pk(DO^Y*8KDx*LKBpA*=%9MPbo}69S8k3y z4OopZbpBo;=c$v7tOPO(p(3B&VLQ(3@@z<}xS9E|NH1vLP1fbb+P3{O>nv`Ice=#Q zP#x#uc)cDKw?0Ua0xM{^f^Vx=87HqLrrq>_y94uKE?9$n?@6F!z&~o~CSJZ`oAotM zwu#2r(>kptz>&SC8d{XRlj6*)5`}?K;${CfYNC<6wGD$760OApAapXjSS_VUxNMYWVvD7PoQGrOjxMms<9Rl`j!}|CM=vwP&zYyaor5`y63zAIy7|nDH z3avZS{QAj;1871k4W0iK1K6T0X!C+y9+^90+#-H~T~%~TY_ z8V240`GGVeIVhcq15of4hmgOrXpno11mEXC>Edg#ybJ%^vADO% zvcEPbm02m@M!}9}CnG+}ZZQ{`Mt!qB{sn)78-NvSba$vOypfCC1!<#@Tq)^8vOZ_K@gH?}cDJngjx zNN)vl-0ych%)AwJPnIs*&Xd%iWBveGCnz@bB=o{#GPB*Ob15C~SC*U&g#=s(zeyvu z>69>jt#nV2IrG$>!QshC@}WA(QzdBF?^SHTGxM|R=KutPDN++puDL-vt|oBZJ*lVx zCcQod4|dK9Ei3$e%w`9FtOLpm{2dkW9}-picf3Ip*d_EU6n5nZ%>9Tc6GBFRo`A$t zr1sTd?S^BFx6;SW&nb$B)s*?Ii^=a$EubURd4Ep+N+=^Tq)$8S%ZbN%xh{a4D&R+1 z8%{1hi$9>F52%yFpI1c=3Wuj}e%NZrT6*TuRZrF<=%v?w#_A4DS}+t?1O|I$nF> zF#^Es4OFqfOVa|;Sp1zPt^thX^V6%@L$}}t{2O9dN;xBC?g1?#p~(%C*iUvhC&AYE zVcWUic@fmPw9VK@T+a-2QIg@^L|;yE9z6sAmSH^@kVLwT&yOb<~9o> zA(tuc5@}p+Q1t&M??nj9FDNLDfeHLeQ-&5;WLnBV_>Di{`}mSXf@nM%Evc2eRrW&& zxSY%zuhTv4Jn;4AIws&Bmll~(G=_MGp)!V&`w4G^$CK7M5sL#7Qx7%~kVhb+(>@9K zNQ(;Z4j9{A6X`4{N_jersLf2MR~dp3nrn!G<|%-cj3Q$B{eX@mqm#cDBQZMcCr3Yj zy)fTQ1?24L7pSFERBOgSn?6sbKx}lf{!c#FCwKU0zCPiGxBk{_mIPHU0?haS7#jt+ z@qGyRSA7qTj(RH?a1Tt1%o;dE3Ret)zINoa@d$l9*?~MGbA=|ie2JNi$a4QQ$W^d| zrTy~us=QJCO=$x6J<7iuU>}3)=h=DM|9Ym^=n|7Y5kf(l8^7%IXC5Ai3_){yMgxBI_v~1yFDVUL1hx za*VIKGZOhOOJ<_v;_4m=V;=%~%16X>t~tTe>R_8jvySV{M%oWZkBILk0RGzD+S1FQ zMF^g&pFF#)^lFw}=V zJzyS^&kw#{CLI>`^CG2{UyaJQN!W*hTslIuEknv*8lsAmwRd40n)LUlv4RFdvv~5K zPA#DI=k>bKV$hW@m>dA?1g|_q32mc%quR5{48+T1{-q=pC;N#q8V5wQbk`f7cnU2T z_Bw-i7|vbp@nZyb_ZE+BR41IKERdD;%R=PwhZqOv{85_6$Uh0#$((J+?SKnplUI@! zCga-K^2kMwU4Hq`zfT!5*(e`RXUQu%o9bDE!{aeJ-4|uT4f{aqh3vCFo#jN1UW{)n z4yYP3_|x?c^j~vT_wX~pL_M{|i(c~-3bT+-C9cRxwLl?t+bD^1(UX@IHyjA?9hQUr3z?&=@r#$?*yPpu z4N6@4I|iI8YI3!s@^1mQtOCCeYLoG{qP7BWg>9>;inV#}$(Cy_*P^WM+iG0{WDO5W>LC8MxNJ$7&9W>l zb=Ush|JJ82whmz;K=yW~wGDRcei^79`vB8%zBe{>iU!WsBW|1nH2yp^E^wO%W!wT* zXsibe*zonHk5$yNLUGgZ>HEh)=8k51#CrcEC5fk(4rwoy!AqQ?MT~t%1|=7Fpw?ejtT!UvEn=OLT8tnaW7J1sKHk>K@tQP+)xH%HZa(lqn-wInzNr07a4dDVDc-&PVHwh84rE$h zDGZh;n53Sy^ovNdZSF*oKs53thJjN$rix^12dOQ#V}u1JJIK{ndG2D(S808bmSaEz zYI6IMeFK{JW8H6G30$AIruZ%8gjJg??Rcc`^h8L|oQCmS7XVQ-pf%wR6uH3*RF z?`kUKR=6xqG83`R3Jh7d8E-7tQ_hBUlzmfkXF2T=HBBd7A4CGQ+XiXGj}N%^WWSC^|NH$Qc%CHkPdp3?tr*+Z zIUojbEV+!^{sf`@uw`!w>Mu4p^_F0C_kf63=f__Z4i~s3rVBJ*ZkXl-7jCc79dZv9H`(2u3mx~dRSfX^N8AwTA)2H9Y?nV#4l z+kNi^%&YBPFzc(?j9A>F#zIt#1H$jtojz2k_ay-fZ-Q~xdBWjimIMsBPGU&K_GzGR zv`Y*MJEEkNif+6GGP8c}?qjcw%?2$yT?IV~>Tr4bj#1Z|VrQEX1E)Q^q+;b~i1LsT z_ZrsL{eWn|tOI4veNxvX9xzY{x3~#LVB6c7w2!{r(LTR*g}|_65xvg{xC1RP@f{J0 zVBOJSnm@L=rT2Co|7QSYJ%CoLIn{J>o8Ss6GRrV4FySQ|9vM}o@h+6ak&gFxwkVpx z9H1^sGuUe8yv=F@#bXc~*v;tkh+85-d}3N`S3_hAeSI69yH?O9gd#;S2xRzJc7apKc3C6V zA$f&MEFAv)pK4=``UY*+$rl~OV1Rnp#n-ybG#n;`Y(gR|YZs{O$PTE8lQ~rnPcf3P zf(d=fBLmQbRWN#oa26qkx01xS(^ArvPvy!R6(!(es=n@e2<*>9cSn2o)fzz^_w@Z2 zh-9ft7S{|;D~1le6{WZu`|71MJDU9=q!TO6xs$(<&OH+{1v)i@wdX&YRHH>xKm=uc zu-TA~%8}>r&#%FogZYi9{kMR0EFgznl=W`aMKl@Th5_oSc15Ttu~B)2H$GcGNtr1@ z*Tj)OFwfG&`=7Jc$SD0hp z``1+SL$VlQ8{3M%|Cjcpn{0lh1gyTh(_n=Nq~Eh|Njm={0FkNHO(VvYnL4y1Dx4?- zO}E$6Qo}Zu--0io^>FX|i_{#l@o(#Pz^olH>&rh9I zE5%db|A)JjtBnPDkg@S=n2t}W6-(}dDZD7YgZ({)=bic{3#tzO(m+_c^qvk=Egu#n+t&stdnxgNQ)bFk8Yp+?L6LX9cu9;m< zLd`*hho0!3xNWz`?;?(O@rRcHt2N!705O+?dceBn0M0=Vei5mCA1pyyti+0r?<^!7 zPB0Pn8RhTND{n0ba|7@JE>fWOjCwSngo_6m!g#-6-J-j&=>Fv>}C?g}y zQ*2VL$|5=HPHcu243~g<5@7rW*%?Q~okMj0hvNqBbW@c0V!%R|#Z5|pbtUL;`-neW#H6_n$lbxgJi{(|-6b|yN_ zLpym!A3#{#F9fxt0VXZ6WZ-bYWa)$b@+ z-uy#zBNUh&rJBui@<5T~lTP_D$)#Qbe2zI&G_lTSh1KY|7jKxBco|fqt5Z-AH{;v0 zgZ$Da4aA8$kg!fL>8yCD>{|zWpPsNqAKi$;zw@$+da8$nZPNRz5^6V(&xo29?>rs_ zjSIc(|DS#bWwM6BsSRaB8AK78p&UoW&+U1-K?=ev)!K6 z0GTchwJrixv-mE{F)8SYu0TG;yak-Byz|^@BSckLsyko6*X%rCv6W-YVXI5k@)~Tg zLlMfVAxq`b`mvdWZNjEgXLILRtE#n$s#;Yq2Hs z?@@WAE&4+ZkZZNq6jFHohNVniPNbZSI-?8Yu3K*h`k>3#djex~8BD1mjDQTwJUwER zIH%`eYbJ8}FRT6UbH|H;3eIMKYr6@#c*^5%!JF&6%NW6gGX={4qNtY-=SUKkp{^_v zaRohl?CrA(-QDWpQR^a-5~Aw9AnZE&A;@3Po>M;Q$O!l^{P*xagHXI91MZ)`&Iia{ zh46Kr61rd^W?dR~Gc2X^ij6+5MkJJJS-qZ$i)ef~lp zYNtiwvH%M9^5+nW$a{K+vIxzfW_y?I^0WTtK*oP{?+Gvb*}c7OP2)V)jn@t;)=IR- zwJN&jFISARqOL(fF$zjZ(=P&o#-{z7#Z=R*tGJXy_lAw}~8}iHN^>5Pn zMJCbiEA!S7@AsW{?~Z7%5|%9iLC+<&Z};eN%>C<@`SnW%=5o%l{R|(z1K5@HVvdB) zRW83m0A9~zA|?sKepqc(han0OKAkg_1JSd7&HCsYT$m6 z*u?FQVVoAXZy@d9%bh?cBj8T+sR|S;(C{cg+X39Y-LB!}4Oc?@U0Z~8eCk8`-EShpBRla7(`BYCeJ8e|4YlkIm$n#6Q2N4rosj z&~ZaQVz9N_wmDc6?YfH?VJE-GV-2>?#J1tg-@*?7v4QH^$yF6-3*q>QN#8E_!fOP^ z$AF;t-<3!#mK$OTAP3u->SUauQAKE!@HBm&T{T+IW-1d=45smpFwL@z4kd%JcuvntO{+eY^q&t(rFHqTDgIlgJp>R5k%yR$hUfE=h%>+Fe~ z&RaiQ&wGzcfV_Y#UsmDyW(P~|)DhJF)*5UFI!K?Ej0cN9gy_8lo!0JN)(O9?Gm7P! z-HWmZ30N1;c2Br8+i|JXlhw=gA*4bsO4;Ou~+&T*fKBH?2k5Hn#D~ooknSsjRpr&nqzgquGg1 zSf|dffQEV2qv@OA;eS?JrhE1{Uvr(yMWW)-$iKnUZ()?AaE_!OY&k2e3UJ!yAmnio%#2u^I-#)EQ;Hsv5k)YaM3H|CK-ZeSIrZD$K~k&Mf5b?? zPvSMhQ^ANI{>=}Ap?oJzU|MGaCKrs-C5MY#_~pGg!D!5aPrOwhpq5hr=Z-F_n+Qf6 zQR^KO!dHHX!oC5he;6FBv)*)z;7&s{6uD@=Y@)+-QGVnt;R+|*rbxzg*HNa)0I3P= zUI^l9e$)cxLcm=sSSXB0*9Ep$179nkByL(;7Zb}Kk|ET=LL0EF2fvdLGNCG9nb!g^ zu2AL8nG+dWUX69N6TujP1+vOoUds#K8mxn}YOwuWRg9bwQT{@lsrH{3(mNNZtP$#b zWMlkS|K{-jCW0Y>bKiZ<3ta_ewhi%KUx|1NfrKNXn2uJ&KWrSeGVcb|k5WL1bwy|E z1dasSKx%F48uZEATfrZ3f#>^>O48d8JVQa(>GlrZoe!?CBuIsLFLmx>(>`t=wz>u< zr&c#&VnuIkdsI(S1}g4M%i=hHziXhP5JI%}d{S8TTEUNCUuXiv7L@I%xG9}~25huB zQ?4JQvG%VO3|jwQ)0YckNsENM#Y?HFdlN7k?EL0i-24XT9n?WsiCVsavTnaUrk&p( zVf~#SsPm4UUQ_3x4`au3j91)>1_l~0Xa$}m=(mE9$f?se|3(Y;0+*7B{5D6BDrb`* z`|;X?KcUUY5qBg=eQt1wR#614ndf-%>EtwYT)9hUc;tD`4=`4VPz=vJp8eF5I{GXn z?F`gFPMH+{@@`eVMNcePsU1w_6f@+v?9rUAM679iUSJdyzMVqHg0Pim7+K9G`q5+( zJg!Wl%1kCF_KceY=MiDm$J&ubCGAavlqz2IFU9v?aP6$8GA6m%t^n_9`5h5%;)hjit_0uNAkfb~ z95=ke6X4(cy1UAjFMJ$$^=r+w{B&Ukbo1-nT98TiTScq2Id<2Y=&A*wkFdS)R9hmn zE37MhueIE9_F92V3d(vykY}H#Wh5|}x{HS3BESzp`mZehCz7@236)KH3)!~b&L%yy zS2!YDmCUM|V5^?U*rHWgV$bk`+X)fX$BF;)z~C?pB?O9Lv&%&o-ha8wPJ)WysrfDfH5@E1dN@iTi|s&m*nTfQ)KQ6a}(e}Mf9I@G7&iMjs> z$^Z5qOxz}4Ybl>PPJy)!5U_tCW48)1V>CJ&P^o!9HEuBgqTXz<+ZdCHsp{z1GCmTB z7x`@ev4E#HGg?!ebNkE>GTW1C+fD;kv>oV_@CJ_tbb*;jcc`|0c+;&4B?l9qL&t4Z zxM+!RPUaVxjHo)0VQ#s9#tL zMe4f*cKebfVK(Wyt~>Tv;;9t6+0uBoJq>%Aj6ToEMU1@da#zD-EVFRdandY2i@BQTgr@-ox~6{Q1hyOfG{idAn)Y%z#|)Gy9*s05&)!L2uE zuZiX+>IBX126dgCMWV;FqLimanD=~2w7YC|m#6+lBh_yavg$P3+^jS_4qJ7Dtu1j6 zj$%m+tA@0}0n~ZtHjF_ZG%%W$d^e7DtoZ7yrGoBmtoaN*S`uOaaUNZ`^jrkJ1i(}& zRtkNKqZFOt$+3ai{Vo)czkgycQKjOznDo);h(PCb>}MAh4|eKof#tQyJ9pyRuS)i~ z^}0|#{)1tEZ1*DwJmUoM)?fz>$z6zf(QL4)u`bEuSnnN+T~$s*IQ+eBqo)R6aut$) ztlPl5dF2&{F)uOSph=q@efG(nct)^Lz>Th!j6RZBR^W5_ z=|Vs&`J6%gkHq^cp=uv*>W*o3A=O8h3n?ohA^FhE;-u3vDEs>e1Y9zZjDvbymB!l8 zqbs<+icYQKiGH3{?gvubn|on>neD2aUkMTYPTgtY25Ts* z(4=!ZJSuS__OwyQLuSKF(6(QwC36t-`9)`;eLO6Rz-b#&#a5N5{{j`Q&~uHsU~L57 zYnP&AHd3u{B2bME%V>f}hqzu5coVm;z;YybB~L!IP4?QDl#x^brB0?08F*!)h{fwW z^qhk6!mH=ZU|CX7CaM`%DG6r1N0v;wj6OG2k6TeOF1{-FzWr(@U)Zm%tB81g-B9sR zX~bnO+g?jF^0c-mnW?@9Uhxl#?$gcV`I`wBpBto}=6E&>282k_{T#c-N`h}}vU&N+ z9E?A+0N5dgFA%hrVWZwWsJ!MI{H<%=cc@0cu_Ac7GqD>;k)Wgp&JfbZyH!CJUJHX@ z8VjZR0a~@Y*^N|a+6T6fHF0X`t6K{u8PWXK@oR$jaIp%C<4W2Ck)rxQ5PeXPPz}g1 zUSvB=N4=~;dt%dxuH1-{U76)7+J(S8_6=49I0^M3@4a8LL53Y`J~04>aLEe(vWtqg<0 zbTrcWNPB+3TwIL2u-Ai1fdg)W3a%c;aSBSzpCOb&;HWtoQGq0mLx?vFBl)R|H+Rr# z$C{U;4gZ0JS_*Mo(7*A5#N6n;#M2HeCmL?dbK1bCtqgZo}g6I*QW-DZd7ix$vTb!l71y0Rm&$eQ3=2+g}tVj8^#QNEebk>(!#&c6a)zl zqFBr~g%VXgp0LyT19b+bXHOKE^H6K%8wkOcJ30lX3;Gu_18XMUdk^9t`mP8)2)9Ov zYcqEK)6Zpk$l?<4KbdM$gI%I45Ul<1Qf37u7>RuL!w2@|+QsI@lXtM!vF>|ehrn9u zoxS6JGN;uxPFe71QniU1IwPXOU(U7m_F3akA}ne@o`!Q!Wf-#Xd~ylBXb4y7Q57}P z{S@E~BD){4s-DzRK7LR%L24$?jyAFjtn>NQ44%p8HnaFxtxlYy{0eQ4>X`?~9nurz zOV@xR%Z>8C1|j|8q4Iv?eTbVkNx0*^ybCyaq zjw>H_z4vX<=-1WAhm4ThqqkE%zRmPvnAOb3T$+zHC0;D4qtbk7FcWn~o zA?`^7R|M29#_!fwwxA7P#Iivx3iVSJ-r}b+z`3TLbZm*Y?<%L}R}|&B{E9X046{jQ zub2;yZ)VY6A_`Bi-+PM{G!+0Va|Z06o+XVOpF^W9b`aAK2QkC_bu82;Kp(LB-Y_xQijkY#M=V!qXITzifV&o8l4BRdFY6g37BRsEGNX12e5yh0I*!7 zL|?IvXCTs}OHVz(rjxH|p7}@WcZ0EK${cDJnn)@fg`G8zOk~{yc7FU4RL@;XEZQcm%Rpzt4U z@>%7O9|?lxo$)`yWyMJO5sg~J&A-~G9P7`<<-ezT4+*HU%#smwYBG=8&nD>9wLRQd zpH9Rc=(;@I#w~p^CxS^WjLcB3UnGihS42hoNzp{It7s<|GI^D_*R|S>vxh?HX5CYJ^MhXZDNFSC@7dfojGtdq$+V0!CkaB12lPMN?>=B(8wdjD$Npith(VT4@;{G zUdS^0jmFsYI&!c&k=GlU(bR0d_ z_JbEC!ySFe!AZtZCZO>n1yxcLa~|0`Lg#mcaklMgZWi;w1r_ta2bDvGPA52H!F;qo zk7lnpg0Wy{9=;SclWX-~OU{Axg_yv9TU5A=`TA1wuqjf3oN z%=zeQ0F;NA_8ATl$+#-nb>4v5jB&-chx}?Lq=2sCZvC3YHHbs9t$Jpa^3FTh2kS0J zN2^d0;IRi@eAY7Qm1k_w4wx2=9r`0D`Ra7W*f_<2`h(ZqDGD**c zkx@<3$PvG9Ar4F45sZ|3UF1Y{pw=CmbwHAR;B#>gX7szx^2>nLS>#(byY>*KxoTB^ z($v=&nBe;jjZ$~iV%D)L>df>onqHjDD~e;Iq9`S~ORD#w2pk5dh^x|skALVv>Ul7_ zmP|eQJ%}}*_g-mXf&%JQtRpRd#E@y@MTTCqW;z zN*Tg4vE?%(XYNsOlCX933_<@%E-t97vAz^ps-KMvb2PcHdc4{BV`ozAJ#DZ6^T~gg zuXg=?2R5E?+$@R%NOV(JRt-+oYT~ysNvxEEUxccda&1o!a zVsHgzWuUrsagPmO&EMYlc+cDOes!=L3$Pck_u_7az!y(|VkR=HhCyO{*89CA=4Z`A zV#%TWpdMDjg<7r1EC+>SK8^giRi|{d;eekUwDSZqLR}7XzDy!x;zk`FtF}R}fRML> z#$)5Qy#)$m$Rnb-1X!O1u%~#QUbg0{R9t=1^Mq(WrwrVfe_Ns2zb zCZB6T46Z%z zXn&+Wp(yjCLZaG*;6%ISE$s$eCABuEYw&kS^9jAtflkL3hIeGI`dnz-r0V9mi5Lq? z;kj;scr`Rzla8>bj{1?KJsU`gYy5R}!gyMT|6F)y)+?y?Um`{)z-rg$(fYF~!Y@MT zh#DKnPb64dTL$NL{T*xk|26_=;e(m92rzpU#wBQyK{hQq`j4f@IixRA;Q*7Az`WN zA2!`78GrBk@cL#0_OL!{fw#H7X4(2$+QKVFApTudt#GaaW+t zOz_`;v&@=?{cV?$ix=Z7D;U%!cQ`v zVyaF#9FhePxVAIPmy{NWePloy0gSerop6Ir6D*f}iBg}osHYJm`O4FX#m6!Kwu%&N zkGr*nAR|5i4)scq?He;iq7ly}DL;t6k0P43CXJR!A94G3KNX2kFVm;UY2=Ya_4q9w zEI|agY^JRiYLCaJ1WuxAdK~r0+zmR^>|5? z^~_=Kz$+*5MZ&oDr))btVMp_wVDGTL(DI=*SwO7yi3Bsv)!L@U0)lqD6sQfmY2^;{zP||^*EfYrE;yXncZOEgrZXhZ zi!Z@hIgb>&@hG09Hj%~Z7_tJ3u)YCepVe6m`+J7(ILMKd716QRT1q2FD&x!fz+Q*W z=~ZR4Ha=m|_KWrK62Hk?Sc9*wqc==%*{t9)n0n!LThXyllwBusDqUfc$^>9CAI1d% zLyN~;Vgf2H`lP#LM4n9EZ?b<3F-n#eubzla_KCMWlucd!JsJsk6)cGl-K(Ld2at~( z4}6EI6MF>0C_^_CN<9q9g>Oe%znvqyF_aFqf`CO#-pFC@eeHxThlteCxtJYwx!XGN zpFxhiYjo}&SUR($A1cD>XY21@>^Yg+%IB2l4Gpy<2F29fB}czYc=c=1gND&okz zulUHxa6~-yus=sSlfo(8+wuks{OdZlWu>`KzFQ3h<{Q$wd@F^wq^xbKU5kW~0y_}L z8@pr2**5ezX&YtlZ8vpqGK{1rG{IYrBZ-90Bm)k%xYLk_K|NAEqCe!wn$Ua?ONAvC z#Ay>*u0dAe+gO5`Wum4}htD4B$xbM*--0;$xOC8WnqgnskD9Kd+S*4$OEo%DoCE|3 zU98=Nq3sk~mk&zN`9azOv5W)L#tQ${BiM?`3}?@#&}KlHEX#V*ijh^A5tI) zR#7^Px4(o6VN8x+(mOuCf2X>{_6TcP-DTT?v+QGxf2czK<(Ey}9^1??5m@12qH`9` zybRdfh8|D{;;tM8m1H;&0_Ft+X%Rt#vyt#mrXyOV8kElAfQEcqv#v) zmcvG*yO;kC)>yDXr#CnLv|L*nfV^MufG^k+8vT&NM}{*D%w^cm-WNXE3yba^G+6`z zT$I-UM#>WHo@}#@QH1w%8*AW2k{yg}4J_i$fmWgINUFd60!Fx|&L&W^t}PKJ;Sxx@ zHJ@Cf(ZV+aI@a>P{hXvM&hOsMRJg-(m$d6BVzKghEUErPVh z#rIcy3v;KXF+9ESl;;1NHFe%6R{VJsED)X^PxnsP1`xSHlf`X7$RIfqpb*wF$gV}5 z-q9}qCEK{{dd_9qv|cv<(7i{07@O_^P00zevTWK0fZ_`)JdTMxmy@y>f-q&%5uyk$ z(0npsJ}L4Mhep`=4cp0oVNFt9eOa&N4eZfL)Sp=J3E832)LJ9yzD zf=1ftF>o{qMRntSYmyr!>|63wpv7(79apu0MBzgS?85IpywDv(`6Q_Dwji3q>(Y zaO96fv<+V z-Jhdf^lbh3>M&%)7juEk7z~Oep$j-8O1HiO1K-bKFEn#W4`f1fKM%S!aKaAM);!># z;Q>pXjvUQ!p-}pxA@y|N7Zy$Kzzfy=E39HnFI6`-9}e0oM`6ifA_>oG`7cQA!}zPm z97^8Oo7p}iU< zae_Lv`Xv+;VaE#nPBiyevkysb=Gxu&ni$OAKIoVV6Zp#u+#;Ih5i|(wKa`W}c4hzI zMaQ_RuM%UU{NA&}3|J3Q*NfEJg__$wGFuU-ZNN^%xuBJ&Wo->75Xzk^^f$DF8hi;&nT1#G+3~BQp2{NVr|U8qJL#yFwkEc3Cg6#j@Kvtr;_CU0 zN*vF{RE7+W?1?jJR8Jy-n!djs2;%XAsuAG{ek#`T8rts&3fr-xg4=rI`{J2;?8{9@A0B*VR&u$Lo24h0f`6>k6|IDg0z%6;@iLH#Fco$LmY$A zIKwCF2|rpz0Vfm~t~FS7G%~iXfy@~xNKjds8D>M^RfsQh&k09D7 zK=@4H^uWPuvSj{yGkqi>RFYLnx?+q*|bFqKU|gh z1{V$FySMuqvjLdM89JGc8v{9TmQYa^LeR($P~ZOgoA!+y>MEIxslVCqWPC>Q-8B(K0|+~Y zF5Qti&ee7eCY?!+Vkxz6LCP(ZwM1y51T4f>LDM+Qv+4E%x)RTPc1?kl>1{0A^8g+Vp$NeR zp7RT2(2GYW6=2<8%6&I|j@ZxSREVdwXQ zM-SxFb}f0yuH*4K+1j9Yhd;ybcB*1k-Ja!QsvxQI)by(EIk&Ah2e~jwu84Lu-$zei zPiSJE(!o-&h`ela@@pr8Ky8gAN0>Rc^IUE&Z6CrSb!~b>68|V~DqJnzQs-A<8~!iJ z(@3Yd`Z%iaKGPCn#z@*xS|;_3v(+Q73j0}dZ%CK37ETfQd;Q`|B@o>BpJP2*1LweL zu!DPe=YZOo_E-UZuAU+-qSeTv4p1Q1B%XXXqQo0=()jILve7TE*g6WcguIiURMvT6kOoGM2|o;$=+)@y zdZ@djTzs3}#+IWloA-WP3XESgetIYNgFx)BSm)3OMk>$l*UG@dS4wB(`{{crjdjAgVDgvzv3MNvI7S zqSV6g6&r_Y!&;1vlDD+m1LWvXao|_6Yh*8&B+2J@s!g?a;Ur(eLtFgCl(1I&;>n5; z50TyT$UpqXt@Bo4?T_VilUoT6(Y2**8$q{EF^te|gG(AWmp7U|t@&6-WANrOvE6ub zwakHoa(mkccBY3s?Qzb6k4-fE;VH)R<4PF)lP{ohOKoO3HO{Uc<*(d&0#!zea^CtX z+nv!}?lsKr?a6cpGN>}pVUndHItS8$5CAz-NN9Y>C6aj@>t>kR8B?9_1W4saFq#ji4>C?LDV|C@*PI?_jvQaisMaY?%xigKmhPdPuAJ`3i9Y_YWzMsS8AF+vGL^|Z zm7GmmPyD&We@@?VE(!IN`>{K5O;M=KI;-2(r^mJ~q_*|G(w*AN$;nH#>6>Fuf0SBh zJ-a6w&?+9#H{V6EsJ+}(tgiW{$EeQCXDj2`y*GyLgL}b)^5Mc*-LB$uiDOfjB`)?| zYppkXV|d)H$|UyKpq(e-*r3vX2LE&Ee~ae-IV=*FC$2O3Sy-6NP}bN7<}U>G&mp`@ zyy}^iN24#Dm6fNgY$~Ua4`$`Q=8evoH|x5K3UuE}yw&1dT{MB8GD{m6PkZCnQ{RKM|{_-=kHp{QJ!N zm#VVzMk40i&%1d8r46N$Ro_HxugksUHg=j|7XL~|a!a>+plp)HxH2&E3SMe!oK~dj z8xHOK>uJFW3SRBG`mb(lyJcT{SJs8jw%3*WU6xpUj$mC!mwP3l)Jm${Q@y#_hah~s zB33x^^egMR>FVOo_0AtZpU~&XeW|wY64k}7ZJ$dE7JTTfSDZBSSv=)~ZO(&hArZlU5qs ztiq+Npmk90R=)bu5o6xr#nENz{5X=Nsmk{5Pq@BHTD=XSAUD2VC3r}&LCe3_J_&vtiL)@*DwetfTltvb%>vwyl$B9L{t(byu1 zz@Yi;Zk=p@r&Di`uttQLy}PCMz%Iq{gZS|+C-swIhX<{eu2)}2hIe($0OR~U&WtWy zm$v92GE^e^{w^O3^0O~FoDH~Da`(4(%9Zbw4mxWIKR;&ayl%|LO@qX=b*r*RV+8M) q8|XFn-bmZxOAm$)&%~sE>q{MfM&3L#Kdb54U1DDaKK{U!$^0K}3Vcie diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index a1588e277c707912aee40ea83baa980d5f7c1c11..a6a0ab35b32f0d08cdbc279170c63b9c19bc5688 100755 GIT binary patch literal 17435 zcmYg%18}Cn(r#>XV{L5Pw#|)g>q|CvvaxO3wv&y&*!IS{Ip_cHty^#P^vqMku9 zl~-4~f^Qqg>#f(-PnR{&5aQU&ZhnM5&l|@vFb3gSB4cmy=tcdiGI0k|E?6R@jD{f}JF4nv>yTHcFW zQBYsuxYQZyl6af}27h3I77cEdICaaxI490FZ-nK|WV3&*g3K32|SpWHj= zt1R`Od*g@%=(?>6dS1Yi7}Z3AO+R>r(jU6F?>P;7TURxAd-lrj7si|2-Dbt`CesM> z%i}AXim3p~pvjxANI|Kmz(-x%jWF9@(4J)ZmBT;izv=&o`-`vKN19)`Mc4Rn+*QQ7 zX3F_Y?;8$o7fcUb){Zu1w}f0(-cS)x)D~!NhK*>P!XDoD&q{P|Zo9JdG)Z4R_@Yjm z_3kPAVEcDW=)dY6s$b@9G|-FHP)i=OPXb2|og79>b$nwhKhWsCpK`i+y+RMwn%ym{ z-5D*Pnp$z9gq3}xEL(Vt*vnb&np@owfOdJCQ2#gZ#@q2~&IY1dO6Cy+xw~38@HoGp|yGA}6oM!aeGFhpC9V$gth@V0hFYsp|H(h0q_f*l~JC!5N-RQomui^u;m%67W z6>f>liHS+>3F+X^=gxV+^r0y?{z)GRCcL?XQYUSeRa-V?14(U3%Q z0{tbIAvup1m)@C~^DgHti{s5bne#lIqgjqSKUt(loHlt!U~2{wAmh{}eqT2PDI9I0 zfgWeHZh{+Sn@agX5?c);3mib&cuso4S<0(JwpJbez1tTfec|TzWpu<<$6ok z?!Nyy+AbX(R=7Gjy~Rj|_E`H=5A+9W>hNrCqwJd1-SB8VJ&9TCKDl7#RAF$Qae8)G zV_n-tELwWK-LS7nk@yjKN}J=vD_s9rR$AW(&0-o=tg)Z@*V}TC;!hVKdLZkhiSR+p zLZCs-d!Ip5DQhB6^fn9UE|9g5iMiWU%mB-q+6rj{bSztjO38V^vgG0X~Ed@wS$#)N4> zOfFe=yUujDvzlJ6}%k@*73JbdoJ1mcoa^wV4Zl97BHp=xf&&MWQcuxf*}3&!tNfzq5zQ zH*lSdgx94*mjGouYfjt!1bd?O#c5;TaNSf53Fi4Dv(slLVu<56k13)je^6-v%X`ah z{&a3Evek)L4U<2vL{qQlC%&Xd$1G#TWl^=%LhR!_gXXOiSw7Wj)H&-zdDc_ZXK5@1 zjV3^kcKL7gkmmWm$fsuWJ@R^-HsN~WtER@xd1iz2gmr3TZgpGnF2tE7!t zwQ@&tNd#2xDK7>`gMljUuF^hhDk|o2Q5>PfFf@>pd%!C`>1WA$K}Oc6af8mksXs9dJSdB~uK#d$ z#2k|!HLSQM73DU%3e>C&X?j~5w&XDRaGY{v_MDlOhfEbqa)tjb{HUcXLM-zDxWx!y z3w~%WJS4e72$%m*EYPX8?Ezh#T5{-5yIm*s!{6UO|D$uMvYWz#20bvU(?F3e=uvbI z`ocmY{AvkQZI;uM&@vr)V%<;6c%68z05;UVm=E-_q%Z6m(uuxHXfjk^M&=UME`93# zqa-A!HfC5SYw$Z0Vmx-Sc5!MbTS}XJ9VxWA-g*;d1tXTE{?q>N#E2@&U=TU{++b9Z zD{u(omJ%=7)|aazIaQE!3tYPWFaF^@p=I!O(TIm=quD8{%PFe%-$Gv;f<4cn<3a(( zS7AP${}wx(C6KrA;!`khlCSjDaqvVxO?oCAx;V|X`&xfp${^7VkwOKq(v$kIRUbn8 zy!X-x{nj^St62l^>Pofv?wAemD4N7Mc`@Oj?)E$R#2;NjIq z9gvH{a1`dQv|ezCjUn{=jd-b(|3uHj0dBV!^yL3VL1rSSGN@IHtz5t3*R;8O8caQ zqHykRqB=O-4;voCOtc03emPXYVj}odBm3{l$sqaElGTn4VB~5?W&MMi$$9n2^07Jk z*L~tIu|K!S(cuSh2;USP_RYf%?!rS27XH}u@PSrgc*8Lc@Sk69?4$7xjaIA*oZ)~V%Z!E3xT>CO> zKwZnwL(Lwcq&A+hRhpJ5rFB&2)=!|Xd%2^NSB-c2=5e`-vy2=6+w-uL7;<94QDi<{8fv5yN_c_3!iY( zdw)ZTRh&*z@+{w%G$p1FE-t-7O~}O2jU7IWX3^cGOj}ypMSXP`d{#jfDTm0)1*>nk z%WN=KmZ7~tLzS^Co=#HKg{+T5roN%@1zxQZIVZ;s{ax9Edh^GJLj@sGyD*RJH{* zl5)21-f6y_aFR>vMSbeCsO9F4h`vmz^Tc-21i69-eF_cm5$W3`*{MNsEXAC{NwS8W zDkL|ev5O)Qtg4->O5UZQ=;dHK*AHBy9S)gk*6K~yi_7PQ+)R1gG9l5}SIA6cHbyWw%u;l831^<%Vc8W-W;T5T3lzYuyD5nnRYmE-qkz0g z3Lv3_e}4%3&=5nwr!nUNHwe{y*Tp`Bm3*rqjB1x5$~XN`?b`I`XM^prNobzL-p7X8 zyWCf-_w_Nu-2dp1JgYT?mc9*qh*DQychs^47=0MWtV#;ZwiWojsyl!^Rc=CDX|}^| z)Y_xB87@&c_GZZM_+w`FeVnlezNy=QwXV=G4lkAs=Es9)7#d*!85!U%v9UrPqasDt zgMNG2?U6(89m-ePy|TH&J~oQ}zBEV4`%Q1b4XO3S!6j1U z(k83ap3;OZB5n0HX>kuzC<3Qt+j;@=i3~254ksQ;+xsn zwB>I=g%U^Yk>~m5s7*|$2^#n<7Mnm%y)=l(*0>-q+L25hAQbtracw0qa$C1B#mPvIJ zwr}V#A>=K~K+Z)T&8;7e11{xjKx`cZAdP%fsX*2%n?Y=A3&865Hz1AP(%V67dvzB2 zvmhE&F7~xifwf4zBvn^1H(@eWP~1!LoJw4fYWbsbRxRgM^7-dA z@p@aCh>l(k9DUyjkklu0@NWP!&5z1oW3vmgp?3)O`DGOrzj0^+P0pB;Hh?JF z8a8y-(~HbeKR}Dy6%^KL>I&6w6Qcn9Wl3LNZL@( z%6|ZJYsEW+=<#0)fAv<@%F^Ky;oqZu_Nl*VuRlgevbHCGgQrPh2-ct8MbNf-A!hIC zwOd=-q+7bVAOGm*K#3DJplg!Q5)5V}YKL~7M+i+x2@1)4mgaxJ6;`iaE?tg$UL6?A zONLx7Us;o9HPa5DHZTD#L_-ePdO&9E&Ln*!AU$z|l98w8CpkJ_IFBBF#aVL-Sz(%W z4}neDW|M+@tngeu*g1He#-nKU#t~Wbg`O*29wvsfJ;h}?Ff-p)MeOxr3_-{HiNE`3 z9rVu`Zr1+ITiQ0B#239!m#c1TV1eSCy#z+`R;{I#zR!DIWH-D`b0tZuc3#rBI}CbN z2?$s^6`puN!aoRgb*}R_wtbNmG8HqGXaorls!I|Lv5D`SGC?WrF)CV-yBXK zJw{X;V5e0{Mc*65P&fZ9^Y4PvR8KcBK|<7Sb{afL+ZM_i=$4b-H5jRDW~1cX{WUx7 zf5db!E!=yrY8|yQm$$xmSUIzESY1KM=z_6Y>1I``$+(d0SX(b2*bT)A`G~vujk?e{ zN`0L^;%*lmT*c41g)Mq|NX2;gEOFH`w^d*`&Wh5ZmAPe93+&rD_a9+Ogk1fLH2IB` zu!-}tvvEO|V-&Uq&WB;n2~~KYdsfs{ql(-oBs2)WxAs6)d`P8%F(u{AXpM4+Gt#%O zfMK{a!gmgXz6atEyu>nq|6D@N>>aH*&Ji?jd+A^3D5H?~;6Ap7-wuLo5K;aODE#Ed z{y?!~*UkxuB9%g6I+W`bm})i%fTr@$~m^j&|9xJ&>33t&8 z>5yY|)(e^T#9sY}d2F%!BMxg48WVa%0xPci$E-+jfz-RTpjs*Gs}n)?{vDim&NS{H zu;T;KoZT7nXyF+vJ(3wKTauaaQmesi*&jh!3vD7<3#%eoiHf9Qys1o3+@($-T&49P zT$H(cNFEHo5S$hE;hYpq;GGl%K#8vO27Vl5jbQF&jbQC%`T7uVv^Ge4-M4b<`>s>#rS3JHsd%>?@5#PLH}p~jjaE>8=1SLdFw{msFMceUYFFtPzuYn& zcNL^KmOLS4jhikFJy<3G$ry%r{;Y7;)6kbeexlhT$v_~i%=yhTAU443-VpmUNO|p}zR=5vG{G*QiOH7L6uw}}gpbKKg^kh=&-v-jAU|9WubezG^WQRb zp(6?n!NUw?k%prSa&x@b3S{EY!e%8BK(ZuS9SFpJ==)Yzd4J_%O zzKT0(lWA4an!0D{P_=`(g-qS4=-0d)bOYIqRK`h2*wU*EoQ}%>WQ2rH^?r+m@V+92 zr~@6zt2WT(F2nJK9$}6vX82rWbElril!N~aRi0{$1F znP5*zicpF}J!}(Xf^_ZKs@;Aow zohvK&8)I45L~1{J6-SETwyzU)Hpkyn8pF`zKFA2b=Ke{M^IiR^b+{ zS;hTk{n(9-0T=Qu9yjRuqGV>4|8b$oovp!f5tu-&un2efg19&|`V12^dkZl%yFg{o zyJ(RO-MqE@O<1?-vbv;4Nli?9gR#|*1mWS&$*xN_$)0IV ziL?L4FbLx0DmxxA1xM1P1aa=}tSw)pJRFWaH7$-oa(rYH|M8NB@YOj{3VIri1l1pN zOU4-DZ;E`Zg}fFcsXi{nPd`s!=ts(xU2yd9hZd}fUAi-MUj}?&evR{R3S5ch9%9Is zgkP)3YhKj0Mx#3fD136Fr<2BtmndX*uLPvV{hh>cT3bA@dw7-)-Rv3|XsXlb1e?g6 zmdpB$&PuYzJhcK*K^R3FG|KUyU=~a?I-GQ2}K?Xd^-P+oSwcyP;Ntq8^ zM%pq)(6WwSi)9XDRZb^0__(IswzbHZ{DpoQPwkD$@-|WOAwH|CY}gjLldRs5H|S|A zYU^1kS2BRUE58G|K0~x`!5***i!)EpB6@TZ0=zT(2lG!Vq4|WIMlvn5LLBijoJJ+b z?KSWdU`c8>qZEa-@GI`rJ10LCzuf(Ghw`rwi{UI@%Z0WsYGgaePs&&vrTCJKA^YUNO$-Zt=QL2Nnv(>wR` zx#I?@((aW%{9-5GY9eFC`qNiEH05`}lg%C}y`lWS3-~rAk1N*?VpflGV=7vOTeJ1` zTJ7MWzZWRgHw8ag#fVDOty>moq<>3_E;X2ReRRd6#s`kWv;R_ejrNCnk2&M}R%zv@ z`bzRpOrd7oQBL6N6~(sw#M=(Y@xW=AM<($?W@+ozFJ%6A^3zsQmP;fJLLh}4aJXT%6kt|K+Dv%gn#)I0O>_J{fq04*7Wl_9uPAH0C{;} z`4TuVWW2u?{`}Va@!r9kecFuO3ySa8K9V08(%n*_j%r2yQ>P50-to*nX$~CXUuffr;$H-Yjzi{V+vJUFCG?i@ zh}mOHHtu1SVqXCf{O18~{XbY|okwzg2rHeFj~m!I?;Q7EqVVpC0{OPq-$EA~!M!G4 zsAB7bd!LS1SGnpYixl@QONY<^oU!e#c6tX(llI~Wo^SSG>xB(+deq=z_?Uzg_izUjU2FG%TMsCT7f?@tL|F^dm$V*sV2wG^V%7tsVk)xBDw z97_I?i292J*Nfm7i-HRUTRIbc$A+?r$j1xaqDuakNJom8`k+&v?dTY?gAcB)X#QU3 z^1sz%zNoG(WD^$K`)u(a_vfo}=_I3FYVXlWP8l03~Fh@Z~?y-2L&z zL>gM)HjF{+c=U8H**2wDs?qEZgP=>EgC(u0&rw%Aj*0yr6a42f^*uz7`Vn0<=a+YB zA8$)6Uo;nA(6RMVb<7c+o?OsQt1*2<>T5w^(qq5*t0y9Z+1{BXQXRIu>v=wvZ992v zuaWy;-s{cpp>@g>bKrqiuVj5rI>p~9BJ#p+hyQ0&qay9&HjOzxB53RX9DII9P0v9e zbPKa3RX1#%Np&X7Dy|^AOG!ODW*^499Iue?Jadn5VDDnQpy>idag%O_T_#&Z-qz<-CJK4l$(}&qGFm zirw8fga(iiKQXjDvCR2p_82^=K(A4xnQxnS)5B?r&nEUUlHNf^-_}!t zVQ>t*Msx8L%gg>{7mem(DV~l+bfVo_41KHcjYtKfbFA`>esK-;RxWXu1wr1i?pvV_ z;4l|a^&QtmnU2qfmC}vDraULEQbg6!ebRaUQhtZM87O#m*$xrEE$yBr_5S&NGr)o& zi@e+LfaAktO$N22V2{*=yYoyi<;wnjvj zvl>MiQUY~Y+6o`JXwlLre&Oq>tgJaWT5V;?Dq5^=tNY$6!-EU|$&$ zFPx(dqqfrHFQ*^%JOJU_EQZ=BO0I&k^fK=k+l@mgah8;Ufp(jrIq;OrPkL?s{RNQl zuRPdtj|#2|t_&wRCq0gS7K*8!e7JQ$blw^t!KU!!JQK&RUz_PF&#!KpQ=NN%#VBmhD6hUSGyoxaQli#oq#V$;YfmA7-U4(iqS#}es$$!(n~yIzqDZ@lk2g@g zx)(>5bq>0wYq`_~V1t$hebl}$xG2i4Bn4{J z7s~#LdOKH%TWTE1{?4|`+ZzD?@kd9O9E+e2=J^Embj zBfIH(M%+w8i?Rk*E22Sq%IVa45|B52-%OkDaZ`JhbC0EgvU7x6eqPoSgi!Q&Y;qdv8|7e%5&EnyhM^E?=M9sR z74MG7@kTJF>U$Juem908m$e%%>{}_SkgGOkO$GWCCJV7qmW-DiBn(cw55HGW60@8ezQ-RHg71c`tl<(%%A$j+`|90-BM6=}ZBgsO`}O zk7YTOoz^}v8t-%JTD`W+a|MVdYF;c#FIq&tjCBh(*!gVi+%M?t8Q&7=Z(m3@W>|XC zzs-x<>V9S{>t=6&Ov~ymAGpzYEefK*%%0oEifnsuB;lsEurD$fkndp^dWD1+$L&wm--Cl7a2DW)c0+7NBL4uKb;LW`yYSGbKY>pbP$ zS1$G09VKYeR$DO?pE4Bcv&|k1+wKp_l8TDkT&Ly4J+C!M5CL?p-oF?gFCj*By z86!$PMK=DVYZ-cc zXTioxQMIUgI&8Puq5E2K{xp3dqCHSNMCO^ezvQ6pZOi&tUiKKjrcu14P1&~g!u?85 z$OS%8OMXPpNWH6mu5ahA&-u#gAGnqSTM__jH^u{a5`%hBx5h*=u>*r%p^qqlgrQsqorPx=p8=rBS)Q92N`m7}b}1*)(8Ol2TYqsw2+CFc=g3c~lSJ@a z3zb>%j$Gu$&Z;ChPlPJGKahKnlep^4rVDpoICON92a6rr=2!`Mzn4m(Tv8|?m@7+5VX|+Q2}DBDkPN{V6(lcd z7r+;<9Oxd)?g6#oDrxx4iL4)NDhX&$!>p;y)2-FVGh?$V?=sbA$s&n#7@cBvGj-Q# zEY8WTktoA0^!N0s$RFMLgd{oXu1oNhu zEj<+}Ua$7GHzot#T7)y9frwC-h%TI{)TXy(W+DQo^X!-{ufZ-= zf*uv-T5y{@0$Qf?x(S*tp)M)r+H6gE*|`1Ym*AL61&zxKNjcc`!Ynd!tgWOYu z-*7GA5rG7G4>(P=LGGc#Z%CGm?3Q0}z(FlsB{KZCvxS?N#4rRPY97DkTr9*TXplRG zWiO|tBJ`zy&?CZ}zr|b*EYJ_?(sGUihocvo!_atc3lXS^2%Hq=ov_41&a=ZepCg34 zl!v-r+VP7F^g(DNLj(rp@o(mR5H^V-014-gaGNNF-Xim#lIJ?t^ThKAtZxL6w)mm0 zP<9BJEyIG`!-REVfV-hxlEldBxmkiWMxsJ8FnhMzGF%!WXek?d)SQ_-nkREjU86s< zOwpX(@z2G=Ls;|B2Ax^>U|+|t&3&;uAF<@inD%At8i-+DFN9H!tm#DTAaPa-!20o& zjY{>jq+oJ-0v>jZg!{zd9yDsH9=6p*&Dw&dtku-L2KMzPmSEsRc5(CdTS-Z!hs>!7 z+nc+z8w!5|E4qapQtX@CqxNu=-{2d4rlbJl>hAUlMjK6o1bG|46MwIg!UwJ!CT9Sz+!iRV88ee*+ zf2MU5Zb?i@EG|LBbddcDc$fB8>*Nd%|9Q*R20UeL;y|@}0l)3)?QJ^PZ{pI3Dc9M| zD>KHiwzrbJ$V{n9p}BA`EA_ef-mDf0kXwuGc^~ERR9D6t379?jHefu4Hjb%092_O5 zHsLl?7)(OOFv=00KrQ0n*?OcV!^Rw2-8{PGpa%%*Pv`!okYq>;;qf|>auhrSRCM=W zURsx8xe=xJRk*iFQa1~kuI#n!L$OR^l*=J9O94m6k9e}-lzxw)#%h95hrQsFN-CMe z>z74J{#Fuo6j3U47eV zqiLJeAlG8kV#iA=usg9lhSbbjNlj|7f}|!(o3C32V;nt1TBE;5$badyerqanv5f1Z z^LE2@x<-`XCrH8Y46^(KMej*Ag?wV4^J3)sbrIy;$9bJ`CYbFIvIEv;wF zM)>U@gHp(@PcclhF|?gQWFL5?b=VNg@GzMkwwf3X2syl@SLM{GT!b2jdh6OOj!3D% zq@mZ7=Mic?uCU#3;sqYTW>FAd(Me}u^J{^LJV34#GDqM7qI zdC>Kkil1A?A@*;oQqi4S-OnOtauQ5h*m5=LG1zPeYi%Pmtc+lBs9%F4)ig8+CMG*s zByMy~NY=j+AqJEC*+XfAY!Eb|R({kV)G+Je=$W*!w2e5LDY2@p*2~zS{A8PkgX%9c zQc>AogOM87QIw$&BR)XU{t6g-g%(*BL}~a8jDJ85wp9;|*GOh^bD9xWLeQNCS|c5y zhH{$Q1rFbgRM8V7IQ#?dN&d-5Tu-g~i>n|bdue8qiF@K;Ov!V(%SVW>vxYAt5t^2S zCs&e!z^1-}9shd5!Unapj#E|snnn$sbUU&a-QvBkSB(m*Tu9~?^V8P0h+||FTsspl zsM3W_6w0*BN+hH5kN*g$BaMKm`y08g!cLn-kv{PDExt5!^1RUIm=f79@zncrKv?pj z;wfFMid~tVVCgXAOgHaYp4Cko{>@iO|BQc2rPCYxW$f(gpRn8XlZco0_PRPn6k;U% z;?k*wRtagc(bYrU<8yx3)b%Z#c$}u&lP7z&xPO^S4E_bq@?Rk;B`Zj3B`Z9&JVJFc z@fd?Z8Sn}rgDo$}ipfgDDaK}*7&7<|rQ#9FhOR2Z6SEJ}+L^|(rh;VsC>a|bVXw8N zL(GVrzvhK(3^!*r@b9Kx!!|vJh+g7u`I>6jDUT~Z(fq6D7|{&aoBC+c;NPpBB8Ply zADjDVAN>rPH_tI61Zr2GyqcE;1kbzomX6ie{l2q~O^%8i2+Ta))vr{BcF3BQvxcQ= zZyF?T?k~akbkmfr%WAZ0o*esFWnCYdv}&$gJy>(`8!W9Jm(Bz8UN-RzOrvuZ^JX*kWOe2__~Sx81qTp;fR3jqj%$|1Pa5#X+Rx-PMbOF zvZ8Y#GeX~<8J-SBe&PWuyaD2k6HMi5o^nIv%2l*Sxr$Oo{w38|46e+t@kc)QJ3aBL z_MgPWz0VZC#vU)~k5hOi9vb39vuJgeJ3)LdNvEtFU4ecZSTg2cXwzq|mA+J@YV^Z3?_Z;d8# zC4S6vzR1l0qYXqt7&QJLq~26g|NapF`->u)0vS;Qoo`4Ge6-2=6^Rc8oM({$;f8b5 zC#sIC9p3D$KMGhzV_8#fW!pM+p|Wiw>9X31XF(c+wJn&At;gJ-)Qt8(;5egQyG`L3 z(TGMeM_579XcMo6DDtJ!h7_CQax$PAJW~q27sXi)X4x_Dzii{$dr*e*?NSyjjJ-a z6?)-#){X$P;(Fv9fpg-3U{xqg;RDI^9*^($Yv1{TY^TK7bO(-fQdYHjbqUf2O#Y>H2f;2$z_?K1w9>9zahTL_+W z9G-J6-kmJxfu{Xf?Iw!vByhgru_Hw3w@DE<8p>nEOe{461V%|=fRpCiLUB>UQl3Qz zA`cLedjyet8PQ9C7#|u}^keYBe4OhBthEZegM`sp)Zil2wT*7RojHqAF4ku86_`D6a@$LJnbNuo9%Xe3(YqI*toD<>;bI9z%XEXlmDD>Tf z^T1&<9(prA45Z&sntWf-wawQb0;Ps!C&Rvs*}8WXfWd{dr=LwgHn|8m?04e$0Hw;( zv|h%8jsp$oMav|Ttluwc9Hl;hCHBaWPIZwmi6OZ z7nKs~%ltnO!G9pBtTdB=TPxAoyCH(}2;LcV4?f3dS{vzE3GU45Zt~k||FHPuZyC0c z%({r?Aj3eDo)@pcgXHl(x|~D>av_Gl1C_rlMUGf8Zg$tFl1M%fI-W@sT0T$*F61!f{-U@65UPur4YeaqV6*1x41!q6?g2{ zn|@u2^Da~G9)0=*I2C2D*892OTnV&}pgT(V(1^LY&7SiPFBZn;9)o)e2p!(~gQEPi zcdas!_VbjI=6qs=KnP8ZSt#Xl%Ra-pL*qOs4w&1!U79=xfAreNQE)ku7HfStO<~Or zS0KiBO25XqV`z=fBrjU%DS0vk^&;6D=zMHbWtsbdi-SvWO=NKHg#5y|iPbd=HgC@g zmLUGdDp*@+p!sL`^Wq=XfcB~r<=N!vK7yah(cck~ z4HEfcV(el`#vr@r{X#ifRT}5kqCX5F2A?*;uUeybpSNbyNE>38ee^4@W0485w}(N@ z?1R+=wverb`~-o|x7%PlTcK_IQU1I&(qpEzE?Y~2$}|@(oK=Y%@vUG3G^a!cOT8WG z%)-3ShILuHx*CQZa%2__aec;6d6k`%qAN)DDv$rPc&}LV#!*KMq^PT8F$ zxIJT}e)%3$fw$#Zh{;G)w-Bz8mNwPY_k+zB=|RBE<|Jy`u64P+0TCB7Ddd+Wl%f`k zcYqjoNpAD6&7SmDvhNio8abwn;xi=YG(w(VvB;cs1cbWLVTKu^`}=KX)^>`Vpffaj z8{7B;HB5deizfB#Z<0fz=w!5^^`;%(ef|}1D07gQ`O;r?=(nhpdxZ)^qXCXXw%;?S z^IMM>ki`4W-x^QUP9o?odIcI>;;PML45VM;du|3>6r*885jRFW5%n z14145iSABfjT1~3Gf2{dtyv`rn_d`d;InfCHc-!~$uBcP!qAtmBHgbqLcVY14x<+J z&lUdXpTpJLCCQvW2Fx8^)+(9U0Y!+;l;FdOr!stwYIPl+gGI1kFNhaier7(@BT+W9{}*;3%3q z8GRURT+=B|tp&;UV>G2X_gUdf3PUgUqRUcaH*n{RPwWA87W?_5{g~jM_?BhN1&}`z z{i=00h&oAZHsj$p;$el_Vgq26zD@!@c8lF|+8%P+5Odn36*tZU_DP{qXfGA?4ybL( z91GBQN<2!Anu1n*j2IsKc37Fjv#Dj&?WiRz3kQqQ5_;%!ok!cN(dH9<(DX=Jxlz}% zb=;+fes93)TD4C_wBf_#+*gMhkcbyC4$7TbRIksIP*Ej6u#D9Py#WAupXbTiE9~*gXDg2V?>FKfw2y zW!?j1*VoI_@^G%Mx%P`!>7UhBdd9!0=vP7d3bywz@S&v+02OolxLp$5YXV}Th_ztK zQQAY%@U;b+vB4k9j4P<3E*iiu)PmD?Xq43T-j?ur+n1QIv~4g|qgwN+>j0FfGSQ$1 z%;kuAa1Q+p{y41jzh?o4+s@IVio-Z1eMa(QyFwVS^Td;z@h+S3iftshO+rm&zF6^K zVNJNtS>oYGbK9LHUpt|`peS2R+Ui8#3j1Xq^ydQIzo{Tj%{#jMOr4t*_V+0FW=3$v zRggEd3PYC)Lz;n(Y+beOBwr_?8@r(!r=jnOcz13>6Z?;P7^!3mj-)xqVz%G|sB7oh zB3ma|ci$WFru`W7MtmHIv9`-!+(XH3C8B!~zYX{YSjI)lZl&Bi5kFvT)3nXvrHiDovUAX>dV(;G*1s+CA?~!g` zb~C;++uMIvY-3++<6P|hZ^Uyl`mGJ+9;d>PLw5LER`@nI>Ju+&FZ6(NCo)$E--#IC zff(Nf#{p_-ydW2995-qlFRIV?R&illHJt1*?J`%qI^OAht->0eD z={<9H$y3?dSZGqz%UoAgSb1$mu$g`O6b3T>vuDOGxt7F(cs6AHIra_+&uO2rQG&UD zB<=mr#gyCGjItTnzHg85_wpavAFitT+rMG%i#Y?UbK}-e%*)uY;cBeg z;P8K`mV*q`os@%s1+hlN+p^70B&}F?c~6ku^eNuSLG?qfXqJ$Bk(7`?ipa^^W|Z&zi&TUXU9 zka6}yKLOAei@*k-yFqY5gnws-`UEzjiHxkwGg1ls^J#u{6w?5(Yy#Xei6Q4UVi5^` z|1MGdbwjyWgmW}IGPNdd<-o2Z$S;igE#5cwV*yatsIwmgx$gZM&b}EtfB*B;^Ln+# z$z&25KaCLB>2Z9!${Ke?$j`VH#TS=bom?+TgU@J_Ci%2Feh+rfb$op=mUnmYk4CXp z{nL_uwqJ7o1s3k87GQflLuN)8cOqFz3?OT{7VPn$oG3iqI4J}s>AC4OX{N<@%f`}p zj4wLT)IM?;Xtu}9N|f?-Pq|f@_}x_lY1b`-{e~XDy*Wp7czYu`f+t3{dp19>BD&v? z`UF-w1yA0Oau%OhEr=h9<_}D&1zv;sM#6l$_CNe@cHk)!fa`mYD;W$S{X=3W{gnP) z57Y+RAL~U1a_p=;brOuRD^?wXa2H&$IM5@5i?_e#ZiVws;8iGcV$2Q8yKzPW^vXFg?e(&Yld6f5Kd>WM7lApKGs0J_ntV^+jr~30KSzcn5U3;U5B_tdWM%agN0q5^f;^!)%9ZXKjHVogBLZjuf#f6-DqboBN8BKqsg z6SPRv#uh^zqlc_E1+19Zp$wi>g-QkGG;v^at+P?pop5T3R5rX&CErMeSSlETJeasY z4F-;RM3SjrFtfYx_RVs&K8eb_nR)W zZyRn}1n09q)^E;JW_Q6^M_9^>YGD_!&1Y^Fv}>BnLN7ZBpPU)!m+j9s+RWXKO)ewY zvlW~6IJ}RBxTeFJbFv)C$y3fMEUiVBIrlj^M`~X}-}PvZ%LWwB*94fl1xH+!9puy} z>*!U}46gTPqMYnINGz^8I?QqX_FjadEk4}5oY^m3BqvEEw(+NPzmvcN?`om&=2d)z>Hc}_^Qg822tON(KxkE?ut_g(HS8h*zzzW!sA_Tke zOttbGa+1u*-IUm`YUe>I^4kOueU6N?5r8^um^p(xngj0;>A6715vM|*dRnlbc5T@Z zhKwDBcGd>uU{#kwqQty$Bc7;Dgpo=Ie-RsaEJZVUMt@(6!O9r*pG~Mpi!nBqrrI#d zEYM~9-k$ogsVa*wAgj)~ds68vJ&EwZo+mZ8uYM!rfd^fn-AedI`rwz_a!2z@Es`~6 zFwbd`BWxJ0ov;JSm7J2ZgYxTwjLsHpC}E!KV3|Kd;(hRk6+_<(O(+NBz9Qv zKnkkPEuBOlx1Mr&{&Z^19eykl#cLKH)k zwCA$ADdLQmfSdgltj&D}>tVs&;Db{5as6Xz!jS{>WVynZbfyfo0v-NXd>URhoXqo@$Q^6Pfym5L38Q)Zku@h~1np(~! zSFlk!iak^``cDg*2ndc9`9b-6jBtuMF)UNH$#l_e?jX|Mlktgw` zAN*I8)oO$%{j8A)f;G1%)Ekua19oWK@gtZ>9EATTLj%10!lMvlDWbmGYHq|ODN4i;Z3m+$JvUPX4p^9wBxLCle?q*5Cp`T;`n!*Hkg3Q^M{ z)ZrpNbnglg_e6*mK*-pGZlIf*JgX+cpfnPbvT{l2c_E*BBMkNnX*C^g3?X1@1ULj- zq)JH(@+?HmAjIWkwcXgNWV+bgfy(6xM@f;Io!wueE>U9$H;svO~{Y!I`YiMN#NMya*48)Hl+^`si-;Jg!K42a5UuR?$e`_NqrWBS!k4`oAl< zqh|cYqENhs5J!Dw%{la-RE6$xVF^VpL)m(Guc|)011}(`G)2DS@I2l7U?FIw!>C2K z?3}k^5UfaG*3xv9fJ%l;ccNq6HQXYb8lI=;W>-yp z1I|(~mUs{*s_$l@zP8|osEXFwv9c?#VW|lKLu#d)e)tkd4WY<)MfCMc&7Xb?aIfF)d7aMyVFJeNKWUfOq4JJ!Kd= zvb`J7_^foH?vp!I9S}hXPo!PDpX?~_eV414RHo1Twtpq=m;L8@XDAk>?B>07QxF;} zx~gS*TsOm9N3z|!A4)pct@~j~-~4df=S-p`f8nE@2M2;l(ME==`JPzj`B4`Mx-V+Z q8UW;wSZ0wC~-`F-cw(;_R->dqnUY+XcKEIji z(=*j`>hw$>v~SWnf}pCJ}bFur?-PVPzp<;$UQE zVPs)qW+hQFadfdTG9me8U}QzYOrj)3qGn=9B4%vy`>Q7sCis_{Z{G}f{xAC$it;~v zUFd(f8`zmQT*r5@Y+EX(um}+8j|h)mAp`L~9mjeS!`yYx1j1Hn&Lc`@QA%TxKlTtB zB-WDOfI$v;+3&zT&-zZ_-gZ|M0m&Z-hI+0@ezSEfNHJv>SobY8odq48jRh6w$<9_d zuJ`t%-}l{JpPHZL)zugLu9usgp1A{5eJ;5C(isRDjWcHX4KVZ5C`E*!Q-CL4u#eMP zU*32k*GE#&2Iucv|IVsR7=QOG+^g7KR)StnNKc<%QKmbI(b_1186|n#KH_)dVP2LH z=1X(-aZxUXifu7HY33xq2rx$RW9%FwC8?-}KsxI+#|8TbMrP5`HH2ixVPTNA-6@nX z=e`v51!r^5!RM)Y8?h*lfLy(ATcZ+72MHJf^oe3VVoPHYrWejp)t-8(Dz9k|D>0XW zxrf6c8(YV18x7IJfLGK?nzeoQQ;}F2J4*gnZh=P$Z)@7sq6d%GsKXnf>(WjH0hN0O z@9G!1v%Ir%`7mpxm`STe=h%w9&1iYgYc9$cJeC(}&xea$ykw`g<7w(PoCeRfT#HB{ zs%sOBS{v$u*MCEKnGhR<$A^MIm^Lod6bRl}mu6eYHnd~$JOcm!gb~ue_74FoGx<~B z4Eo#VIBax$dxs(yBO3kHt5DxC)pF$Wi61R*pz}b5{_@%>RY+EWvu(SgnFRD&qS{z3rx7rezOFdu}toDp%6*VD*#o9#aD29n5pUOAjklu`x41z*0;6MGFU zD?94z?N!jo-*=b;Ipi;?ITqfRiEbHktr_>Ux%eEIos5nxk^cN%W1**PlO#pG52M#AZ-vtHWZqP-j!%p}*Xu{J51Oo_|_!SwSWwYQyn|>b{kirfO-JxSB`zlLll$6EXfYsh3dA zEp7%Y`tdfw`jY)A=#v7kRe4JlX8AD?sw~Ik3rfd)koK7vQXkky(0DuU-sHEQ;Ay-S zsdkRni>i4k3o!QmY5T#pa9*%7x$A?c$LGVk(~Vsq{Ce|NwPx02H;bSIH#gf=_Pc=? zhB{dZ*v~=q?}52wlWZuIyuE=tA{@v#;o+kL%kXd+B=GMYJi+_m2}3khFS2$dclya> zN7ko_Jl&USCi~-)P;UPH$x zCeV;}Vk!&@RtpTsVI{!^0h45Myu3=~^_hO}$fd z8eP&YfV|ztYg$bu)G~71vg=3soPulKvc`dDG75~;Mm*NDqk~vB9|M-rRMi3(=K*u% zS_6gcoSgSt5UVA|p5l7(xad#!TwAT&4%MHlYUwmbp2{Y8BCCs3%H;fMN8jGx;xq%C z$ZDdOq=f7AGBelqYmkCxB0BHdR8Q&vP9ya|MHj66PjaFk_ zhndKq<;HTszTt@1-}So4SKqxbSA>13U+`mR=}l?2v~F76@|JEj{;qJwi=s)pZ@D$x zR(Ec(lYMi{0%(9@kVD#@?%&w0Hct29$l_WC-#`)+#KbXP3-_^MuJkO`m7>obpSXLj zHHkd&&uwR6MQ34jD+;wS$PT=_x020aK5+x^GGcf z+|0G+&j;k^#3SOhrS8i9C+Cw-8`d*lr;zvI-<1V#{~F$>PNqLI&6YoAkk3EY5!VDZ z^WSsR4PK`%rhRyS01KYCPNqNPC;z^W@ictyv04kvyUu^GPD}y2c(^`|EGo%91TL9; zguP*IQ|0!y7Pd5AUH7(Do@OjQD7r~zKHl1u-fx5a(&q%`mTJ^<`kU z547c_TR7~tum{8uLZ^syR*~m^qGF^{>kA(tIE3n(+8)=V(vo2hv2UgMRC-wI z(X_pZtlQWI7mpTIhZeVp)&xDRoz{#9e%D`bnXyEy8^1x2k+yM8>8+^+yJ4_#dZMWNIqRv>U=F*}mkKVwA5Pfgt?<5Ot zYo;%FA+u$Z{2wKs;dLBC-ZNIYB>Tq1jsA+Y(TkmhbK}RW6PG$qH)oy|zK*u+Ga}vd z_>Ge-l=^egA*4{#tZhv^4^xx6#+#CABQ4B zdAp~OWlDVTn`gm|91>|rf$!#51XV$~b^Xd`?D)~f_#uQr?*|}zQ0sVB=qS2j~2vxqbUEmHuRd5bhaC~Iw zDpMVE6Pq&Lu;1vAn^u><&7W3k-jwLWm3-3(^_XZ5;b&30On;;trpCE%6FVyB8tQfh zjhXkVS|Cl@eg+~pmyF+6Uh{!2k{adkS$n71?2_!P&>N8Dl28mYODT@k<%)#+TA%o=GW zsn7tG>--dy4)q(6vT?9HuR<$DZFn)}&*NT!a%WOensVzG7-_K>CeH!MssZx~h)GVn z>P%hT$y(j7d&V!V+GB0M+e06NWeXkKulrYe5$rfs_i{CCv7umjP>`hU_)gF1tdtJ< zQmZR>;-%damE1%@pc$n2=eXuN{d^&>st(o~y#1!7Ww^D2rG1bv!6^Z~zl)K)e*_om zKYLiBZR+dFG@$hoIF6)Id9|>sNGBSiAPoCb&Ex9Vo{z+56yPXcV>BRrYDguoc>P!< zj7`S9;oO)Yd4Z6;sNfeUV2{Cmo>lry5tafeGd?Y=^@B0MPs|K|A`62T3y1=Ktcfmi zhF>m*P$qjGZ~6xAdvWEMKXbaA`rTjFw(-mZ&8j0q-!-2L`n0k$DBHM!vcH`jGmcNr z*k%^~S^TNEofBQFACdWm_<;onnyeb5TqUymP=&|;X)R;6-?f3-#a$qJOB$h+wD9f& z7{Brn`XYnzE0@>%>B6V3;Kd8A7(LXm=2(h@oAYRun8#D1^lqQ;plk5-GAwstDI zh-C_)A^;e+?jGs}J#EZYMmqR&9IW6b7%>4^M-tt|J{ziB(Nui_9`a>dXsW+ImK`6M zkGcC($JGxTds(*z`<;^OqQM5SAXRN`n88WzgDx*Ajh=-Fe9)hyygI`D}}+LQcnyMfgS z#hJEzeso+oJ&XJLEM&)EF&=R8oBDdeho1&5mS`_?a@OPZxkQwg+ab^G^{+N5(VodFtcsEYjq^bwz^ZCd-3}@)iOt>#>S4q`o4^DGJDgLlOyUB=^k7=)~3c1SR{O z|5E~QDiMZT-mIk1_r&-Db{N3crp77sUsdvv56R=A4T+~f(>^w(#;@#ugx9pLmvBQ> znr?P=Ht?G#vdvppSvodckZ<7`Us#E8uhAHKT}Im|(6zr~_9J@ANRg|VH33?=>yhl8 zO$oM7#yNTx(rx@IShf7qiDezXdc z3EfZoTidwD^E-cl1(RONRqrfp*q{;;|M38<-KF_}+lGWaek2$%8zVE#+T4lqlQ!`V zjmI?1glBU!$nP5oM-%8}0;lRW9^}fKu~$$P39Pn5eq|~Y-{Wicd&|8HJj9Z%?+O~) zPNyJ_na_aYH)pu|>)TkMpC1d(Z!=RF%>nEJ2nJuZQD*eaS(z5$uD^7M)5*EDE_bo@ zl*$AzjT9+290f1^EmV$z^Z?+m3uI1v?W?v9fOMHf0Xg?O7m4Ixapl@ z`3xxWdukT2m()zr64%{bCg@vwnOfD0W zr9q71?hguE1>HNpxx{cmb4E>AZGX#??!Bk>{*!-l0oOQyqPfV|MuD zP@e3(T8?geFB1eDw%05{bdd-Z4VP`1J@~;(N z6=|u~P5;34xR?v}F=L5P?e0-xq@hbNw`da?CBs0?SOJdhO#A^4gsIti+JGQNtH!3P zja_H|(H8xzN2%iRguI7qd~FpYk7zD7+kAO*&8xm3*y>8bDqV8t7%MFW!e`lREPp3zEb&zMY4g48<3(eo9N2hnQnlcpwh9i5LBc)qqs(a3Zl9W<&KRbz(#8>@KYI%EBT3Owyc$~6 zqonZte4~s-QE%vYq9Gz?6N}X2zX4NX>&c;~!RL3kn3ibqqq?N@=ugD?=-3%W$l$jR zDH-2dmsb?9w@Z?R(wv@~?;q}CAwQ3XG`M+X3ez-XU#1OXC+Quh47@ZKy#Hi z1ap?u26Iy7`XakBm>@dJ?ZG?986h~x@$(Q}XoGO~vxcyCvxcyDv%D_&*ISdAN4TPC z2e_i?2e_Umgpwo$LI_KqRWj+4tQiUv0sqB07IiOEjys zl-#G<%DRnE?MvU}v8PN_!BDGTNNH3ED+f+>mDU4={zlzDWCL1+e z_~V%v!Xp|xYP_JlDGn&F@~VCD~uL~2CRrD43-x)L<`>)+U(E%zebM# zeuRH7*2;gT*XT3E`9l?*Ev@8!Cai2y>-1lZsZ)M!BAr1k!c5}OWKkKf%a`Ki*5Ank z$ccl~%aSR7mxGTbjgDrOiP}+BG|sf!qzpq_&CSoqrPa^Ao>S{&@gr6ztenn;L5HHY zUm3{el0ZS{0`Qn!Ze>dzW)M}u=1HwiSf)pe%{GRM)CtRBb77D@s6|jn9-J(a*29o# zeOYVsQP5t5O*w^6|89mYRV}CksPB+Twc>Y=b@8OM*^fibkWsDSyj9N32o4$V{+dpr z+wv3vS}MXDU5KN7_JbQO+&l;T$fe-6UL)rb8NL%V8qBje8Q$F2Z=jYqM4(#$A-X&M zS={&qYN&8qyyM71I7stnq03*9I*}kw%+=5|{sgMh;DK?zWdPR}iUak2pKxQ`Y2Ba7 z;DO)VUl`mMX53Um=s0mdMu_gTt{=KT&N+}DN7Lds!Vbph^izg6@O#4C!k7BPK@ec6 zlJnUvcogpv_w0#BofG96M+bbdES8PyeNsXKYo%jSlEOZ zyJm1{Z&aD-;$jfR_V=EB++jb;&SP}!xF}U?+(ap{d2WvuvE4m5*aGm8 zjFco08*Gfg@PCjF`N;#5JK8+e*V5Aon6u&b zM{5X1ljw{MnvQ+sY#^;ay>#7B_x+M~%i1i%N+07qPU{UF^C4OGH8N+zoKais3iRWh zMs{e=av-D`w6E$x+JW7nzjhDdMGu3@10d_tz#bv&R@dr^BK9YuVTsVomkP2drM9({ zP>#Q@oI|<$#Kf?23i+8pxpHC6MqxtdZa6v* zZ;}2LF-go>6plfaM;x{6o8DweD@1i8jiTiD9EjnI#L5jE{Tz8GeDDG#H)pQkaH2BT ztvmVcz@6AUX(QsXU1c*_3#N2O+~C!D5rCXEKG;BHb#Dqu&o$-OINsk5oQ$7qe(BPV z`G(v;)xE(`+oc@1=JU#@Tnaw3*Lfj;q)na^sdMSafcbCS8Xp8nOCvY03jBI0pRfm! z#?O4zc8LeBd0s_ydZ9OR8k^BKU!B-NUrK0y9r{`h>9OHlyE8vTtvxSS>^yGSk9#0j zt>0S6<$!M@*YYwVvS`zT@^gJvFwEo1BHk#=e%`J3<{Kx|Eb4p zy<&K!5iTDz#qOCm51{+v#I!bhx9C?aIh2Exj<~&ulANc4qNt3YeW{bR&sQBUogci;!OWcOMgG5x0EOzJbg3q;5P&H!S8#!0?3Nz|xHPhR6k9Rm?KG2PM7Z}~cJ?Iw1 zQ*5vd5^)>sHdJjSFEM+OM0?+ANIW!Y*@k^}Q1F+w*qK%z(A8 zF64+AE??hp{oiA%Z9K3^0(qWQu%$XB2MgZX=W=HXVYd;oR@e99wm>r?CL*Cukf?^gaZbN7Dn^A*dXNaYXp z;=-WIcJkr3gv=pVLw`FC!SQf%MxUQ4X?l*4xA%+=SE;Xaa+3%5*avcA@69_vfzf2I z8M~(Vs%-8B`PlN^gys7!>yWS3bE`K8!A*HGnVs45Dl6&x>)kUQ|G_u4%PxiF9}_h9 z`BHkjTT7*shpFogEtvNQYnD1fW?h?udb*dO=hu;gW zYwwRM>tFU#uJVO%1P8kmWlQF~19rX;>^a{P>EB(oJ()Eng}eeZO)d`Ca;|(f6%i)w z&XQSMn)s2QR6{eg2o8nxP3xwFZ%%h1ik;z%sVOHmOH)7iGa2WwZhvSYFLJAW{wt(U zcqj^?{<19UIspIXUd8>QTzXZ3_lZE-Ti9oF>W^%?wgY?oo{0|2!-mExFoF9|gpcxq zbBa?(pvL@B%4j>g82{RnbWQn5`JJrur)h;ku53vKzt!GNlhoUOun{wV_~h%O#%psX za0~q?#__YbjZgc!p%LTR+S$6_t`ElN&vB^+XN-`dR#pw;p<~y_j+1I<);?p5n)ONXs* z#L8(-!x67ty?i!`$|)?X(qpjVC%V>d-&e$KV|qq6mHIxKV*G~KTpwY_eNt|?Sp9VZ zwbAx2K*eqK=s(L$9#4}K69E^u=HszBkPhOWR9i@Hwo&1&coAXgW=PdgQ7fw1X#3&) zs-)BY(KyyD@cg0tw`jv+z%ztpHG_1r;cs;?a zBx#6sbmOKqny2Q}(0cpJSKW16TMG3#!;*STpwVSNQ1xN7DO`g-h}J$R4L z@Egscf69E`JvCqM0{I?X@rhGEH$2$8lACjVy+FAdlTTw`#R<>C7Ljks^8r3F%EOD? zo@d_e>(OIl%QZCB1(2qMUJ5|+Sqx} zL7<{SGxA5*t1SPE(!)o6#f3nLKV$r;-YxA=utVe@HOv>@bD0zMA2$>q@1FTF3gfH0 z^L^adr#v>N48HfRVXZm)uS?QvR27U(^lMa^(ny#$qF4N?J?A- zX}Rl)jZi^u#L5zwbe*C*I9rQ^5$H}ry%a@zaheUF2COiu!Y!+`8v>IZGQ+qM z7}>K0fD`l-rKs?KN)z;Gga->Sf*iXs@EB(*BO4tLBU@gQ*biV2Y5>W489}q}Q1RR)h)x*AeZQNrd4x?IBT!@4`^uBH zA50o8aqBD&{vfKVlEGVU(9K0sBle!C4Zx=qLo!#Cl)z?REq)gcPJ`D2pO+ImrJaMA zzp$fwD7`&;5~G)i(V$NAVJTZyd>mv=g_{5_A($E8%l9bdm#e|cDz+>ag#{RF{oer2@Ll4ArWF`%f=M(LG$Ly3^4-;0 z2=n~>=<6;O@3@t?r>#H>t$CT!Do68uJmHlZ8TatGdOD-# zAJ5~1UIpfk?8!l2`rF;69EX!dsr7V@MuSHo{;_It1i& zK@53`2Yv1`EeAB$gSPP(YKLerfj;lgi^gw=^>;}TdWAGc3VfiMPQh)E4^#!3=R(<%x5~pw(a9Qpw{ttmK_Dn}_;61PSqA zHVh-J+W9@C3GpB{NFc4w33?9XeMep$44JqLnMlQLaP@a75z0haRS>s*18;Q*67u}k z&`i+5)ANc5@rY_Kf#2{d#Iu^W0kgUTeU3JrV>(R)bxw@biID&JHG|OR@<2CY=u7Ls z2Xb?H+>X$IM=NL>2Jj^fHO4xvbzsa{Pbp24&fQG7GFpj<^$O3m;^!DWL&7Oi4`i?6?9`R z-mJzki_o1vg!~Pn(Z-aITy3AYV5WaBnqCeT3xJ!8!LwWols<1LoL8a=3LbFgQB%)w zfO+nOvAsb!LQT3;zJj~<3KqAQka@rTrySyWzUCdMNY{EA(%HZ^!cEF?gnXGOn~Hz< zVDf_6R>Z^QFq8t6k?U!o}uxOd1cuMhb&T z;0V1c)CI&O(UFB$tt#@@cB8Xvy9`v{_u8`Q*<`>hIms|`R#>zpU*5*%_Jd2ZQe<1K zjDEXIiv&%hpz+df(_WQv%2&jWW*B!kJT~skjg^O~KtfmnsfauVCF-9yMAH5XD;6_9 zWIHKO{5*-|qZ@s`Bq&x9Hd--6=SjV;L4wT4r;J{VT3Q3GLenA7_>2+upo*z_UJ=Wf z$M6SbX1UIp<~o{E^hS8piYB6ARaRry8ZxkN18#%mYlM#t!#2Z8a1IqIXBwyd{S9VY z!T zB}ZL!{ZF2o+Yiz0$(5Si@83a2T_z~mDt1usJkawOCGWUQ zG1`6xg`qDn5*ml0wC()`jk1Z$2;gf_K@)4uH1HZBQ$$#g^GUFTv85i>=X6Bekc?;iGiT!^|KkN`ri%<-Zn@5%B%XgxnR? zF~N%l^wtUbEi4_Y_9kwPJD@Ae{^Xs75Ze98I)C}>Iw z)*MMesZ9?>M*w)f-^eSB=%xVb&4+*7BT7(*FUfu;d{AXsP+25Aa)9BoWs|B^OBhoJ zvlaqf2+-6D%)qUnd2tSJF?tQVW*(iYz~q5tjWX1d{!Fyw;F3iKc}cC|h=4C|;&N5OP+29Sjn+tgyh&oF!f8*_34Bi4FmE+Wv{I-#zL zei=cW$5>EPk68t8-O}FYh%Gjy)JFYjn1zzfy{ZPQ3t?i7_fG!OE_YpO#?zw*l~F_C+Ta(D zcf>LFLiF)YqD3%)q||2!-F)0D%L}lYd5z=H^7)b89D^#vAaE6qIB=E2JaD8^Y#M0~ zsB*+oaCi43tWy3OALg3bAM7!7S0XC-xuBamAOF;Qgih|MK2V6N3w@>aKg{qVdAawx*Z6mq=R!5un#Tuw!i>a6?$4Y{6~QmGCS|OlsT%LP z(R|yoDjMboW~_I8PjhY1iHpDS)Fd_8FpUk znX`!x+WKzUd9t)v%IC3AL25rOx=P_21@Ib^n7iC+Nz-4S{jMZm{J9`Xs*mwBn3)09 zV=}n0Krm2AmfY7O5cL)(9U30Tcjko#LylyC4(ctV&;3<1_v7NXZ@3ns&#J7tRWp=; z<6+%_=<*5EqlxW^T^oR^6~G7si7nw0x*4YF2R{+5s<4*%i#o8>c(WiI&5|Yw2>q-EqHwh!wk3G|Ux-(ywtQCljr>6vBI>&nm$1{5p1;1C zq`X|jD}kf^2k=`An1gi(mnOkKJbYrEMcgu3wkUo)+AiugVnUPf0*m)Xs06rhBIrWy z@rHJpSW4p*f*V6PJUqQJ=EDd^umN`7ZcJRc`$VRL<;FmwWjPUn zg!Q;!KjqNJ-_3DqV2*52nw#a1^O}4UF9`=^ji&Pb9_Ty}h*MLzDeS|kY*qyY(X|wO z>Q793D#JCTyYt_6G7-ltt5l7|I!jdSI4qxQCFZ(d z&AUQ12{P;hLjy!nLc^+I!gUFQbkPk*W=UqEN$#uyr#oH`x8leId031v~EzMGWSUc4Q$!li&nnj3SymhEnC}wD@OO*R3n{hqY!2_4qlm zShac7FR(ko<4*F8DNu#>22O?XRD)TvFhGB~lfWKt3XUkz?4p>rF(!t}s=`-AH}KE4 z;LJ2IEEnDL5v}^8HF3&~_;CBC5ODm);vejOi)=#vbbir27S-NYW&MX)w?J>4Nl>>@ zcBDw6J(oU4Zd$rnX;mOp-2#F85rPOjP$KPoZH5i1Af7oqfNGnKTo=t95TGL2=VdCeai3-SeKf%qke^kXhts%E?I*Q8;lQhhr+8}lCn#|5?E&`}XN~A00Zc4} zUpIVau3+O{Vf`@8z9fm8re+kqoq$N>QHTEKsr*Kmud>%4lQwLh_$N)xrkr*ct-Q$oBSX5-u zMd_M7usogzr(~tf z*v1y1_glo)RitY_#0xLZ(&}@DeqT<|4J?V_s_X&ysOK>DVg}sL^t*yvufnlacj|p` zE=G5LqwnFIt={Oeal*RrX&%~R3gkrm^YU_pm{Yi)`HJ)6rwjSZxg!=PU^6=UkDflm{Cc3=BpUGAajjg!*e>Dg`6lOYD~+x z6TEw~)`%y0;Zj%e=33Fw_ z+7Vcp+(_maT1Lj4eMN>EWI^N7JsbX4T2F5J_d!kso(s{96uwC&Y7+(vhMw=UGVoFk zE_YJPAp-oM2GW8*znv)mp&uV~igt-xlUap$dOmeA zceGa3>y*ikB4T?Cq4R2dNhFq#ZIvGo)p;)J^GDH!TH_Q~Q!p)yz}s{|1~!DLL>6ASfHY`ADhpR2ZB#hYAahU)Y5Kz zAkQ0JSuBVTieMCsN&Gc#vmEg$fBiO1fs`-#=}EOqNwpg&HxTM)KX9fB+;{oUAC!6C z=$qp%TLD|HB0li2vj6IJ`8W1N*J&5-%j9N+5xd`fY?@m=eM$$k3<)M zC0-C&bU&aAN3B`{F1;v3*K^wxqOZ1QnpbKFz|fPgC^@PlOtJUR1y(uoTm$onUSXi_MaJV=!Q{Jt=W)t675*hp%ROC^xA0x zLKeb$5c-m(Mij745ssqJVU~iNn!mr^U?0$)=SUpngLi8+6`|Qp^Ixtq9QjoUNc-j0 zs<^J;A1Ln1j9ZPN;gR4wkUk|s#EisfkYo(+VTYN5Sb+Q1z(Tk%T6=nZDRjAdlfEkR zV1l~YvLk1?fge=6gw22o3S;9|=aW|t!R7kv>AkBP057t#U5q8zDAts7_|AACH296v28f4ZnWXr#7E3WhH zXreTryZ#j`lSwwsq_U27%(Qr@G&!lK7XO}{loq*R-W7R^u&py`6IY{kYS?oyM$@cg z8HNKMD({gN(gr}xm~nu1$I`bIlUWUI{2fa%$Q$q?MYa27^@*csmPUO&VLaF$g6yy@ zXVT4oq8$jZOL?C}B}tFq3NFQS==a_S!VPh6U1DL_i2{EG+4y{q=5N88<50flrjhvT zLqv(+z~3C%dlu*M z(S{IrQrxjdDmr(oB&cosxlSrxf54Bz-2JV1KzAZd4;+B4aM~YzuoylA!J(7E7n^cA zyXx27b$}a++>cj0pf5YJBbWp?Lo&7=SHBS#+X5hN6l^H#)yY*{fdBR2+ud-FqyFzs$V4`4N1b-5v+I6AYl@{bg zzZ|n25oiOrwg6n)0D2B0UGP7h*LD3)EC(Fq1`x8tssuSnJ*$*PoNWPit|FVKA#cG1 zju<2<>{RqL0>{BGaX2Uax}~vh`TQrrFKIX@doIdZ!MhkPNgTg&q6i%dN9E>4R0mmw z+q1$pGBZ% zfL@o$vxkB3zhzY5zBVs116M^Ya|NV;kd>>VoG5gj=`S01!41s4va z7tW+UhoW!m;Vo0)Pr(=t-5A%>RJ&?&12z8k4Hpn{02FRC6fQKBb|m)!ntf)fT?eXN zI4VOF)R?sF@Wz&tYIp25Odj{Go~+HB#kw~e)N@k~M(ig`tF4}YPOoygYio0?npVj4 z=l=-2Oyqs-9$rQK_BpyHZIWvM%?0DZYx^-b8Mi>47KaMe=uE1W8TnuPBgwh*mPaw| zza*RMiQ@-^Y2#DK5 zl*xaYOU?RV*p&8jwZ2SRKRyU6-(nh)9ER;yI6_XWu$ROM$SUN5JsdW4y`JEHZ3-XE zA@7Y*;un~gvquhRS`EPSKBLC&GIGTSGcNBf%pI1qi%45V*UAhp-|RxT80Tp`jc(+x z+Ha(ats|tVvn!VTj#8W1)vU0;6<^UKuAs;FKaQ_o+#Z%cF%s#s8$*?#9JyV+piFV( z1^Pb@pu7CtcJjG!7#0Y93_JRWnQGIgqTrXc|H}2$YO>jCvrrm_AxtA$ZFgO&U9OM1 zAnImXiDZe*Em^3Q_(8~Mr7r%sJa`K}#(8wPJCX-FyDOKeQF;DZHQp;R^NfK>xApY2 zsWX*yP!%eEElI5xJJp=K+>UU6t?YAL@Iu{s(qdi11n^8HRJlwj+f&v!x9O<%AjplD zbN9$TRUdvy;%QVnG>`Hg_fqzJGn&%T6GqOjy>7M>@O|Ca{W$F5UuqXPemmkzzbugz zIkTJHH>%?Q2;&_>d2}0oec$e7%o?z?i(LDh{Y`^NNhyrtvpcMnyL-Q}MRIRgo<8T# z?%~iOH}@{2Ph~&O$wX8f(+%@o02b3%6*N#kb4EL(WncLcv06WsSKcmb0LcU`9yw#X ze!IRh`N1&y2rhy=bzpL1&v5=Tw+`d;UT4Ht{UTp_5I9S?&CYz=pR8s2E^W%ut(f^K@b$av=cgN3p_-L7rV3_Pe|?c4 z&83+RYP@iKz|VhyeH$z7^-3;8ljEe)Q3Z<9y@i5tuy9haLIKQZn1)fY`T@a^?*)Iz zrI>{LgQ&wkrmq4;k@$awhYQHe9CMi+PF`5&(;FnP&UGHOALTr@Hm-PEqZ(PyF|Kv_ zp;SPC3KP00W%Sax`sK}97c23bEEXh7XsFf(}@!sa2GwK z`jV5>%PRiVqKQ3H)-X`k{7bpfrada{lRsVYfz?69XzO6iFE?3Ep^>SvbH*7W2W~2| zxa#PzM*EC*2vF946tlEuJhT=Z{Q7fwU^9~`k8>|VGBko!UZQ2XrAoC7n6`WuGlTJ3 z<|JAFh|7^JTbF!>2DVZX?$?3|8ZCnb*Ai^ey`k}2|3K~I`hK{UQew&oh>dJ?rBJpId-|^17KY)0$;zc!N<|4VaHYg4%JLwan z$SeU!iq}Kw^XD%&`(cN(1BfUh3FKQCum~+ ztv-6vtG{2f{?S4TjsCsY zK`;db*_cLd*Ax*9y#>;;@F{{@El;Jll|-4=`?H>30_DBo^zbZ!u^MQUtfu9Pqo&|n zmQz3jxe?UN6r-eX6+iP5yL@h(zsZ3MZ{ha+gfD^Qj>z+MM&b{myi=4b>{U$pHs6!@ z%G?sH{8wfHjVML2wvDVzNjc||GeAH6+;+&ZBkx90()gE(Jk{BeoyptVBByl7g&tRF!V z6qLW(v{!9yhbYPv=UHGw4`%v?`d+gG)!H2-Gw@soSy*a14xrE($#D9ovqa(UqDs!X zuCHUJR(BqaNg9-#Dz)=ioLlnxsrS_BuZ{#fn=a6Cscb~dFu~Dk?e(|Fc&a#VhsG|0X+w2Rpa%(yOkz!C%f4|q(N)n+oy=HI_e*McxXddG5*4pkah=_Vg)fI*VX zg=R=XQphXg3aUY6XBGZVpCFQ2j_TkVWDXrP&S!q#RyJCH5zSN@Jy2MwjSj6zeUt+m z*e~cI8+s}Tn}!A!CoCIhvaJc3e9kbKaSVee>ngU;&B> z76*6L0=3cg<(x~=kN_u^WVMy}qv}1zmF&p6>AofCZk?tsP3cv%e6CkPAj59j|05drT0MI-4WD>iOI)-WJsIo#BOzKE+C!tAN3 z#~T$(0X^tJ7O1|Ph4$Hu8=@vzYm?8l;ASb{M#eqSjEe|!h2|%@D_RnTe*tuAd^J0_ zV&8+aLg~p?xK8>ihdb4sp3oZ8AFIEI{zbvXhIIQ@tcY1*$}>u>xZ`u~VhNDlFlS#G zW`>;J2Fx`pU1;kR4pj$4kfBp(*WRZ)%KP5sDp~5n=YBo72KUSHa^lx6gX#9;VD&E!cYMxNs^OPD*?o8bxDYRh}OWiD0;* s4)nrLE%}q!p%&gr&BejV?foQz8|K!bPsE=2%}zuXhw&YFF8L zZ|ds%ca$_Ps(1ZPUtRL~1>P&yOgS!@SZ6ni@|pxo5C8^_hB}hy9p(+$6U8D*8X6Aw zi*(%Rj58||pvL}*`vjhO#B%YTWZFdTfE%o)cxUagr zo(_kM*T+6rECE*>#7g9?r%1^8a-oVJkK#A5^Q}FuHKF|5VDUBmJvF^OH5RYp7WY>_ zcJM!ppM}E$dpwV)48sup=zj^~k+?n2s`bm%5Olo83;j9NtgNg=`4K186-tnFlJHxq zK(<3)yDF8w=|nXU{RiLdvy&SKp*vOA?#?R;a!G*|UtGa%K;M4LkAg0*4Sw7&NxQYe z-MGIaVY(a|g^Ap5E}Y%E*;isBk_c{S@KOFyx9}D4^y$*^8az<#pUfRK+0Lq1a^Q)G zeRDPl)x&gjgC3rB;^WOw%zrZwCYE|B*d-GP?s!3CiF$}Ecr=P)6aJ8PoVif?bM3?2 z4VM@dC)ppWa;*Gy6!mtFKkGpP{PRjd#PHLUm%#Z0Uy>K|8i7Yic<^Rn*t$yJjo%MH#@4g8nI^ z_HCeIF-830C2I-=k1Th<2un` zE($*e%O%citLG5aCQ0P+@vmZycM@FkcluN?rv$W#m$Thd9m@sapM4=*;I4|&X|43m zYvgApE^6-?vtpL3Kt!hHm;OrxQ~m-p)B@bBNwX4qV#x}rY|)KvJU8V1k;1^wg&13j zVVxt}z9_J=f9M|n1d95e2Rb20L^}BI*WM*oWE14NFFOWV!zTn==MoOj4jmQ!L7<3o z94gqC9>F3^V=(|$GK;N+PFbOJo}_nDw?59EKGIx1Jop;<-&PfPEf8GhJ;5m(aS{gA zoQ0e!%nIi#@tn##d@s=5*)=^RDW-60wL17^KSv<#2uIkPyN@RFi=VvUMC6ZTSLO{^ zcIY*u)>#OrPA3?2THEqEOaY7%4T&gyLAItFs(1{UUy~P74jCPX^bYkOmTkVsj++Aw z#7e$$yM_CEXwqU*a5_M?>XKml304FFA;QjYlmvP42UivEWaxZ zbvc(I<6C6zP6?F~@bX$DGOtH_5g6!-E_)(3Epv_zWR7Kuy3Cxr2ExBP3?~5-D%P9= z4_O~A37Mrg%&Y`f`yXuF8Md1AhsF^V&6FRJU#F*b+S<5xpcwF1DfAKMug@)kmmT_-oL31qrvpPB_U5 z()`)zwmoh~NpU8R+OC59>>i*|g@`4Wi~Xadb1p7|d#TNyMxV5W1lk9i$RK<~4}Z~o za>skmCGLTH7eYu_G;=5Fr(4uUW5UUqc%ACPcQF60c)gnTh4}#abmMTUki8piILS(` zQI>0mKS+7XLW$Zm<*1u6Islm>!&^J|_(R1bO1W%_wK}T$2VW>_BRRu!y0h<&pgElZ zJ#yFta=U42K-FTnq39^Fh!bNQR{1zmBz&XIE0M0)46f*cK(t_AW&kIHu98D*m zPm6bJEdb?qR+xUc;07U5h*uI8uWuNv3uKQMEBJaO3;3HAU8cDTxwX3tm;qLdvk z-lQ05`o7W@hQacsTS8uVIbqUK+@(-U6ah=PG>spnU){&i9Al3g7C&9FB282Icc4*4 zle={TM~<5;GjSYBHe{_6<@Odax*;Sk|Q0Fw^Uh*rHSlT=0hF*I57W&EyF#I?8w ze~w!f#v3@#=T{tbC^Se$*a%cVQK!f}3D{VZD1BXHVGuwa4%n z$(YTaYuHHbdoOOcZ#9C>O`YcsEjtNo7egg?9h*E;MZOC%g4XRN7};?`qRj%7aobZ) zQGzl%B*B(bJTS4-Kb_M1kFH5$UgADq0*s6L1{rw@qxli(%7CyuZa=tu_$<7~3`lD` zFutIE0c(g00l@rY7#O2JOBiWLE>tfn%PC6t&O27{GU_m|Zybq2QxNy>D-5SYMAsG9 zXGNX`QH+nM`H;7(tB?t6tfGX%!X7@Alc9(lKimn}ecro>#$R<4BqYrxL`hQfaTrVi z`0taCih`4m1J!DsGJYY)h_V7o-^43CKO`Oh*l&7!Pc^2X9&Fk%KXZS_2?q}FOSL+f z9H(k=?{dfT7;8h`fHRMk!1r_P>9*FA^;r+V&3|V#HKAiwyj6_m0B*HaLgI!;K(Zkt zxWKxQ7QlIs^|V9tLh{Bd>}=M78d}x{(8JzrInr1*3EEN^2h=>sJG0 zD&$VrW(U-_tFyDlqV@S-@e^0cMD54ruY*c=G>=Ai&ncZ62{pR**KG9em^=}Bv6k%6 z+@~1f-vv&Ik@?n%C%chRRDdC2A*ct6)}k08RFDd}*n6VR-)BV1Nvgl~+4~PrY2{%l zZWU4RjP6i|Ci%@|9fZ!|hPiANF`+f}x|rV*1SPL;^lptQjoUZJ*u+-^5tTnNsk@^3 zp>`6gB4?m-^onD6bzEnQ%$--v2!)Yi%G1D-!S-5#+lX6f6O)o%KY%N-gt*$p@xk1t zM~*x9^v5ZUKG7n1O2XUx1}jr9Jy%{Oo&_c@Kz1R$HXuv?>ffNbMnYz4yfw-eg%yRR zy4Fvm)o2^{X5z&aIl&d$;Qi!VIcZ0L zhTqxodR~B4&-yCH00|^P-z0cCi_%xA>-v(W`)Lzyp-sESnK-ewA2ZDFQTG$UPl5>(|Jd;GnLvN*E_1Xnr`V-b`P2rk`` z_fHPfm@9?f4iumIF4nhEVEjo)1`}FU+OC;$f9$C^wo+ex9n99v&Sd3ah)nRS2@n;s z(`$_d{_)}V^}U(8tn2KcGT;rvF7#XwUTV?Z^XT+My}I|UJ^UF~{*^MKRk(Y0eHo?v zw%6EF;G5Jbr3+xRT?8Mcq@bEf7V4Iqjl%H?(Nh@LliGA|?kjJ$Vw`9D@MbriqZ%pE zW{`*SQ5T~F;-5dmH($2Ol9@XZe5uX~TG_u*tb!hI{!N^G>RjBvM^99xfUD?ZepA1C z*U+3V^>ACFWz*t7ue?%p(M3^xMHEjD-%#hTq2eo`;?v5EE5XeF6ho}C_;zC72F}~O zg61a{cDE;5^5eY>6%GkBO4cIJ;7zSuozE80dv6DC7t9j|byqL>f}% z@fYb565Sg06#x)-slk)TKH-aCZ&9T#fjtd|j!T{dnUrC-5=(wwjW{Vq=#7$(@i3!q z{2gFmkEvDyG1BWdDP5&D(+5-u@ybt9XCXEpu_<18Zlq&l>@OC&X^5-;jHbx@`3B>K zF#g>{wFlf1)g_Pm&@E0STkmL@Ytlgb8E1u>B; zu;j4)8vk)Oi!(mkUJc{KD&-O(5X!$o4~vz=ve8QL#D89)ATjZ<&vrM`Wm(LpSb-?_ zOsxtRiOZm0Fs{JT`G={MzS9qgLk5%|4*n#{9^+}(af^rn@s>nun$5wt<90Eo@Vzp}ck7fA+FD)ux25NIqvkC6*DbtNWK3&mR;^RLV2Bz4@wWxyJAb zRf|k}(JDckUM}V+gVcdU3Kh>JLd5{PdTwEJR}QC6I=f2{cLpPyb(FiIn+crH zhI$F$Eu~Jl0hxN|jw#MVt=3*!j-J;TP?p17-&)?TBKkt4KfaIhh~v}jQMZ?pG9@%n z-jjV9GyfBknbEhnR}O}msIzgs9GbZ*b9SKEdI@cN*xKzjDZ4oq)0w zhBCQ3Z|#Bs7$(g}c8`*9Uw|6K#GM$xKwoMGomHJ5Kvr*9eoQ7D{+mGclQn*;%(-AK z8w?84JAOyrf+bGC%LI}KBuX~x+97NFZUW_Zcfv1ELwq5T#dS1TUw-PNys22dX3?kw z*26h-1TZS#Cgvn4`{eemvjLFt*=MQquobtE3g%ewZI4XXAb`eSb^J9mo}y8Q_=$6G z;(ky***qC@x1Qq6>2cyHUoRLhh|9YyY;PVcZ?T-#jV#Y~u$?-CGZ;)d)*f+E$|Kw; zMkQr!;E`T_!Qu?W6(${T)%LS)rV9Oq(A5t#ri_CrQ95S9I3UgG+~#q@NmcyV`uXru zK2LV!{DqksQ+m=h7|>x80joEe-O0`k9&qk{?A5x;ktFDXwG~~Yf3WH;2kyaTXP<{pz>5r zT0{DB3ZPuq2EtG*-w`y>4~`YE^Vz$OR8nYNGnHCRd3_m(ECU|$)w4=92g|U?)4D_{ zxkAEU^H60BPTC)wLRYR-;C3Y`9ZqNs8I+m|J&%tiEeq~cUAXv|c~^tNx>s{Ok1ZiI z;d42b2EW!?LT`F;^g?kDlVWY?Cl-=NcS1A_ojm zy}@RC_|A6AfM>ebc7P5Uqd>_n1-Ti%{@q*wriOJNNJ3L{W8e^ez&W4^@xXYu<%BTE_tM6U`4gB9dVTE+Il&#inT^4lQwd=4a}qXwOY3Fu?T24rUw8PwJW|zlYTm z&gG;?c^X~-{nZKO`j4V<-KHC%eiwchGjXi1xSk<$&+zWgb~JUHB9}6BL7|IYx+9w^ z{FKbA(y!YpjG8tq&w}l%p$Zt)yAMz)Rxg{g4L1&9x(Ze_8-^zI-K*l)C$uZgU^j=U zSi-f7YweA+*76>BiQp@e$FfE{hCGF^b&|DN*4Krf^fHR@5DW{*m&Kqew-MA7WHkz5-iMZ z1-NyBggHe|s(^)2Q)y)B-*A_ROC2fjD^A9D?>#!@D613VBa1g^1h1+u;tfH>R*{EvH7fhC6$gD9kMHkaxN z4PI%x>QjiHFIQe7IMsLZB-BBMVXg0cMx)jJ*p%q-+pSbwqzN2M!<)E+%!>P>nzVS` zLF)7vq~Y!iv}R6t9#2tAHxTKak*H~d#GjR&yb)qL$~oB4U z(T?El4ABMQI($cTM-(>JhO*@jx`e?4tC4fxjR@eqVS>2^xu_zxushPEpBJx>+aEpH z0*YEgC3I@IxO(!+hTW@Kl1gD@(O6)VF-Ui0i6O4sCY$^s-V3pcYmMWollP4NpVT+t9o)BbYQBNZVw!HWP1%}i5$bQN zS-2)ULC!MsZz|AUTj-B`hnnG+yL`rK?bJrxSTegBLYgmck6;g7s7-lm+IG#!2HI(k zK^-ipO1_4(IYNM|S0KQ%8ab{QW9Du4OIOroY1~hb9ZSP~J=>|NPP&J$%2z$`bDRX_ zA-KW2;8MyREA1PL;~^dGarIm7tD1C-(#XPQHE?7;8~(BaItdq4mBWGXA++9djqKuQ z(2{6M9yFL3sBZ{0gR+t`64>2iDo z%UuHb*~?1(9@e*l)jka##+*K~D9cO9OGdNHvQ3=pYK?M>HfrP~W5U-T;?Fk54ksk_CVOdF3=D|Jg%BnLL~ zT&NaUYwA`sYG_Wx$_9k7>gKLx6j@9@oK~qel$ASI#ykM_S~>BX+rV2Q=Hm1xFOH)R zEGj6SD(ElE?ZI_KBG6lReW0U2p?2t#6U2m zBn$kof10?)D17y|ewx@4>(mIae41cMP3rk*_p`w57~Kxk7GmG#u5<3%0alM z?IPz>%e0k4Hb|sBdGYkwrJ}5PSnHP|bG&YB#{pdv%xC@P=`;FE0iMHsSsnY~*74}J zquSesN~FJ#6 z3}&(qXM-sdKtc7WRl`M-SGi|+s21gMjpARjI11JyhBHuXbZTJSQW6<-CY+z2LypE% zLevcCR1eW?!^u}1ZEge$Yj^}oUej-ON>nw``aYHz-jxlVy%fD!O(8<75-lRc$8RGe z<3{L_r^~|AcP(L_`dxhvup*Ba#3q~w_21u(Kq)-ed+)CIv*4Lx4)ikk(YY70zaVBZ za0PSw=kSo7eRJlcLBQgGaa#dO_sQ}(8X->2z=YwhwnvO;P&)0nRm4KzyhfROCp%*m z2i~+Wg7tBgx+bZicCMBv0 z=u%l;xUva$@ls?QI9JHfR-wqoGhqlQWDi}{bsLSw2TmAiyh>X_H|$CASprgY>DJgN zvWp*D-<`+g2+lffRf>7(huXW#Y=88-j{U$RL1vhTCBjX+C3T$BB+OAWm?)Jh7LW!E z83t&k9rH(6`xWjki~-Ve)}o>1kMkpRGLhKgISm_mVD4>4Ay`iJdj3mWnF6D`AgIF z`{FGCzzPg(^Rtko{TRFj(8honzuz6Qs|jJGzYX02%2XhY9>NdVeM^>xrkP^_IlgxY z8LJ9Ic6nSD_LIaO+Vs{ABug8`CBH6)Ia@|)onGK3?fu|4g!0>B6@%BMI9qU(g4c&R zS_(kxIw@n_>Dz{t-E#>?3kiK zR>R?S)~$&~U9p?48t|%%w`mE}Pk)fUr;youHi3~{p`i~; ztW7_qWD~a~Z`OKMn^Z=CrtI(f)9+yPoB=AN%%?0SLktE-FY~uvDnF+DENS9#V!JC` zX79<_f3LKbhM!dwPa~rLYX?FAyEM&%E)QmZ@qBY>qa_D|=5jI_; zox(HpwCm9*pZ`kkw??ygTE3Xrxnwgoo&F8kFC@CIw5^kH32Ro$Ub0633Uh;;_Y-R%WX^e}45ai*oA13mF(f%HtAiccf8l8WEPio8VY z;2qNH^v1yXIwyvm^(({QB=Kw1Xw&GZm@3@@J0o}wH4QZ~Lzv`#yZ>~-1D~AbJsgY~ z>Q?txSC_uF9iKsRksXkGqPYtmz>H4|Qwun(_rn}hzVR6Xc}AG67IUo=?J%Rmk(ft! zw4ADHxRF{0e!9jw=96=cs2$ zSn3rnXf;J6GlxZ5JyV!chR$W6%_ss1d*)0Xc1Yh!18Rf?kOl~8_cJI_Wm2E`leq`l z4#cx=E0_=MWKghb%ptkmGmP`SW()HIa|NrJmiF33>A|AXZV3n?!n^lW_c6OKd2}No zx8*S6qtaX`0t4|5^HW!Lo2ukYnY?6p4%L|=anygp@oeP+oZS?kZd3)C)S32vFE=8_ z7J)hr_liz!X&)r!an#$aCPpgxXUO$H(KevEQ4M(4Y(k7V81!cz-1t>~4Ialw5?`KZ z?U)WSu^K7sb`A+%NG75@eY}kM($`vl-7<}|AG&HL^{`wW^9iA8xyi2{7{~nW@FPQSK)H zNB4GtdSCM2kMaq<}Ih4T*l8QUM?T~Oap0_hZ- z*%uu;IYx}9!DsIZTa#&RRbfdphRcH;gXlW=^>3P`BCve(#hVtYOK)h|LsYX6>CKeu z_3WRc9%ZW{zm`k98CQKJlgjg&g|RSI|1hwHOT#beG?O0Nx%TNeQcm_4ZBzBa8)f{N zh6kf1R5MS519(Wau)s_Nh3?fY)W6d@X`S0YlH9zMZ(kOLwo*s2Z6)0x)5~9R{2D`< zMaBtaf>H!Bv&4Jik+PBhO@Mi3H&S}HcS2{YgRqsCE@Ty~J(38*zrU%Zo(=#Qsg&5y%;o3J*W48@6|+r%vKIF+HKbTL8YCcMMlW zk9PW$=EY5{uTOJ*lj!rY+fAUhp|koSCY{4WKs!<`ym|ayL*C#yZ@T?%537glXI2*PpqKjsF57eAhS$W4)5ND1={C8g<%xiRr_X0L z7VoC>ZE_x^0Kikn#&_PPc$Lf|DB&hQR%EwPp)Nm*I*W%J(8(oqNaBJk9WC_yEb;B& zR?edkaPu~wh925k3h;M(`b=PL@oE)Tlx$ZCD7&BzI`E2RyCdycpn zc>4$-w~80vjm_^m{~}_`*35a2gSd<96i@zn@q6Txhd&3hjL z&vRZl_W$9bSHk%(1ZX6E&WZik1-pNzHUv#w*gt-{yu&dd zw>gT5Eal(a!mYBqXS;KWofWW2&j!IWGoPCc>y;3>`P4x-VluEZMNU0q_nThUqQP}?_h~0` zj?gTBE1G`h!*HFho)DN(I$#kTeKTp*Hh4A3$>Q~!S1b4&I~03N zj1uLbZ_kjy!ak{GrO{DtIJ*W*DGMj-XH3M+$u39JyrJBTN=f#GbRzE)syj1#i*9JX zQWIr~sK$y|g)$ETSmg4NCW@c2{vFu+c(%Eda4R61UV4`<6r_87Q2X}I$n-=ilx~Yt z9npZ*YY>&5$&>PNVlDkCE?{V_j?pe<;OaZp1cMEqDHJ=kU`}1g8__WiUEP&cz5e>dzJ5QS>kzJFuVDI6A!j8r?$C2q4Vsm)4O|1&Cr%oN$HdJ5k1wKaX?O+Er;;9-{6;uiRp%PlRfn4@oX9D zK6gwfB;f|d{*8Utk@&Tf`$Zq|q^-)cUlXHE#JV>D0?{y=xOs_tr4eI# zp%|%E_Ad-BTnI-Be$7w!4~uRKbQifa04o<_x<6nM6n#5*Z0@6TWDUx!z@;J-zn?m^ zKV*;?sw2x5m=4oaptif|12;>?qp=q1IT$4bB(TeG8c+q1H*n4Z3U(>bnXV zl8vUX8(enslcx%x{;Dr}#&Av#{N6BW526kw6vvIch@WPT=td<=*tCo0!}a*+=kfVg zFENw1jMj>}a+4I4MAUoXXr<2Q3}!}`N^;lCsF*IGvh9MB<<_9q|7zGPivs6I_4p9* zk!;UgqC18oo!IU(_!j%f8jM&G&~~WKh(0a$uIgsC=oF$j)X&Lmuw_3V#tXHM;zx=Y ztvBw?k@-6%Eh?DL2o2R3%;_VOY?$dMwVsEawDYp8!EkHOQaTiv+m9f|eUm2HaI-{# z7omQV;mWx0Rq%Xxy2oj#&9=u@fBCg4DU;;dfY1uGFa|d!W|)KF-ej;T!rt!D0{IQJ z0GDg9-nRi5Dm?F400wn7;SEIjqd*+o2s~6t$m)Hsf30iRULb8YA%gfvNn$Zh{q!>k z7H3LSHA+SbO7mK=A>jO3+=`%6^UXXKNKVg`|;M)|c zw96M@U_-zU&Fwv;zgq9UrPS+1!N|N!{9-c$ltBhSeZM%~Ii1IAwJmDjEUOz~(DUP3 zX|G2L+~Lk#mn@U8%rbFcWJAD8;`5iBXB~{REn>?azKCzAJyO8?a!p*|9W7$yu0S7E zD@~%OOQ5%Lo6!^_c>bVRh*EvQsJ}r+q(;$DBSWIMuG`+~-cbT+5DQXVmvhqFI2E(+ zhrh;J}aU^VdMlr zWo;}uo1KJfR2i+1H^i&0@geIoRjrydODmnYWjEB*R_Wl7Bj87dz)Ty6Dx@&NOgk2R ztwZ^!A7PmBwf4iw%v5*!?WPeeH=Wwwc>l;?AEvqUHLs&$PdhCq()QpxEs|2O8Z9Rf z^ymCk-=L4DjvWl{bH_pitWnI=r=dn*LFcD3m2x$TlR2T0W&?h2Xz>!YLCAWE7t-}9 zH|RDCl*Fn&TKq4d=GKC!L&l2O!D_yLyuIfssW^p%Ay#r0=S8U9iyBND984u`OUZ4e zHOaxjR@|6rQhO2;NF>Fkn3K1fKQDw;U+f=$p*18LA%vIhOc~F(2D2JxVG&P1f!9ll zJgYszcV4>>z&nAKn4+7{cnF!Q6&qKBwTb~D7V$^7e$46ou3YwWR3V|+Wwr#{fBL_S znCt&m#cV7FNf_#oRB}%3=&ckiMG^k|=N9zEvPKmN{US7L_r0Qs zDt3z2?C+4)z!P+}P{w#hJ_J0Z&+dd;=FYLmDIP!uBYA?NI7kG_^XAg(JUNgiF8uc- zWk(HC-9{9bh`e9NLD3hd3~MmF{mQi$4HiTqkj0=_$%|XhKaGpwJ0Ua83XK3F>f;^E zaN*?z@?7QAk!;qervVYF##B*}Ksv?=F~v(peo+{|Fe7~XS?v|tx5K_(b_VFi$(*~8 zH7PfZspIb`73CH4(w%aaqNZ#2XePms7y{|G^(PEweyLoN3{JZRU+146x@~5)TjBf_ z=bNy8v5fTQd1A$y!>dZ}Iww^XcdPV{K zCyn-oe4!gAT?_)VuaMr&U6G-B!T62q3^5O*4V&XG@vH~+({+tjBxW6HoS~hPpP?6279AR>ZJjP;=N9Q1;yW z?Db3y2G|D~R?Jo%M4q{f!UxY~3?~O%&d?pFj{*vo#wCs4!b2^sjEV}U6R=h5PAape z@uVV}G8O~}p!>-iM6QN-ZV&%B2!Zp4ksM8Yp-8tsxvkNj$6;`3#st+N=+d(7#7q_{ z+dLgJY&v*9(>K9u;a7_W_@C_;%*xmRsOafA=uQ&_F35&ewUljI@;!7IqIi0gi)@%saDMJ30;_!JIEkYD6MugI!n$rx#BT8spEdH(C z40V%E?(bwjeYJOr$hZ2Zw`sFGMwhX|LfQQ1-@WJ9RgZ&S4jCI5YRm5zhcISa0g!m! zq4Hce>s`lk!2I&Ovu2DlT~ky$GDP0s1gevVU0bm4eJDxzT3;_^8x|TVC^B2WGDE&E-Q<78@TB*8CDxk|6wBwI&;p>rb07Em)9c}GxpJfq>Md<$N&Oowo z{97?vxScrektCvZK{Y}9VMt?9gmaF=hh&4n*H)FZVj=Wzh4Hmbp~EV+1gSUi^A7DK zi(If9ifsHfP@gcaL&HWnl4w=S47>VdC#b84fmnp{x|J7E8^TgM3%d;SJ5`rUmV=A3 z$Fo887f~~8miC;B;RZeYFokaRgMtiH<{bvKGlm?};dBmn z5xqT*ixC_~;<(E53bPQ#QzsNlfwej48<5&mdp)XLWL|G)iqi!PoBY(rGCs z%#+gqm9A9BniVw;(Z6w%rx{_TJtW-Yy2KD zu2^7nVId|5FOIvZAQl#1kb>MWgA3EChJ}Gl(N}|SSwAvzG*2pKJt4m|jWB$5i|4_v z!kIQT(QHhCW`|X<0N-!uZ5GU)l(3&I?ZgbB0v&J4FjVi*0Px>sSNqes1QG1E^l?`z zBPF?@c@VQiVt)^@!a@bm5EQ@|??4VDwDF+okerUn(a#=KvJtdJ4+*(C=W?o&JO6GC zLvheBt&x)b8qog>ZowIsC!ygg2A4;)%O(xKSzF62^?5H7&`+h&gES9X-gdZL;M%=E z4&t$N&YaPKL>xeh?aCRQA4$@Be=B+Tl26u`LvF-O5s64+jIW+$Xn*=^;m#I++PUpB zAZ4C7!)Uu^WL;78)xsf>m_K<4DvAm5AZA7}Q*lJ^6<8XtP$FiA8Dm)KJFB%-gcbk? z*3vI^cem!};d-8ATicqTK6;h(9MjK`&fX*1e@p>ykBYWLsKke%3yI$oyXB^Tb7l@_ z&{M-DYW{3@fcOLiYqpO01YufDnX5=1ad0k(a^1F=In2;c>}>zMU=cKtl1YV>Smcs@G;c$5O_;`@c2B?~}WW zEPw=*u7|}k{e>Pw3XbuADWTM+T~UF?5Fx1gn^vdi`IcVeK zhRzN^4R0|%ctgm-f-4CTzEcAbxB!8%>XucO6qD*wpYxk{$j>frU0~AHr%34>r4}ja zodEg-Ir>-@k`?cx=cWDJ`)j#5cda=YxmKjaHuQ9j3=a9?bB(_a`LP0;al%Fyx=7`V zub_vkD^bb<_Z`2)t*dP^s{T`Hyo4rU5nfdN{rPKiTtI|xe$xA z$)N}Icx4i2r025>q5J;>hyMq5{12@8A6W1|Fil-Gc=l~r1y`;wXZ5B;g z0X|AeJ~FN%i*W^qe-a0kxW>fY2F|AGkbx;}^K0X8INPjMNr~K-UD!m9#xUk)AIM3G z%$ak~uEA{N|L>ko@RsOEGmcWX>h$TxH__4A$r9Q>EW+NPyOe)9N1L$0WB=#LGl`p z;iO5w^~H`Dyz1MTApw;a2v`Ezt2krk_0@lyr){Jvz0i2JZc9cgH5t1{B`4_!B~2{j zoY!RX)Ws=I+EGVEg+qM;`ml3c*&(F%^R)jD00b9SeNim_(hOvP1sKlHYp^rs0`Ev& zt)2x&2s4CG*dmLkLnre7udWi&2&ROFMyB3-g+EXv)xFpZ>*=VnbdptER_-8y!k?tym4U(4Oyq1NQhl>adI$;l|xY z(o}pYe!>hi^ZNgv<^MW!A!$-PloU&iG}k~KHaa$IhATL$`$qP4A_c2ceYeGFYuzVs z#hPBo*z^|%)Cg!IA&k7aO0A^A87PYY)*!{=3pn`? zBUbOV>RcN6b({&4i0Lo+r#C%qDa1k;ZCm0VyDkAPavBfeKH;P7-Kd3|mw0!hP~YIO zK|GiipP~-p6FQ9U&?WMC#fH4&|G9XG8;=FyC%@3={Kr*x2ms;sBz>zrAA(qZudNI5?2(BM5Pz*uX}0QTF{E8vUm8YXjIyZe?FeY&A0`D zQh^~JA%vFh^Jo+%mODtuYss}1J0R~!VfX=BTX-Wg)QKrnP27xGMMJFj-$ZoS`I0MB z@5}(=^T(q9SmZ&$s&;L*FB3Z8C_qrKYfLG0D&5o{idBQM$*-$B+cb4Bv{Ja5-qz5> zniR3wOEo&+n6(CVtG*p^^7H95n?DiZ@}2AJ2k5)Cj}I^KJxe3g*8X3=oPJ-2 zE4Ya0U`B=NW$lS)`R|DnX8Y;wzh!soWs3*(AtEk`ObjZ6QU0;ao`C)a3(i{ZVrhsI z4=Ij`%FgB#q!p1mN{Z${3SEZef^>}%f;$3;rZ#=Wvt@R1e{9MNi&1?Ps%B{8Dpree2TU0 zD?})UM38)#M~vz8Ap&n3yRuKXUO__(Sq!u=s!^m+kwOc3-zyO;B8l+&kYo!RQqNi;pBNVH~ZLsJOf9wyK*Z%LU$6`W&XgUgIec)&3^ADm+> oz~^dD-0%K4J0pdZ?OLvYCuh3d(TuEKTZI>5NdEn9@8jct0kkdT3jhEB literal 13902 zcmZ|$Wl&tr_dSk6Ah^4`JA)J49fG^NGq?qJ4ek!XAxI#&yK8U{?t|NZ^8Gx&H@E86 zOr7rCv(DP9W$*4&H3uC60-^>H5`1+9f5gD0rUlT|#>tV4or8sqg`G^y-NxRW48Q>( zW8-FJ2e1Oz*g445EPx(1W)@^BCT4bI>||&5}Wr!&o&X*o%QB#jze5Q1) z&OBz&0+LV558q#?#-eCDr1ACDAw|?l6z;PTM1{g#6htTy{U{$-{$mmQ;yWrMY4+nS z_E|~PhF8AcT@$s{Qx&yYgHx8$5NdS{r@oo2TfR>K#)OxL%dHQZS3NyFU!?b%T)xJ8 zlt)*8=L|pdJOwLsePk)v*}<;@9k-+A!8T`GnLK^DP7ou@J6p@!TUs3NAYUqVNVM{RXKIwSd7;AE9ZwDmCNkoeD zJp1mqFx>KEcEzg+H_nPDS(cOda@uh?nW|5Zg3l1ea{g#{so8G!t3YNP8Tl}8l?Y2c z&+crlwLm`cq}A-ZafU+i+vp=&UeCrG9G=9>o-B|}Dl^~Tob3el(ATRoef^WHgmm(C zFO`tF1|Ylx3FS~+Qv}7s@RpZQ`N!TnSsu0T>}IR5u!0mv9>ykNY~VOK?N7Q}uV zL zG-j$VL{|-lfq;R=qxkAq$VkG#uM_j^5G0eL;;pJVr9}rC!xlnuD0{Ph!WYwjF%u8s zzBCYN9|!h1=3+O9tOSz`xQ>jPU&*Gt8S`f&IZjyZjW%IOE{Dq^A<>QsSw|*b>!?a- zaHh?^J%t{x8v>oONB}> zjrI4NKuA(# zW%OR_h?R+ze%G7?S3?$n3{$&c*7K0J9rp%Lh#Itp?E5`(8A_7)9d3TLa5u=+(04^T zTwYbC^-VvRqV{>%2l#Wf0YW%BL!zLJUg7E8kbOUBfKV)~2$GaG#1089{60KENGJU; z)G*SSoeQDz zY&T%I>}F}S7pkG2__8NV^D_77LUv!aC~)SCqZ{}Af~xW9d(EnIz&ZQl4`NmseJgAJ zwf=is|FO+dmc3fYVZewV?7K9Fm*p|C7i0|0IXX)zvrv$OmYn=Gq)a1KKhL!WWj9v! z<5?GELlbgazksM*8c(_vzD7c)qxl-lVr8Oo{X=)|0m#`8v1mCZ*6?POE?{l!2jp*C z?&;TWBg0F^&^vo3ZVp1Bk^7mwBzS&uX>}~qH#@TqYJ`9SEp?Pw3YGL7)RXJA?Mn_c zoyjF0wrY%A?7zUB(Z0)x(``-7OX&PJ{?o8^>D+d#>D}W=s!YkXYK@}6giqu-lX-HM z>RE5xjL-P7)@c@^LLU~Jp zU4C`Ll3W9&mwC870#$|kfJ>Lkyh-AGMb1&UStY(rZYDj)u|Sxawl{d64RCzH@vccz;8B#ViUTK@I0`DLyu^f?P z)}n0C*7+gi(CE<2aN&VJeXc%>LfeO@4j+Ba&7`H35lL5m6GGKmf`iG8@|^gJ#Al!k z)cyJK2218Dw{q~PylRw6=Vm6ZEQDkkdYxk-uDh+B_C=XrN85+i2J(_*$2MYP&AvHgYkw#J)ptHOI{+&DT#&TBS+507Jr24ZjFtVu>~^Gk9nMDa{w;IN4(iYUsQb+Exz zUG4u>X|9}RA_`DNNn25%`Jnk!hzpi`mGjE;Ls?YDmZ0NHk7o{aGi-HLmz9)w?#@@Q z;miLt_t%kU5@RgtSh6_P=Bb?eN87Ql;Qnj?PSVi!xM{5DfxvvbdF&rv>8TY9O9zOLl_rT4u0 z!sF&k^_3-D=#z+a8O?}hoco`pO$4ef5oC{Z|7fReeRWJN*t3c1&}|v_AeT5m7i%I9)^)Lb)3W$a~S0niZk#6<%ktv9EqTsA#Pz zNM?J+@j3av&eORnAE>5p5xVfrz>^|p5cgGreP_aO=x7fQZ|lrh$Sf>5H2G1_L+T<5 z3qP+wt|$`7kmTp>VKl*|KXhi;WniHZmVhN5Bf8?y_@YNJBZhEJrzq_f%oZ+17F!xU z@xr)8KDiQ|6Q`(;(0kYivj{@Irwto2q)~<}iG7{G8e)Tgrnwz|=Owgi{O;#NTGQ)- zY}RB)m|oc4^wndGsRY(Ovxt~zNw1p${bS+}CVhR9Ng60#LVvt1nJZ&Z#LkEtuQ{Ib zgI`8I8R^5z9$$`P%<%Vz>p(+Ze79VK?duZ?X5m3U&b*&I%T7I_gJ&T3Cp;zT2r6_U z*Ds_~+uINC_9zk3l(@WwBs14kcVA}Xs0iYn`oA_hqrJdk?5*57H}LZ^@lT?JsG*)p9juJ?!5E@Rc zCR@I3Lf=t7m>URWKQ9`Kw+3#Y{r!0YXL#QPog>wddo@Xlivo!nYpB;2!z?wOW_Demm{ zQ?>a%tPiPac~%`>Z1@Tjk^3LgMg56e{f!FYpP~S>C;08h)Wv$d6ZK3zk<@GFU%vNx z_Y0D;Ey8tzGwODpi>r3x`!Vt+vXJ5(V)yoOM_OOl(F-cW-amr0HlbD8;Tzd0?*3Q$t;Yp(d?hdA)r%q4vhL?s?Man_u{I zvx?TR-kZK>LtX&^2-3r@j*G6OJ$a);R6$U?l$%nQNPF*yvzRKe|Bj#o9D^@sPZwDE zXPYU?6r0eOMGNVL1BP@(5-RR0>9_qGgdww>wmsLI(${qO0I696#(-JMqPFR+y=-%{ z7~)=93En6ob%ck!U)-J=Kca74$6PG0)uc!1RxRT?y|~&qBO~@gj;^oeNyDJaZCLP% zoKKEi-MN3KKA3Y0`;g)arC=xIdbqil6j6}B>v?Q5^Gplt`ZJnGp%6S{~y_o%sE_9u(beAF9 zgl3~hdxz{=QJp|Y7yXDeh!+H8IR`tl=MNB)dR-}=G8~T`neNs`af;tA3D&Nnxt}&0 z|0JE(_{4<^gypgzzsT@^Yk5@n3cKUL1zeZ8(0(TM*1k%yeib*J-$n*a( zGK=F8?e)=L3FjL9hs$WFeY`7P z{p{;#boLFSgYz;IhLyO(?P|`WilC#(R!S|qOj7z4;eJjSt|l2`~!~jn`TP6&`KyTL3dgi zk}Rvt2ywYHe%9XfOh(vOoBJAx(6wH*!@C8Ojy(;|&Ff=Mqei&7Y`i(7QfF{>Psc=-!9-Mz2{y2f{bQJw4~PAQM{f1rM6(+ypQ5~3*j%+H(1NN; zlReXDdJ;PCiBP{}`!q<`_9bhtN*U%HHZ~otr;D##dN0>|_7x!1sc7_2u7zs#=m^RH zH7qnO1gT+uvq42nwtZqe)E$B$8=a_Z4~@w9t0f$<#1hUpz167Q>4)DdNzZ#Mq3j+! zgt81hdd%d5F!vByau&~56E_XLN=Vvg8be=erRS#yQ>bs+8XP<|8_efm-xtC4@tG0+ z=9W9yTCt9g6;SGAwSUIWGj($&dCL2>E?$jMn+3Kw(g7OrNt}EPqxf{SAK7$^8lx{R zazjO}3(1CSZqp!-Fg5P66l6cZsUDzeakltXNVav(#^ zb6Hf`KcL}8KVPm{)Ad;;teY(sZP3bP?$@J|m&}jl6Q0rP)r734MW?!)!)-1M^oVQk z-;O_UI;5h11Za52C1hyQb;7*Z_R!pAUF;lq5GZG_lq#7uGr{Jg`@w`qNcCV+Aa@p} zG_9wh%jFjeF$)HM+uL*O{^|28Wq4oFk$j@!;iq= zH-(AVE0x~ZUmAzizqu+Qms-tHMtD_4+vO%yp#4mhOlyJ{S zhjlS?@WV7&NX}5{Gezc5AIa%~o!*`09Y2VWM^}KJBAVJyul~e@_n^ha>1MK*Zb%2= zYA=wD3H_(UD-Ft*^PV&b5J}tWc`v6oR$Gd=P!ky%`tI>pKSq)sM>+AYLZN}hl+UAX z2=eqnfuuNpN1t}$pta`vP_=Sh38cs@{qiBv=1kVR`S9R#mPNAoX$ZX{9G4VSS*1Sh;=^8;2ub4?0R2pdQIzq77o!Qw zwBr6JI8=OxaQP1mRnE{sYj*Pfpf}(Ik-8tZ-q!n5NqszD7!pe_3+XJ(H;BClv|Ws~ zVrYaZCli;J0Tk*Jn#xtKb;Qsgy*UDd9-nqN`}D)l<%_E7+hM!I*8kKHum29+deMAz zwSc~ZT~oRG0@pP7i+vV^vep?oUl$_0ywZ|)isEWNh0pR5J47n8Lt!SMCnmkcvsn z4K=3o*AUhv+qWn+>rZR&XY(A!FA4UQuq>$oKmp8Xnv?oD`%`{}cG|^Yjs^E{?1|WD zVUZ*U#va*f&^X(!FLJu2^m6_>UkESLgSMyudgYN1Dsbk2Q*+y=eYw`nZt=ya-}ezC zjihclGWDy`7AbQ7m0q|DvJ?f!c}&D_y;qTp-vSiEJ=A0EcZ%I%(g zwJJ}BT-|IdIB$~T38s8aYBGt&IR|_I_rxO}Qckme-9o$IR9g|xN2l=tS`8DoZqdO0O^q{d_|CzU7En5W z!t>i!-xn<@>05m3cXJv;39AJxIr%!5vgT2x?lBK_v`b;=tSLX7rXppKFgLyRq%w8_tC~h!<|32XTut-BsC(5-KQyB??DqF|R>7}8O=q;*m$a1E8w zaUOH~-e%B2m88X1`OlUWR!cr}qMo#umx+^x>|QATyKhWK{ik9xUzYj{8i#n_R9ge> z_Iqj`1g2~x6Y~|S7S;+3WH1vhR!cT*&v{JrS}(lw3;dwN2iRB1ZdwjROTOy*Js6?P z==j#q>R#xMegA`b+jY?8)WaGTrnOljC-^(Y2P6l^=i^q|`E=t2GxrxoEeZ;lw`0J5@q)x!=FTIPU{^^$-jaG9u(jrFXUap_ zd|URL@14f2?jud_oyM#@4B20n4>)`iOz-Q)tnLj5&v3`BJVe=F!frBa7%e{)q#>0KM%!GZsr-5m!9Jwd0CD>mA!L+F3Ry5C!JvXE&(+V<} z8E58q;aLQQzt)uQQ;trY1G1L1!5Zq; zD0+yVTlvpGJMDE_v4#)P>qiZDi<1J`Cu{Q>d0}{WM&?l@&zZyS4_%?g7Fk2P53pw^ zt%+})ewLRi6Ys816V63&A8$Xv>Icx?UlI;DUX(`d&X?uPmh2I$mbT$7NWXq9pzDFOD8J^Tf zMfPm$JPJ3vaOq-Ir)U=J!^MgyZH0=9RSW93MK8$HvKf;7yY0~&1q+&SjQ2K-=5DfT zoiAxKnPg2jkgOGt0piy;b=&ranxBQe#FnF#G(821_Y2&dj(L#fQE+ssn)Z2+9kG$0 zWW`bIaYkeCuv4mNN1PaNw(8_st<|5Cp;~0k{71xouX35Ht0?^T*j9^9uaMU<;6d#8 zYV&KLMPov?N;TOP_#0`B8DFJxE~CRuc0%s=|ULPcguK9cNR5Rz|E7lB;(;PuHO628Yie`A0a3@cyJ zn8ly(l%Bfb0qQPXrIxDW7TOV#X;Q!2+`k$HD7etch4)n1ENHcmzrxgJH3V47DvVX> zGxs~W5e73wq<^i=du4fMX;%@+re>$l2QIFPjk2>>bk(KzRI$)*AsEg*oQztOZ8<*~ z;#_Gm)(56rVeB;IvSKN~y!$j1vlP;EC*Po(icfKGf3DI91Nt>XmpYA@AoR-rvI;0g zGDI$lypvPBncH*yJT}vd7){+(m^xkcxLsywqkWKsId|6@S^;_rf!L-N44a8-yBc(w zDN@raOUq74pBgu@8I^CE_bJf+skCE8Qm^V7Lmu>*F6J8PzcvT7bHWw}V;-aO({O0T6k285qyk>(jGAhA!NvLbsc1-NzDtv2kJ>X}jZ zEw0IBr`KBu-W7=$0x@d9Y9P(kem-JTEB;d`&7%t>=099a+;e;miB2nva#S_!nCS8r ze~vQ>8&btq)XzAgIT#!V5izyo`1u<*DUkc zL;phr(QuCRBtwziKYw|gHlQD|2!oV+Q1vC@_f^AnZc!Lll8s^xEC|- zm3z{IPYdoAMcE5L!+PpEeCfOW6Q9m-wFgVZe*!g&cBsgNn5uOyU-V%0P}&ehV~-neiT#AGViF zlZRHqVb!Q^zVT|7w608N>k$9RB^V~_{S?QN>8DZ$+V6lp|BT3lo9i6XF_1V*t&#P~ zJw!=?yu%Gq2ZPo51yDWL0fMX05vMs&Gjb;&HN~VHDh54ZO)g4+v#6l~fJD;EW=l_q z^)0R#EKQYVC%QSGwLyR2!PFnVAqR*a5ZM9!6xwj`$vy9$5x%Y)qBg3LQ6(J3u+`mZ zrK5!LTcdfirv7gN4S*0T;0!^qquL8w%P6k0A|fe0uUreG4%P|4m?^5@fw4UKFwKC? zz0oLJ*hrI2{^RjNvy_1lhTA=o%~x~r3N?M&zAWQS0=zSn6;$v{z-szq^fI$!F>||7m*v zbd%Xxkl|n9XUT)rIGs9eU!L)%@HFupyslqEcq+y?0Q;SmWY z3Cir*CBejm7zcBU__PdrKz>ORXL2JG1Lx&w)$rjvMSV@=^IbIfeA&*3!pq zT!p%6p)@Sg*WgK|Du(wZ8)m!|d4)P&i%L8Mn9bxSX4I}*I4&I9*gO=+aCHV8UPHD- z)*HA=JoRhHuY)}FgTfZbO`DZZDcDTB3EKiBXouHJ^Ctqqai0l&MeLc%O;rX9qJ4N= zNha~Lvyx0A{odFGJ@-=vqt|{k2Q6`EDCxuodU}q*}2z$PDsuJiE{%oQ&Gq-(ur3 zqaGSPL=+W!0VF4<0Y>bzD7Uv02E|W0e;H|XExzRqVqvDM=to<*gr3rE6MXZ@4ezp= zdKS0kAc?*U=kGLJ4$9oK6a1C@W}X!L3@>(@`}tSxnLsIhXW*w){Ir^J!4+m8JL0pV z->oMvfGW8}yy?@syL$`P? zdAM!G4Tr5P4&rxKbgX^5Geymxku3^;XFL2>jkaZf-1}BcU)jg)B@Sm_pqf*jk>v9> zcTTGyuYCGhp^OaadKqSm!SRS1Eqiw;sMmqdr9HJxfH`ek^t({21Fd8GT+xse7^TF( zGOx`$sD<#S%s;W+(Of{H385j3RGg*>708OIbFoVQojNxx)&xS=fO+E#PrZ2VB+?(> zVHJ^o;|4lq6=S`uvtT|>`L8O;x$(T=%<(;K!O0xyS`)5Y8$3d}p~fR`_I89A1c|BUGHR%Y!ThWp578B+b0_6W7u1EH2k zd2rv{JQGADOqc)t{;yE|&_$;k zsrfU?;~$ZTR{4t~-!{O5Qo7kYP@};Q@Dkzv&kDQEop4RWIu4QV42fDRZ<^$Q`)Aac zLZ)+P^++WNwKiE9?|Ik_yaX@F&cUYNBjPw)SmB%^J5y(dWv?=_1+nu}YAVRE8n={j zF?OwVGc3lmn32jUk576 zeO%seq>p}7SL5J5DcTz*?F$O5%+xhl8)(#xQ=i7U^60C@GTiaPH3YxE1pS!2wn$rL z={vT3W^$9sI}66@v7o7C_}s7<4fAFd95y$&eQ#Te{^+SsLroVh0zoBWV%hhiWnE_E z)5I&d*dx(kW6BItC)JRQaTPYx2Nm(RhRx_Z#=qqYa3{q9wyP%7im;oDy^yJWn2>vt z5uu4EB4y49jU3NbkC-j8b#upNz`KG(%a|X;@_f0_Nuve+5&hc00W>iw0xDaStVzvR zeOSqskG#mq82J(Tn%@iq9cQoE12w^GJRDmrL3b&$Tustf)GEUS)u&?N3nn-=`cZth zA0>|_fQr_vM@Zsl8b0BA6#wwlpHhgZF@I~i;c&Ws)b1d{K#R}cM6zZ7uY-a?3m3CE zRfb=|!56*@scJ9~pOjqUpU441d8P*zvjtUKlkOumhX`m@FjD`+EERGN^i(H>7l1J- zD2Z$4DL%R2&f#AKNY;!yf4EyCRUDGJY39wU7a6!#I9EUv^-r}M?SOnS*!s1yA~Nv&-4P&; zaPe_c-ZI&cnxAknnL7{Ntl|IP)ZP)`0EqaRX-(MvZ)(5jNYu`T6DCrhhbX*Y;s9&r z5^2{F`2Nl0JuOaRwju%9;`UxpL1UsC_-9U{RC(cOafJf#+}L-Hn=vvh<440nwYn3; zV5~AOXJ#!Y9$Fwysx{$qI@B}ih`TztX~3O-R@AGOJfb9T0bPCV}SVScRxjXp`(-EBVgQC&akkU8P*alHBqNLgVWUz0SOrt zYXgj)2$ocHe=zc{SVY;x0f+TQ&JsF`dNKE04IMa^pLq#e$oKfBq`+x$p*OR&YBb%4 z2eU=*cmy5!-~O^ltC8XQrFG=7$0vVpWRckNIODJi%V>)*( z?;8UD8b(U9SYN)*_1Ggid`I7j#Fd0z*n6W zUG^_W4Z0gwuVxe$%dl=UC98FXQ(r1>>{652wBs0fhI4Qzb z&+eybX7G|g2f_2v`Jiiew*%@8ha>Gdo$>gC2nZE0(GXr$xOGwcX5b?!U5`Rz1)X{P zstY5l>uHg43q<;7;J5rjVpE+3eVrqeyqYUsb@q!AmM>tEEm3mzPjRld`P-8VrQ}-O zurpH)qO!5|tG;6d5yB`H#P|0^aoTdl?-pB`Y(u-z_Mzjq3?AH~*_P8*30Hydpl1Z? zEHV@G>R9+uG6t(qQd9INDQku=M#kz5P$TCg=F$93PtLvs!W7bCL&}Nm z>ygFdg_Mq-aw|p0U+#f-gmt%Y_NShl|M=xzCqQsW~kV0@$*w)1JA0 z9}_+;m4AH5b8faj#SacR{g6xQB)EM6aZqzhUYYt($BfD{dOL`LO?L(?%!}UhS`6vt zpDd!89NbwU=9cb1kvUkT=cBs2+@;caiI=%7wAP#ge#6XZeM>~FG{oEja3*${CK{sv zkU2>Ii0(b#?-hWqQ>+ z8+evWHDD5UG0{aZf-5V%zB{=oQ!I5km{;{qHB8qwifX9hL{ZQ?`~tKSiYuwZqp{bZ zoX49_ng_2K2h4tT_Fk5+Hgg8-Sl#}5nZQ+IZ-L$Klx zvSz-_evvQDI>=8 zU32kSWOdaLqNL`m%9C2iIwXZe)_)_$y=o6qc@y4nM-8r%McI5=KfjCO|=I!8Ywvi(iEfr+@IAyJs@y zsQf*nVNH#y%pR9G!*urYdW_{w>U+kVCsq{wn>2!@qe0TSDSAfvO{RR5F+%J*?>!#Z z{yH7}lRLxYiC7&e;$9ZyR4g3XJa(SA=0UCg@VG@=7p3_{@Q8cL{A*PY7tRWMH$KzzK*8e|EEF&}24LDn+8C@4$+v57Zzu5l%{6le^KBr(L ze2_@|n@cCHZkbh9#`gL7ST1n^ga}sA4d-2rQpLo}_4dy>F0`!TR`^7P!~#ewRDug$ zklgp0S&kYMdZblf+J&4lQgi&<;&=&UCT+@gIxC zrtjLJNP^^v%rDHk#c65PB)0s|^r(pOE8@fHH+N44=szaRkKlu>Cs#%HWEVje4JA7& zqKTYD3~))@7&Ik~)7{nEe4HDfk5@4J%onY>dAoQO|n?yoXOQ2J)1 zd*t%2GU4`}J4ndypxXip_A^iCG2K5)ny4BXn}knG3|E4{$a@mJ#{KvGwAwQ`?=wf( z7&oy)IEllRRn}9=eK`Dd(K&QZj`ZKYfI`W7nRzd^|G1$^yh)%%A}1BVeWW67oXm#uE1EfqqEXs7QP#yj=%Ict7Ht8Pt{7<@Bo4rh77p9Xkb-GyE^tQ$0Cu>=L0O4w<%-%s#oThh0tQM z_`Jbl$d(s3?OwmN8Sh1R565-Q)#3A>uEtJcgBbAZ2K~o|g^D-8%(_KS&`UK0dr4cdd-gTKju?obRr}6oDeTSdk(6IlJOH z{=4EtxqkY4Z@C@%x#EF+NJvW}6N4%cR1a3U6EIP5knEK}DfH1b7k*F^mHJob^13=R6{=`LJU%=}h|(~m<&2<| zXpz*mXa3)9t*vb$24f>oX}=$3{m4f==*t-*t%Ajg_Mimbb`AXX{SDs8;xoumf!Po$ z8-HQf98;h+sDg@y=ci|vvCBff<5sIqnj5x#Qc1dgbE3`Tm7N@J>wcEo+qu?NMiA&w+?0cxjbvgs{;DwLQJGw!-u- cTydO1j48HxW9{2}HHpo^Q-a^^`uO<&0D55Kq5uE@ diff --git a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb index b4b756944d7609aae2294aa31a26242b884a2363..fbbe557005ed4722f29ca6dad139ce536f5d87f1 100755 GIT binary patch literal 55590 zcmeFYiCYrw`!`&7rIlN|p(%B@SXr*QE8MNNnU$H93zeFg8kw1>ER}_~rPAV(xnP=F znNpd%f{J2kf@Vf;Ad-TS0*W&1&-nel&+q-c$9p{g!E<;VGvZuxdCke3pXEGfRxVhu zpiE~WxQzmT_JRAe!8fBqk=Hj`+geyzSZ~}H9U30A(Z<$hqm{j-wT-2Xm9_0gui%@v zLj!|1p1c$ow$XZ{*U^nW!Iw843<|vko@u{!2{_Gy1wlXlvs=*rUmq82>;JFMsD*!W zwUrsY8jUb5e3MJszI{Q*PCqHTpZpFVBy61i5Hok8w<9_H)Rumipil1(%x#luWOWVf z>gpLdw2dj1LJ11jIvBGyj~5-l!_cG4BN5WqeioU6%%erSZ~wfQsGmD-R((G6L|9qx zTfvL63lViwb>Ze7SrupGdPUQ=5xLiyw4&@gAAWREc4YR(P2B$dEF;WCeh`z&JPWHQ z<4V+s6wmTT&5=gwW`D-c^79iR-g)v8`T2;FSPn?sJ-PfC^9?1l#C2F*9sLGZH#sSD-tAefK{AWP^lSB1>hCl!IJ4`T zzi(q2uPTbEJ#o`DW%KOJbpO~Ji{aaF1mo>cG+FIATj2}uQw4Z$? zIB$%6B3cvVGG!>8jsDFWVpi;o8OFu?`)=4l%$>y9m`-Jvhx8$tC3R_<-Ut#;aK3Ve zn8Ex(e}@jK4*1O-)O^BTupG|w*L=#W(xk-xnk*4~YW$>x50`goNC2gWJ)mraSEhqk zJtvdr^h6G$ON z(&_%bdJF%01}eQ60 z;bpv8jc=HcKp2ZDJy+dE|R>ur_s??K{d-A@+cN2#vzw?CG<6T1C zcoTK@{t>Qa8eE)$V#31>vfGij2W2I7xC;#KF{UPi{YS2>9`MBUPWGoDZ%1ps!^@=b z#xnI-07>cFP^DJ(MwWFU&nksgQuYtVPL}4Z?48`(7YtVfq1t|GOfxylj?f5yyQv3$ z7x@iVnjplO@=tlyT*0RXdjykNbpmt6M;h~cOfHyw1)Hc6T&Xt@?;$?rz!FZ%F%8?PyGIgKW%eS$A zO!Hks{BevcuSTC{YkaHvC_}NqXT_@CxJp?u*Ke@uw}SWFPI#qqGEzM}`wm??hC{-? zPN9yJ$oLAjz)5K9`9@-H^leAcX%CmNBGPy%_hjy(KBxb?`F~@e!O`#Th*GQJh8fq6 zZdLnIzga2~_^9^*=_$@T-|on4Q)v) zbwXvnz3>gAId}4%>Ixu)#FQoH$@Z!Za8(cK!3e_H3;|SNUwqXX-#m`qF%8WQh|aa# zr;g$pF$+$_Up)MQqQ|j44qx{{u}e$y9XRxZO);u&>+y8JBzCj6a(a^q`rL{=%FSF; z214erPxEp@k?82##NLCwd^P4gprDluq7~+vv2IU0)wSa`(8O(`FSPbVRo@y|5Adgz zt*eSJ#y#T}iAX!r&*Bvg19+uy!=?$7btglgR{MJGjQ-o6Ng9c~iEey!<=%vumdg2i zjOrc-b>OrqQZ7`X4%9EsmEG68N(*24h_L@y6~eah`Am8bCB27qcRc+J!fWU3op@KI zPb%r=d6s1sHdw!j-Tv~-z~6iay|^y1;c@s*zI2&9?j>a!_d_z)&F<`mhBp)`sP-3Q z2LO~b(e5SXSs7*cx7u3Y`1D-bL}JfgC~>^i>h9cjbua3A>)f+q0=L#$xr_@VovC)~ z!06C;%5yX4V)qy1CsG{@FQ2$d;>?7F%g6i)x6L!OlWld=W%*8M zqIkXLmUC@QZhM}YklVXVwX~NM!h@WAqthu$*652|Y}Gn}zyld&L|i!8akGAcsV7E$ z`7pCldtqDK!8UGxR+sNEk?z7?i+vO|O^?}(^TG?4L9e-{dd2`F$z((HKZn@HEO;L? z?Py{%Mw2R4f5b)mAp+%n`*Dg7wyB>tlqk4S=@We=1qi@kzvrw?$@gzs&6*>wUq{wXe^% zPO05LVD3%?Qhpp1s!O#r9uv&iVw^1iO=LLH%p+S)Ty!$0dfp>G;b1r=!6?0);j^t! zm6;7ZdqDZF)zTn?Tue~v0!JXkiV?FQz#Xv66~nbvkq`}|$MR_pF;V?ISsh#+S>}k{ zZjsAjx=b6s=d(^UARWo%HXL>a_qajWj^(FXseYunP!?lfi&1EQefV}N=|1$LYwFBW zd*}Yh9<#55r9HwBx%&8er1S!c_`L)lwP1+X*KI{wTH8=P5r0268WLf0e92o?C`&)6 zzGQ-{HqjMcZ&-!X<2|(^;^q|4ZD-u%pGqcp`ci*~(TaFl$l@U`#lF?^^O(N}1@|v5 zHBQMMANuY!=_zJ+%+ZSpVz?H&6==VdgG`LEV{K2Kd3M#lBbkxQkNFJ1Q?LoiSVy@9 zMCB%;)3_*@o;0#%dotDVYPGfM)TIqo!5gN!lBtV;@bpmWCSYA?{d@Ow_MIYkPIhra z@tF%xnv42vhAkqv?S_g*_`8G&=+bs3mp=d@Neru8#hx9laQw%rVuB*_phOrX3GAu< z!f$W(Et&k0cGR*NljFIZ`}NaqQi!~Q>WDa0uN^XgF(pRZOp=!(%zLNmUk(TEuYbV{ zd#=9MJ>~nU{$}woQxuvND!ncl43=e@f$u*;G;|pI2NUs5TJuozJyPr1w!4RL#g&$M4PV2FXhWp3KZT zQ5KD>gfApk*ubI+G+Vj0hRTxfiGa`Dc%?5MC|RYD7UNpE?r^JQ#&auJq797wf}Be* zz?@&90i_2=r_9rsxYg zO?*BzKKad%=j-W1EW~tYzNSgy8H)pB6ZdBez7%C5t`lUzJDZAMV557Pp@dV1$+*tK zjxieGX|3|X5)*F60$aE`{TMnzw&x(cN0P4CiavXX5{9;zIkmluvpU)Kemb{kiPD2> z8uA9bn~bMsLdL9c>j$O<#kh8!0k*UdXUC0-dG2HIAkt-RVWtNqonQ+KY9e zrZ<_s%^=#UzOP_B{bZN2ZP@8Zz+oGcYDuldM*_^i8)2F7rcViL{){8S9ow$!Z z@O!`OV6%`en&iX;1F0gH(Agm{{= z=>>AXQrKeQfJs=P*~GWB3veQylv)985bWXx1RlDCvXM%-OU&f7H#5G7R*!@P14l%* zHfR%?M)nnu9>l$v_;Qw3hEFl#R^J!9>am!P`)3t^ZC<@K9){Dubmv!*wprdt&X-LL$%E^ZW&x;}Le=7AS>rEy{idfnfS&_u%q1du~NXL3y*U52cL8HUPCF0%ZA8GnjO&b@x9cQ!J6P@>u#6xgHG#&|!FJSjdChsv) zM8hd?Yh#$hOLmuJW--xsq~1sqX^+OgWVcD8w23Q4CuqhWp+9$hiwL@Hqh1-cUrCb3 zyWA@;#@#pJ!eXBGHv{7va23Oy)@YCTLWq~R+te%2I$Ri1vs0begS;;9nAw0n)Z$`9 z!P(O|rzF`gurpS9g(D`(a2sP-VNbP#>TEM_89<{!B{RB_uw_g|>+gE0kE~?G*hne} zch+}?Z!%AJPw(V@{$%e~Gcp~kMaKR{P~9wZhEMVB51K*!4L=18N(kCS@tSwnlqKDy zOio!c!&pAM6FvLMzX6d*%HSZIe7=aPN6bTjK~ehY0BV>~4Y7LG)FPWgIrR_Xtd;7~ zOT_Axdpt0&r&ppwxxNo(n%1BKx#B1tAvLoLKrcn6aiG-94w2``u^^yC)HXi{qDET1 zHnQUU1af~b#YnDLa_@l`^etKs(T(z#UQ+EwtCQ7#jW?b8gWOWI^33*uts1wiSPzQH zT_=j6s;by9O1(L&)&nY2@vG^4+@Uk@Lg?4VlQC19dr{bf2+O3B>+xrte!M$!iWhQ}h{TRy{VkOOSRJmru}*0C_Uv5ekR-Ht!aQf%>5cN(??pr% zwO5B2u`X{$lY>$1r{Q1{b`cD)T%g%THEyDG%;TpqVJ7Gl5CTz+-tdQC{Y?;WqHIB5 zz+38Rwo^0j;vRESI9|!^sEkC<4t{nsyHm85BMd@C0rz#03vsq%cCMX?3IXX74ChF~ zc3~k%`TiDVXp-8Fdw6Gi=hRn?afDjnwx(-skzF_Ca$Mt~n`ATjONi_OCM=hGj1VBW7hKlhzm+16UQTOOZ+TRl#_1&q?a#OLIGVF#jj z{GdmB7D%h#?;3wIW5G|%#=<&s1bM&7$yjYk%!_~vOyY8Q8$e)LcGR~Z9bia4(?WEK zHf#DpaVvoxo)i8+*i6%-5e&HRU6hBo&Oe4iJZq+0J^;P06M90VA7jp+Z36oVlw6`n z?;Cst{^(I)RD=77OMb-Nw=^(OSc-zDyLJ#ODz$}aH<7-IoSgbqEsIYN%QvYLx)Imq zi2X|U7Rx>4zoK1D-B#Cp2U@MJCpKHsKgIuV%p9{T`j&MALyrm=XmH9guuEjvs+zH| z10?1+*3WOXBxV7_8Utl=WB*czKnU$^Af|ppbxR)*GdOml7rJmgmIIY>hnU6*p%NU* znFBC7uZ3V&&TOYM5}~=`+7;L!JYq52m1=nxdac1)bxYGZqHP@V*?iJIFq|E@;mJB; zVzg>4-(U}>aHQgId5n#`v|EUbi>+bUi7+Y8%0%t`S3}UgY)TqJo81nmE@=V`*e+b# z6)amS;vOl~Pxun$n(!sYL2Vo+uA6iUX^QbgUjgwsu}Nx!JyTOYynsyw9&qYzuSQpc z=v;~QW1`iiU4NU>XF6}_5A{jbo{*IRe{$qa^WqU(r+80ZP2PV9zJb*SytzbSFB0bT zuyxq>^N||7K_T|o-XI`&56e8@i1mruJ;=}vM}o<2y38oOed^v=)Ru-qaZG~R8rTL= zT}3H$aet8`@$hBr?Ns7D6sKy1qvgY@^a6)_mJ(PXF`Y?JA5&32e77;NX71i50sA4yVw0%#UmX35KOZDYPL}OlVlUN<8prz^( z`WABNr$mt`j)kf|(gIzfEyfUL{KA7d0Q9WT05q6NdS|d3^@nQprVLhy|BY#4{`tST z6bl%`dfhkTD*6nu0P$54cUA}!6yj)-xN;#{OO7ulLM?fSCPVrs#oC6uk;Q>+JY(Q5 z+LyCvY*65JZnYeBTCIaV!A-m`Rzd>UD74$Oc|!n*KdzKQSVmFN{(8zwB3076FK4SH zZsS(Br$=+5nsBzn&w^%Lmq;!m+M=%m1PIJhsn8`t)e(5h<(jX+$4&Gl9E34bx*Lt# zy;x%-e$$)xa8oR$1C(kHdT^KIDOtu-y(?f;VEy=`nYIz)Hg%B^%y+SN=9oW&am+8~ z^#ygSByJrCcI>6*Cn^V?G6F4DqbziAaH=u2WIbCuSK)Bilg`g>F*Aa$phYYJ>LIG5 zqH0@oFyIRzFIVbu#W1uTAfSa-+31&25naHidz5fxeLg}*efbbYUn$c?7^uVX$OX6o zfOToe{yseYcUa9?s;X|NpTV2U*~?tE>nNs0-Dfwpj5}aPMhsBhLx63f_T+Zv4p6+M zC>qK@1cWJ@?=v=dTOhS?tzhtKmMAxIXYR`y)++C|y3fcs7s_2SPf20D?bzs+5Kz=n z>YEacnISn3Bb#tBjx~+}XQx%2sGAcO>`-}iF1L5BY7(N!I0I!WUqR#6IWhu{gk+W{1m#E~Wg-m6gS`*Ynqzd)P0qOe9M`Wfzfpnf*ZM# zNXI2zZ)jWr+ly1Oo**?Sp?cbzN)=h6)hX?SX zYy<3l@smqK7I#MUz>VqZKO)+*bi&mUDnwPA;jbf%TZ_x3I*@9`Ci9NZF;I+~-$rq#d-r_=^b)i&6} zH5RUm*?)_BqA%^+&3s_+x;|@SY*I}atqA?SUlu6xNEXybmqV8bANRoZK?O#(f(4F5 z*vdbM>>)6HmNS)jmqffNS7>eU%YjOaUj5rkHxRtQj@`hb7ODnlTp4F8@M3s#k*iChl7Bo} zg&+SfTL@YkJBJ}gV7lVScoO{-rQp`lA@d@&!TRtr^_|v`pKl z+fX*}S?9|+6ET-3xkFlA=eS~Q;ch?D%rcHAm#wcx;bZO)I&O4*aJOyPjD98V;00X` zvjsN)my&5`Fv6GMHWo7DUr2*dX28E`w%6mEFhf&Yh1_E6+CfR~c|}bGVZ0o4&@uPf zPdF~k$WD>}2-T*+SUIlCHo*qYSGHbo8b=l-libm!xESCfmyyVQZHB%`3qb<25SBK! zOx$-5R2+h2%1Wj50pgk1X?;2K+qpE9-}RMCZIalaocgHVs+<&p0K`3L~~nYm}DqRk9il z^w5YpT=Y8SVz7ZQ;UwbPz>KJYHp;(%QxKK`_5dEC3*SYxd;opQ$)p+_jn#{SPAPnZ zO8~VuLf@*9MHH*zLGzTnS(eMKI9hYU8^-%06D|EcXAO$N5;&}}7quO_K$y-+7;&>f zFGZ7dDGSN&c=lrUZV=8C{*$`CwS zgsl<_`~Yvs7Yn2+z$n2l*_KQA)KD}rTYCzsnfEuY0YcE-6sue3)}x>4LiLbHKT6lA znm`>aiW@~krXuM_SlsW{tRIrX^Yx5#dm>Il2O!$WTn?j&$q?~I1XlnZQKhXCOj(F} zIXXobr^m9Pwo`q&L=K$YHt4?^Vmlz!gb~4FEI4L&DK;Se)y$rpKD^|~V0hb}F`9uYO2Ma%tM%dtw zja15A%f}pcGw)ceUf?Pgu`k8~jlGsLtGvK17FGg|n)?ht8dt@$6gj1|D;Qa#y3l%5 z1}8eXo%=ywoEGc$?lxEbw!|@|y5uYOje*9gk7;$~B_eLyAJsS2Z$dFMs-Ep6yqh>9 z!|v5+@n(b`BwjshN(tb?T**@bE7Z|O24V}4%BhBTae16aEpS^?hV3J2Nr>cFO9=$G z^Q)$a0}YmRHo-rOz`XRLqso^{xu=M=)8g;0S>bV*)UWL&JLE~UCue}=q8Kk6Eo3V& zNaKoYY?Nim*tLK$glwdo)kT=7--;dc%0?_hBw@{nJ*MqZCcq3t1=>tk{HlZU5W?%-@wIxmQtvw9E15}j{iWX(A%nHI;f-WNrid51qDi!2E-#IHE^3 z%EL7F0git z)#(H2C_cVjTMluRoP@eVRa9J`8q3J+hxwxSa8$j2N;3$s(i;|PL) zVeFC&Atn@{|R~gl{ zwLd4@abkARg+6QicK30%RZeEW0ZPV%;Xi-m%lO&Z>iQLos@5pvw!D~b((|N{`?q*iNP3EuT*tu@>D`xSs!}2%ZVT_Ietqez#yDdHt4bt}SW8P79_VjIsYZ zr?B~l2QMHFN}vx^<-!Ak`0wY|Q2e=aVh@wS$30-$no0{{&*n-i>wS~8F($nRI;yt` z0R}yN*ClUsh*_Md7Tgz6EeCEyV2W!;;1B|j5jz48La)1r3q>$)d=`O1`UVaG{~^9tE*oW!RH_NQOMebPM@;1`1rDi1RojVhiP<8Y&F zl#);W9iDfIFE}MFo?k>!oOUCUA5QGF2>VnF)ZUx#)1c{hp_v?BGvSNq*hr!gftRH- z;tqaCSy<6#8<-&#M2=$|xv7o6tanzj6HwETC12ZTZUTF0G5X9(bB=Zpx*RXeoHj~z zs$tA@%mj?r25c6@V;-8p+PIR4#{5N-DeyG|jmBhuuv63Eg=cHC zw^5;Ykm>W;`&r~Zk{t}J7Nw+A>vHF|qrJG?{YtRLX9ELyFc?^m8q~!Zk?)ED`K?_I zDdG+Vq16r)vfLS6v`QDJPri<)EI_!-d;P5WN}aVSoS|Hf29=c@Flq>obaAU$ ze^bqxvO@qD(OO%j8>&K=xEKMWmNXfM0Q01$+>a{M)vPCKI&?8W02AwbScU}SROO}rzh+_~d5kCm=orfu=N_&j^J#DimUwQYl%Es`x!x0z2l@PLta!QwNiuJ(@mr^!UWA3ptIH2Us$9=CjH8@` zYEgayJueaSMK4o@9Ud6GG72|R$-oXFR0qyPZAb*UFa!XJ&{heu9R#~v`CS*j7JC#= z)Q3A!8TX0L=L=bk`Aob5$V7#<%6-5S2-aF@#f{9F*PA_*uRwK2vSy5LV1wD9`S1MV z%v(laR@9i%?#SMpcO?s@RUizNuV-9DNs~1vfjkIyGg_)kfv|Q{kq?C7t~8lZLyFsae^w|c_Z{-YsmO^m_nCLckDVlW#HcAvQ>Us zkFkw|)l{s~d#$G`FC-9){O(-PglD!&jIoz&3r9&J4%~--wTr1&Y4{0cDBe`R0V;pV{M~?ZOjm$ zLNuG~Blo6;iLI{7Db?tq6Wgkyk5iEU&KyMl=m03XV4{;+d>Eqm&=_B1MrG)ytC@2M zcgl+i#I#CBv!YQ=asJqb{8O*ilavNYkj*||ZAc$|keeRaGy|sU0YtT%G*3hWYg%vp z@|Gg6<#68oY5yYHmK0#^`M?r{uDr4PV)#P;9?Nl=6UrhmZmf}F+r#YoN+pO81Cnob zSkQQaKw3gIWQZUlJdF#W7a|-BHOpBWInjeNtd(doSTku8YPT5fIZ?e+^$q!oLF6y1 zkhq~)X-pB?F?sL_|DoFEx*_L{MtC$jT^jlPnSq0{Mf*@|TaG|;r&~xbQTm`7kJrn) zaBv1+lQDh!5&N30D)qdE0o(iWPD|Qb^iqZ#=NdeJ9Tjn9uMIjBaEFj}l?h<&A@y!NWfbdv zA@6b=TX@Y!!=%0XuKgdRAT4ETbPDQNh_P&{SrAI6MonyiPlVNsH@VT{w@bwC7qG*U z!Nf>k6IJOOgV_ts2)d|p#PSN@EaKUMMJ6@zGraA~p)kOaD|YP#5uy;O1AWGs93h0D zw1L;U>^17Ec%(k=Co0)pqureVQT-4pY|*|zBZLJh*GRXUBwC0#5b|a}4f2`9I&Yqf zCI3Ho*h7tP(uNSkl6wZ9`SDam2nq^RLda0%Z(Xpe6pV-JQof>+Lt^PO&unVTY5Exh=YUv|^4<9V^p|I|dF1yYNcGsxEmbbc^V;&6Di`Lqp z|85Ayd*U6wh~9|CY?O-t8;E)(dP0||g|MOiCp8%(kFEeb(Lo#KF<>7=ZHI2w)vSZz z@Hhja0~L3lk;{Qo1t9=Q6bDfoqf>P)BmFIcfej+|pEwg)CR8f1-o&UIxQ4Buo5{$t(or1+?lS7OJn~NsDnVR3AJgUF4kOaCusm&rILlO$m*I)%gxVi{l#0-|o+n zl;-28l7>D%KJO}{b~%g%biOOn=&7!Wm?_6L!`$QPvA+NiNM3 z_%`>MR&5RSBSm<=HOo7uDUrQsRX%Ma0S$PGL=y@ zZ^o>knP_K`B4CQT3_$gQ=n?;6c@uUr=js?d%Qe z_w#FCB1@bfTYVpXb$;wY^}_kFWgMw-CT(``F@;g{yA}(k`TV?Q)0^kVx=@AI;Bu=s z)Yp#b&DfL;KV?O7nyj-3X*LFHPVDhLj zaphsbBrU`mH%9fjznlmhcW3NCDPA5XK9T-hcVE;~xsS3qmUoTWKK?Af@HH`bq$b{0h_RwS(=PrMSLQ~tVT7d| z?EX*g;8T)U)9hn2t&HYE~N6FC5 zOzGB7PzS>;wS>{{ShSK;^f2}#;pfq~T*mA`old98UJ~Z@N6s#nC5>wKlJv@u+THx<)IPOI*S@Mz zlA50tW48Bcb?MJ&3)3h3>VumtCU5U0MIi&LW-%G{U+O(qS9#C9>WeH_mDyl~-d}15 za=+g6Di9{qNIDVs0$6hRc8Q`c@IM2iQ^{M!J+9|cKThpBTJBTtbzaLXZqjA8^O>M> zuA#$~WVfyjkQ}LD@WOTw;)o2z);PGOe=c9uaOs88gK3>kEW!<%lRy9EO!TpvnI%@FRN;m=_hp6J1Hzl$TNZ*vt^qJkx#N! z)utU(ksrKZq-~RfM*T8VaBh$|xxXwms_Q}NIg1a@bc6l8nUmf*or;T9ie*o8I(ar3 zn^!@*WM>~dWprybj+d5ChP=-?!NTZY_T^1IJ9k1iE?qEGcI!&_Biz?2pl8?A%+lkU zklf2|aDKFZ8s+j<-yQ_LN@=+gyqV-h3gQ0Mjj1NNNVnR6Fh=RunG1oZKJ~*z(u>jk zFnp0iER)n!Mbo%uEZj@F3D-!M$B7aBSGLsJFukKFDBFjsboRqs*-mKS|_ zjIi@*Ibj=assB9Vd+z0uvI_!c8?JYJ*go3eboEuA^u|G{aJ_dHj1o{SkDCvuAq&qp|Sf2}i`CZ6`$9PJ3 zFU1PtYacgK_RU=n9ff*Ev0+2kgZncYnCaCWx2v4#(bv8DBX=F8$3JCaGGS)2iz6`~ z%M`zJ&sS{`#tmmrkmYAH5)%T(blz`|FqMq5-|vP!ZNuEMWZs!vea=}6<5LygLSg3a-vHHnH!84zYql53y!<|NK!?c`<8^WGC2UpWbUZ#eA?MDS)}8h?c|>Vpt_# z2g~*rMrJpC4DTj(RME*|~2o4hBwCbpG?%(f-tk zl)*iFpSDkMtAYxcwGv|Lpg}WY^6F69_)9&cPZ<1!_qbnsdTOt5@e%sklGI+=xxblC zKiYTS?3=0E(Ow_|c3(YlX9xY%uBgY-x{!)8N0-<7-L*f+xaje5NX86#eg5 zHCx?{Xs?z`oe2BlH9 z#RJTVtbma=_EUlWwZr`m7w!e9ZMXaWGX`EAUq=3xoNvgS!HC=LsZaeJeeZsLR5Q#v zmHg48EcLf}Xqk=Y6T+U!)6Jk0$_BVw@)AAR+xfhI>We1ex^b`irG~F4Y;=YPy2_d; z4e50!Eqr%07+0A%VRwguKZQ$^{Nb5qNXt@Zj-*vutQqorfV%ZAEGILejlKB0^`6|1 zZ(3hpn<^CiSQX9)ZmLbq^gM?>D)H*E*s!ac8tgCe6hK+wW^lX^B&@Bi!$4gme^>HoEzc3mWzG?r{vWM}fQ+l$Kutr8HPt2HM z|Hawi5AB(kHs6FJ;n2}DwZv+sPFyZ&4R7E3kOb_WpdZGTQr zBsPP0M(E;R*^S+jqg6X;dwEpD)s5xpQHC9CO$~YXg)v_nb~X#O@Wo-s9But_A9N`BIEHS*wgue^=yE+rZzK6y_EbFi3pDe9-&Ud{UB+!} z50C^`uWvZR5FCP9zt}!7l%&Uex6F&;yzshCBw5#sa;Rx1WvzZM+KW=<4&TMMV}B>r zUmU)NJN8^&srP3byqwi0?$Y_F^vs@94XkVgBOTctdCR?k^yr`V|4*dj->%!G{{%j713)*EJgQd-Hv+(I5U283eusF_yd)P!V1vDu%m z`AoOm4y~3m?z8E)JWD>3wQ?-41ed&oFX8)zrSHf!QntY>Q71ekbq1%LxAq8N2b7Y0 zrc?Bez2Cm_5^?JH60HXTO|0!)Gj;d^<#7bNAJcVhP3afwSL) zGxk>+DGPaZhPn4N-f72peu8a(W&BOdNJ2<+jukd`-!EsvH2;o$Zp8&JP8rInoR>Hc z-AH!!2a_{DtgIzan?J3rCAOCzmS9a?ta=2%>1>~aZpYgd%igOJ0v7U7aPtY~V7LH9 zxqox3@7gFtd*{m}tjA((!-2-}d(4y#kN&tmVJTehJPh#hV_FskY*JIEdtyE1%}E3z zqn=SrfC?S9z_2VFt-dcDYgPuB!Mx$@%ctD{Q0EDXW=K`ziw3f2oet|IYsQqoSw$Q=R6Cy1BKTK-wMB+b+~LJ%U!M zaTWcN*u}Y{r&`_~7Op6qI^q_4d+QzcGnnZ>Up(IT`D_2HK3w3jjIb;&C4o(?(u)hf z<_5l-Scal$5iNTJd8giZh3|QVTo|k;zp$%_~vFv*-FVY zQ&wj1r!qg2lFHtHad$$1x{g9gJ2?5_{FY(HX!!0avk&|7b%Q;wfPr1Aj5DldKSNj?zDaES z@_IPo+_q;i*s{uaqk(O|as{x{lXJmYMiSDZzA5APWB15g2L~)mn_x@h+}fE~C*7*! z-4vZ(Tf(Dxev3_?^zO!fUL`XMr|dnyIV1E}SV^P|eVi@)Fb z_*rVLL9SBGQaX?6Y>_v5X#5MHSLL!*7|&kKW4?AaHtzs?SOQU9+wC$PNrp{W_Q5*0`*IqRQ_Q~IA2MhmJ zTJwD#=oN%78O?Iwo3+H9wqK-@?q%!RKmLe6qKq@?d-SmmwLRALg=W#YE2ArAPD_<; zDW7Bh8O;57_R0t>W|PrzW6O$N8%F;mleG&-=gCVWQ~!Z4oxHb8FCl=DBpEoxAcrhg zoqc~dZ8(vAd9TJ|Fs}TiB($6KxoV2>=r8s2SE#8n#Z2te$g8@&=ai3=sYgD&QB-Rs z1zhkqCLfikt{!G|zCOJLDLwH#&m42DNtPNU@e8%i7~4mgy6j7ufM3col2izP@Lp2zTty5P2h3HqUhZ+UDa~Xc9n*GIkiiO zM}0y@UvIntw(_o{!R*DTuKY@kf`HcdUuD{?6o`%lME#L+LMgn{wo;%VJ_@&#{5$ z@WOkHX8w+yo_8aRB=LW(?OoFW)r)H!53U$`KHYT>6o@Z;gkI{y-3Ec#xT4@4A>I&Z z+xDq1GY?M%kNScRweH3f)=@ep?={jT5%oGCxr9DZjYlb?p9MUcGLm44q@T!T zab1|o%II(9Xp>MgRf7*<@z8q%v{By{bWbdtNBgts|Hg(BgWAHVqfM(75 z8Kl1PDka0+^Hl6#@7%iuSl>X2^;p8a0HL(vJS+EOz+Rk@bIMrY8vUj6%{`~j7`=vX z@m)(?RQ!7A+3mSyumM8&x{11xLQJ`%_j_b_Cqp`Bx7U(>!G{(`ub|irZt+k%GzB3X_1$bdnr*%b>MkB?nt-8e#VuR8V=|- z7Q;;~m&Y}p_|N&5D3oUHn)XUigPjv~=s~BpbYS-D0Uo{_wSANM)A96-LGg+k<;=+!^+vW^c|X@U zmob)$4-Xo?{s7<9T4Da@)=pvuz^}Vu6O8G}TaCU|221$Jz1Y44>Sp=Pt=Z}Q%ss5- z^QmPQno^g^U%p?xBYySmz1@Pw%!=(^&O7Mi z7J1X0jylf27ymKr5)-s~KfTiQnrl3}*$W3B+e{MGdr5QcAGXix$3^1GVuQP%l{N5g z{L>xi@5JutRBUbR01H!s*k>I-dZABe3_-PkT_=2*=ENLen8o97x6P^_x~BP2HXIj{ za-IMJ>|tR<%q$SmDw8K)ML@TvtmEFs{7BZRaEJ zPsDxL7XB!oy{PzO=EWfM$ZJF1w^Npki3Jt@I|m(lV(!2Bvu<^r`qXn)=J(iJXZ|g5 zJFZY3x^J@2l|aRxEEZ4;_mX5kaz6?#W^1W>t=tm(we7d@qu)vM|HPde%x8Xp{rj=; zQeZ-W_1vrQjqg0V&1nU?hpKE~zljt|&do-jO>@UZOaa;-H=8GwH{+ZS)v15G33;F) zs#EVQGnS0jsUK2SNb0G#+%U$?1AB3+j|(roJD?yecIzLF*>Eo1UMKEVdhBbP%91UO z9S`-?j?5YT^x=H?79Q~l$lBU0lGnIj7_qwa!RZj_ViyjT8>4hNo((XZl`PhuB7yR{rQz8qGU*HK~(LZ9jX zBI(=XnSB5MJCd9#l~bsYkmQ`x_Ld@ts3;*@rIMs2VaB$YNJ!2hCPI!Yk}&3cEJIkx zHm3|}GaK9N@Vh_1?|;`Hd)(Kx>%Okn>-l`X4!5=t0si5hfJLjO*I+^5Eex%d&O!`9#l1yc_O={Jjbh<}i8V#cmK7qTe9 z8@N5WDLx~z9a7uj=AAW1EI&XrqIJ>j|HnwoPhD838rI*(*EI59%BAX8t<%(FD<9O_ zFw9j;%)@p?Snhy34HNL%6lf`!*uurWIs~AKTB3H(y;Esa%w&C0##<+T*{KD z^c*675Ju4SJL$~@xSmiWmi^V1J3}jcD!r^lj)ee2gs*)1wsd8=YHZ8uC*dFe?%;dv z5=BIda@)|B%Vs8`YjOgOfJc#qP%=vogF5gCs4byU0&FM;$75;)OLQe03I@)41P^54 zb_s6H4mYrOvzC=ok1w$^2CD{U-_J)Pmsje3Zo$tB-zyY`olK52c)J`bRPl)X?aE}^ zzKiiZqTd*6EjMdCHLEmX0cgM}S>3m84mHVMxbSMV%=yzLM)=-8fr-+fz#%};r~BaRnZyEdHh2?Y16qe5W(O)_vMss*YP5 zHz%!xr10u=7INc4ul*As&VJFY4vxWR{I;j7(3C#CzV@_w<=sEm{2ujXw&LE^DfR)R zuTFXO<^(dbieaf0)b9aAM!4$^No&)`gii4na!Rj7>&g+}z2}QIFL4CZ;F!rVm9P z{7Q^d!3cc{`tw^kuM40lft3S@<`%c1l_tF$S;WRO?;#w~H1{5Qqw{`>-L1mU6Lv{& z-i4jG=u`Ty=<2-wEG{^x^gKc4(6zAhg*}A|YT+jv2;KkPyAu%$l&Et#QqpVn_Vzzt zv_uSrVYJij_=-n>1bSnT+KIK_+ebNnOB^+ldvI=Mn!LxmivI7!L?ZG=niGvlCqRw= zUeYr-A3+xuj?p%Yz6&VFT#{_9e~kf7V@s`Hl*4ho^`z5&irRp>XUK#lQ*7D@md+y- zPH;Fw?RbeHD{fcwziFVnvDgMDJg&DfaNC9;=R9Wx{ySSh3U~O7%QlGTUv-q=a+C2JexDtMh|ySnb9H+ zxMqKbD~9?EVQtR_7oaolVGF>j6v_~8u+a1)M57tzF26bfKWPpYD~VW}TYJerF+1GM zQH^iWJ#?+Uu2W-k&E%S+t?fI|qsBqH;%vH$1I`U5h4`_s>hJCQDPm~F`rC~0Rd9T% zm^zXy@cUvWuGZWWX2vrIB%S2^QdpeO?ANCP(#mSYklLOpO7@j^+3h7Ao&5uK*~cMqE` z>a6vgRVVBT&Io+p?LdY$ldr#%ok5}>cr2XI3sjjrw7KX%Y)|)DK4Nfk4Xlj*@j?}T zzPTm0B%lL;Q*-A6KRP>vyY{cJn^S|Wjka>WnmO><;Zn#y{{}mu*dESafeg*w?GK31 ze)t?(-)USmo~( z^7#3D6Ox&fkcU?jy=qVuELCH>V{`@Wg@!P!v{1RwV?z5AJ6d#B;Zm0C3u`qX`3ATI z7B-X}=SZ(wTHex-t(>Hd|rR&&NYPoaD?-Z1I_d-2LPfuRNw@+2gIAn%6_%KbHQ%WLb10SPWCtE3P^t0 zbx^zZ-s|19S*uxVGjGhH0AbJz8@@$$b;Rpehp3l0uS{E zGrT)mq!7qV=dF830qvZQRa|jUd8H$;P;8#XzPGzbrWlV*5?&WA z4kw6!M00CO$S^^&LY~iV`4dd@crG{$2*WEzlEk(@dwAV6wsWA*TNwn*gZ`P8?Ue$A zgd|BHzpwZDh2JDe3Ox$51W#tU8G}v4s53vXr@wfDX_V*3^hSs_1f3X0!dkZF|D21O zob~t%6uAI;fyd3wLuCZA+qm_TeLNw5a|u}w)OD%A>cx|mRquEe zk`{YDtZlaY;C^Kok2}3Md#K5{5zIZ?x%7EO@XF2xr(i-f*-#T}CL58gux`?C@IKs! z`J(91396AyNjNArmMjZWZZ{@QjZnI6z-Cbo^OG-tHEHZT6>hyl_u`Xvk&YrZ;Rj(m z?|vI}F_=q9phUDmvAf-%EN<2>{YG!|!^e9H4beH`BJ<&jzkn99kB@%guU2`bU-g{?PjuF$PvBA zNe`UU`7QS>GQO^$l!AJKkt0mHI4$!x}6;jVY9!V`wUiQ z_1-^Nd>? z)s%R`23_XMw8a`Jpu}=G*_L2_?;mba)v^$(xN+v*Ap5s1@h&AgP?1G^Pk+^N`fpnS zR93J`^K1VqgHVyTe2?velhs%OMIPQEX=~>p@lmsm?{2ui{yEqty2aATl?-)d5RQ~^ z8FH0QK=`(bTVQNOQ?9+l>1vrg_P@hrDcAf5v$s)9fHW=#s;mBP6p&y?K6?sd!Il7# z!afA?9l2FD=zUX-$z!!fb?-l4^~ygQf}3cIehSLA88R^2E4%a#b{_d7@qz`Yw|4&)#|u$ zZI*s_t@1hOX*24&6}^zI0{ChBwcoLxA}WsJ>7|McFgaIqEeMSZ9GlSRbUs~}`?{iz z_IUHF-GpnezVP_q_He(6+l zQHL#>egS9jU}w|G&IySU!PcZXJtkf+nu&%i%#|8*C07+V# z-$Rb9X43>_;)nHa3K_#UbR1AR=-*J^GW_dgw{!16nT;eXYuZ=|O9_*PLG54X4kXgR` zel)ZaJmTSYdspJ4dq-HF7m?`hv>qJg@o0p?th^W+DQklr%h?V#C-Esh5L@? z7GwASRbyp+uBzdkDlg(W=3sRq`jvu&Clrxk_iTBQJ`A*U2}w2iJw=Oylld9uYiff= z0^4iJd;C3(wkd-^-nYlrQ}zg23)EzRwWz#3Z;e5jyzS<>$nXN9Aq4Y+h(4cTx-yFg z`Z|cL*VvQ**Hj^Y%FAlry^s^fvxs%+ux)K<@vHW0Hi?H|DQVs4hynJEgY2^Fs)vDq z*Kv3T!d`QjZ9$_+skBUiW-|DCrgX2Z#r>B~2#$q6$_Y4_5$43=9l=!Fzq-=L&L{n5X7&( z9gPA-->r>2jLw(lKY^S>%% z^uH)Q072J}@c&Awf9e0WR7+zL)R6NCj<%?37_GvWzm4t_9TR)lMipsJDOs+HXmfMd z->z%D#`Sj+WQB28)wV`DK80gM#qJ+I;?4i|6kvC)bIrqs%(^fPNfB1gI`=|3mL}kD z1PrO!6y;#mZv*~^Kzg10w(oIH7!bVW0D^8#J|YO})MUP?Q3 zj{iCOcmrxTKZ6tr$eK)B;LbE!f-noRx&^yeUbVbl-jJ{ySnp;Sfo2sab zv%|={W~_Cf?;QZ(D`=Lt*V{o7pjW;gEJ{b_UY_-^tSxxEC>bLZV_B3M_YkfO(E+lR zZZ?coVAa3(zhFnj;f7Ew(5&$W|{7i0f-Gli&K$O4rVL`s^d{8lzC-v!d@eB9(4+d98 zRus##2=mEb^H!|){iwfi0I4fxqtq;O`DruG#7@ul-3^awOWcdI$WtNKp6Y;k{*&BI z%>XL?OStPV#Ny{yn|J->d%ktSDMlJojL|u*kiDQBSPnStc7V8GKY-(K3^dTn$WSEK z#~%(mJukX+AQ?o|673$ssqqPC(5qO#Xo5)0!+yi>Z&q^d+tkgh6T0iQml%{+Je{q0 zARLGml$^Y<(D1S}aL6@=%ReH=`oTCldOR~Up4{k#uo@0PU9B1g-X-c#uI8oXD}Z4M zkaKKsG0ew2LRA+!YS3}eFmkK5w_xxfcf$4Lq&wa4{rt(10VEJbeIdfC(SA1M#W z-C>)Az7hxv>`e#OyT1Y!anZUY0^{n-KO|Rib@4piU^?+77Llss1|E>{@Jz5#F5AA2_kKpfshQTXCRoGXZ4l!e(~x-mKlZ(bgA1}V9C@-ylPBYB?yI#CgjVNJ1nDh4K{rVenmEg`D7U3HT_@ z#9z&haNuu$0dD8i5y8|aOtdhP#Z%qd_Ge(h1}&vB>?eaTARJ8FtWcZceQ!GrKabL2 zvsH?2!_R}HN+>F{Oj>H(lkO!gI-FYEr6zhjs5p9M8wjN8x8I%m%I)5))^7AE-9@ZXG-1|{ zbdc8)pMN>wnOC7!`Z=f^i?Sm`Xs&QwjwQKopN}q7%u_y&`xYGPD_=&+Iya$wHE1^{W?N(bFHqMj{iTp^{8p6 znumDy5=w9=^c(we_xw}AldtSbo^_@qMs$W}^122~>q!AlNrHD#UIH^A8nW(60ccey zd}t+PQ;g;s2Z#>rTSVZZ0ND;JmZKK>&3h7pmbXSM^nU~ZP2PNO>1^c-LN72x`$}qH z`&AYASy6Sxk5DX+-GXOt^XsrOQ(#)w^Ci!%zE1(sLiy#DP0*x4!-h1E_k|=TnClB! zKHKdyhc;FH4CPhFb&KFu#|cs;Yhbfsy0{x9Wcms-h!NS(uB?`XOv{N@Z-vbjZ>r&| z$2VKuH*^HbO1uj0`@W+nr@TT zR9hVQ1#PTmx2rRQZ#Py;JF?>1PF%LDJKiAs?V^QQ(w-p0zZqMvT#mWmaC3iK3P=rp zn%|AZrgk;|$(=-ym6)My%i0?U1FiN&inT`k{08ZHbSRS5d^E#EuTbE7gq2qbp=r$) zAK#=WppGi*Dm$iWwiTG4iO+FVM zw@-BItA7n%cOh9^;P+^N_w)wP!bGZfU%G5`k_2M9)E}x{Ck^Bo<$7b?{{)@h^AooC ztW%u{yVXcM65sQUird^`36RZkqZXVM0VpHS{_=3C)>_3Q_$-d3j?gDas$Rj-2c@Fr z+Zb`>7e>M&C$E}XtTk*mjjh?>;1y;^!-84Ps}jGwj=69Lg6BT?7{HvQ0p0kV-fXxD zC&BmHhcOJ{+eX4(AUzpib#*`rP~BegMb`f!9snp&TG>nm&;E`VK2!t4lHhzLKfM(V z!B|)z3sj~>T4A>=Ui%ZChtt-XMCx~z(RcZS2)hCf&yFr4CXyCRZl^_~lvzsk9=8B> z0+d|wb^PM&%{_8K&1OY_l5VzqC^^51pvITC(_gCnbPq$wchb#g-%=A3JV{uzS~do7 zq4>?MHHFM%3`iB~1SAKL3Ci|B*6pAXZvW64U<@pTdj8Z$Rv>BNqr(Jc&DA@AoPOJc zHER@&+RIxrHI>G+NHku%B)Tw!b+&>Ph@v*+0U%7j;A<(nP^q();J-E>Ugh67gp%WT zebyP-@jt*_7EM0@fP@?%<{PvI7~F5;H>`H#YSBVKV?m!4o$srbwvawVB-mH&U$h_w zKpz8;El-_Lp9B)BeC&%)Zh=N!YLEaCUYup;omkB*`(%FZ9S`*U&&<<@SqX(b>$?!I z99{dtw5jbTkCg$f0)c$oLtG~e2W^(EZtW;yWR+B(?$jW`?yM0zi%<*^6lh+Khx}x2 z7KI&me6~ND)+~1g=fYAld8wLr^d;HyN?}-G)1Li$!&}m+`_9wzB7(?tx>baA#0F^H zDsBEOJ${R@lBQL=SxLz;F$%aowfaDGTA&rR2~&p>(dB>9RGadr&jjcBagM@aw%mD> z$^@aC5;yCvkb96%v_lcUgKM8-C#jqL{B>)Nnlyyo*bn|0jUA^(xeL~t+jI|4-V?Mh z~nqyZFVd1v(@@fG?B>9dIR3eZ~C?MIR&=} zvwTk9gOb6J8W)fZqc-J0hFAS}X`v)MSP@T-<8I^cVt~%Tn{gi_){%}YH%9$gQ9L_) zb^FkxB|k!TZ8h1swCkcl7!tYKC|kYl^%+jp$8{BEb+5%GCNYrlM++9l%BJ)VBWx+3 zvX#k}cCW{k^I8eAFdGaBZ@Po;I#0OA$njg;2|mP?ROI_H?4m^qBA^SGUsSzucF(2Plf~4>wBaO$hZvF_5nWU@ zF`VB7&~7TdEr3$)HzEIGGqsH<;o5>!$saRn&b8VJA0TagemH<@)%TkZ_-*H&t2txs zH@`CNx7q|f-3OJ#Z%o@~@#sa=Iyy)KU43^xY&QSzG<6(pf?50$MA7rco`L`HnYvQXanzP!-z)(%CLm>3^wwf5v-GFI_!%ZU zKYp^th}x4F*}SvDy7SC3bbwk18l4RDnwK@^Y_={nvo?l8q#*SA#2B-8lnyb%o*N4S z2(y-4pY9@;HG7?I&5eWw!U7o)QIIH%QbrV4#9d&_@!=k;F;Qwe(bfFwR? z2pz;QYnz&&Ha$m6^T!$0rts$V>JYXbBh&=Wg{~}n&iLG8B=}jNcet8r2-v@CUN7## zrE?IEvnyj|+ctr{6vqEHvc#k8gjiY;hr5Ri5u>#7ZLa5rQ+*yXb+gS5`p@qZi zvW$n6w3M*K;ov)OA5w~jRy2TF5}8vg)MQf*Wu_075Do64)}I5+hv|#g#&GL;?PSVdN*<*KtBSi1*aq>df{GT|)P;I>E6k7edq1APag>uQ z4Iwox)T0<*4dBxrsT4$O(->9D?xlNn@;Prse2a?av|T!Hcr063f7I4Np}3oV_82o0^R48smiEP6!6PGyj?Qw^98;`D~@HXVWv7tkXu8Q17 z!YP4TRQ;5O^#1;ObGcwW=E zh2y$1p11Wb8>B7;+1hO#P+*L03m{OJMCQDUqB&p_{yt0wjfmiP6AhW0=UZ54If%GA zLG50#s2%WloaVJ;7FwBnQw*&wOc6@90*;X<{J>I^fC0X8Q%e(A`*mWTq3FmCG7~p< zo=My#Doep_s%oOo;yn}j(w{wucb-LWHnar@IeQ}Y;YP}Matc<3Kf!1*=1hO~pd18j zRiYSLbHh~SJjh=^4j%xUuM4DnPgA+ZFqMwCl4E-tIje-IjS{uw47r*u zEog+|H|)zS@Y1_@usWPwT=o(dFD*2m^guc=QD$(YZm~EAmSR@c=A?$4dWL3-0vSKu zAR+$3D0lYome*_+cIB6hU>`u{C^z^a)Uiy`oYMfwY?m?l-{n`>o}sw%so!o)V$K-1^yr`9sATFOL)Nz_!^wJhLv!C=f#JK-riVJ+jd zCM<~0ElVNnu$s0EKeYS45eGv17@_5HOyr9Ji|20aBIq&~&%mpuwxZCl`NLwkkgWyd zUr*<~twZRa#k(Itn1p}U%k*_}6xdRMTjPz!dibZO=w}K+IF1T{<}B!!{+yW-@A0w zP(2Je12b1baHMIArXuUz_7&f^qDQ&MKLM3 zfG?6!SXKVA7>+%1hT&xke$4RFFMC||o^%HO%IxFg;vOl;T;H8}llu%P6Ricu1(SCx zI>v*e=PvXqCnkm@Mq1}G#KL`MtRKx2qJ&K1QMl*~$9EViB6ZYD{HNaV}C zLCbvp$S%lO*TF+}#Z2}vv!0jwm4zfV<)(;a;fPFx>A{Adz34q;&v~TtP~dGwX(930 zydZ6j5sCpHKo0H_$#&a%F^Tz7UL>wdN z?=aPwVOJ%Rsr20h%rN>oTq_DHPiEVRT&ZPx%Sf%;e(K^ON@;g*2F@RO1xl^eyHPya z(l}W3OzsA7bBsq{C*8t;x8+Y3yPi1OXRZbeWLInAhV8iz<%-YvH<22Bx;(hEK}$~Uh*yF#AN z4lINCc#PfH(B;M{a^;^^e|{7SFIDDlMJ;}rQ5RaaQ}uV^nm;mKO*qYGv-D3N6#;0d z=`BJS_!xjgcyib1mOQ<~vXd%K^=n24sS=E&Ta<-2mGK)ZJxctt&c6RXK~vDtZEEo| z0a1C|!;w-Dk~q-E2#f~-2kHxRFs|oz9kLE|g*=>yb?n1>VINc>54fxb%wquz{iIT= zr9K614EMpHl=yvO`dpsa&|_+{A-s=d=2*Q8UEYS>1|d}@Z%4oWe4u--MBGV@j!A-nSi8YIB3mz4G0y!;-=*`hxDfF4yK8X)>ze?IrH z=%r~3WvN|YB9;EehbliC_Ph-i1)5YBBv5vXi4-j!CWoBF3#1_HZ#HiDwKnxqL#CZ0 z%H?KOeHluwgzac4tEKcw4@!c+xbPGsQ=fp87E%vDw$iM1hju`ik9$Pgn%qKfDtZlf z2C>}GYS~7Q$A+09gs)Cdw?bpFI72uRdS@FaVP^FqgV)k|pBmBLG)ZMoS2xAjlLy6s zPTw#cD>gKMk%~6sIL)lyWuy`$IL*|)*t?_?y#(<{@c(9AYJRS&$yXn33f=A1>Xg6H z`M+8HT_Y2Q*R^NY|6?r9)mH(?*42KqaH9uSuZW*NlHNxdp>~l}o!R8ujOgW@vXMVx z$(~6oGmb9*#BDM>{y3pdlo&WIIu^bidP(RR)dih?=&YQJ=mMMk41V55h)*o~NEL(U zD)Nt0UBw92RuWF^(BkITVrV(|fJa2$F4WWkp=bJ%SJYufYJH8tsXlq6#n1KqMWqE5 z_c?V*`R-;jVMVFJNp&6A)(E`Xy0BZMtN_NIK|IteT0;lJD-^Bk zGKV>6dxw^mzM?hJ`&1CKv|AM71V^set>Z@KN+4W4x6eP80Y@r+Ul=(`MZYJ9Jn8J} z6y=D4HJNH+lr#>SObvnF_hHnxdyfMnyKwIe)@bm-eoJMp_me_toT@2Q!Lm$#k!A@)ywyn@_2gV3x+r1~L9`@bMRFduzZfivuqqYO0 zy@U&G5a++rX#>r(K{7obD>ytC=>hzIFO@4%;&=x~l*F%Ic5VN;k{x@vw zZr-`ZNNJ-aH#c?*^x?J2{Mb>m9sF|)_ppaq;cqAsd~d2Abk*btKU$OTH){E&#m8px zDMTFSmlu~cPvd@0h+lH)<&My}huF)o-jDwjlk$y@LnDCCpVhVP3@B>GUbV!OPJVt! zLEqzC(X^JgtN!ysrdQZD8ZZ^6ru-erLjIAlGCpupD~Ei?G%26t27 zn^M0(b9d+Wf7r;8Ao!~Z%>Q>J{MD?3C2(~51Axe+s-sJM7m9s56EnbDW3BY~@5V%z z*4EtlgcBjG#Nc0D2S?7Bi7wrl59<_HS}ZLl@OygE z$&T7&hPB|HAqFbVo%G8)uSrnkr}bvJCYKBy6vH)~ZuVm(w3UYMyON|mQB0pcNe@%B zag6L6w>leC)_meT><30$6W<~#o^!Z&M0*WtzL_U3Z>ot}1f zgd_=Je)BZTkepXv=Lxu^cQPEg45h~%SR+Mzb;Uza-T|__m}m!LM<7QL5`pnhzF+OX zp53<7{}J?}uOp)T>cXW8fb_TycW**l7F(HYy%TjXM+w5MKg1=cr!1di=S?Cp?;3iY zIO}Ve$S+5kpfd>7=V}KRVYhFJV@T|?UEEQIog)4p(eR52e-EyxZ6!Ctefs`kI9&;! z@9WkSxfce)i04C+9vHP#7a|sHl-x!{W-K@Ik>1OWk*`ca1hbr-1F*QfGM`h>^%wnv zKB-1J>r-33p1){ZgYw#aTm@_T zE|J*N>wx_e_#X3wjob3t{cLAh||&dw2vZ149RE!F~0TS?~N|%*lX`- z=w7m$7%mhq&39s4H{y8D1nw51qGu&A6s3Ho>B%Ht=v6E;(>6Aho%;vB_~te@_91P_b)D0f$jp(F>??-);<^QAARksZgm7}0yWLq(P zFvW>1$rv|+*Ufd$heT}_v{k6kw}TbPVq$1B{H0cK9OWO-$9hH^){sg8*?||T;-!k` z#b25J?xJ6jIfVxOO|J&#&G%c`yY9jSX>gsKVYpb_3AL#bj&=wX|ir02R{R|6FuU7{US@XfbAu`A7-T=K(dXS42*GKAKY7is^b7$2>7a6Hw`A{|3Ja(_!`j$9n368<;!JiM14Sok$`2W(z zzQvgjQ|Tq3^Yz(>`37PH?M0_(5-<91dA>V|@k+tdkryBXjkfyiYQSVm$9v3#^nmS^ zxV+fTSt_m#T&jp47vUWCEIq+^U@vZ#5zlZg4Y_WXh5TS$#sJ^rD9)|UK3C3LX|P|5 zNbgp&-n=*_EqFN{ z2DD|Pj7XD7)c*$8wp^Yg{u_LhdG;`B{qu!P_#@NTyH{g8v*DMpUX$r532*DzI zM&MokbI8!8%5)Y<2}(TnGOQs57apj9mrNnV0i!A-4st)dxUB-ZVjeTsO25xoCP_wv zRU^@uED)^X>d0v^edKr_>k4GVji?814~N}7so9e64N;DS-o5EJKd`w|IVEw}4m8vq z+E-KlG{ysxja!V!*!0z|W<}yAgC0sXOpHbxvZ|ZI11b*tpBOBJY1iJ=M%`*vb|we( zQpnWgxKe!A8{+M2#48-n@VG2iwm4W#TxiS)Q{pPwyKF-na~|6Xe^ZlpqYrSJq=b45 zt!V5aeu)@Gk#9f+Z$~G$L7k3l?nX;-lB8QKU2Z7y>*Cw}zmjSKQ+IYD+*Yq1YXUo-d!Sx7#Qx zA&>rZYW4m(S`iA}&DUk9n!>Gn){Vo%TD^Oy#Aq!-pbYF&o4%wgDqsg z2drVkaw%{PC#Y=ae_r!dV)We@(#^G#;jV4o-Bc5gN(ZtI17gV8{gT+zYpG1WDkhR~ z6z&9si@P4H%aX!lgRWw@^uN|({6=c>4pB~uJ{bJ_od3SSvxXw&BgBP+{<8d;NCrPAV>@6!pazKLbcaOkx+D1_3xG9K}&EVEeh(jFn zHXy)&2)%}6+cMMurxm^=D@4JAl_Ft`9H{AY`_OBy)@BvlV&Hin2>H3!es740;!`Lp z`Li3+tn9H~!r}bc(ETj#cWT)4(r+r;am{U%ZLUFBzz9A=N*Ka!j zMyofKmyRoM2BEb7_qcIo@H=TmYo)Sye<`6X>xvaC3RoQx)2gd_8c?%YV0rxPZ774Fz#WM8uzI%* zwpSfWNp`b3B1t#HPCkFwfd}8)hM*{r+)G1>$l!xp5gHn z6|Tkg@7kIu-hJ(Vx*R!pU9xv%6{qY4sTQu2cC{R!f1^Q7&hI-(>xvdaB~h94tXk$w zmzyKs$SCqf?)qx|OT8ADG=ITle#N}jJu4$PP?|qz!bl!(#rf&6I>_;p>yF+S({!~E#v?u zht~vTTGFe+BY%_v41PVX2Q7KR)^qO0$aG@;dbI1@qRD+cQWmdePboNrUL4`zU_*|= zvEE-ldP$TfXC_X=H85M#krj?Tb9Ff-zGJd`s$n){d+XovH>lY$M~U^Y(i%Gb5oU~{ zD#{B~f`*8&==IMtxJT;Tx_3fHuCI=h@0?-wah3OU!^6wZASiY7d{?axn}$N>ky7tu zr>B+nN*TmGzb~3d1^{{z9Xm>ybE)c1M^Db$$Zrt(gZ6;!j<6xdo>OwGS+7dW znh!k|b_PH8KG~M^9CW?CQk-rBU$Iqt9{Qr>11Z36ZAH9D+&!|-Wiczb(kUqJKVr-> zGxv1W$q?!d+$!xxNbrriFr(Mvcde|wg8j77mTXOgtlp}XMHYo62QEwOlP z=l9gp*TBRz_o3DIlP&=(m4P)!`@j)TBE#cJa^x-s;=e7Mx?=pPjwd(tBDVD`-s2= z&I`P==CAscK0#?LmfFf<^0!+Xca*F?R`Q)+|I(aEaYNV59q=$$O3Ny>2;^#*CHd&Q zg_jSc9YZ;OocNHW_%!#!-HIQdo*tldXp&2wvp7Hh3|UHDTKo7}t8ae>F~r=x$B$|G zZtmx^JE{CYmx`d&WoP5tf4N>~#IJ&e-ORz!!AbfO`a0GddTW*MV(P4{4Mj@#8qbL< zHkvJFDR_QO1Y(8EhSO`f3loNvJ3oKvk^k|CA9QOF0}DUHmAvIvZ9PLn(m5&{6KiM)+W+t^7(phg%Z@7q|hFueLSdZ`i!Y)vvC}&Tg%RPc_hkvbp zZHk$@n@po!HMdFA|NHrLz|@y`ZNIgz(7mwrN8$Q7Rnv^B7oUSuKQa4)OrAN{9H`QC z~PE)?b{vv(|Zu^?{RdF zubY>y#ydUiYq?7bPAdzVHx=DLu4kPF6e99p%hfGBREhXyNX&=5I6Cb(^7~HOAH|4j zU+>c@T3+3mK)1oTGA&~Nr*F^ReeB9embYpVdD_$T0yk86*<2PDzI67=j}+q2iC|kw+(Q|Je0lh)|AY3R$+!KBcQV75 zB6l;t^xWK6Zk<0~*irE2#JzsJhAlt6AI}V*kT1K0XD-w%1ZOD9L4ZXk&!5^xUHz`r zu9LC459U0NP{_E(WcK4}ztemNe$90|<{<{&A{M>;W^x|le+i;|2p_CtHcpz3rRm+A zAo}YY$%fN2CePRWSum^Vj2r8$ztXG?zS4KG?7P!q@D9$IiU{r3&{_Ctn)v10BcH3) zCs;MZ9)^!n@fzTadhYe=g_RrO{@;hf#Zg6XNNuB=`|%UzA}O9zw?~5vzyH@T<7!Tk zyIrGp-NL3`xMQDuYU$mTvGDTRojv7FR>d)-wYTXG9IqRFpZmJqN4x{?8aR|J6wd|q zRb0D&lM`IuiHf4{wTl}!7Bc$r&p=no$l%t&-#3nn=)q_D z7UeP-==*2-Dl)V#mg5eeSn!+8NmO3o)2x=fyFGonjp<=x7rg&9d>1dn7h6=bYYiV| z6LwOTKl=JKU1`jb_^{I*w^lg_lQm_?&SHPQJ!uz&I@}UKYyfSiM6Jh}kMqvM^F(`4 zA+((gmeRGbet)UuR#$gYP1bW6@lrmrmhV~1&!b9ino91U`Fdvrv72{vvE$>`xD@GF z5zjr9!NZrrgA23fRozo7tY${R8bJQ}UXoFV|L-e8pp=I_kt;IoUr$eVtNeB-+WEZeOgW2b!3lE`hS_C(EnU#EEsal+wy5UZ+=r~UsLo% zDmisxlbIE^5%e(_L zl-!|~2#z?HygTk=tlU}oYxy)K{`sxD+<1`&FCGW>*Rb#mC7X1t?7zH*?dSN^teezE zjz0MAx)^^VoOd(1ADl81dv~!+_+gQH3O(lBqUvzX+(mgoi|;lOu9L-b2-Ub*W444{ z9#!^MNLJXYTFu~8R;uEWY7yJWvFO&>wl;y9QAGkGcr&F3v zaNo$|1WUyYk=R}Qg;7> zVb|K5vaW8tNw93_$NuzZOK(YM9vs2vemT8tSRLq|K7v1-cE8aLyOkzapOgyL&A)6u z{X-P+Y#32;q|f(26=b!Ki3^(o=AQQxKYB|u z2Yk;x)m3#iaYw*MEbL|eb0k-26u+#z=&*jJc;i{-=4i^8f-^h(a54Ulbi;-6Y2Bjx$LS*P%Z@ziXm?02<}kY$xa2_v2t?psvAFISxXT0Q4}8oIsYdi;vpYE97t+u`;6 z{JxFflQ&oHtS(hvKX{UGBO>T;*_Xi7RFc+@gaxZAw+1Uvm-g{Fo_mRZ!zG|S2 z$gc74bN9ZVatyNmx}f1xcB8t`Db;hd_D)s*>LBi|$CtKRpM~lNhKS^ZgAO|5@;%x8 ztQn6o{RxlsS%1B|YF`HZssmO!7hMXPIim`{+*iEVWrKxKoehWRtM|S;`f>ZA#PYP9HAId-avH9;w{+J`p|U5Gsbq=DQ%IJXsXR-B$sWqcklhfHbz1DoG}Z`HCI*9P zFlIgHd~UDzU-13?`%@h=bIyIP`??<2<9b}@+;>d0@noJ0ZPD!K^RO_jez^sgow7A{ z3uh~q!{*%kN+!Cb?i37~tL=<5!w(c=Z+jd!`}9FrIVD5B-=Cd-+OLKA=udb>vd+=r z`Rb~JWw&h9%x!33(zxR3YOk1q94~I}16)F@mB-z1+6LW2*1((3--slv72;Zf2SeC2yu! zH^wk7A`B~SynsL0>}@xRxmB;oa^_SUzxng+=xG(okL?}`CM|L?0jcByr!$)5J?jOs zAGf-2F3M^4x|mUeE)^aa+Tr*51;cmlN2)b9t`u9?60;oVH^((bzt_rh2^{ylGLt0J zmUpGM!u#u?=0z9lVfR@JHJU?POC+PGr{!hZy1X3p^Euntg&d`ri5R^H6OQ%v6;~>n zTj zVXM zrnwuaPbEuJ?seqke7%9KRe$#>DLq#*_?AI>VN*<&T-wrm&xzston6Fzd(Ge1&ba_8^r3_paTpveMYAw^NKe(I>rbpQ*T$IlRBrGajv(;L`5&`0V1fxuwde>?M}_x`;1?v!Vvoc-93&V9~T zXHt6iXxRn7-(g>TC0l#6#BXmK+pEbJd+*j>H$@n5 zTv*cjTN>+TVBd1|=&wzu#x9+l@yqcYvTA5>R?gRub6(JCovMFgV2{!7UTE*Dc_{O{ zZEsFr8TrU0ciN=LY)dGZBL~6om29i5V?7~WfzOxBOeE58cX`gJ(HfQ`6B7OIUvlyb zJsm3bP0@^Eg%!pYz5sZZ@a}h!ufgj%^V*TcuFoC1Nis*hcLx+Og91fMPB9HvDxO|{ z(s1Q%Zb?k?NK^hvFK$B3=1?ioO6RWJC&?s%^BkkIoGlEsx`(!%qrBkI}yd&AKm8z0;{FX$O zbg88-oV#?sJ!8aMsNkQsVY>E2XiDX#@8=sU>?0c#{r?O!*{Uh|ElMX{s+}`*D|fx< z3@!CtE93qul02Yae9$u?ddEehFC60^KjxiO-a(gNNbT*LKUBmme0r4csrPS{!vT#N zn`%}ZAx1KV<*uf*cd2yTDr@HFuK0YH-r?uEQ+`9C+rl0FUYy5vZo^29l0p9$R@*S!I9VzNxs}!ed(*WmO;-RmyV?5>EDjs zig_Ui{I6BNTG$}%OAUW`tg|$Qde7#ZA2d{ZUS>gf$rG%J+23HrM9&xQM)ei%gi!(O+^wvqG=oDrjxxFt!XSa7PS)%aZ;l*6vtnMWRi}fn$ zZO#er*t4qkID-!v`N1!%y6>E*h;f{Aa9(u0NI$1>u(EQE{g^H(qmpbJ`J$&XQGaLX;w4YjBV(nhNnVxC2@6BUe?rxcq}wp< zH*0nHCRq@Czjv3}*ZclRs~oY;mz;4J+H9|TK80vE&n%LB6JMBRY4gr+CKPw76Q}zk zF$d$N=JaPm5r>?{Rv&bUXgS}qp`&ZijQOx`8*<(z&w^?xpvlF@>j0izo8J z>}MuA4u*C--;wgAp~NY%x;DfwY)!hilV{PDv3w_b`XA4or^gbUBvjdbz6L{Oj;D%3 zrktbea?Z!-;)>rsPR6Oaohpi=e{pfg;AbLFC1O?G(#?Y3I=Ya~rCmMI)g|RDv&9Lr z?{mO4UpKsP#W0YV~b#Ot@LhZ6f93 zT;}6tSJ#PqY*qJ|V%oO^m%>x7epg@gw~nl9I4N^PG9T7$9v>!#{Pl8sV?h9UiJ z{mi+tv4omCw81)cr@~Vc!b2(=H2`w|p%t9Fzi?Onx&8Cdfg>0uch0Wgb>#v9t;JPu zbpX1$Ro@(t?#>v&zjw7BuCMokY=2&->n6)oyE>)G8!vgi4w8e?IN(>WbAy zJJ21~3=((O*yLX>Iwwe}!~M>0eC36m+l~K~uQ6}=IIZcB4O)ZBC~WoXvF+g0sAl%P z=uzpXeoxNWdqu^8()?qPL)qmqrhq?#={N!9hFSIRu-{-(cYksQDHKnvO36C9+fLa; z=V+<1{86b@bvFvnLqQ|!%Dq!n9>%9^-Zk(vL2>GG;i{?4hgJubIIfyf4PUczyr*3! zYn9>MHQ&=3cb{y#u*%_!T10&;f05TN6tQ0cgj0p z-)8khHAq5lI@=>}%{93_n^yikd1H6BrBqS)<~2uD(hDA}w=_&hK3ci+ovT@=t!19? z(<@~GrqPbmS+}?EH#n!%`CaK_N>N^LPAt9C-CEY=`Q+i7G0n0-~Z%TL}tRFggjOYfIDudBnUxs$D_y1&t3o8{{x_Ib_=rxPN)xEH5{;eVf~D zb$5-TAz}NuCo@T#EsLgBEzw&aw2Q z$}EyB+biP}8_EO5X z+|}&ubd_yrgSi`4&!{^&+2gOA`FKbr%kjIQ<1eA(>?NlJ?YF8oqaK2Jp#pzMZ;SZXdgr^xM%q@7b>XP_skPC5>yt&s4NmXCAw|K6&G=laA|~ z9d@&>6z(^uZIyP-b>HoF&G3?%)&rfboaCbFf-sF2_TN$%>N!Kre0Nca#suga&hUB zv%DLT{BWVL@3wBZ>Ersi=OK6Z?q$!q zmc1Do6X*th9MyX0xNUXqv0Amz7W>etq!Js7tNJmC&?n5lmq_k|*1Cn#+=8~_I>n04 zcCG%A7Q6V#?}99!4(?Z3Q}}S|w>Y_|Rh5xdvUzo1ot4wWSWA2R;8d%R^5VDss|#Jr zQCj-=>;SXGbyaAoshq9$PWrFYoWIZGN=wpmqXDWNdAjjR z(Fl@D!g)f9!7pFtK6YeH-3(3gaX4jEG;_IdzooR&rf4sBl{F@3ywvyFcim_tWIL9+ z2Gm&%Oegk_TdsE^XXUW;(mA20`d_R$F@1Dqjg0oF;iJq|E#-H8*Vv|zKVR6j>&`t< z-m&TG^N#(#mb)}8&sF&i5qd0stvl!Vgey7QV4L^&&mYP=?*P8E14G}Ku9~ocs za;WfSQ5>PYSzHBM#;8Rq2?N|iWkmmhdK@Q5{}Tc#{J${I<e!D{7N4K4VFD1s0V%uupqGlNSzhV-%2MFml6S8i3 zj!#k#Svg(JEh+2hOnhgG>_~K6Z_VjFmGa0<=d;yEjqh)6ds;~FRW79zqnmCQ)`>&Y z^E}J@1g=OzQC-o)x|)sB_jPer{cC!v8(iJWj#XdkRdXM3J_#v)gmY8vt6itPA6=MF zEqvlDTj3OXGIgg4?0AlX;=<0!%^kN}^Q`Ggys72Y45F6P$H&1X`a>y2_D=gl zbGHtJCOs+Nd>NCrMa8DjHga>yqiHdY`ZR3)$kBQ3W}VO&%U@yZGy3+E98X?NzRZ-5v_=QR7;#9{ZKQH0b0K(%{fvD6HLk zRNbl3Nd=cj+N>-U6tT*@Q?j2L{CvZ_qvsmETmAy|xWCdRm+Vy?Uq7_W@X0rSx!Nl2 zwYEpkk6#yi2G>?42F=QiSNk8xb+q3vF=e`iu{!gt-kQDKK-3N6ZQh3`WOgSy{!KY% z`S5Jbo&RLB#U8=5y*{7+OS~VWc-1LY5o;f)h&`f))>gTC@p?t(s_3l3wf11GbeFG+ zCliee8rl<^*Pc3jGN(Rl7gJgguu|;0b5-RJ4AzyIACVe3VWKgAvbq)h z;b4&`xqx?+Ij!ZmkX4}GcdpER8TXVJU;WKRo55-jvutpUL7P0oI1Vz7Lq@CETT^ga z|NcYi-sh)avd{1#abc-1&d0^u&l&8aXcB(VhTHh)Ja*rH1LviGV54oHQbUxLyyeC| zy{aL-a&MJQPDzW`|BT-7DxzvbTcbf!hIsS@I(qSt0eV{}MNi%UM~uW~r=6CGY)Y7z z`^dSlkGkyw?`=Nw^1Y>9u`|Ch4FBDHUO(d%zp8jVmWqWC}87)!V&-L-NYzz zW<;XN7BT)aCUx=C-@$f%544(p^~50d-8OC0+;3N3`FDSsv&}31ZSwT^T>N(MHnr#A zALD7Gu(Nk<2-UEOJqk%Qa|-ktx5*4#_g;53uDNton~ zw{orDzUw=%KA9(*9%E)QP#M(8ybQL8N|IBEx&uEH7)Ef3{`{2Qwx^u!{EL$#3ScRU zEgjC`iqK)SyiId}q09wJGO^n%&3w?g{aonI{(YrQ%SQ}fb@|(Mr{K)vg?Q(MPOK<7l2M)*QQYzrx4kT%@%JQb`Um~>MC10wYKu%idP>Pg3B#BmjqxMgCSRWiFDky162x14>cbYuE$C4WqRXd~&akA8 z_^)}IHX$2GEIoAyT8fJda~k=Qh~E!i-GY9glZX=VEQkHw{?Px6Aeb-H&Ff!r4|g3| z-l7nOUA#6n5})!lE_S!VoMbFT|2V8}AvU%UKhW_-u2*$U zf2BvgiLy8(BAjJQJurz-mN{rFH$?XKFxP(i_0emExLHax|LRkI*lHu=Va05#*miU! zY0!|*ye?+Y5Bqr@7D#W!i*Sa|GI~9>-WfWeOHy5sV88emm z`Ct{Yw~Asx;*ZKBx0}U*8qg1to%ns;$d(dH>L(k8xN)BA5@sh9mA&$%*j9GxU7{|H zV)=b<>+m1yBP^+cN>VGu(62!i3SrwwkYO)Q;m$26!w88ui9ATBC;phGDdQxL;CQXD zbvd2%R%P^yvMJHaz3wqwZNz<`9n zD?u>l`k$+H{*K?Y^w&oRuTz7VMBdTXHpRuuE5r@^@0cLBz^}`{fT1HEC4|X?IMe+& zW4Uj5d^WGXImBZ8Cn*7#PJ*diSi%NOSwG4t(>zXS4%PH<_Dad*8MX|gwIM+znKcbBNF`=2*^ec~jGfXE2S^ny0Q-ODV0wr0n2D{Z>T|*#a zf!jeu?+=&-kwxI3zQylVCfMT8P7+OMzHCDk{@yE|r4?ZB_7BGhF>i4Mb4JpVTMCC` zDJ)zu5V>}dVHC^SJx)P$h!!Mn$DJx9>8nPu76lj+_4V5a;YLTGaMx8QP-RstBi1NFF4a`tx5!VbdJ!>;k+nR$CpyiK~DD} z8Z*2pWSBfQMSuIvoh|BV))$4P69#x4#FuTzWa}%_4P4IxNiC}F4 zUWuv{%n&FN%m*b%qWt2&{gp5(0DoPN2&S?3dNb15jPy1qfFL$XTiDx!ut`XGmHSU+ z4LO98J-VHdF6#AOnC8#Zeein)A&Q*2t1=e`tx0gb@m!e6Am;wHS6rVzi2g0Qwk&4* z^@#sjD5V_vw@l(y>xns9JF+&K1-7`Bgcrfr5|WW5R@?4-08A1Po;iX^M=5#lTU@c~ zVH#NDjva}Z$O-VuaOO?~AHDK_B(M`7h2(!h6yn5qNg@6*;@yHM$kQSE2*+D#7M%*? z*Fbb|Hq7;_hEj`NHtig69lK<7mSEz@7 z0KUiES%wbE7Atp#>-ZACDD&3O8SS29(KwA*^}N+yEwBxM0vdd4)2w?O%vlGm989bl zXKWw$2ps?4!Qo|$wF7L{86M=b^S0ytyOPdl5nq8(y+{D*Ni;M{7qWYT0ObM{t?IBKxJcmiU-)zy? zw-@1k#(Oi+gn^^Q4XFzB_nr|y9`c)r{AC|M*5C*$iFxCraV&+c35V&(;oaXtZ{IYS z&W+OwkkK#V!Sn^;jw}lj%+s3-RGkZ4H^-o*#uFyKQ{1=CeiT{G{XpZt!9!q_&&P;i zX{#IU}7{Y%JG7f5a)y!cTrg2mZy4PRXr&gR-p0pqkC1 zm#-tgY3Fc%kh|^Jc$Qn2W_Q?1PR56e@rS@(_el845d|$O>iSE~`tg5Xl}e~T27_lC zS3vBJRjo`pUUrt}lZ*L7U!Ne-g929z^DPbu67cLi=;Fa9!jUEuxkkiDmf_b3S1Pgy zPNBG8mIDF6mwqORT6yKBKlYfI$d#fbepzcU7qL;0pc12Srwjp^9i?RItQ2qTO4aXt zK44)d@JLSsU@?Y?og~~T7zr;kH1U_OW)CJ~Vm0}?vDufW2LZd~y3Bcly- z2A`G?<~^`~Kz3B{glD_CHGWf3z@aQyi2n!N36nty69AJ>rtl`5%C#H@?Hp2n>kIZ7 z^86VdBsb)wsO*Bs^s$1%ox5V=(}*kBV8;SYcpoG_%NtxCfVV>3=4is*Z^C-_y2E_a zmRqq$xjnyQO}Gn$ZJop&$%JM4p$hCOEj^L~$S-H~2PR|!k@reG|CQjO!SzZa_T6UY z>*jn55)^_T_TQa_s?Q95Xu%S=hpNdFX|Pcp1(6U}>fd>=iNm6`H~AppGHV)m_j^SR zG}xIqYq$gIHUrr~L`Mzb=Pd+Vl-V>u9ekh#15s~NGv0rkPNMNkc}D)%;o%HH7l|g; zmf}F#kcSn(M>)jVLyYK8DCIQ(??l!yMB*x{Q5C_$tqxm8nh(>26&8Ih#3FSVfD$pj zWQ&&<_HXDmxl%Sx(KP;`>BS?aAeFVjRb8*A=-U7yw6twV#y@1SS(O(#J~xrq&27`o zZPx*Yn9z@E$f3ftO@s2ckq_XE4V$P$GD&piE0Lvz57U`J>zDhxCvg!{31TulBB&H0 z9M&LaoyZM4Q0~5!y*C-HtBeNQl7r>HUeuRA?K5lvw{<-B!{Wf)EfZ9nL}o9AeG{R@ z1@wTw|9h12c_^7}7D^bXyc$XqBMOVirlkrG4#}eC3=%eNzTC=Pa0`!!Zo=^PLLobE zKUiyIGTgJsy8elaxcOv;!E@5hNcAZ}Ukry3j<9i-F8~Ctjkn&cs_Bf^71TQ8Osho?^jO84N45{zV_Vk|xe#<@er$`bY`b zw1X6lWp1k}@P}Uf9vLN1h~q!I1k79bj9@m6CJ$!Yi7vKrYv-@fo1TUa1A=5z$sUnI zMjs=9n&S1AQL&Ksbqe6DytkZ2c6TnD*7tndgc+;m)D>PvB+t!)p+qoW0Pp@vw4&Ix zPnL|&=-}?28EI@6hTIyU0F=g{oQctzGh13USMs3dL?e49k3DBLM`p*_oJ8(s;{kdj zXDSfO0h!`m77BN!1&q0C@QF>mGcE0Ir{FD1EI(OF$PMwbPoHV;4w>EnXxV5S&{@&> z4*pwz+l!|n0J|m@;LRZ${O;dAGw5ic$?z~Mb4uv3B(~{8qgv-hdr!a|^;M%h45@=QqmI2RtGceRP^Gbn^AIS%Xwrr4=7vDsiKD zM%?P+15Ae{3h`#05^q4z2)W`ui&%1{b`!W9)dv?RCNnWgH<7 zhrdMW9e~;9fH6Zy7pQJOsz%ch6%xV;b@=9yA+2qL@JssEtA+MSG6 zR>t43g-pzhTV(FV zBZFkbOhlO8<2>etRICz702GfPum=el^*H1;$4_EhbPv=crHxIoWf~TPm>hl&QD2cvhbCV{S-QQ`U)> z=p3eBhzGVpbMcGGR|&ue2CTZtRrLezcns&3f>@*g5V@*}2K)I7sfU-q z-HHg;o97OTv7?RN+*?&mjGn+RyGAhKPq4|Lb{Wl?f;K?@JB3658zji=CNdC9nB&mB zr+(AgVLSuxKXQ&?c@z1s3g5_%)E$H;ml6MG^sqr*#!tj89J?4or&lj=r>6wN^;77v z5QiR~V-CD%)5*Sw)IWxqfab(zBf&Qkv?A^i%^?dqzV_LLcW``#1xdi!CTw$wrEfgc zMk&SBD+U|rr8iz@tlhY;C63CbmJkfh+z;YB9D$<$cs0lFxU zPVt@RQbSzOug{71oe@8%s{V=2zyRM0`%mC$H<>n=jsfA#2(-qa8%{ic@7cG~8~0E& zz*6u)+qj=M^6zBZ#jk)vw<6vyn9`GwFuuY%a&=Yhpc4E=9DJNhG=qQ|;XhALdmW7v z9Hz>AJ!kYF$mbd|Tg84AYlj-hrg3Gls997pqBLP5H$>UEI3EeJt0u^T?-escsGN9%rE-uz`B3Eo>)!yGqyRiBx&-nlR~n zYzCj}BZ)3G!N;;iY?~oO{R`A6^ML}WSj5Xn&hJC58%-=G!NHH0LUcaX`z_W)E{8+YCN{_wxg~^F9gjYdae|`R`9KGA&4) zP~U-4bO7Y3I`9Zsv8}Wa!L~AMWr-_ep>dP0UZyLUMG((NgoE>&G_i@9^*Gk&CP6Bd z-z0-?>mrqoQgQ_0mcw|bWqq3-2{7Rys7ZtoO=Ua-zQBRja2hoxG;#svL;Vg*#dnnP z+Q81B7NKh3zb$sn?NI_ufS7j~HE3=9n;s@8aM#DTg+1x;n`7chY@P+Ha!U9UFXH!( z2(Z>oMj@+NOz1K?ysEm5I537cqe^V`!2Y}G^}qhDAV)B$;#fR75bJMM#tsDqMbD%HNnp_0aaiZ&&>2iB;3Pxz5he?{DZUyl zV98Eu5H@`A=0ll{dx#QV*dS@(^g2!3ypeykCI~qV0KRjeQ%hKS0aT6t5zDe!`vLv~ zv@;nJL;?Fy(_p|E@QR{DK>>ap7w8zs;$PN<+q>SIfq_y9U%zr|1`F_-KqKIr;=1KZ zP^SN^x&1!d_-gUI@aEBF{juQbphiPq#6UL0JP`8T-f9OD0eyt!>|ai1wF$`^p^qdx zC~YSXqTywhcs{@2f5k()5!7dXz>xre`b`-5t7zXjCXpoS`tbH{#Ekz!zh9&emdtj( zV|KV^98ZA#v98qmJHty}_y!FTW6Ka~#jJxqIOE5m6W&5z@{&IQX^%jpNgNbQrfX9! zcAWq+SH#RGSg1Qt^Sq*o>jMour%3Qa5L1^bT+D!ko0k8TkKBIfavO+bMtwEKUuur> z_MmA9LpYQ2^@~E>fP{7>j{g`o*bVi9!tKW^Avy>D^&;{YzzO*VJ>VEs>D5Uz*T)fA zH9o1+L=QT_vL)!%;1!z1{Ye7rfGOH!8Ol{8oTp=TEsJ8_VB5_lxEurl$)5-LBh>27W^~dx?HKDI6r|`dq_(z>6qNrZZm}-pdR+tLME2 zybAJ*z<}_FJv7Hfx*!$WA^iV7WW{kr9<9KBkjEAw*Jr>Yu-tS7GRfZ9pmi*g!*sqv z+{_@IAm1VMaUTcBF-N4)qeOIJK~q$whGGd5?Q_2H__2o_TRKr$~VNLH&b9*zE zy7um&*LX(&ZK;(fgqVlGVytqo(LMw?Jcj5UF%}Cgu384g|74WBY#P}cH}pub!ulId zEOtqj5OIL4aFNUrlu;5K_z%=ZVu0nc=D8&8P{eEF7^OCgm8)VQ)nklqu|s&5%(EAqYh$al`$^aKKVT7d||Kayo)~Is$J6Kw@Rm=^B3XbeVp> zNJ_+(eYp`R(ulBLn+uy>>chWf2x`0Ox;k5nPmws3Y9jgWJRhqxsCH>wNYlQ82GwU< zhz`zz>P(T|`jEz7rsMDcc(fk|1elfKw;hNF6;PXvH5K`}fy3LvVwM?GG@pt7RfvO4 z$9hZ9ET;gKL6qKv@XOWNK#;qOk~TM;Y~1 z2UiwwfT%adH6PY9cyqCIU^as6OLWs)_&(4)eBcjJ3rgB}`4;g6ZAuj9{F@@Hj7R|h z!%_ld#5kS7{EIhGAn36mQ63VC1|T20i3`#FDbZL?5VEUN?SeqY?|WDUxuG3F?I3bt z_}U+2`xW}Wb~sQC$U-!zP<}{X!CJ5z)So^OZ6{R!9oevZBOou!1i0z1bQNMd9=`s6 zPIY^pmwsgb-Bcx9R_Q4c)B7z6Ti}FxoW?d;9>@ z9Zptl^p|QO!Z0hoM564_b`X*DV@q`EWmJ_n_7@-~xHm{po_IeHx{4_BT>KfqG-yl| z!1O}AR3{n~p5_p8S&XPLoZZAzK(sU2gP^X7F(^=b3{j471bd*6Z9+1*AL1Jf_eRnK zJ;n!cV3f^kjm7hh!=XCwDB2UalgO>_D;XP_9<0wnoTk`-tf{C__AtKq>H7Gf=O~(- zKnb8(w8K)*GHFW`gDE`V2&h>4C8nUcZK&;m&N~FtM>#qdA_c%;@EIYju$pKi`-$7O zh`#0XgUI^>W*6}i<3NLOHwe20WO0c;@sdK!9LY~W!tL1FUp0O7Z2}@ZeS6-RQU%@#=tho+vT)S6nH}B?ZVh2IA}Y#=^)?_tD$d~* zAY>Kj5&XeJSKs=jqwnXS!gSu@U4+anB}nRZhzV}ueQfv^Ex6Gs(tKItoA9=0C;9>~ z13`pPlkH!dX$Q>P<6F}8&RJp_!o|eRW)BMNsv6FAHD~0tx_vz+o+1Q<<_4MCKeN?HbM{5kk6_c7qZX&U_KvvTA^rsY!UCvp~PY z_qP;-SHM9p0B^k;ukV1{3!D+GFgW*|hNyrvYAr%%AbW5l%!QuCFd9EZW`cLPNnNoC zpcsBsB}9#ZT&zm6h#HV@ZMuO6@ZGKV1EFBn3!>?J(G3exuMo9#DW; zd~|RoZ!B@#N?ZWl&l7*?qihFkpa;?FWVeXJRe*<`Lnga1c8JIyfoJ$I&RGW@=@jx* z#{H$nsi51Xa6x?aj9`9+%u_V%@5&A!8s#gxEk$BCZ!A1+8r$Y07Gw!(^`R;as2^y% zXO_VJq%6Z+Ku}1q%ryQ4PV|J!mMp=qNOt?h&TKZ?d>L&eBDe6hcdSet{?)y)aG7ar zY&$%}K_>g~8}EUsMGmlFsal-&e_%%pFu9q0?);W~QA+~!?GEBzg|MrTX3&}g*zyKy zU~cYN+>ij#=o<;c{+dGi%xwK(ARj}cKV-Ijguj8#S^|R4p_f^Tjjccvu?H`;EMr}P z4&MYKTY#*dnft^aB!JH5O=PASxzWf1m+1hknT)%7R9>C5eD*8VKo&$(Ymya0%jG%C{KAv=Fpz zm9DMTHX0->WyJ#1qH~aMj}UX9ZJP`A^>KTD&`}>3@l1{wbc5I;)J|iB2i6V!fM57;r{*T}q5-^*<=$9yL!Qu^1ubm<*P>B1(Vh8pmQ8al3!wA~<<1wOZ zEAlE=m1f$mTi}q{veGKd}zJ<XFN^u9)g4-u zN2cHI;#aNawaqgP6M=Wy(LH%h&y$Rac}6jB#3@YS=sUdSEHPGd zszy$y4mLL81Zr}ACV`?S2wK`JhNULFvq5OE^4sj2#$fe2T%anW^XCW=^H8+7LNR0n zltZkHzz4|UeqjOtK6Lw@{%Afb9tHX>l%pIvfu|Jq7yb`?Lir*N*vzUtRPlvWI)@Y> z%Q^IsPVND0)Cz?heg1(G%kj5q1rq^<#B}_ua(Tu5U91c0L){Q;F>|l?8H7Mv$p;#} z^VT~l8n=kk-`nBCe~~}27|K9)0(3PRF^fh9qk(tKkts(tO_uSID^(*qW|Yv2D+Vp& zxY@xF%P`v}6u_5$v%D zre{2Vg??wl@zH_L$3brIn(E^MzLFRs{^EW{w?Y*%@xR`7`jh*tlcKnyQ!E{<$ECg| z6r?Ol~w`f+roY!&+r`TjA@gM4&S}NT6>Xh?3DXJ@ye6 z-T|zfJ8-|#ukC}22Dc5q@Bt3e$1V9ZzUzEG?ti~EUqu#$KN1fL0LGUcVv)fdT6>NS zD9(0|D^s6DD$wP8P?FE#wd=l}L->g>XtyE(S^*5~;0Y}I#5=a#WKC^4o(_6D!##7n zRrd$2Wp+@0?xyJPpeU3&Ukau1|H^S~9*Hq80N;xEArCe)f{vU9q0jwURgxJ37rgoH zdsh)?fcVjKl%H7~&W2X-;)}R6&8ua;wgQ3Vme^xRrV%1ONkL*osJU9u)ZVYbC26MBYh0ZlOQlW9@4LtkI*Ev~W&A7*L~1LbejRQ?t*(1#fLf4|XK99}((qIw`xU;>t} z!F+k-d@O#&gGdx~jVXt_3P|GvcZu3B2boO4&yXJ$z^X*u%jmF0*9>;fa{3y|?K7g0 z^CIxf$WQN8bCn0@wAYycfo-nx=wOYW;3bP%DFnZ`;6v1&#z%bzAX82KRDx>qf(JrY z84K}^*bI^Qliydg!#e~{Ke6P~*A!B2TEK%U3$XfcuKo;wSbk;rP@Q3<<~lsx3R^^q+7%wEt_bf=rQcE{Q{ z1DZ@??v_?6YdVd{0ya_Qj7XQTHC9m4ouK&*wl*Uf38K$E`! zd%v078wj!XPF@G0vZ&4w`5I&3b%Q$r{h-Hl5&aTOzPJ1eMQo%P?_}kpeL+UIzKm@B z0$LI)lYqZA+MCV^+yjKLcG%Acd0mM3f`@r-B3`jaiMF^)fb&F&Db3j%5j-tk5zMi5C_G}0FA1zz2(TbSRz_SyOP`zz?G9?R;!(qJi z4ErHs(GOSzeJ9dpi$sI+xE)^IOvlBdWsbuur$H~XtsrN+m0{(#&95&<=Hx*2tHBMw zuY^C#)#w)MtOt9J(+{jXnet=`?e_*b3F?qP$TAFM_=PqH;5`CUoo;xR+U>m$h<{B= z6C(R2eZaO-F!IP~G*b5)KdZ$a-47!3MZ1#{0l{#CnS56LbR>l|h@V58qd z04Mb?TX~R>H{uwUrCNmFBdoDNr~rHH1dPAPYCdB8zag6@qZ1YoLgV7Y)EvXLe-A#+ zcyRGv{Nc^57tiiGywl^J?b-E?jv0sb${)&Yu|F&MVjt}u&DZl^z@9*bP3l8EybK>oG_TvvM?WP*%zi|KmegpBC zv*h1f`)_V1ejUIcWq-RdNp6F&T5ib22Bd}~pr=vs#Mqzp!sLg$Y}Z(w+@!qva5i|l z|NlSu|6&6(CEFgI6s?e=KloDBiW0}_#GQqX(|2#}^trLMY7fRLLdC7+n{`QOZ)2-{ z{#*TKzpV=+0b43HYhsFr0!H6=D?ILKepxg5K3F#&b4-E0!$3)qe&%sc#esm!9y|CO zkNIe#&f$M9#4J5tl1ut3yRpmQZUyR?o>r)4P0*Us<9T^je*<1-m;T2;HEC?$y(wT6 zw1LP~JUgkN%)6TYXqkIEt6$EKIUCh#o~WO{>v{Ck^Buh=mUV8&9!cw4KMvX?D7=um z>Rr92^HF8(nxIqF%|DkEe*f^7^`AcYFX!U2gXRYE6XQOui*wR})!9{xjwPCZJ9aF4 zwE6}4O=cV1VJzUJ@9chfeyifLe4tzk>Qerl^(GY`M(a$Ghta5q7kHa%>`GEUU~WrA zHSC?1|E^oNN#Oh5t7+QcPwm$$YERE@m%mZ;VXD)=SM$(By(aQEIyB6Z?^pLlY5oPf zH6}6bfiL>;mEN^=iA=J~o6C`_J^vwJN@o7LTem-hcz0Y$y5<{kPg?tj4hhUS)7E_8 z(?heDCE4i0#|BTVM>eT!#GJZ#Z{*ed-A30dVJ*T2hO5Wy&GnyV{F6Ua8AZ%~2q626 z;{^BrWp35E#j@C;e>bZsyEHe=?Po-~)Z|)~vU=31@h`t7rpf8z=)?00H5Dg__?aA( zSJJTT1mg-1UTJU`?I9O6Uc9@f z(jNb~Lv`uLpNG}^GcS&5d}J7nbrhdH_`GQ&0+tO>|GWc!|5*L;{`t70pKk_?Zqcc( zC*`m|p{qsDMiXMP1yL`|1KN)cr2W4DK@Gn0!_-B8j4lc9WNt{sYVLsLJ&W7j)!bRE z&o#PQf)F<$U(MgB2q(+ms0gop4kZ3hwpq@Q?UVM393D>Gl_G9s*DW1P=A5PE|LsnY9`iAiASCWd2kGvB zG5z9-CHDnwV;cEG3Noj^bn$1A;l16x#^eVj3Hg}rZn}S#7(79G;toNA)J&okv9t`4 z_olP&K})CHM_fc871YRW`*YCB?HMJ9RnUY2z6ZvY>KWn;^bD(@N?k(cTx)N2kNTZSBTPl%fNwM~_qZZO=&K_wL%|#n2RoY9H+2R{5RbG}V17()#GPTV+OMH6? z*0$1Ny*#ltQmmPTbQ0fY@wF14J$#=_>De+w2N`#h7;lyx&6ZhyE!}HM$V?G)CGUKx zDSc9%VK$f&6OfllsnkT)tytfRTu0J!hFOh%9V3pES}AkDYH`m(?~hzd+<2M~ui5BmG)Bk574w6ydV^g;A`6jXmhZ%5DJ_kUj7R zav6)d)agN7Z{kLyUxj`3anu`w-UfXv zvbD{dPizBXAIEmCwP8wMHF;KRcvePv1ph_&ry?)3ar3Y@BKH|=XIk5{*v`PV2wQz@ z^N{9~;W=bO8)NFt;ciUaa_lEq`x4eCu{Q7PiPrWMac2|vI<{l1Z3XK(tXCqBv$om9 zH6?B}HuL#12>llHnaJkIx3M2-W7o1i%B~;Be=hz3$Sz34PS+^nud(s_!o<9i{fO#D z{CZ?}-o^(x@9S9iWIf8BeN*T$?(=Qk{;a#&bv^1Vrp{(+??dhN$lur=CeM8JJa5?> zJ-AN8zQAK|gnb_NFR1gYP#u%k4Bsq#V_3JL|JTI)l9+Fhzd(MA+zZ))xEY?>LGM6r zC4P@kk2>V7Ag?y(>{ZTLUjkQRn{H#CKyPCAy^VEM)+gd$O3rp_?M|HehbNUxJT+1_ z?`muhvfhZvFn$288(160_p{!_x(93XF~8Zax6^+VIiI87hVNc{U)c3Mthcc4g?$9; z&+K|DIS<&LUt%*ghvEN^UEj_6E4v=bnq~Ux${w~d?_JnF!g3JXxR#mkx3z58kK$ui z)h&nXiMuaD+$Y3UvvEQ4Tg2BO{zK%!$VPm=&!g`#^nacC-ypCb^E_;8_D1g!s_`lM zfgwGpn~NMl@Qt>{Ys_&hy&5ye9OgKdIbLIq<-`nTj%jv|htMy#Ii1K^!)@NrA=V%C ze~-msHttDc&cXi*@{h_d;u^tc7P`FuGA-#}ZZ3;F=NHZ{AV_Ydh;kUO5- zZrJ;U>?U>YozP<6C$E?$t)!2Ve&o}8A#I!~qi~dgx zLtylntu+DtGTXNobu4(DzcGna`8U$yA7%JwzC0`1SI)XM}7LVwiu9glv6 zt=pTP=CgPN-_wVNdc8$V4XaPXe*w9*+2gCB`3On%#YgE>H(R?udRMzPe&02Km@YQv zYXZ!BeW$Iv8vW?d943Dd@#DBxM|vAyrt41P&A#fgulA98CTAtL`3*DG&M^-CN*gzn zybgRuRr2JS+z+@9iTH+*W46ApUvoDWROZsnsFU;}vY?cikg)p0VhyZOmx$pWvPqs)0Lwt>w?7BDJh5HJ%*9 zzAfDUo*~A>P9Vmdn^qAPld!jp*n--D9Ll0&hT5;;GrwD>*cyG%yW7|))P9tEhG$Rz zBJOt?YTl3U+6=zw)HA=KCP!-CMb1qba&V=uS>!bf?R7SK(~xe0Msi=5rOwmjnY~>? zZsSOPx>4wlJ6U(K^=iZERnB?Hz0=bC_00V4T^iY+34ELT$jEF9h&7-0SM&U@kN9>f z9x)6-zd0snF?G%7_+rm2?~r>$hTMOU)0Vq6Gu=SUAK173E3h-ci^TNgel}8nFj1W| z)HVgo-w@577e(@n?_KW0GvwZbuWbgO$<5_@lm2Fp3+)U8NxroJwcn%WRowGEdkfF^ z5itja&fdr9)kAtXHoTt1vuMc~tiyNL3ckClBR@tSjQkLJJo07ar_?(zRBs*n0UJBZ&c zhj=qr754spTrdKs=pG0iI3`QP=|D%Zix7hw2u?0DY;QJthFNn!ieoKP+`@s<2gKt=yze@~e z{T*xb`(+U8t#&;y@~)VFp0X;!ozSdTefF`)vyTSY=X>nOVPEO7XKfS{P?g!Yg=RmU z9&>GvHmt|6J`?$jwKZlvrwlWkP5x7!{3h6+@Yv1h&GF5&z80)!ux^k2b7p^t_ogLs z5_{;0?T*m7JdfDv#J0o!B{AbY?^q9fy+b*usjJ8vO>?0&QzOo2ezKrDie1FxBVxisXbYL7kWN~rLWuh zvlsD3F~9w{P-{TsT$bP~u`wnteDy-PJ%cYCSFH7A%sYX-UxIuX*%CP^!ml2-#nyH* z>lY&a=xnDFv(Uzz#(F`P_#nR%i*+!Z>^UDnOjzE8vVr(Xp7@UVHsPD-@dY(Hu-HP( zgqWBhwh;1CSWM2qq7}Z08GPa1-I%wO*viE2KyKr=))3C+ZP@!Fdmwuwdm{PIPB&k1 zX)#LMC4**J>>JqQ;-Xgry-w1Hbl-vo{oG5c_#8{?<1 zA)6s*AX^}(BhN!lLtcP9iNTi8zdmvi@>JwPWJBb0$fn5I$mYnI$hyd7$n@akQzo?= zwjIXC^G;Yfb}t z5xj^{Ja|wL!J^>Bb1xz&f>0@V5PB2QQV>BzsGx$Ldhz0^pzlA~WV0rP4$N-m&F}wa z-p6K?I;e|?8kZJ3`9-5Vl{2F~cSZ!NsBP)zRbaWk(pk&5(jovwNuDi6c;fPzdrjN{OJpVlM%G{O;ZrCqe3^ksNMWOFd1L&dDoF z%be?LUpm4B1E+MhiD*v+o@$6-HwGuVIi*C-!uB`FP!4#kI7@U=AKO0ZXQl=R`8O_W zKptIQQUHoo$U#zIXX(rz9Z&$@OXwIe*MsB=ft*skamfL`vZJxVMdzP{@BCkD}HZ;1e z*HCZ1+&&3YD^K_rPFi4XMxQ~(Hh)ZFSw@h*(mp?%DaFr+Z@<5XshcOf_(FM{4{Zz2 zgPkV1qFttB!%cT4PVuTIL{Sl7QF+1k8@9bL^&9GPkD@Z3>(6QKCdt{(V$+NP!`rKW z@YU4i-59^$@C)NVUsUr5p6}s^i^9>4r7Ytck3rK(e?Px|SkYv9{> zR*DA$wQ@5aAZ`Y7?oVvOQtm!e;OXfK9_6J(L9?>F5sP!y@?}Ap+Uay43pt=>44E^^ zd_UXZn0fxFOtf))n2s@W;pkE`)FUBoXA1rtXJlU3N(L!-fc6|1Ge&kRL&752p_mM9 s2-iED7OP9-V|2GZYHAhvNYFOgGr#x*(cteC_Xv9!CiCz74*&rF|G-$5wg3PC literal 53901 zcmeFY`#;nF|36+KEwQ3VVjUdHF_g3IrIU(y_EbsODoH)X$Z2M_oKgv$oN}0+if4%> zF~Vk!p-hS8xFLqc#x`cQJ-!dm_v`z)eBQr&|AOzuKC}je2>SOJ)Zv8_MEtWD;&I1d7T2-&AN4+^nZ8j2L7kHF7^BW zv@z>{=R<}s^sX+Y?>qMWc=6#=a{Klwzfx7rI9{x)ryEx68hyRfK@YN{!}#<*-JECU ze%}kXY&&XkIDa`NhyxGi_@HuyCB*(f{DPQZjfPvH`osWf#<&0@Ls#uz^19#{y7G3hg1Sgp zmOQqDdNmvbs6{AWVvUYBeX7*ZI{zyW6nhJA4v_i%1q8eFi3hRci|64-3ceWhXSMl_ zFu!I^1rARwo^93QpO=sGLACMu*(n=`klQmHzDa?sHf&Ig5H>ItAzw2ZPm} ze+NjIFS4d!{Jsw0S!6ca0d-;36~?(HbLUqVeD%EG?i0L1t;65K@++g?rSOZrM^+HN z2&7qe)4PYprWS6N5R^Ik!$q3hCynRX^8kmxWXRlHgPzsv=a=R7XgxlHuKtjx{^ z;36W5&4MVO;6QcxN6aJd*oEOvU}jh{E_9iw2)+=_uUMI#_4Ev&fG+_H+*%=APA^0A zFSJ&E`t-BCKZX5z=9&)MbJF7le5y1TAikx~dX3b`O2XB%zD{7lF6Ie_=!KsWL|N1Y zc&Q;!Cv3+9k?=HwrSvXOYuIYbN}upS#NugRPf0E=qM$OPzu-G&I1QMS5bV2wYO0Vq z+s|kAOUcr*1}oHv*cHw1^F>EQOli?QqojR(QV#&r0L-_F5vXnVh`nElMp}qzw zcKi>gq=qnFKXWEGtJo|xYszDn+1HZ8$QSgtH4@ohh)aFqi{Q$Z^a_C)EHD%bcng6c z_|!77dt&a-%ShqR)#_czrJY^Q?R`{xR0g_2!VOC9n=_la9kVhQh|7%#tDU@gN@MDd zUfDp*l)7GnV&{#dua-6qA;qZ{?_g37mAX)AQiGNRN`XkS)D|L-KvKExbn8#&= zKB(49)J{R~A#-Bt7)pWSGM!9a9FUjB7ZMs*Zf^wk@s3?=4hRzv6=SQafcpV~qU1R0 zFA4cB9a>+Is&?sYvAY}@FDn%Vz9a_1gYAPML~YTR_u4>biHg|E2{^p!(ofW@^^vPd zEiEtxy0<;OVG(zSIAn9MV{%X}VJ6Va;WsMm+m}t^Xg8`QCl`;s>cHloTI4E9)5;0z ze-GTRpS@u0v+?p4ugg7k%H_tG?RQ@wGQmD`fv%0gqTM0+eT}6h`)A|p{pghjXzh?CIfTC3R1mbpkY1! z3=0qJ+JZ2rB{!~aoL`MToD+OC5B95V?hiq1JpPdudFbzog{4d_a>-ce?H(?8U``}R z#Yr% zs_H_NlDOYg7PDY$JjS;f9!E5_l48ZILMo#ba1>rV(*kUTD=IXk6LyQ;Akv)zUsW+= zdim^h``_HW9_l8h36Fe<(Kcp-DQS#qqKhz?b zOX=|N`$1y$#PP+s_|fHK3W5|hamhDsMvv!7>VcQUL`u+6gyyI|?`Yi>kL=5OA|+lX zM5c;kWM}=lGxU8Yhj_6X71pSgRvq0#Wi4^uhz_3>)yr8EM|=^MxB2#pQkQa~+21fz zS3z^G==V+mg~+_eef&>Ubt$>FH0$l+kb*R@d{pC26!~}qccL=r?@{|6Sbh)TKAw{( za}zkKiZ!P>1_H~m2)X50fq z&vZ^wtZUt@-k?yyKUWWTqljto5_K2ND?IQ$=?BG5XdC!Kfn3&1n;F za`)j34Q8e5k>=$Z)e3OF8a(A->0{oTRJ_|!s(kE9qD({dDYQYac6czDFx*lV1sS~K zMD3}HvV{k>!QW@N`l~wF|Dz{T|6xy<^%QTGM^~-ih(pFR;_1pbtJtGfE@tNj%6GrY_*V7zf{9nQwjkiU`ofXR z{Px#nGoA}z)TgK@RmM}usfwMmO#vIrIW5oWk=-Ra@#zV87`u0!>>TS8^l|!kVGH4} z`-B5w6GQKLPCd-djTRJbCGN&<{}V48QdwMiW*}DbT+5)x)NL%oO>aJ*{026CnC2&)oo13mdmp4PJ0f9gjXV?OlUWaUu|YA9F~i zanJICdEX$T+Hk|EmN19m@o3YB0oQxT5eowFeJt%Q808JA)!R*sRkmPk)TQqW11b*b zh#zb2THVUl3`yZXIMAJ&KuJicTrb2w|7Tej7kuVNjJYJfkr~CV@?crZQ}Xi}_m?+_ zTI0fO%vuP$B%c~J`>8N@a5nRLJ(lv0FSD*!DsHU2!jPBhx2)Qin0Z|~<#pk@pHB=T z&R0|5>l?FL1lx`ot7-YfZZdP>@yD`sMe9V3@@8@1KmQTkm8Z(%@$smQ2y0qYq5G)Y zZIaXUs-j~`5}xw(i&q>pY>4xhNHebYLXvDa3I1`RQcGLc%<;W#Ug{6CX)SNSBmBq< z%chkkM0-ua4tHg2Oxn5X2vh5w_-m|wz(}9JWefh{%1~rGpf5TdS2}XNGTc(h;5fYY zsZV)P3Tn{RU7~#-5EYt*bfWdbe45xUHNxgi_S6Z>IEtPAbb1>}dD$ zW-))*#^JfuXx7iP{R_5++t|U=DA#H3QNs13gze%d5b4Nt`l)Gd989MsNFze9pC`8g z_SFLT5ROn1`<&72Lra|0Kk4Ii?!k60h{Wx)UoCao3c0ERF8CmfOBi;e);$?uM0Ebf zg$dC1#FDWX1qw09SprLl+9FY^)*b*jc3<0Vb)1q5Q3)GnGW6fSN3(3FN*ALyIIR`} zohz=1WF_3Q5XU9Yx!BFpQ71wvKYAl2fE5eU6jgDx6QPhFtvR}ncaY{hm%jzACL))9 zL(^ZPwFScA(3xn_d>lQT{X}D|PQlH_3jtg}OjmaMCg7FWz~=gB7EuA9E=KQ&tt=!> z;*bd(zHgP(LxauX<;#(6UPr4@{8*7Xk2rk`8@(c>fVxs@f=*uOO1&I<`)XE5kV-(< zb-UP16PPyn2-9j>=yjp(YUQPW)G*!JXhgjAruuh7X7GEA6izE!O>_|g*P{5x(~}ry zc+tFF5SeB@HlDDaX-uOgctYyU7oL3m?rD5`wnv&+<<2I?c_-DvwxI z`;v{TWUqie_KpO+c3S(pg4eCa=kLcJuubs$(I==oPR^34*UHWX`*%VO!I*+;h>a5Yg`t1gpN%%|8_GBssFP!p7`|@2Lr6>!G zCn*B9G)6M;oTb%BaOIuhanfyW?5+SFFZqtE}yG{LlQvE=J zVFu+7>>@(_V4dV7DopgB5~hkQ_$bv&@0Ph) z$K=x8A>o`;Kk!H`HUEthq9dR2qKKf!YYlezlEm07vClN=_VvOaj{gNS6{Ql03Z>xYm#!@Qty*b!uph&3*WEAiMHozulM?hM} zGFBh#EBK-oN1PvLD$1_2@Pq*k_};Owb&@BIf!pd^nd{+jUUYC>RD8ONDEwafS)M7+ z9D?5|8ErJGi`14U90+O9@LSlug|KI=as$$ecg9q9Y;0LUR+IvSh#Vor0^YVb^yIkh z27DB&($~SciF-!0TLG5CYG5_{A)j{Tt1wmxoO5i2d7C#Cu`xZ&Ywf26>6R)%1Y6n+ zOio2fOe=1$gvzk^&THLVv)Hj?e9DGQf2wpkA;v8qM5zN@E%d$mGZuzzLp`TuGObu>Tbr@fy~L=4(@TN)?RXA`D(qw@ag)NOonTU1Mzd?rU)Woa)Xn%C@$T_tWgo`QDS~oWiDEft+XRCq z?3TZ9Bg?7{eV6!@WleK`h#Bawr>{f6$Jf?I!kEFva{BKtgiZBmR(c(u^+(OT9I)LT z!nl{G7({*SJk=ciQlb5eUjn7^AXS?q`)O)hBa-sYuydqU`9m{zmK5l3}s`00+K%Z z^GD1@Bf%^c*MIZtL(?1r-hwFi5-^#T zhBZZuQRU}}YMIGq4?vA4NIF9cw{;hSuftSpUGN*X!L~0gmsJTQD|1l*@Q8Wa;ja9Fj{AJ?g z&Zn)-OMPMK)(Nrza%|K|0L%J*SyI=Ca^ZnYPHuVG*@R`L7(7vZJFq*I$KFr5b_Y&1 zlDuw2k!{{k^(0A+xrYvz6kOyTd;+q8ve$W0I#)(IoVY`M>crC(P~p5X!I0R}x}rBcjvVu8 zgt1JGWvvKjv_U38xqC*Gw}HBs=AMAk;H$zdlM^cEO8stJG+V6_&%P)`wGEHks-;q* zoHh|Yar>hVmv0(IA@by?4k1h^n`Wjwoc>ISrC}h@<2`L0`h(nRxfQH&`62I43=jzebX?EO-fo;%KJ-68tEwckw{PFJ(F8*U!n2T)Wmyv6bO8K zImaMKgGp~@i5-sBL!Jq9;j7cy92d+<1@eSsgFW6tC!9WLG%duJuRJrAOYYy#3i?{H9)GjN)3uxJ++3%Cf7qHpZ{Rg=pnlV)bCY4JE7KUf`@wd8)wf7x zY*ojg@DNb~|U@OR|RR|SuyGMo$rs^U2x0&Wuw^Ti+Gg#%)L!7H#or5~t6*JN()X7}%;@Lp}Tizf269iNN7dH5ZMmgggj~!^8k(dUzP-s$<<%`qG=FlZuJ`zk*H;0r+#4* z7O?G7#dRu{FAu(h;p0eJm@$5AH60zIz5kM=H#9;MSJo&EMJv?beq}cFLZ)vuvL%|h zSWo`w#Cs6S*zCerZ&obIi^nq~up5MXsn%|D@DJ|J}C=k_9P;Lk(8-1W|jsmN<>UU=xtjvhg{0P0~W zmGBpuajSe;KM(3h=pRv+;p5SY1Z$cWjd_}<%|a_ulql-%el_DBc}bBK^=gvTMpV=g z8G^ffl(`*#gqGWkdH~8apRsVtDjHa0w>14yb-rs(^-8$Ge~AS@Aj`qw3`o z8%kww8U`cX4KVhi7I`$3u#aZn+`e)2k)5$j%1T$9U(QP7wX4Ecn_*{pa;$XS8hBuT z)a4)L_sU1*#-NI_xS=%PF{nQ76U|w%d;i!`$;cQs3MESl{j*8BX}G#5?Ht}dZ3|YL zTL#0v)YPd#;Fs<(b!zbZ7D0Pca;xyqQAPOS5GqWV1U)_No(Og0JsbO!*0Pu$S%EW@ zh#Qyn(F=kERBjIuL^99X2SHp(cSEth)JuTRJ=t*M6G`{NL3X#tl1|ZRQC*@R1}Mx*Uh#QA_X>4*j#5VK zr-8V4;c>=F^hF@%)o_O-E%LApV1hq37Q2Bt&`OGGN;J{I8g5^`Z#+Vo5#V-SSTfP9 ziy4w9Zb3g|d9cb3QL%##^!4RYBy>odHlF)`)LZvc)PYLrGyCG*PE#F{)YxD2O2_$J~(n<$d3ky($;RYc23e-E-;g(+p_+AvfNe@wB12e7eS?3SqqL zDw}Tv=d>(r<^GAOl}D+dENPxiUGnqk2DWg&@6d*YW%pG-P0^VG_XnKiXYYIio3a#< zHNj3EDwM-CMjWt8$IECxQ4agaoZ&-5mLz?%zA&kKoQ7EK>qZ{TkAPY8)mNqE zpq@#@9}`(akjk=%c=Q@-x%96rl&+v}jHocbS_v9GM^T_cp^+2mY0;4OEj7Xtid?qP z8`I4{-NR%r)nTU*D#P3;Wfn|fez`7)1#1z0rz?+f*IIL9ShMvVu!)B@-pwX%vDM=f zdFld>5fSj=7Fic@TYxrxG)5IbwQ$;VjB27>=(xP$<8Nni%n z7dVdX-@t$euL{5M?61W_7}+6O26KOh-th*_?l=B8N-&jtkVnAExq59A&djU3!EsWJ;S|R zoIm^Pp!l0CEO%7AvR*`k5R+ModZL|}EP0p`H;?sy*g9hUzbWfDrtT7|iES1##5DW+ zoL5~_7_}iD$qo042@KVK&Aj#6#iDHCwCJNU)_j5#`hHZeo>0nscL=T-n_EbnkQfv) zt*03w;)B!PIaK?!BYO(cRTt{-QeMyaI(Uqom1CegE<2btd&|$a(@S|b;#McV2l9MX zCEA#xMxj>V6kvyAHwt5Jw=omB*}P~i3MeCz(L@%Prll`Ztg#sH?irv&z1sJYdSztM0mutKNbY#tEB!Fl9B!PBtx2R}{dTg~$|e zmGY={OkEoFo~H{B&C)uFOYN8c^)xjZbR%Wnf(r=+rU!2`K^ub5%#IOcsKOCV)*>x< z$~VtbBICF$Knsp2LYa;6jd1y&4LG}e^AzwlDb=xAFHuGzQusd$J3?a9&vPyl{<4KK zxX;>rsQz!EdeQudCrOqkZ9zR}T^M8Pi%#;AAQW>+sXTML%s(Ej!rHJrC-6++RLjL4(q2?jK+W})MEn}Yl?5*U+GBIBvsYN+_& z4a7{|t)E?w3>~($`8ql69i}5d0p=m^^ng;SdcP$0V};7v5+wja*kAsLerx=Y>Wv z!&BUSG|~Y=ui$RN#&T(CmhFX9lclTgbrh*bXz9tEn44f}_(v(T`vaDkGTXP@^NIlm zwb5tam^)VsZFk^fiR!H%>em z80ddw@G4c|AoD%N3mlWGO4cmMRc3zO8ZML|#7vCa!L;k6|%tY(TQB zhnr7=WwMr=p{IG~JkvN1nOQcfIOZ!yoSu!{iNDgs-X>ZPksZJR@`Np;<}_F`H;d)o zIAkEICZls% zuqLt_4@`j&I4Fl{0W^-TXg6j~9@vhwq_LaHr+8q-GZ1C*&ZZB9KwX^5m~;F*JAQl0 z?@QO(pB=G*&LVz4i1rda1f7=t%RGMw1;mRyN<-!nPyCOAwZZtobo}WaqmwC>8*rgb zCI1^X`aFfWRd(i@Qk_Q-26oCWGzEBwvLF;&316PM0gj*rHX+XP26?s+q$1pi#%T)B z$Ha;r$uoDA1JIKbG<1}dY0fneMe?H5>bLn&hqP9wt?|q=ynGf~7o#TnD3AUxd_d#o zs^s^Xsn?AIzIbYZamVE4=Gyu%i;V07&eqhrgHD9vnX55{1gj7N><4kd;edI;@^Qem zXLX_8TJokb7D1G!9^?gHqO}2Vo`D>q*AW>0*A~;2lsD4fN1Aij!C)e=Y6IY;sSU|*#5abAne$)4_01vX(tfI)3GtDjmi3w)uv=!(E}b0g13;g z$s_&?xLj61Bgu_d$@0_}+48!;>kq}_r*o#0`8}vz0t(FZE-H^l-HJaKkCLYzqM6-8 zJ!WM!30JcRP4PayDhLA_=`Jy!Kvu3>5eIK(w2DcdV%7aj+O@5_FHm1;}S{cj2Y zEv$HG66hZdvD)S5C-P1?%&9I6$H}G(mlq!0U3L{QA>1b{u&k+uJ6!J_&s0Pl4uP?| zS_bxQW6MoLRj@GjFwA#U*b@ZydKFcC~Oh)Gd+h zB0?xLjc5k6A$`$xOm21-&rNZ7o&o-Kb<(hGI1Y*MF?a#iaOh=`}vdo%=bw9uV z#GkJ|!n}2ltGck`8Gpr-^;NE&!Xhz;gLlnL+A5FgPU%VdH%V_TXoyoqq$Upl@wne4 zBvVL$%q+LGnz)%zL1&$>S{}=qA^|CTIf{}9lb%D!kp}N8m@5OcLpWqbUR9*IfUl(}y=q#JG;RGb_7c|{(r#>yLrO2#bavIeX z5Kh>}ei-7g5L%vu_hMP;{94Ov|ASKwu-F4kh}IY!fb-eSSDmjNs~+JVW0O~xowyV4 z;$?NAoR47Upbb_+q5I&M|=4Y(Q=)x^Fj(gtIcA?Q+X9!7ZDZiZWo^(vJEbhCNl zTJ=wIN%UTxD+hbQ0)1#z$#D@&9-)c%YXMEqf_3@nKQK6J(Hs zHvVip5kfVj(eI(2u-J`e?mRv#OJAhGOW9u@=?fxr{4WMr#cPbMJHyg@f}Gp62?pZy z|75{E7(kw?ioe*h1E}KRw|PoDX+j{^&DyQ)tONjN!Dk1w|M&7JnKf|t?A>UQh}HlqweZn*+lU4e?_ zLRac`q$${>KANoBz`z!-NyoKj{N#tVlSzqL5T9MW?5f@1O=8_p_)QMIk4<`anK;mo z?fNi)Ee8GMTY3I`v#!O5ja3CR|JupBdP%K|AJ&>(w5*M$sWXflE98HVf2oxvvW$4~`QBk;SexExJ&kK*@dYHVCP8C0fgO}|X)W*<%tUQHC=_}W}rY)sHxo2(l=v$eU) zt&~KwF4O;&t>7rhSEBK2%_A)S+R-Ckvcn-|0piQN+Bg?K@t`psveuQ0=r`C^tZydx zQ35!7pl994k#AUfgEc1Xt{Gux-uhZ+z=O(V5myf1C2YsUvMZIlU^cKxlUu%ezR&|J z^(LjmnLCoh+a3j$Tj+83cRLs4j3U&wu{m{KAL!3BwlQo?j5bA^ba}H6yL>C-74s+sCXmR<3wC>dv0 zJ#VV!Vf&oVsizY(I#)%^*YxYZ3Oy!5P5B%CsyrLsO#?|IfBiPI7eVn8#(w+xFRO74MCU zvs<3K76DOCNU`bTr=f1t0k(swY3F z89yi9v-r(M!weVjz<=cuwLxPhOF_Ei)Nb6%H~&v&u`^c7)9|BBK;bu;H^O@YS}yzc z>JEwd1k`xL~iHkL&4%7Ie3ku9fB#qVX|zuXKm;6;y-R+zgD?F zBwt+|Zu|Y~6x#11CmTuaJ@-K37Oy)ocu#CPtmRrj*7sv?1A>W5zS`8KE7&-H{yApp z?}5+Gv7`@6u4jrFwI}@D56y9E9L`r8^vY+RMa$@o2Mk633|Gf|395^FD6o>X+Y(lO zinzZ8v#?Yk&DAd->F490ZXOXTHtQ&Tccgb`34_ZD%yVdOxwaQSdBkl=UDqpSvdmm? z{*@)&8>M8Ce*JXckeTrlDHB{&4w?{=_qdC^1I*W#u4=X?R zk^IC7PtwKb=4LxyUB~v7My6g_l&rJ(D@(68Fu2RG(*JA4X9w}|;WWQv2bZz-X~I;2 zRYCG`tQOtCPU?K~a6ycva-_JdBhZ1_4L(J;a|g$6?9$r&<9nimF1=zzeixCiW4{J@ z`B%TQRrks-u{T8vn<1`@_qVaprN5`%r!9~>N?n=W=Pk-7NY}Jm!>cDMI|8Y_HI#V& zUdCRMbUw5=EDtFymX<5@PP&os9yt^y?b=IZYEZ_&rNe~Qk`I$lkdx~6U)imn4e7NnSV>rPn_R?-U;+dmIpEScCe8zT6 z5IL|(i`$$C(XSA8)AR>|D0%6QC$j89g#CA>y1=j+b2u%1KjHGF_L{b)GFL`Y#3SM( z(}=w1vm$RidOOX7; z6BVumBB=dBV(3HXZLcun4>ktJhs8mt&W2I(T*oQ2 zwA%d@86VR*v!hNNWZXOIM*4Z7Kj%T~(L6iTH}9x>I>x_MoMoUBvI`<4>lZ(DBeHHm zGtZJZR=#Xhn_zcWTZDE|3|GgsEMInI5o_(#KF`iaa)KIPeXQ$EG16HG$o_(AcUwvK z8|ySkFmK!*g3_xW|en?*3oUo6VaL85|N0Z7Ci!&ZU?}3%z5D-Sd%?k;s2$1mls& zY}iZ$@{hrN--oKcv!8o4=-vE*w#>1L5~qAFWzK?k=;2^W@VR=YEMOe6-4o>M5Mqvu z&I7`6;1>wlR@Vn+gN`Gm7ZYaeOFV0Z-aAS($oN(GcW>&2hk|}I!cOW1cBqtX6(TML zJG8obt)d69`plCWYjWy?sEqmc1XjE5Pib*zc|-)W_&R(S+b~9V(Ke&LQ{TnaqM6S_6S%P`AFwCf!nTMS0rw7_M)Ceo>nsA57c;x=?-iTG^Y?9L-h4m%AVfH6Ve+i-*f1tarf=2B(u^m3s zW8JGmUFD(S5}#c&5yR&Z-WIWhkC&0VHa|i+75t5}Eq?o-32gtLCoeaWO}o$R$+-3U zk9S_d8jFTnd6P2UH`|4n&W}>-NSXZ;lIy^Kib;9Yan$jFb;W1Fwdncs-G<*TB@EF@ z+K1NnU-Mb21r>v9xhE!)p?)uVQ-(r|-t>~2kF}@HUGd0AGM4Ou>y`W}wz`{Sr)j17pW0mc+oo`$&HD*{ z?=Ed?;~Zp|_r)BOz_ha$+4I*O$dQiR@$a|Xuk<@AYHZLLUDCTo}52-6H zOkX}F9ad@hGuvNUh%eYV6H)F7t^{fK&8m9zFaE|ye@ol;+c>cOkf)J7yvr7u?gKNkEF-55Kux zmo-ra1 zt`4f?LgaSr>qA}h$->GL$zr))Ywpd4~`i-EBKUW*-f(CoKHNX0Kd$f@F}- z$gK5WF@$BJBW@(W2fiBl^O7ew+BIh~K2n|v47)5O=H)T!8D16?Q>E?xVl6ML^4dA7 zK^?Z0dPYQdt~}^pqA17zRwGeukO5A8K|cJi@<$hOPE7<;jtjwM>kH(NM5%aUKM=HS zI!xZBnDIZX*Y8;?Mp2Vq21_eeq0iY^fpIzXUBD~y#Ls*;s%q-evdb06Jc@Dj99KL7 zg|U-=RZ*EIYuU4kb7t+w#rfX`WaGl9qKwweC+<-@Ppk}xhMm0*bdSjOiry&MJhzw_ zxpJLvxx&BO>k=r9eBaw;e?+DA9YNfbAFolrz5h*+pUxn-7^nzIzLFsO` zg2L2+o-Kx{WpW?j4sXm*De);TW(Z#o-slhsSYc7Wdhgfc(h4QVz~n!XhTFKVT7}bD zsS^ERB~FqvCJ6f+=n75ji^6I%J_0ItzlLK+Dg$v#$$b%6ZG#A=#r@O5Ctsh=*M^%= zuYT5I#HN%_QeURo8f!5q<*U124?YL~ky-eAttUJxMMNE$u34JNQpNf*xhDr!X^pG( z_wnAH{sBIW3nmIjE#M9<)n4n`B1my(WlEhI?{DMeql2=}uFFk~@PEU({9#2wvNAXm z0edxf2mbCwF9&{VXj1j3wHsgxo|b-9%dVjf0^AW^`LcQ8%DHK8`i`Q`7D|+C@%@5V z$4bhxuL8tLtbI3n>rBM%XMp>Y(QXfpGuB=P?4Fs!R3Tq6qJ3mkyM}AvM>KYB=^uaa z-*o;e0^h{^4d3XI?RP`xsM{yq$LX6v5?DU>&-wm7qjJvJySoT*IzJXH2>NC9UR3#Gc>$@_p!{@&(gC*+QW23xF{URO6a@ndN+ z6BM*33@yv%1cOBAtKW)i*_*x#cGCOFE#DGGRY^g@0qMBl)6x&ibntdgPkU`k_UA-= z+_sy{wx^DtqpfhFpQRm{ypoa+6dYPHONxf^N#`E|%hN{o1V`voVB`A}-2_;60Zp>0 zbXI_s)gk;j&6Ei7;7bz(4d?J#DR$nrRAZ?(H6PKRBo!F3&D?BqmW!W^F7=x2ZvY>$@_VI z$a9saXzG^YyWFUpkBsPai3JLJIW@YJtJ~AlT;5J-eH^kUs`a>tcTD!?)3+{epR}H$ ztGE&NMYn!@yhzTM-B`TN*E%;3p!Ygz^wzwMZ_jmO$~gjen6&I2Vh{6&-XHv1|FKx` z^>Hxt%=sSJjmmp#8C!V@Jt64>H}xl8kwax*AP=Q@zasO$rGQJs`C;GS>zJ$g>9ffv zcQS;JM$KNtB{P_D|Dj*~p;$0vb8cwx6Xud5$9<&E?asna;oJH3OLsuEzH|F(uN$et zZ1nJ3&xyx>OU{3s%cGzD=<;1(k~58SqaBC$g6mXT$c`OfJt-^MFo{?%#diaPEas-A z8a^XT?ct)B@XpSzp}hHrGxJYbb}!nk;5Nl@a@D?}VEtP&`fJI#5^})ZtaEOmdu3${ z|9KJf2jSG!%-%Zd?UQwXBYIX!^KNJAM9RibS#rz47@d(iq?nIfK4=vT4aKHv54A1Z zH;f*s{CU3Cgkw`Y*|B~n0&?;kMcFALOjdbS9k|IxDK@k+M`lW1dIIPTT9bmh#?Mn4 zyprTzBYYq?-wkzH@{n=u254Q}yUEzdlw7RA&#!JI zb{W4XJt6;>V3G7dw!ag72fMMJx4I6rz3s z>)#>#8RKKZxi|dHoz-cAqWA#M2dAgdVIAC%(6?oVy%oQ2YLv8=`%P{tVc^3Z7jE8O z3KvVSh~LfpK2QKTG@^xyTXJLfDi_C0P~PiwJJUzP%MQs~bZ-l;l7)h zK-BC;zve~{ey$RZeU%hi{^80>iL@z9SXX~<8rmV?dlepFYH%4o4IoLqrr}AdX?x)C z{7ZnZ>&KDg6Ef_^f9CMUI!F|(cn%-)ieQDAMY?oIf=pM!H4LlatHum8xk3diza9&n++Gaz^tE;#8;+LUCVZAB3G=J$^5eskE@3pYCWFKK`u8v*IJ zzR$4*9IqaWm#LLxF(FRWjNTOHZb9Y#24ABf&6zp;%Kj41-0-=7el*VX>5o|6r>6+2 zl>?7sS7luRZls+N>E9GDj?B0x&gk4e;2Lp>>QC3~pe7+ZYRaEPlnvN?=j6OCKT@Tf z;ff9$OOjO7&$nm%xM4nFl{FeR!aqp*S^7ytzDnInwSVhL)ZBJMw&`PvPXjjj=y&Lf z$H2;TbSAF)p1Dy=nFL<(2Qla()+kh^a`)DZ!bk zFuktrliZ`IOu09q9r1_B@rs+;ULTo{ZTW56e7QSdGd8t$b*ph0yzD9#I`+q`Z~KgJ zcW*(76wJ!=x6I#Px^xnG|7%!lkwt|t9|+0rys^MGU=t2M+WUlb%t6aWx^n14t=TtV zT9DQBc*=Sbnv#T>dd!Gmc)m@%ZXQSo5K7vfP~djL^lRrW8cc@l_1gNZQ#Xb0y;1zF ze)ny=zr+5W- z4=fBmk<}Iw)n>dEW3@|A{|`yu9?$gq{$FoJr9wy%LLx#XId3Va5{k4Omr^R{oX56M z5g~F&VGc3pIph#+OXZX@49g*tLmM-5*vw{!-|O@J{bToIv)!-P^}6osdS1`#y03c= z5j$4)F(`)!NoX!vHk)uKElOwtOQ7K+$pG!VrWFq6PxtN)enj*1~ zH65iw;f@$7zG+qrPWM`20itI&@~nDaYiP)!2Q7H&vCX;^{ofBLz1Dzpd-ZuljA4Z3 zT+dR6qJHdBFja=13=03e5X;Onv+rk`TEQA!VQRy~@I2g0B5gcjv*YZ|bH5f@%W?iV zaczTeSXT+(;ZYS)P3L=Mb(mcpI|P5bl4Dp`0hRXoc+^= zFdlyJ_sJTc&+3A95NC%3hJ=l83HW9U&6aJhYaWmFuUlomn$5xhIhr@EIio#}9a|XH z!)trp;(WMGx63v0dh~}B9$wYq3iPtk5Xb+L7r#XuIbmvEEmznB`pca7r*$u9lCTKA z1JCwMC(k+HbpS-}e2Qe^)5P3=a_K$b{Em`Sj)xb%`+2nx`IBpMxHXjtJ6IO3VFf$g zD9A|6I<<$*Uc@5nj$%Vv2p0xq4qnO&-tDkm#pr#0APf3EJvu(>s*czVWWpY19S@aFlSFk@)!0sF&(25y!W_yHqF(nYd zj&hF<_cs7b4aN#R`uhx;6mq4Z_8G9Cjis?$X~l<9@JawD@SeJDA}l}Cg+J+^w1GTO zzLopU0SG^&``bm3qJYzWuPIooe^cN!XR)UJhsrcL>FN*A>GRJ6=0DxNnRgpZAxoDx zCfEBF2yNfa3U@}lAmVqay)UaSKo};mgsy2UD-8W5cYfbfXyVK&@a77~C`i;-&Z#)y`|L_U-O=LC-FxkzEFavg=yTCPYr_ zaZJ>A%uD8B!eWLO;nfHHz@l>eV%`n&-;W%~vgPb<(2GAa%!DM0;SaAeutNwpbk$P< zuFrK0fA%_*d(7`tp7`pj2WouLO?VerYo?a%V~j(K?6|(B=zr^p__v25KDm45Kg&xs zETE)^hFnE|2nl&loMaVqXO5r^QDhRg)QeMbjdzf;Ve)gyXo7Rz!iIQ`zXy#0#Ov3M zDs&KvysGQR@9Q8gE(G+%DFLz6b-m0>!fhjSl5dBWU*(T0W9i(_*F$hm+2(a#*AtjUse@vtU}1_u5UCJD1Ec9IKzuETX|4XBI~37mHu|rt#gG~jf;Og zRgqXyv9HrNfP5x3?1$?y@14>|J@{T4NsZVU7C|q#iZJGqG}$bN<9foL_&CmTPdv}m z2{g^2g@$n0&%%QR1$W#cEoH!eG3&9+4;w*gO4U<&_3(UQ^_fe3(A@xe4vqtMP)<8Q z^+Id$^aclA1L|%f5=3E45Nq!Mi z-e96!DUo#z1G?^1qxUIlL~NKE`?30e8dH$&6$0y0#oi5CVg9ap(s3;ugeqx$`_2UA z7Nvdi!KX(V({~>qS`6s;{Fk{2BBa(A@#Bbk2gZG!y*Uw!$ z>xx|BlyhfyGp}|BeYnx?@bKs&rufE$u7nNu&Fves3Evz4?uD|35_KI2&HmBRey#xl zGqXS_vn724Ykv0R&)Arc%BDyxs@NoV9bLFF6rR>%XZ!;k!u9Lzhe;w^p1`o%7B_HU z{}v)xbmYkAH;?SlWM%B^a;M49ef0K2*sWtOmxdcNK^oTYFsVIe6tIlB)hE|_`2k^6 z(JV$}`{yFd_9Pr}Y^i#Bc6B*gr5nn&p$*p%< zAs(m%n(H^+s8~9WwUS(Y>%;TC$UQ^^{DglcQ9FW8y1J0B^yqI?**Ti>qRYv0AD&S>WE>l% zeNZk?pn`ET<b491CeHaCm>gm+A8WGG`iL>ohnd6Gjb8ZfHaP>Sl=#Hr=-;~O>#wQ7)|13sc6v}XaFbTR27cjP4&*2upW!*SN{%H#^X_zqj+EfeN|3mM+X zP@-KUPb{)L)l(Di-(1fp(mJmDZ1^<{D>)!-j!3{FR%?jtlNj1?vnFI=NxRH?SEXMM z{nGVrR$U6PQF86|K-&uW{4IGaZjY4hhQo)}NM4mCH)HD_N_dcu(-nM6vf&HW=>@>L zrTn^CK+f8X2!<8VMuZ(-yG^kJ;Y-5YI|ccIkmKW1=3A+u+_ZUF;omHM?T-*LNfK27 z2C^^*e0Ofgn*Qi85(cUW_;>E*<5zNNC8Um5(>4Jv!@N6`d#ohCaZ29<7=eWqDRUcP zaAcOYcX=r?Vm!@ir4|*_2zT5FJl4VV>Aw#X0W6;;68`q2H4L5x+KyaAF>N8A$gE(x zV!BsAtwaa0Yh21&dR_mHd4U&$I*u^>y2vV&weOt>3jC>rB*VF(e9Xl;{{hGZu#26S1ZWin_om>nTI$R*-gCR!P z6*3E+4Y_mrFxLhj9{DUhb8e}pW5_P1a07p^oY8tZ9=gQa?qu?Fer$QpFy&F3fdgF* zn6=8t(0ODrfH=L=B?(qQ!nlT2JJxW2zIqC;8zFEbyo-t3kb?;Ox1G?Wpyk)U7LT%{ z9e^Bi_Ttgju_5l&_FR|ot?MsC{`42dwTLW0jD&gf7>o01>rDkn^L2D7!;<3y(4W`H zkA5dwgp?D5B#4png+9Xe?!^V9;{AII6y`bv8d=VJg2uc|tTQ+Li%cWZ;CB_byREh{ z(>sYR#!?dMKCW(Q_|4+Zs69t83HU-<;ju;5!L;)Lg|1G#mr+Z2%yIX~*CKtOi1lEVFShWt827-P9wQXodtE5iUG;p6 zHFaA+I2&EJQN(lCPYo8B?iSY77Y+z@#vt#NUhzh~LhM>yyYZ&8%?kEu~)^G;c@YeQj9zdPLjNRnX3?@$MzNsRaqJFz)}D?SK)hLBv2Mk_zX?c#r`|5G41#H^y7JJNIs zpl8oUrCE7{VdEUy=kjx9hXqj=KR zqD0J}MP(bzTBx)IeVM5^2w(?Gbs4z{Q)TH?6xwBP9~Uob@tC*Vb+r%^hwmnQu*GbY zjHR-jH=LERl-chlG+)n1gRl@c=wpxA8D^F9oDIA_l{mQ-t)AS|?HKw|*%CA}F+^>t_ zwZ&@@%KrKQAuc-3E9Pb7HPiTKrlmC-oEP4Ggyx2ayz{#MxQ+ABRMGLz{SVUP+yyr}t4Z!VB<19$StA700Hc)-$HNnVGhZTdt>N0W5>O0l{^m087Nc zKm1I$p&?JhO?S^v0p|0nfAcgKpCzsi_Y32K@*iRKmkcw?;>p})j zRPlpgB)mN{C)>YHF!{UdW%w9jVgUNdsLXkoj=apZ5rhwt!USAUJdfqTLk}SB-`<@} zrO(ZML`XU}Ze_@IyDL)gdbDbP(Av)@0>^q)D~?VQx{gSQ8;^Rw$aJ5zsBk^aQiDHy z9BBQ46m_q8Y7DSL6+WTRhT%j9aADLQb$rMO3WkLAtQ=@Q)HmTr$p~6qj7vPS<%%$DLE_5!UOGxZZY_Stzp|WMJYs@=Sa*DBtA6+hE1s=T)-tN&NB^5NWKaQNA)C(u?WM( z>r9PvxSOrbeny<_q1Lb8HcDNEl1yhn%twEVP$w<9f4tXu)f)p+x(@BN!PB26yI$Jo zoS#k$gQbSB(A*haG%{gp6orz;WH$Txt}hXOodW;hP-Enl2%N0+Fi=besonkh3jDOg zS@jJ_zLqqN&TBY*3>g?HNJiPeSxir5sxYVO0aee#r4s?mIOhoY4b%s)m-mFvw#hqE5Sc~{+81+-zmuvL$=#it(P&dL7aR1Oo@KD_Kx4$8 zozCN?{;lHqLe;lF4y%3s&4I)z+UFJOds3O;&KJ%R|1GPcF*|5h@Ndh^gsK{H*>z!g}~muZ-#^vtS}#^O=u%L zKR{x#9a5O)@d8maiC>B{HIuT$Gs~($>OP2B{2ZaHR7gHZj1IW|f#iE@W>f3WUpy!@P zehV&bBhm<)X3ky7;m$lngyMKc=n11o2Jy0vaes4ZRi+SdSVJFzU>*cV;*D$YU@dvT9dYALr?OS_| zX@=3t|7O{gjO_w1!ICrFD=dlFuT0~;<3$fH?ryFfNDL3>8Vm;6zt@ec4D`Ed_1nfr zzJ$%Qd5hSln={jmke)FEMKy+N$7Xoo7nRrM`nRduDq0Hi92&xsuxs0LjcR)8-VEMm z!zN#2rb+QW+X}?ReecLpOeXA-L)Rq&oU6)joUX&-UX94>H!*Kd0)WT3_`#al0@=noK2 zdWli>9o0HUr>3h}%I)iBxx?ece54CAGcCaYNTn1*%nI%V8DY7@EprY4T+ImRY0XER zTk{jPzpHEj*s3cEw~R!<$@@aQ(gnuK27YMI-Z>PnN z0vvLXN`7qQ@6!Mz)~W!HzA$mXkZ)eko0y@E`hL}#JS0J2h3qm)j%Ei+3nN!i>o2L(c=@nquUTrhh#brpAuWX z8>Lmf*yOy*QCW}H-@55xuZ&G8jmoOQCf4(rx&seCXTPGE2@ISKKbw=-+RKXj2<|hb z;n37rX2D6;4gJ`h_AQp8CTr2J{)w(2cZfK})2&|s?(bcZrlDv{Inhw0v2Vlkj&^|{ z^zEj2**PEu=vbQy@S1RjZU4A^@m$A?Ez+0L{c_&rq!8;h%ue?{i@~Vc`aq@byB5$l z)>lF`&T8c$l*Df@#LPekIAnRQuL-wo0Pjde(T6Z|O!y^X>@xubsH}R*MepRY@|CU> zBM}iW#a4Q2L~Q=L@SP}Lu1in&_~xLb@IXF(I%bFwaEedmv8Ma2y_IX{nbCYHp64;<+>VCKj!-zHbg4CJC{NuG+VIpte zDiTg`XfcYcpbz*m@D4M`VA2GMFyU;Xv)l5Hj5hg>Sl@ zq`Z9^1228Cabvr_@6XRWC`#-#%(@$pu7&9D16u*=MB=328?u zWe1O-AWjT_N|OVX(3FJKMw7PLO9Y)eC?h^~5VhgW%10ayuL6@#6s8v-v~TPGh&ZA2 z?iLlrUqxMYRWvh0Jw-Y*n^u2!bM!2P^4#`%iF_fK%8E(S_dXz$h@tHCwaVbFxm-Vs z+PP8iwyWl?Y__w^PuCmQWuzbMZix`}6MiVCysazMyXcJicI&4SPVd zr#IH5uu+25ioB&CE`Ny9h9zWq7`*y{N-)NXNwUBnV+O^!tCk?er#1#7McG#^F_FDl zZ-!>>ni@!mgUy*|1+aPTT=FISwG+rts+3FXiMAUVu~>H7g?8vbAIY?d{jL6?1JEcn zGEopt%}t-DndgZWk#osSz<<4|4(%awYL5*09S{`lFELjfvitLHa3B7OB~q3t0rc46 zz|WO14iwzQ+UnwANXLei(-!BuuG%x|v?59~THQ_{9m2FCY%Xm*JOT6;4BX4l*#E&m z71lS{f$zTrK39TN{sdz~$QfK;OFgWKHSQauI0y|- z!+fXwAwmriX$cJWg!2P<7hX}J_n|%HqhC^!sJT1PYperC7qla)rqAPY5z66d#^w{F26K_O45Q}_t zLTI=Q;m3*wqLUC#)WxdzvQu4B*w=5N!rg7RG1Gfp_{^uEZaA^Q>3oV6(?m{UlbKEp*R6}XD%fod z>v9et9WyUcpz0-46OUV##cB)b$A%=jm9Ewz<~(@dD?LL}z1PJBk3n%C5t@M1;*Z=* zRWsI}HhvPFzYCjGDU`XFXce)f6hFHu%bWy849!!4`W8q)Rkx2Gg6pZIL?c|J75|zCJ4U2jk(s0WX zsbn-hremo4^)n27ZX}-X?L|*}m65^2IBf@sv|67){u>dbeleFHqH&ImBMsX|RRJQg zNgrW)JB&EElSUWS4?3PfJR{4&nkAL7p{W&IVlfhw2^P&?k1=h#ug2Mkb4D2MFw`m` zAIzWKIL>i932Y8`;CQ}?#RB?UZ2H zklJy4uGtp1;z`>!$c=YDoryGd;EQk-JF!wjexGTsN1ClvVX5rZ(?8!!VF%=xScT^2 zYOqwje#D=S9DZp=@!@3>)^D7A^eDr6z4a1q8F_Sym%*d`&F0(1D@YGjBYcGJGyhVl zF5pIB%dN5{lY8sMGI*BW{Lu+Tpw44%l`7~@DMHUbRSB>!=C~g zv=cZF_H5}F=kl_Pgy%Aa)>^`|!TL7qa?cS5sU7j#CV*2zvI6d);<> z)UZK3`t#GHF|VLvNKBj;gWR*#QA8Hy_Gc*1u|T}hLH4gcU{%!3_yt-ciWG3@mF!PZFh&!H4Y^7H{6|9v0J!u;&`0k`%g$nipbo6YKhklGbvD*n|nc}M<~C(uS;74}P`qHcR1w?PW~@6AFn z>QpYKZV)WSZ_77tcl>mWsaT9s%f#<*qZAAGa~;(|W@Et&PC^!Qu!Qotsr3y+Bhitn2RaYy5MuAP4_ zqUt{BN%cYawEXoa^Y}ymH)_;d&rPO=>qKkI9;25f;iR`=o1Rh4MGyv-HqJi!KdX32 z&^(BIlS0@Nj4eW)vH=>mYz*;T(h!JXzSQT7X#(?L$`Jb?Q`>rz*50?m)Y-N2h#Ozf zK2So>+p64crYavh{M+3i^UaGQi|)CM{8jr`3r{wwW)S+Pe12jvB>LLNTdb@2^+Rs; zHrJ!2aPB??_>l^uR*iHCo6Q=Lgjt?Kw1<94?y)XcdS{Z?mY);nj~dtcOMp24rR0?I zki@P?S-4mUvLMOp2@Ji?NToiJjR;(EX%*HHeM;K$!)AY_YAoZuMdsR)fT z&;Z3gyezk}h#|sn&WJT<*Z3)aD<+>T$&K~gzP*=Qtp@8OeHwHgWT#Qpp?=>)ity)v z&#>f>L(DM9GFS#?E`|dESME;=or#?1nJXGc4(DEnENCkI9shYdZ54LGxebv~U=lQ( z0QXDiIML`#v0v)YLqqP_!pZll-IDO#gSmr_32B~coT?B$_A`PBmF#1D!xaX7dL|G^ z@#U9koWp#;AWd3S0O)dtRgA*x$&j8;D>Z$`Pj5K7Jn+1ZoTg%`^7! zFNP`04-xd-i^xgQtlvoj^YMfHfS=WgHcS}Gma?P}XKGSH+O}Nk&|%1}kG3i>9~aj} zSm5f+3nch}58NmMip2kPDjw}4E5hI@e?5XP19(XYAsnLb4ZLm%-Uq|_MP6ttRfGM( zjF|eS=p!TmaDsOt8a9;LTvf`Y`TRrUm2H~|UI7<<(5tx@^>~k@_(x|V zULYiR(}2W7MdgMf4~Ceim5?@s9fO7kxp2TW3o(qpVb26LNr>~Cv=}3er<2+x&|Rj? zn!gq^KF$@1pzrns`NCarK(7Z91y7Ih?Y{>!KRbU}FFHk40=CLfs3LWaq!#apWQmg zynF5o*5)NbsLi3hoVXD*~r?-Lktwx%ptwTVQfIW@P;(@h2^bw1r4Zs-0*A;*9zwKOpRyAyxH4$lm~H z9Mw0*ZBYP>#I%S633TuCK>GI%ABVJobV+C7xhGz!%OjCSvaPkfq}hxDzvGr69cg#I zud`}TbkP^`W5=(&B@Im|U=XjoJbpE(g zPu&6+{H&yNGg8f%ekSu2u1;I{OSy08o#LA%<$Hxla0z+$iQ>wbol|DOMaI1zi`>cf zw!}<$w-*0W9HN;xRcMEcn&w*KxYO72{_|RUhDCS_QWjaMYNOr{vA0s15UVBCr`8z)-R=tex_1K*iK6Co=kfvg)#r&J#I$){9KCy`s;2 zuV(Xk?#Yvnffm=`HuF5mabtx6tw49F#7Z?&{EqQ6Ue^WAmdYy$$o+vo0CygoJn1om z0Q9EdWEWi_*x8avDhqkPa|$Dcy;};q_5O4TM%ECkT!Le~F3w4x{MehccF*rS-JO}t zp3Kk3$CXwiVDs0mdW&(mFA8UYvviQJKRm@tbCM@-4z>bj=fGuFi`)PofQ<4lW+M)V z6q*4`b5W+1{8~s(@*?lQiEXw)Bqo8!RnYJd1Mq+7ehj}fgUVsrp*I4rUVC8lmT3$aRY0AUP{A9wm#H zZ9U`Q+uyf$ju|>1mbUDnk~%pxV{H=wdf!dI9Gqg!6q~7;y`b|03P{oOa>#ZX*8`B9 ziP(+sjkp*CK#HGbTG?N8jt=#N#25olskLvoP3PJE=Gdv}OV+@Cde|`encwj=Y8SdW z>Y+v2jPxn~dPc$5Nc)$l)MXh#Ll&Yd32`E#&Vf84X{I<7sdg~^qEN)D{RvM z?b>VpZD|BS<6w24qe1VI@;o~>4FQ||eCyKiDzb=VN}ll2)|q|^43a95JMir`5lh>T z_B5&0BY2VS(t z3+yvgy%cJ5-O4`x*} z@yJk+=*lfB#zxR5#s4*Pp*+ZLUKx1vz{&;gN}}L@ zc;1E`u-2mnS$7v_#CFjqM6cEfZPrx+ZsqGaEVBC-{~R4p-gwjt)K*~k=g;z>JQJ!&52jN|B9YQ?vH!s$ymTtMuPWQj%B0vOPHjfH2=}$P%BD z`JbL_6yuZ|b(qTfJC)@6AX9ZYJ0?^zVr}2cwl+C))iP=iub0JGBu{4af{ce_jE%Z# z72D!6rWL;gTp=@N9FnL8Z1P3&(9FSPU=(CQ{yXE4PK|lmk%7C{Nmm%61vv&dl8b=} ze^(mqmsSnvL;ydX=66>A|P6q=}RIT&TwUyl~nMq!uh28T#{3NU1P9UYH8YBWkPn}OLxtn3Li8U(~+2b&`;uP#|%_KaX zjKe8*Hn^_Yg>-lTx`G5A(Nvo4BIXjt?RDt+$>hlvDpsd)!wGO3sxudomzTvH5ZIk7 z{{Y1`UV zm;pP}ekDVbCuJ{v(Ag4y)HEA$@hbQ~>|=|8K7Z{%g%Wmg?||KxQ-R`7w|#vm4l^=| zkzf8O1Dua~*I%Ed+89gZjvh#t_i@(dLre0}{Mld$fC%Cmc0Tf8?_ft+g7w<`7CR)S zs-*baGcD+#fMEYyyy5o1BirC!ZVGd zm+}eT?jb8LmgQ8ja&gZ2xjRYf!yV#AUEVTnzG__KPER|s^Gr@U6}%hG2~ZE#vtbr| zd4!1hZz@xYpEy%j6eJ5ww>;AE2OuP$Jn^pHQaIxj%Fe*A*2SEFGT@9If0n;T4*`U* zB7Q%YkhDQf@?wugJQFC)N2XCp&%Ex1i_YU^hwxYbFcT2&^LjEvdKLv&1TMYkj=RG0 zN}eGQ$p&3n(2IY?R^`06(BlNfzt_t|>NDj<#1mq`B_ym-YFumQFCgIYn(7+V+q3cw zaJaXpk8%yxB3F_S-pk0RII%Uu7H4wlvI9BxQ#)N^1;L&EMs`%?;Loi9Fw%=kc{T4i z(AFWr4KEU&E&;n6Q6X`NO~B>3gs*JvVnQvM>G3oR^3ax{pC#VJC^hffOB;WDF!%Z4 zCFRYlX(Mv0CI=sxuI~Db(Ku-f5R!}t9{i| zvRbo^T-kT&Rmd*x&Ff!iF-6{{<@h>LEH35o5ZE;VF)Gj08z~}1zR}^JNJXepQS1us z_LB#77DDF(+)BT}R&=2b2YH=v7?CW`#E%uBaH4!*7cvVTYKIXZ5}u8$an|zV>+uU<&v#y8%xF>Ky);OnS;zkbc)zFjzN; z@XKJHUFDs=lIt3=ay>x+%mULL$t=Z-`201z|6kK;>r)>szqfY(-Z%c}05QFFW7EY% z{$qIhKcgFgU{J3uPKMMRD>CVX(9`F%Odt7lrtmO)FXWE76RQ5E`w7{^);{{_;C_|N zQkUmelWPc(dn@>h8<4~&G|bB7dhiF$<=iusb2;DtA}c}bArH05f*O<2{MOj(qWQVx zBmY`BPZ>KYj@#3|?(el%{i0qSs^~F=+OPTF%Bg<$)mS8;h%}u0>jgawCj02c1!m$Y z0TAqp9^J&>MV{R3*a6)UA(|$-)G%eJYGSThHJRJL6D1JM}cnT50@94H~fTB>rDj?th+f(;nO{NxXbkcW? zEY1ylNpX7j)*&~WaCMD84oav0iKCRa72QJyqs!Z{vd0I*)eQVi zAo}mQyX&jr&gny)(&OOQ{4-)nj`nL)dq1~qwEJ|py-IH-l`wWjiJ0Z^FOQQvRB(eI z?lF$(=15cTP8~W9jYBJ}{@wE;x^wm=r&b_!-0&J*vt+alYg$H}l4<3c$#UaApRo}& z(v;kBIRKgaW6T47zg@9cruur*PB|Yx@caqp-X%w2cdgyW(1YvjJK$rvF1f`gC*you zy*A$dTS=-d);*td*P|w8x-B7~?!kF8-BT5$cTfHp_)*^-^TuQuZW=44tEO*1Sx)69 zKNJbldAAj%=C<&!qPNv8wj|&&%8GO|pZQ&fkV{T~^sU88It?9XjX+6qbYd%>e^I_O z(=f9&d^0=>hbyq3g*Q8rS?0>i3DLjcM%P0+fkM%aaXlJeDJM=GP zP4I6|TgGqdmXx8Q-7Gvn3}^O}*!A%i^viDJ=1mchga}mW-{LI)qPE9vN*5n6O47P` zW$OBw!3FO^hwZrTr6#U9Y;C@W@+_0Sco3EVN&$60r|*Eoubp~q-;;ax%cnTy{~)4E zF7+3C0x$P7#PfQd^S5|6DTyJ(Pj`JK*BZLrzCFKJ9z{OCECr(W2l+vDR$4bV&Snj? zb@A3(9N9mltL3pC8|XDpBa}Pwo~*by!pu8#+3FR7S;U zp7bujgoYK6#T4T+i7&}w#%2}PIJw1FiyL7fsFqPneKBx><0I(Y<$ISVVFpXmfE-tM zq7epb-8%WXyW5}7IkXr=+|BY4n|O-plIHahQwW*T^UWXhdL{G0zS|lzVbe+v`i2D- zmH9gocw}c@!zp-2mRHJCQO+qr{Y^ZGc$$|{iA``mLQO|I^WSysN&t6~PdN#|!-&!6 zR8chdKhGJ7&1Zbc#CxF;$1)}&!?X;)tA98AP9bIK_8th?7qa)v{Hfl(A@9smZR#Vh zYXv<_mVm`Wdp>LxSE3Tj!;8T0HSuWM?aT08G3nR%d!kKFEQqg-zC>*$OyBVZ(kUjR zNFRC99mgchKNXgkP-H($49#~ge-aN>0d-;|36kkW?1~XVk>2WVPAB0tp-8#q#RWOR zUD!AH74xcF<`ed$`o!%60TVyHo@6VHy=cDDs3ehf1?5whC*Q|vER()z{_i_ zN_Fm6ue&MdsgUoGvaBp575`%#b~okp8}q&Q>-A~S5*6m7O?l;0g*XYQuEn5vgmIr2x%MV;WptuWn8%QFujx+=ZX zwaPLn@iG75=9yZQwm6DSfBgOQL(C7E?)1Q3=vTSTsx?bbZs);1=RdcaPE}XQE5)62 zSNWA1xLSPQDPF?$l&kQapKHc7SN?ANTG+K%e``zH8EhQ3T>iifOV_2eRkW> zGp1Se{&RJG%gO+sAEi5ajnNgis#i!Fpk1aq%9lLeDg618I~sUpZ`Nqk(!jkO0!Hb| z_)BPW&hI|OfUw1YSQe|C>V{p#oC>IGAHWCx&G2SzQo3J#bwWW zef0yz4{7orAIQp)iH?!M*Wmm;sth!Psy``u9M#S|_YA7@!yAqW|$~J$Ki> z*uz_y1{qZ@j!5eMk0zwW3FpS)PqMdbJ-y#FzyI*i<*}9O!_~R*wo4x$*T7D|UDFTc zdB0MZ8gY9qJ)fn=RFCz>9EOG~9@T`yOR~~`HS2#blW(dTRXLQiIS8qh^KQ%<1+@>e z0%xk1-Ynooyd-Em>jx9mY#2@E_-8e88%HO?Or>$xeSa-De;!HR zYLsuhrGc}%3Py~B$ZBhz!w=JrDWA&B8l^xAqC^e)mL&fy9V_ugH*%}s?H63lBRpKM z_i=B(aOr#ZTt4QewoRk1LeuxiE7$EFysw9NHYs0)=IGHf${d~A&%eZk{450S40kb) zDjqZ1@Z|2v!f)cU<6`|aQIF4GNOLN=qV%g@Z_WH6W^>2|Qk~O%$L>Z=b?IfNL;aTm zYpGYOmm5k>@C&MlKcK`B} z54STk<^OuWy1$V->T9JMHR*wq?EJSr&V8rksja*2>4+MudM&a~>qAI+b<@pSTn_h+ zi>7LbDBIZ-9O5u3h38&1{ z>t`kBPY$#8KM6JH)iSSM#+ZHmoYk!UUagw2snP%%$;_UVq}BX9O@8*1{_NM#vyU~W zGJ?1jk72vs7iPQ3R{rpZ-8X2Bp#ONB+Uq`afR$NGcsATpb3dc?zJqO0g3YgA3BL?g zbclOi3vVf1@@Tx}XiINF-kP?m%qfs<%DG=%WD=7S6x(z4*1u;=&rDV|>}J2ijXq&b zt9`oDt;;zW*tF&WE0@={S7xO&zj>+ZFRMqqz*;73eo(2#+LE_mfL!k6EkG;Ut43i< zpFhc;uyU-2a7-W{9`Y*H<-d9z%B|16U2bm9&Q0}odEj!jzFo833jQnm6r9V?i~TXN zMRk^W-!G^2!EN`Rm)!w=oI=IcvHgcn`_!;gS$O-+)+-7w@-y3F4PK`sg>jpaODy^>cE7)fs=!2ru z>lJPZux+(!y?$SgMi4WnC@4i!P6;^fw#CrTZfu}RLNjUQx`EDEiBt6t|Ca?g9Si6o z!oLOEhzr1bJpFqo;W$R;q`!^<$>B=nzYE`qnI#4^-c83^KQ?2Sfuc`v8$l;r8%|00 ze>J|+Ja8Q}J)skH`9wFV)agOe%=g!7<0a5d+jF=k>soZ10&3L7z&7T>q49lvX-{($ zfhdiBkPwm|bgZnFaIv^e7}lIY(l+i2cm((V!{emPcd6V@I8a`(Jzg zle5Y>y0oe_=4U=M+xYL3o7Fk-FaC|$`K>O-&fTAms{t`;VO1+vd>akb-QCP@!@Zfg zaQm0f3=(&Nsu^F;uW_xHZ}KwPCn>ed_E&$j+zC1xZg4DpmmCQh4%_R(2-AqQunUK4 z$=|>5HwJ(8<A;fE3B96=vU24@@9j+e_KXVy)~6;U4-o&56^KQ<5Z3<=#6AL zy=$i`^p;+jv`7AG)c2yC-Iw{|a>cgAIqKJKSmS=?Q;E#?{u|dy)8<^ON=!McjA38Y z{IN@eY4p;|BmLp2S9gZ(`gFv5yfe=@%;oD4^@+_paYa-A#V!IQWx&L(gAi{{zY z%J!(M&>I`_p}GXzzsWr@BjS5g{Zq&jIpNyY6iG0dwsN^OuGDwpGKl+wE6_J-cyDeY%!|7_NWVNI$o zHGOjhd(x3qE3?y3@#=b6=ia{#GM&^TFXQ^@`*yw$8ofYjc!lej{h5Ax&(0SH#me^L z@-B1s8o`wd9Z5J_7k-INXz`$9MQ9>F{V1ocsL;Zt;uc)|g6A)l+v7iRJKnOQt{kc( z_?++e?-a>RR=vD8ee)674@b(9mKMfUmah8DK3GB_Qe>twN0hs^INUhLwcCd($cbux zdU+I6er_Z2lAhERAN$+1%PGn`IsM4eWSsp`l;M^$$FVi`mrFy_#ptKpUagp2J&59* zy|_n}UA+P2z}E{@EVJz_EWOYui~F5->p3m@#v#Vw^an9sz$?=^bKAY!1}%I=FYj&f z-FIlHBQG%g+*=#vwJMJ~y*}@-H?ti-OK}oM!}BhL`dgG(A?y&nl5d9JyJYz>_T9F1 zC?(qv_|2sOuW6MDG-`kPcj3?FWiwTcn_hO09gUE+96S)~8$ybEXLm0#CbBrHFK}9cLRLpm)^$a@Og%6rq z&n4joLJX1RRw)?DPsgr#jKiV7tzVCqI|xyazI?&9w!r%TXt&loB;sp*?L!e_DrIIR z`$+ivFnYpE)!Fk|{&p(9&ds|~gHDd?KNDUI<~J;8xZVgJ)L}a&X5K8&m?)buBk9-o7%|88mdjFV9wm-}M2>=y+eHs}sCnrLh@vZ%}7#px^jQ zh-COxm>$10z@V^St)kPeUoH7=#pTFhsc{L|OL*u@+ar;N58j|AuG&~*>wOb1TC?j< zA4Y{i+LMK4lT`|DYHr$8xHzY5v8sAkRod+0^M0W^;lki$WqX7Ernqfb2SY-C`YqdL zd55`F@AbLOW~r;CTYfqJkw65W=vgb-uA=&*$n;89X4+may}i3YOJe)epyg?$xR=9g)SIz~0RIGk@#lmO5XD50aN$ z9GZGP{Mb?vR*M(d8p&6lTs{0)vqF_qTH~69-qG^&}xt}0wfIgFE-E$i*bI#6a;>Y{O2%`EfQ^Ou|b zY>zZv#VzEmDmd0yT=9*4&|&;RS=ZLVq;$>OTXNC5v+v9{=sXrZIIC#)gJLandGcrZ z$&c0%&Y5u&DOM$Cmx>Qs|0wQ{Q}5Y6T2>pylQ3P5seCweowh^IDY&BM@O+!3we7Xi z@P!PrS;Web*Dr^Bjcdux+Ny2uy8RtgYD>*d-0ju;!PFh+wb@uQV5~XbWr8_MYNvAT z?PsOfU$>RK6Zv`(w;;)?ZrkV`q7|5aQ_>cdD9? zdD+Y7hNrJ)<%S2DsXe`&cjZhJS8-(1F7_V@)yqv9f-NC)c4>(q*iR~p@gA?IIWi!`FHAe zm}Qt+JipLyu*vas*S2e#4`Sb!EG9@bKKu~qq80FKTl)Tiq~E{cx%-a>Y*mJfAI@!8!j4m?rOU5a zuFP7im|c17I=1h}N@#Dfed*~B_pR<;k@w6vsJy-H#j(#W7LCT+9&dkI`$(b&-LYTG z^ZDv0wyS|fVoGkZd-UHK?-$Z2%5j*MmuKz7pZqib*7j9+ z3!~(!-l1omm;KKcmRmI^0r_Ck@b#At` z)$|+;@DK8D0u_+MW9FV$F6gu-)SiuaE}gyqv&*-e7e%^scM2(6rw%mGqg6|iC`n3g zZKDolR)a}~H_BWJlcXdf$Vpl9D8B%`EN^dbsSjO`u0K_Zel~yO$kVLrh0YrH^|HK9 zTOP+?KyvL?Phh% zQLC-n@Y$VCHdZd*th6IeM;~#t_qe{7CjzhAUb7%7WLCN+_bTO06dRHI*t$BUXJwD5 ztfYfS)(_L!^7&8KlT~jwrSc2C{Sy|i;4ggKm$D~+=#+Y$^2>^^1iZWU>w0fTr5$-9 z(nR?JyRHn~%`r}m^{|$l9k1V@cIb5PO7wLV%Dukxh3wU2`8{?@y9aPC-xU8EJhjZ7 zl*)PjJaKXd-MH!MP3_)x&7u4|lLJRwR4N=kzA;0+9vvyy>6F*#ii|pYUN2K=+he6u z;PAn=l2-$#Zrwce5Edi!%-&B$+bUJ%j_8T3uD7NaI%)=j=%EdJ3VcNmc4%)i5 zjf1vzZdQor&Y6@ct${5CwnC%G-lP(%O*`?7rQ01U)VRZV0Va=Y9@ToVw zTA%AGuwRQ@Q+{q7JkmcPel~Ke+(p>{g+m+73Y{ythyy@HVTOF-uwUG}t zyfIA5s2@C1Pq2;~%(mXHowV&4u*aA7lCLvVuA*90PLw6+s3K6F^CwL^Uc4hsq;056 z2xZ@Rb|!XC?4(|ezURwO*Rx(%gg6zc`Zn75?7UM;x6r%ePvK7i=S@g_ue!U6^q4%g~+5eVQ4dwK(Qw`@OW*$-Sv}Ys$Q(&6OipH>fV5(XZjH#qCaY{4?Wg z1+zjIBTX(B9eH=$pwKgcOgfYrm!#;u=k*ov&7?c_RbWqb-h$AfVp~4|H zYN1OiV~uMrbD>k~P{iwdkyk|H&T15P94SwwpNQ8ju2<>$T&ShFwd+>Oll^|4cU9cL znH|8#M&@>YIxx9) z`Cd%wmf9UZ=OANKrgh}n{u?z3+f#E1UiwVXdQbT7W{)(PB3IeXQs z65dRQGb+1bx#`Cu#Sa(7M6K9&ojhzA`PBI3qoeHG24xN&<$otmgi2h=tdoCv(?rZf z{dh`G>6StB;@Hp59*9kECLW?op2cohEY_`5n0yyW_YC-{gL69Qo~QP*&3JNZD9qw% z%lB-$P}adSO5syj>9i{%6`t8^bn4N?va2yS?8lE;OI+$vUC4tuytjk@QnMc26|_b^ z-LG?*PPyUfdmm+$@ZwFc(yN~GHzyxF)=E5=*0=Jo_w#|e(?4gwmUkAr8m66gc$&KH za@FR)R_GGCv%TVGIscv2KfHA_`&DHt9#`9?t#}kXdhcf(V2mstP~A~??&iu1_ua|| zpQ}tpYHVCv`>_ z*?N2$Q}Xpa-+i&Cr?;7p$clI6H676ifi(*&B*Lyel>n&S_mw1fIZ;yQpYhO^UT;u^KLqyNl0DN!)I-OKHbt*be&+ z9(i#1d|l2g7JJ#-HAA=U4XJ_GAYxmgeD#6Vo##2xwKJ;mW@XmmTRaW+emEZ1X1T)< z+83Y{SNLN#1*U!Lm4~$y*_}6_V;W6vZhq>Lw49EBJ(y}HxDzG^4-)WVo zkMkd33sMU*$!7aMz>XaiI=xutEx*&k`&AidKxfDDr_;~n^95Uhdb_7za|ZZD($g>Z zyz#8ve#xxQDDP2Qz&H1&%QD?A^KY*z7v6BP-g8OAT>8B%Aw1XVt>GIT#lYlqJ2VcI zSR85kd$se}x@Vovx6g&2b${1v9(A}jsB>bM$m?FGQov-MdTjmnbmn%!Rxf4iVflg~ zE6=>@A16}@X=i@Q#8>~&+O~)8uCM&EMY*4`xh`SZ$m^3KnRI#Q4TIAq@v$E&=Iy$y zK5V6Qz?;F|-kCXZJPZWQ|>){#vVb<6Pc4Kk0Vcq@yJ&c){v-)$2*YT)x zy2rP9HB2_hevHn?>U>Q+5L5e>+ZC72Pg=liMUU^rOS8CNh`ImpW~8aP`iQ1zoW%%6 zk+=OY`qEO!V?^4zWlPBUW!=a4%{<=)(q^=Y5;T9dx_O(N{^r4B8<|>B*l+ytiC~&{ zPdl;j7hHKXJz4g}6lqV`B311d=Qh{&VUo{*)x&4I9t}sI=1VRYL#osFJ0>?_g|Ctd zC+uMP8#_Z5p^XI!WB5&K$u~+rT9h^Am>tXx#y^hO$J>fVEO#~79B8{W%P6QGT)pvc zZdEfq6?XqwO%-ac4&5bDD5bR3SUX~aQB&)icvo4?s`D`Xw_lE2arZ>(-POO#JB5B= zQmxpPykn;xMIJu8ZP(eFOg*>epHxj*g+sSk&v3CKigVfTQ?4Do<+rG%Dshj2BWt7hPe$tvaQOB??3H*KI!Lh?WNj$DN=`1a!)6V6S?edMl>Zt{NKW1 zDU8;BW16>_4gc4ia+AZ3n}w$Fqn-nV%?T}a(j-assjCRT2cH2yo5Bq``XnZk@vBP7E&>Bu{Ww@c< zDt#!N9gbz;zQ%t#uVv%f*M&_xZ~q*RXvYdSlW8k$%p)`DcQO&`vwtx*E6mMoY!=V8 zkB-@uBuCLkbG@R4O(3KiAy>w$FvZJ^h|xGa`~_r8ns96?mexVk zYx@;B&Yp~1Vz3@}h37;&^%-HDb6A*GMzl;uw8qH2#B!w$o3HroYw*VklB+Bu`(b2Y z(t9nco@f{Wo18&%pKj2jKN4X4IXvD?9c zB&_Qcq|L%e^94(w>xs-AeoD_BQp8uFc)x!dVDeHwLb)ucY)eGr^@U<8iWT;nEIWlX z)5QF7YH(|3k)ACh%`BUZ;8kCHDs5QC^c>x>>N@&ZKbc~mkX$1V_wmT9$v1*O#|CsG z6*5U+(Tnuy^VgG*mH`p96A+X4;XZ%Js06RYm&HXRPievni{oz_K&f=`vdiE(sU&#N1QWgl70On> zrT>J&P+}@~E$(ay441}xwO0v4!vrQFfreeiZw8YjN21qOe#)kLnS3(6X@-n|vX`4- z43uo>`w57{i!l9WU_`|dW1a{%Gl<&Vny%t_Y7DS@-X8+H#dsD219QGo6#XYX3bFtu z{g-xW=C8@a?UVBV>|O48!Y~?cc*RS6&I^23hv2M4C4O!!bnCwfZ~DSK%26}NgAUO{ zj-ZKzbu9BECW>x$d}W?kN5I?fdTVfq`JFU@~x~7`BD%u7GfqO-CJfXa4n*WNA8I3oowF+YAYp_JwhzF-3zY)B2p!%#EbS<@U zxZx2|WtZgY6m$^7sxcuFc;D&TlB;>ipVAq(f5?B>OFaJPOYM+rJ|QxXcg*7JTs919 z3goXzllvmaS)rNi^-VK)w@gTVq@Vkw8GI!|hGeOY)0piME7CgVUWk({#Hr6d^(nyM zrj6$@yJnJYGQpRH2WjEmFh(~lb+;M-ybxGY)svIBT`jwSw=-7spYrfFs2+2ab6*)T zZ5)4hjzLOu$8vJmli|IHqW!&KesraRm$j%>cgykWv)?c$R@YSUA*l#psmu5{@>jhC zh$aIG+|WLU88Xf859n?MLnQ57mkL}*NzGV;>r{EUk8k8^whGL98NUr0^Ma5gz|r_s z;?S-($VXrUe7OQ>?-cwI%e5~aBQix2pDn2L{IZ5844%aUPu)m^QuWi8Ktp9I^1S++KY)7p`E1t~!Vt+YMlsVk4!6 zU;NH8#xCD(DasXci}{nT1OL~~yVa65ROADhvM>iJ$Z#@1c`i(uAN>`h&J#?`)ozGTE$`$A(C7RMh*;OhN&+D<%XjD^oU63J~?Qddpr7`+l0pgH3|jd zRXB}O(G?JYu7o#tF@Tw^FfwBbc>ZV6d6S&)+W{!q;F##?wP~dEN`pC0*o5uQ(;N)d z7?yg_0^o0@nFVVNAd4Q0?CuPYkz5_8E&gr8m`%|SFm(4Zyv(X_;a@PC%Wlyump(cv zp4K`Nyp_g;5l+6(S0lwEZIb?j|5hFt6nd7hJm;Q-rQYKKm&Iqd(`K%ba4FO=$yFYG zDr7&k>wG3Q}WVMCZ@xm1#;5;EgL&G*f{Ey1dZkkn@9=ka{lF3-KC`SUYq{#Oo zq6_h2z#R3~1}GI`AwK92xH55EL(RTm=Yh(?2k{&mCBDU?(ons{dKka9cxM2snU4R# z!l*H76d54K^BreCKGY=)Uo=Q^?#m`M)8WndAV~tYx%dFET}&9-V*?{(JHw}?V5GFF zj!+fCr?_DovCE-G)Y_d+Sg@?5=&$gEFcn~2P z7`zinjT*j1_G1?7;f6ed5szMT_o%O55YH`xJ$Z2kkVZ21;E)*iIaaqF%sl&!$oyL1 zKlrM*0*J8azHqp`CuDQ{dJ1ixUYU#{vYGDX7@~5L#aR}uhBkR2(5)WD>BQULea(1zgPlYFYtHei6z^I-Pj9GKVy zZzM7gGF;C{G*}Iq{|&a&CzzK;FxqQ?s35R=HNv1VIwpWe`_7n){$zT`j0n-%5kB9U zw}1^2A>=ZIWI;$?Lp}xSS;EGk|5ysl*#VfdTpQ=L7}@J2+NCd*rU1ivp-1J|ovC1E z>h#%|C|1QO9$+b?aC}P_gpt`mFTm{J0ONy%dy~`t@@7MOlfhxUXqcKgRCWBi9}F=b zn50RtgbJf6dT#pFHGH-)~1(ygz-4IhP$M!NGYQyO+o!Zr$4n0R45;u}v-f)2XK3-LyC z_VGI=8h~s0{0_O6#Dx`cR*3A`+bLyXt>Hy$WQwFo4Ej^JQD(XO%V9>IzhV0KgE_m^t|8>oU0Ue65yZ!4<8 zHM7(Sp=L(CV(6-k&8!b<$C~IVFN4_e*#LrB-GoNu4k|g+Ioi384sDwc+d$^-{oVk= zTNr_G$G|l2^!g5^OOpO`%K=97zD7gMt%88Xb#26;w=-c|s*~R5Q!uVD7-B!tqN1(} zkvo}#eMm@08sHYrujeMgz?lppqaA5Cwu#Fv0PjI{t&VX-ai|s+cRmL3L$X@&*jD(! zB>d|nl1gp}Sud!l0&g{P7Q!D9Fl zKLm_H!5Ma;h4B~h5$6w}dBTJ=mh_QthO#+_0vv)^G%)y%6{5>`uD*Bg=bQq>Z{>X! zV}x%B1N4bf^qD=_WcquQDo5kXz1GZuVefI$i!c^5=|v?$U{!x*Qq4&<=-jl>UI2wT z&)C88Osr8L^a~iz9M_n#=jopkxF{ckH=WPLED(5syV*vMdCt{!)NoHcZm^%hMRp^M zbTMvca$Tw`hSN2gO{WJPG4p;}jNrWtZ!;Q;@vau1BXcCoW|xL-V!c(RVDzHMiuD_TK^I`&TWaGBHg)$CB+2i1GjF5uhDFFT=M2Q zgN)$XsXwMY%uI)A?ge1TJgL9#s5}fw3+^1SZP|B`an%)zufLC~O9)yAj|}+CR}GP{ zD?|EpJElSj6mUF0gkQ5?Z6x<2#N0@)1{`0ZtF|%I35Nd00rZn|7bcX`!MTeZ3IRw) zOXe4FNqG?R9zWES&bX+8^ z9p`;iV1x=Whob`XkS%FRP`x|p!U83v$j;S*?MJ1gI=MN1R^?xRCas>%b!Ob(vNktwC zH(Ki5q0gt?<3`)i7=jVpe~w2Tj1GZFWyuXrQZ__b0O!F2xq1fs&(*z_Hsi!k*`aNb z7IlTV*k)Wa6*JDcf0BssCon~#0u+b<1~?MrD!H@9-Jw|^9cew?tqH$}3iqu0EgI{fK(Ta^W@DzAF4@D#m@iyPd<485%xXjjO-zN+B7U zez?Ehmq+hSc7}I<RlUt!A77_%E=t)Rz*l|_i zlxP?qbLMybG%Lh}02d7#R>RHk_*Ojl9`FfeHfHMsv1q&)AbUKx2*hlIYX6fcnqj0^ z%2Ctejq$z+)Hr(>Zvb&1-g-h>C9&Zq-z1kEf|x;o=#3ihr}!vW87C!>F34P;nTF#Tq_aABKvJ+_%fD$}Ij{$Rd40gmjjEwrGt5?_ zk-jo9BzifIq&dv|CVqF0N%+jwl;AS5hfGcD);JO3Nn&CF5iB)=2uLXCWg+XW;rtR2 zdRJt3GEx`r0?1F}7p5??!RIf(9vE^uAJ=_q2%!e#mu7Z=`;c30HDA_svt+V6w7v*2 zsIYOC?;M9JSDDOT*@hv7?g9qETIsb33*e8YP^HMl_MqQn|M``Nm3YN&h9lVXQcdF8 z=$bm-02#U*OjNhBoTmJgruuL#(LQxn6WmHT0-=bq)>lapcLxpwzsupsmGYD}kE{ z!x|@ho%(8tu28~vQ)*MiWO$`JA3ntj)u9tk%>d@k#*X0elYd~eu5bi_&YNv1->h-6 zhKQ{-qDCj@Y|3t(^trXK(#A9&=jhFUn8R)bV7jhPE)}53nLQ6v%Ye&{(QnyDu_%=H0EWFB~OFp zh)2&Vz+A#G55OAW>R58q{o>?;%KNaz=oWRN<)~6d54bLW0csA&Cgm`tXG0LK#@JuBkweajh`Hh}{@hY-bFoe&YN7 z7mfHcL&1~lU5+{103x|$;UNZl*#d{vGa@r}zgG#Xt#NKIk};Y^{7X};KnX&6&q-Xy zbE=rd;arGnuH6wn}a>t*!(KkkTIG_?uH1!5aVU z2shF-MR-RX>jiQelh>(#4J*w<;)tCAfMapiNG!ZGA0GvzBpx=3N`~7)_V$7uj1)IK z2py_Q{|Ll$#-GPypG<2v4*LLJE#^8&5@Yc2G4v;HG0?L%65!qIKM<>^GmWCz`k06| zmc|QO4~%>7+Mjqb-B}(BEDh$EkMW*KRud>=e?wsN1$kty3Q)*3@wK(MTLd~5AEeM8 z>5dK$;s@-*Kpw7no9pw_NztLl{_Vz*LgoL9!j3-Idb`%gv=b!2e1UR6?pfa20ie&~ zVE3wPO~ZuPW0xUEJJ9**a180X#{xQ@rEvyiULCYWd5GZ2jB4`{3In@{HUqrhB4h4d zHzsJAKTqg3KX~K!zPj;gqzc>h$w?r!!>IS%IHq|tm!>B>o0pf5@tO_z*Q|2^SdupL z!++p+f%I(-wi!AxiyV)+io2+U+V; zh*4X0?W=_@FTjML-JrkUFp4uoF(>k@;Zx8&J__kJgJ_Pv1vpZ&6O@y{e++^W1;S4t zV(CwcoQgo~BW2_DgFrN3bc_|p?<*$y6x)c_uQ5bvoayt^i#3uebW*+`4KeJjOq3TDI!jOkQ6Q{o+ zsD&-Y(7nCn-{5BonM3qVAX; zZ0g0BTChXgHnYBQiE88tA{1t%o0-0<}kHSPirv1?agAPlG zXaHY)@JY`H@^Fk=68JPHEQ!B30owvv=KUe^?h6ekN9kPBuzDzP`q}kpwUXpl)*pnS zc_QY*0!<{0F4py~5j{Xt9jF3%gZ?J|pUGikUqDbp9?=5l3pEAW85&W5dteT+!TS3^ zakJ|g^yp89BYm})o8O6!OGF{C6kH&vA^9gWg!t|3)+;%1;0T!QJWUSwZXU#(2(cLw z38^&|kgCyX8hjdQ2&w@@$aJaa3;i$YKNqBRehyJvtBMAG%ENEt>ih7UQ`o}*vov}j z5ftpbiY7}^L>xp*YCvsv6+H8|4RTYt4W+=4_NN?6li*2QD=Zw zEGwvp@vstjc#FWJ$PfM`loRpa0j1-UHY02n@Nytbut^})a*`-YmGB)NiuGV<8s*U1 zSAH!piabbc0u#cbfmi?rkc$~o#>78|^xFkC%W#8JY4cI|1}YoBm7n|_>J_*Zo{#>r zIzVbTp6>{8j4}lEQ2TZ;UQ#5Rd3J`>lZnxo{e?Gt0G;L)De(bGD-7M(EGP0#U39ru&)28i3;k&Y-Q1#NgN9G~Rd5|0PLQazZZJZ(1 zW&Ae;ClUU?Jp@{G`x9U^*cLREcJmp&=x+~%`9j(SVF-c=Z&>A@w^`NvvP@Q35x`Hb zv_4bIW|k%`u&P}=e!{Z8kw8AgZ{Q7)gvBtLzkfnPWXx3_Mjqs9bHgD9MN{lLbN%>qnUoC5nwpr} zmf>xDG2c^BmLBvZn<}Zm;lV}PYQyTfI4Y>o%Hlk>$~~p=(+d{kAP8*p%md$PnggW0 z0@9AB+v5Gcz(KJxj7oZ(fFH}ioB%F=-ESD5juo>S@0z}64b*pie8eNnP;lZ}yTo`G zF;*U-Kgs85lm~&h3(|VZ@)B%^z=K!cn2xLB>7bw#Mqr{QxlhR4H}WJP9+7snK$FIZ zk!VV}Aef109lI2TQ4-W5iYz9wck!WtM|a$?Hg*UvXMFeRJW%MO{7z^R>8Q#Jss<5z z%t;^KzKpz>gaHy|xEf*6Efl@9skcxN_QiVPz2DHyl)E78+vkVeUd20Y4kHJNuEM(5 zl-C0#04CTwgX`cF&7wfQC#ZyiERzz@jg7a(B5ko?I_PFAhWdmCF&ToOK9&&rKy{vc zc-pD2E4f$nK_uh}LQP__oHQ(1+q6-~R2}TN%D}=eV}0zw+20A51}Lf{Br)J(iOGLh zO~RVXOu6(an!XDt!kNTi8SXb8BHmX}h;qfmjuIl|2^3}y(C!LJeUK>=LD_F3=)$!X zjy$30Z-ylp5y1%85R7(dG)2E4kEstpPE&#kGb@kr)2AGJ(`Wq#sCfFM4ohX=!PCJ& z@b&S8!3nnbcx|-17Z1=3c-Y;W-Yaw!c1?adcJU+M50$PIQT3&ZC@SAToKgOgmqTz} zs>;KfcM!KvQ)E`WKzsJuRiD2yeyE-V)rKzO!ZgKh9&>yigbVbpzx+pxYY!i~|L(GJ zB_hY^wICv046V8!K8|RP6s-g0L5u;}cV90)Z-Una0!es5$O#;F)qrI4KA(v$%cC9j z>z>`Ug4}F zzzM=|+7Rh?cf8LEnm#`88aP3r9Gz>y!t3%~?|CwT$f0SC!d*}RSsNzW@3#)R^7?-h z;Fhx~55{&&fuvW!-55Y=4^pEN7#S3>(}2SSs#Ax}K~izglSnJ=hKbx$_@~k<0Va}p zVZKm}PW{te1?+P8bwZVP4y?C}4X(?pyN~7=vBQ&i8kT(ZlQk6D!zohcvO(4|xVZ#_ z)kfneyfE3`D$oe+^mmGV8&Y-32OQB*z!*Kpllw4RIUtp$R2?M~IZf%4G>!1-gLGsvzI8VUhh#`h#B;q?3WF$P3i|d${WgmyBTi_b4?a;Xd ze37)kg_y>a5Xy7N1R%U%Y`j>E=ql-vphC;`o8$a-n15jRokkVZKiJ_h z4ugX%qio)tOZ>Yk0EQNMq0HoD57c!xDbeAs&-9{@Oc?be+CCaw;ydMPn@?z-CMu1b z0Itz%i7G#fGCqd_$j&dIVS0B!=}WG*G@HG3x0lAIL|0sl@Z((9pB@+luSp=E{=sHG zqjr%$;`&mkqLQosGy^!cj;l=QGxt2*7KRVxWhEFp12$+rgv^!3z@CF-hnenv2G0ly zYULK?LEHe|dM*<`DsBk?8j@(83ZzKv)l694 z7yi}f0xI9I=Aqp*yg@NPWEXaFpH z(>{tAWfFnm)#j(eOfcL%1pM1}LA}PGz*{~+Cq#mnkPgd*C;#Q$mh8m};9aqD>|fjZ zr}$5BpcBma>}9jFc(aDjw#hhJB)%_^Yh`6i83NOAPW)_q7R|^t1=|MunyR7^v`}D^ z#K3EgPID5yNJ_PbD8~qWzY*UGF0t2}{w@h*SPE2TH`U$#^?UL5Fyob4YNI+lFlHTrNPdH9b zfl+kXz-JnUOSC%#_$PPLEHr^T?DnbmF6ey`xNjzpb>b;&hq5V{4f<{Z0lcbbmsf$H zy{bMVT?V8|{sNkFh^)%vWIg2wX56Qmdt?YX_8twiD2gTZ$=ou{^}i0pJ45o;5y>k5 z-FMQ@z9YPPDU#2T1%v)kjv-ve*4nCpHHXKtmv?+8nI^zR>x0oo6JtdQEe06~FtwmJ zW0l>=p>Tysl$a*`s4iTyi^ntmZ^>tAa3EIP*|;LeGt4KAk|+eRN#kz7PnP#ndn>9T zLxJXp5#u3t^T92XVAF=>{tf!J+zKchw>3nTPm6{GSKr1(PVw&Q_R<6dM2g<8Qcj}A z3KEduKTG$fLi7SaGkBclClNZ?Kwy^`6YQ!X{bxZph)Xlk1$B5%zfp!Ru9UR7f51I$}b!n>d<#gfWoxEFS$rx!mfin&5T0w&Cqr3*3t2oYQa+%7i zHd;1HXF2zgAt?&cJ{5uq$R*!(0-?aS1l1w}W)E+D0xtwyiYI4(Eju%ggEVD)4qVc>WYyYK+0Cz$Yewv+Zv zBf|k}PsZ!>e7=Hzz45Jv1pj5V%ko;;HvIbdV5u`r_!II!V19+ft zN%Ka?Jl5IdE!4U7rTC!(wrBGbPW}H6|Ci?@O94o5Rb@Y`{?hJNlujO<*Ww)y%)`fNs z3GEwNXxDE(oux5)!PoEMSez*jBl$E+!+Bf57EUwOG){9K2O_<8tFs*Bs5pF6wl>La~1 zc9?bEjm%lLFz^*7ydS-|xwc)s6>(Eju5rQLu3gOvaUybV9C7ge#a)jVol?E-ob5=< zJYLcv%U^wNBi*(A&B)~8>+MlWQY%l1X}{j>C;rMDd0}x=A^Rb7VfKW9mqn8IX3wLY z?`keG17}V&v$Fi_6e15245df5ryc)W>NGWj(3rfKbT`Gz0=56B^~3IF<+b|7u1q7d zBS&9l29EOGO; z>l4 zx%tp#vjJ`k|2VsUYt}^7+z*z^ouSJPP7+q{cVIZ~q9XoZO~>!nKmX$rn$Vz;R=w?I zlH`_O4gQ|@8#^QzLfZpNh!N=9U(e`kXh~d<-ud=rqeD01SYzh4!`TDs-bXK~R~#~= zn*U^;OU=6cq(b`b<@{UzR=zN4|ci*H(VUwfB@eR zvBplHLV0tyOiu`XI*l?X{n!@Hyg{pcicAIH(27z)t?yYI-m`T2D=xI)cKVq`?QMT` zwyo4XMfIK`IpR-j4L7avKeMUQ=8(_%r*9qLDYvk2@e__;Lwt2kI$qfE`=s_%%u^LR z+Xm$O^mA;D#|nE6UJmzMBu(-DSuw$9(BwZf*jrlGuB(q77*Xt8qx(aT8^`QNH%^Ri z4mrC1xV~!A zDbe~8=PVQVUcO<@w=S);GMxHDadi>*4Mh9G&vpldj>+HMm5B=O{nIwyMk-*JU`||v z5B`<)Gy5#P9<=)DI_#d}p$2ipO#BZ9?-5hsv-U0At*$RNEw`2=cC%|7VriPWG@EwX z9PLGuI5M2kDLlI6uE@3XA2ssDKJN4PDrt~GxJGa%8T|Q?iwlW9Vk6g@<(BIR={p=< zKdDS@X%lJTbXE|C#bFb7gZj7ymS2R*>eE=LsVjP#dY^`ImB&@I)5gCQANX8$m9lUt z@Ikr~kNx&rKq6x3WZK8=oZBX@9WpjB>*m4hj_3c}`@Y}s?w8Di0qe1B z^9Ht}_tR7>G+zAHO-gl44YHb)|K6hT*tJM8^hk3N7iV+r`A0?0{y;_Bqi`Jey4jM= zl0gsEOuj_>c2e1WcrRwB5Bk~3M^0-s&+}TGaM{uysBN2L9mNs$Xw`s&0ZP1hmQ)|I z1Zg1qntagB_lz#iOnuzDG}iR#ai8~uxAz{m={jMMLnpB=1!Hxl4`*caw7Or19XvdH z{0H&Ts}@6J;Uq1~oim0lp^Ai~Owv^suN`FVtn(Ru*^dv{u3Kgl?h2mQC78`Dc_v+% zvHGeTYcO>`$?Cg_p4uaM_WJQN*{>V@`tL`cn|F;alHhn$A9IQ|=+7+N9rW8fN$Fwe zP{^6=cfnCwd8IXFGqxWOcLuA59RF0X^9k1fAtnlw$QF6>^S;8=@v(b>YO*+{zB z=^=-jvKfsJTf{OkuX<@y659?2Ir!DN?Z5^g z^)26@c>Id6&Eu8p|nYTBt7Y0dIR z-1+7B+#7L>nk*!)9+6n-wUO*TpM5$HPI35Ro;QsxbkzEAd%C^$O5R~Jmmov3ne6#I zyPsIJvsrsVPY%!^6JW8}n7 zmnKR)1|A323$rYLm}ivX6D<=`9!?w1-CaP5^Q5*=)cx5R=ZxFlt=WB8-kbD8)=MVY zfp*!KSVTL%7PQAEI6JJiZ_CK7vf_OfB;SGT)4jI;6WawO`kNdiXNx7Ti?Po*xXuRm z9qxg-)RKFnyub7#w}X+d)45?X(J-y&#W|%~?iX&8CD=?|x&NLp_IL_URbyT9=SMI4 zP`-OVL$j3h7*2xyDu4R@ ziPRo$Q(HE1fE?Mc{y{I4vz_6yvQ6GMXaP1_c6Q!Bk21IH@0hN80;wbZhuUe>^rin~ zoQJuxvv?|6uD5fHD_F*+-!+cnJLt}LP>bi%F`i2$!K>gap!~)CCU_LQK)rI7dKVd& zwTxZ$tk{>@bEsXL8p+hKYG!;FLf#7(v(MYa^4_+H_#Uym&qWd6As$2=Nqm|4OB?fF zfh(olRpOF{PWpdpzftprC#d-c{sK?nm&M5;J!4!qwK>OR<9G59Up~WEk8?i6ITr@+ z80TFC?zX`dh0C&V=c!rVs0rn4#qr*-c!hi!?z+KcyR$!EMb9+!5^xy?=gKLCcEv_> zd7c&vafWyG3>z^|qKA2!!te9IzX5;T1OK#Zaptiehn}@J^>=IiV(>S0oh{&ZD&LKG zC2>n|M;_MF3g7lT_+%}u@ovkbSNuNsw|MZkgV+DQ65C^~1KI`;Ib!OFw%&s_8f~oQ zdiO}B#-s3$(|makNoSsg+&iG%EtDwCGKX6r2%(4DXd}_a z+h`-uCfI1fXc0DA5Zc!^8j_yP7{x`44B&Z_}@lJX6S4|woM9an3NCqX^(U$ybM z_$6-;{VHdU-2x?!6Uxh+E8j_ajwNU*IQsga31F)JlF)>3pN9Hfp3F5z?R^4uobusYz=M!eZWm%J8%Qo0bB=m0^`8W;2Q7) zFb4Dm>$9+f%-;x11RH}1U^8$p*aqARwgoqXb-}~n1M>5e4>hTh(`X>td5tj!VY55ATeG)w?#(4$HK2`PBSNsSP!PeOU}I&G zMl3`SA^{5_ors2j2qHoR6|_oYlPaL^KiRvz^)8Ts*}Zx5`@fm@v6rGw>L#M5#f2_@ zQHrN>rnTozi$ImME&YNDEZ0{$XZcn}1fZyaXR8sOymDp?mSLU{<~>i_p0sDR>(9s< zQ5$tIc<H14r*y8F zXkP_RHb$@;gA+ZRQX*%5`#WSP2Rv4sCAz4eZJ+gXQ-j0&8<(|lVI^}qYZbCJ*=VK} z=1QIG-}ptNp(YR+w}6c2Tsx535uR1jc_}@e%R~ikqD{W++U19*p)F@}7r56Pw6#m`6YetdwbhbO%FLV27IZ41wXohG=V-KJ#8=DX=J zUiF43DgrDjFW3RYb`;P4fx6tIsEp_Ovzog}a<+5WG-J^4_R1E%n!3Cj;}05se*D+V zY97J!JsfdKINGt4Wqji?WIE~Zmp8Af`LL7f@QsFl{b_L(d^^ud@nE1fZpK5z%|OnB z^ad>D?lT3RoUGtcUUn#GmY3FJan4x2EGknwoe5+y57dldb4HmTU>h7W&mWbEHjXFh z1S1!ZFSbBE65@8Y=+AOS=7gt^)=6 z$`_a56008Du^fWO9SDRYINX6##D9o*=DRTeFO$fT{bD_g zKQ!N=xdgjb_(dp7-n=kMY;Wuc*xT!1%w{c**9dzw3Kr_-1E3^F20ysPO&8{;aR^4Zh!* zui6*t@sRRi{(s&)>P5fx^!E79LjK^pp!&slfcD~D8K2&MbNydhJUGqQe}oAm^Km}W zpX#xPThvc>n1kKVbIvdN1OK+vC$B&283Dq`dZRvjY)0I?Uq2Y1zCSWwGJEUi-g(0D zqd%zFKiW}`8h@d_zv72K^KbQ;jm(#9;`!hw}7yZLX|;t(W>;Twm3@Hb2&r z`C`7vY`H$$J?wlQ)qcwB>8AvczJJ)>>#v6Q{GWnKT79|Rcg+5|et$K8SK@k)4Tbf8 zZ|ifjh<`8NZ*TzqvV-^KS7hE#=Wxtj4*z!@{}M03O^2UfO&{CK`X@u*#y_#=$k+4t z_eJC5`tZE%NB1xB3g2tX=f0Hq&n(`jTF+P6F8caK{%mJH@4vP_TyMs|p!nQRDn!R1 zd+~lP{gU)4^e`UJFMvqFaECM z&+7;Ox%w~ZUBa)?dx&^z^l6H>_8<3itS9R`g#QQ<9Z4_nZ*2cA{~zai#`AB^Un%7W I0FyHVGVsKfod5s; delta 744 zcmVv? zHy%&-+auzC7JvTK_@n!l@vB|&$~RY^;=hUWLj8+9&sXire@(r38}n7Yir4W{{}w-% zALZ9^N4@^Y^V9mN{SEUEr#Szfp=)(LEb+&F()_gZ;dx5_cnE%nw=EuH<y$D_Sa=cg6^P}wlm&{kNAV2Ro_Wi%P+yUCw2jf!D_3!qv^;6<6#hV||-j4ah zKCLIdCu%>&cc6bF{xSbCJq4fnhWpNNe%%cD&iSeM_&zEA1%fo^L3>_6e_0Q?-j#3s z`>-Wn{eS*`q@FM3KGgC7I^4(5N4#6Xhu#aAX^-_(`63dmaXu(N>3V0*>%XqQ;QKHU zFM-mV#vAk|TIcQWh5rftFrUz?{HnjrH(bN3_U7zS&vQ#~@fP*2_hI#aO}*!4{&__` z_VkL!;d&Uahw@#Muinp!UCyJFDOYw*qK zNq{&1ujuz+seLZq()kSd&|!9JzJSO46@RL}`|{WPw)49Wy-VXQ#sABEdU>tG!&~wn a^0$)xgZIPwMo;y&=i!(?e0~9wApkPYysFq zz_tY23T$hzZNRn#+YW3Y*dnmSU`xQZ2ipN`DcCZwtpZyOwgzlZu)V<6f~^DF8*Cr2eZlqv+aK%zumiy!2KI2UgTM|3dj!}a0b4Y9 zZhcGrFNQ`}V!gKv1A8Rcqre^wb~xA(U`K*I2J9%X$AUc$?D1engFON4iC|9xdotKl zz>Wbs7VN2DPXl{8*fYSM3HB_oXM;Tl?73jafjtlG`C!L`y#VZmU@roDG1yDMUJCXy zu$P0q0_>GwuL3&(?A2he0edajiC`yzy$^hfIM^q^t^&In?2};EfL#lA9oVP9 zJ`MI6u+M^h4(#(_*Mr>v_64vT!M+IgC9s>oz6|yiu&;uB4eaY+H-p^*_6@Laf_)3@ z+hE@T`!3k`z`hUm1F#>0{Rr&GV7G$(1nj3^KLh(Y*ll3H0Q)7_ufTo{c01S|V7~$T zE!gkCeh>Bsus?#`33eCQpTPbMb~o5PV1EJoE7;$_{tosJuzSJ&3HC3re}nx8?7v|D z1G^9Gez5fq&CwB4Gyoe1HXdvO*oI&e!8QV$1U4CLW3VX!d$7L;sbHIcO#_<_wrRjd zo4GF3&>3Je!DfNY4%<+n4d%@c+hE=Tux-J% z16v5T2y8Lf60q&Tb^u!nwhU}}z#i;8>lm=X#)&R=0^1pE7qDHyb^}`hwi0Z2usy(5 VfvpBx1GXpFUSJOeTMM=h>;Z1Skh=f? literal 13288 zcmb8!SJV)49Eb7uZSTF;+a7OwAf+U-lB^I#D0`iQq(~u^lC6Z0QHt!$GOD9!*n4j! zBQ4{o8+Sd=Ieq_^pYL<|yZaXu?EWj<{j{J&(3T9^QbAifXv+j`*`O^KwB>`gLeN$W z+DbuNIcTc{ZPlQy7PQrawnos_4BA>jTRUj$1Z~}*trxWQgSJ7?HVoQELEAWJn*?o9 z&^8U)WAG8Mq?SP;?FlY}7 z+Jl4kkf1#@Xa@%EVL>}6Xb%tC!O$*TP}~=|;0Qo#kA&9fC>y|!1~WRw2Jj(ZM#tI! zejJ$5@iu@D1v5Iq2JjQXjE30&J{-*GBpbj_1~VFA1NbRmMyJ{Uej1q3NE^UMff=1{ z1Na$WMrYapeioR~**1Wm17>ut4dCa28J%wf_yu4_7uo=R5tz}%Hh^COW;EIc@Jqpr z#@GOU8JN*n8^Fhb8C`Az_!VGA<81)H63l3V4d4^OjIOc){Aw_xYit0Y1ZH%t4d9c( zjHcKCJ{8R9Ivc>Jff-G=0sML}qZu}U-vDNGqYdCUff?Ow1NbdqMz`7kejAw4?KXhV z1T>1Na?aMzd`IzZ1;pE*rq_1~Zyt1Nc2)M)%qPejk|8TpPge2QzxW2Ji>Lj2^N9 zd>)w5d>g8!V17@_? z2JmOWjGnUr{CO~=7i<835zOc%8^D)<87;K|{ADnsS8M=(70l>08^D)=87;Q~do$O|1T$J?1Na+YMsL~xz8cJEjSb*y!Hm|~0R9%3(c3nFzXN9Ut_|Stff>DT1NaAE zMjzS${t=kb$2Nd}0%r874d9=F8GUX8_!nSCU)liv6`0Z2Hh_NvX7sHM;NO86eQyK! z4`4<=+5r9&n94)=ek9<()rwr0@Q3fkI1TPJAi25r5d ztsk@vg0^wcHVN9Ipluqo&4RXh(6$KLmO diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index 245b754..9ed85c4 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,96 +1,96 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618809604705 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618809604705 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:20:04 2021 " "Processing started: Mon Apr 19 01:20:04 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618809604705 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618809604705 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618809604705 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618809606627 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(79) " "Verilog HDL warning at GR8RAM.v(79): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 79 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618809606924 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(259) " "Verilog HDL warning at GR8RAM.v(259): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 259 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618809606924 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618809606939 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618809606939 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618809607064 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(20) " "Verilog HDL assignment warning at GR8RAM.v(20): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 20 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(103) " "Verilog HDL assignment warning at GR8RAM.v(103): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 103 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(111) " "Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 111 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(118) " "Verilog HDL assignment warning at GR8RAM.v(118): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 118 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(309) " "Verilog HDL assignment warning at GR8RAM.v(309): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 309 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618809608674 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 536 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 539 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 538 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 537 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 540 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 535 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 534 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618809609002 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618809610173 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 253 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618809610220 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 253 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618809610220 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618809610220 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "309 " "Implemented 309 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618809610236 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618809610236 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618809610236 ""} { "Info" "ICUT_CUT_TM_LCELLS" "229 " "Implemented 229 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618809610236 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618809610236 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618809610455 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618809610595 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:20:10 2021 " "Processing ended: Mon Apr 19 01:20:10 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618809610595 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618809610595 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618809610595 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618809610595 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618809613704 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618809613720 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:20:12 2021 " "Processing started: Mon Apr 19 01:20:12 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618809613720 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618809613720 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618809613720 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618809613876 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618809613876 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618809613876 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618809614454 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618809614486 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618809614657 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618809614657 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618809614923 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618809614954 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618809615251 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618809615376 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618809615392 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618809615392 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618809615392 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618809615407 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618809615407 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618809615407 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618809615407 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618809615407 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618809615407 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618809615407 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618809615407 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618809615439 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618809615439 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618809615439 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618809615439 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 372 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618809615439 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618809615439 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618809615439 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618809615439 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618809615470 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618809615517 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618809615517 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618809615517 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618809615517 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809615548 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618809615970 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809616298 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618809616313 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618809617485 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809617485 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618809617548 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "35 " "Router estimated average interconnect usage is 35% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "35 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618809618266 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618809618266 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809618923 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.58 " "Total time spent on timing analysis during the Fitter is 0.58 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618809618938 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809618954 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618809618985 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618809619391 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "378 " "Peak virtual memory: 378 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618809619579 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:20:19 2021 " "Processing ended: Mon Apr 19 01:20:19 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618809619579 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618809619579 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618809619579 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618809619579 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618809622188 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618809622188 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:20:21 2021 " "Processing started: Mon Apr 19 01:20:21 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618809622188 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618809622188 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618809622188 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618809623282 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618809623313 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618809623813 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:20:23 2021 " "Processing ended: Mon Apr 19 01:20:23 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618809623813 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618809623813 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618809623813 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618809623813 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618809624578 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618809628937 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618809629016 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:20:26 2021 " "Processing started: Mon Apr 19 01:20:26 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618809629016 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618809629016 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618809629141 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618809630109 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618809632281 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618809632593 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618809632593 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618809632843 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618809633593 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618809633812 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618809633828 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618809633828 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618809633828 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618809633828 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618809633843 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618809634000 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.468 " "Worst-case setup slack is -9.468" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.468 -696.810 C25M " " -9.468 -696.810 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.212 0.000 PHI0 " " 0.212 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.265 " "Worst-case hold slack is -0.265" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.265 -0.265 PHI0 " " -0.265 -0.265 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.374 0.000 C25M " " 1.374 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.404 " "Worst-case recovery slack is -4.404" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634078 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634078 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.404 -127.716 C25M " " -4.404 -127.716 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634078 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634078 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.850 " "Worst-case removal slack is 4.850" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634093 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634093 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.850 0.000 C25M " " 4.850 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634093 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634093 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618809634921 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618809635265 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618809635265 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "283 " "Peak virtual memory: 283 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618809635624 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:20:35 2021 " "Processing ended: Mon Apr 19 01:20:35 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618809635624 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618809635624 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618809635624 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618809635624 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus II Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618809636734 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618905490360 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905490376 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 03:58:08 2021 " "Processing started: Tue Apr 20 03:58:08 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905490376 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618905490376 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618905490376 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618905491798 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(83) " "Verilog HDL warning at GR8RAM.v(83): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 83 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618905491985 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(263) " "Verilog HDL warning at GR8RAM.v(263): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 263 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618905491985 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618905491985 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618905491985 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618905492110 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(24) " "Verilog HDL assignment warning at GR8RAM.v(24): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 24 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492110 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(107) " "Verilog HDL assignment warning at GR8RAM.v(107): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 107 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492110 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(115) " "Verilog HDL assignment warning at GR8RAM.v(115): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 115 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492110 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(122) " "Verilog HDL assignment warning at GR8RAM.v(122): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 122 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492110 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(313) " "Verilog HDL assignment warning at GR8RAM.v(313): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 313 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618905492126 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618905493501 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 540 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 543 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 542 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 541 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 544 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 539 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 538 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618905493798 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618905493798 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618905494188 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 257 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618905494251 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 257 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618905494251 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618905494251 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "311 " "Implemented 311 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618905494266 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618905494266 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618905494266 ""} { "Info" "ICUT_CUT_TM_LCELLS" "231 " "Implemented 231 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618905494266 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618905494266 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618905494720 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905495032 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 03:58:14 2021 " "Processing ended: Tue Apr 20 03:58:14 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905495032 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905495032 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905495032 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618905495032 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618905504408 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905504423 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 03:58:23 2021 " "Processing started: Tue Apr 20 03:58:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905504423 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618905504423 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618905504423 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618905504579 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618905504579 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618905504579 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618905505423 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618905505454 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618905507220 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618905507220 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618905510158 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618905510205 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618905510736 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618905510736 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618905511142 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618905511158 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618905511158 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618905511158 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905511173 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905511173 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905511173 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618905511173 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618905511173 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618905511173 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618905511173 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618905511173 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905511189 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618905511189 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618905511189 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905511189 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 375 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618905511205 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618905511205 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618905511205 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618905511205 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618905511236 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618905511298 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618905511298 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618905511298 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618905511298 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905511330 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618905511970 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905512283 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618905512314 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618905513392 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905513392 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618905513455 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "29 " "Router estimated average interconnect usage is 29% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "29 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 29% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 29% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 29% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618905513908 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618905513908 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905514439 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.53 " "Total time spent on timing analysis during the Fitter is 0.53 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618905514455 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618905514455 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618905514486 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618905514783 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "372 " "Peak virtual memory: 372 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905514924 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 03:58:34 2021 " "Processing ended: Tue Apr 20 03:58:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905514924 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:11 " "Elapsed time: 00:00:11" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905514924 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:09 " "Total CPU time (on all processors): 00:00:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905514924 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618905514924 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618905517830 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905517846 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 03:58:37 2021 " "Processing started: Tue Apr 20 03:58:37 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905517846 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618905517846 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618905517846 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618905520377 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618905520393 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905520783 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 03:58:40 2021 " "Processing ended: Tue Apr 20 03:58:40 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905520783 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905520783 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905520783 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618905520783 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618905521502 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618905523627 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618905523627 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Apr 20 03:58:42 2021 " "Processing started: Tue Apr 20 03:58:42 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618905523627 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618905523627 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618905523627 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618905523799 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618905524502 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618905524737 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618905524737 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618905524908 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618905525346 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618905525502 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618905525502 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525502 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525502 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525502 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618905525518 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618905525658 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.202 " "Worst-case setup slack is -9.202" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.202 -651.252 C25M " " -9.202 -651.252 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.202 0.000 PHI0 " " 0.202 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525674 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.143 " "Worst-case hold slack is 0.143" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.143 0.000 PHI0 " " 0.143 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.385 0.000 C25M " " 1.385 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525690 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.416 " "Worst-case recovery slack is -4.416" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525705 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525705 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.416 -128.064 C25M " " -4.416 -128.064 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525705 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525705 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.862 " "Worst-case removal slack is 4.862" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.862 0.000 C25M " " 4.862 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525721 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525721 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618905525737 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618905525987 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618905526096 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618905526096 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "283 " "Peak virtual memory: 283 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618905526268 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Apr 20 03:58:46 2021 " "Processing ended: Tue Apr 20 03:58:46 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618905526268 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618905526268 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618905526268 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618905526268 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus II Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618905527362 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 741c2ff8976d93b2c750ab07dd1a6f309f9efb66..4f8958c5ddd834cca686c67381a48073bc179323 100755 GIT binary patch literal 3196 zcmV-?41@Em4*>uG0001Zob8?MPa8WH#=qacVwCTf%yHf&K%#}-n=KSds_lnr6$MCl zBOqk+Qr$|c`?v2*LX!c)Jbn&?J(l>^W+o^0v7h5(`)9LzJ(>5fE~4?^cDPshUN`=`Tb%vkN&xO6iIC- z-jV3tVsvvAnVN~>W>rkp#8QiT!|9LFR*)^PiKXeAD6g;dD5@99E+LjE2+X$z-omU49|^m1uO8l((|}(!WQu z`EYvwTJmCA+5O|*xAY&B?r!L!~sp$yi5!Go)-={IRnHtWFV`TLJY zjJ<|c85>j?o51Gb=aWAfdo7zXcBmq@fysA+@ik+w1MGEA&reT|J~1XGMooQq_^nCR zS}Ziv<}4!7jL(mH-$@|~63*B2L)IkHO(Jf?S+r6P**-`)X~jvCNIc`-S1Mo#lFqW; zb*_*|KC39iHIPC2=^fA}k$w`Bq(wXZ)HtJ71CJp`M>z5%;fNb243bb1j!270Kp)f3KuqNR>>Qu@|x8UBxJIVM3lxiPM39o!8-Tv|s$S`8Uo6saRIr7q<%g}TisM9!#?l9EB4tha@XxGb)YRCLBp zcI!w(U3L_z!#NOJ#)d@($940D#RP~88x16!-n#?VB$7>%#M~|Blu0C;@%w`wWe|xb z&0DFU)sU;G$bO0Rlem(z*h1p@@Scj6Eu@^4 z!nt{53ywSoY!2K)%1MVuPT?)9xeuqt7Sc|)_qW7Zi^+q*d_EmrEat;m|0em)ug|kj z?l(#LAJ4w@yPv-FkB+-1{oa>@Fa65?rw_Z;^^0qx)vZ=x`E7Jx*R(81}w~5n10!o{Q6lDi4l^=2q-mzMt5N=-Gv62tzO^aNS z9G5#tKj){*g{1RCYRc6?8an&48&3^o5{c;W^9i*i*+CK-pK~+U4qWFu`h(r5wOK7^ zXPOct1&zogL>3D-Mz#w9TlP!iwC?b_I4%_27* zW&XP)YnGoq{OJA%=F`D=wjy#ew9WsXp>1NkV7N1z59Y)E#c1At5Hxhz_s6r|QJQ)8 zic7pD#%q+BN=P{?0l|<5l_+2y^zurOo$~wL^mce<(<^O=7E;s@X`wkVfk2Cf1QW=# zXxJ^W<65|^RUp{1T%!3qc^GqcQM6<^E`fN9hU9{LOS=5d*B%ls3PKDbE(%2KRTwb{ zxva)>g;$ZR6!aM+U3$v0!VI3q+92?v;o2bcq5#_<^`ZgMAo!9d-F!Pi^kv1ODGb}q zipf3zDHsivCUzJMiKPhyVR$Z0Y&aO!B$5?PrU}GgmaB=1K81uuBX0spnB_!?FDfKq zXqHYO2}8ql0y!8BO(zhA;c+^FEDX!j31ne3K%GDyMnTjGL}D~Zoj@#xXX*rkF$$ICvJJXR+VkWq4V0x=mLtP@DeXvjLTqGib3#|D>aD1tO(W_V^#ATvWFb^?hR znz0i|%q-6f@E(QO42`h~gl2f?OdvIruH7qYZ?lrCNqitdv)uSp*o9|sSXfRVMZ?o_ z0y&!Ws1RR!2-Bp;0TpKLHt5O2Qg+iRGO$9dhG+2vay2}RCy=Yr(0F1+yO6^l#9e3} zPay1~z~=rz zTUpq)4GKdB7s$dWKtO>w%=24e&^DxEcuG$o6{ErQ1fnrKrzen%S)PjFB?0Le4Xh^+ zkkQb30{NIFzNPR1l2>|(gTk!cu{hEPNMSs>XCN=LI+sCvz(8b%h5`*_W_T*lKxQU| zq!hKc+Yjb;?+oN-6mX!y;0#Fz8pzFP@IV8h7X=?^AcVpLf(Bm}q9Ozh8z2QLCT7)V4W>w&coN%KYcyfdcV`J z=l5@z}T!etUaSvY-)Nm`sOL z6=t`(&0DYS!p#c5e-CEXFaz@7hT|xK2RFOa0eP_gGT{{K!A6K4Y`;u61^2;rfF9gz z9+kj@tq|j2nH(q~cEJozUji4lUpB1yabdGW`Cw*?Cm;`Qn6wgju<^3y^pwq%DWl%d zD*<`1U8XqL2{IonQylCB*#}GHKzUhA_LR+($$>8`jHg_tOta!vh*2#93XZL`z6*n;-T-*%r?fe)`=ZnEjB^obo`iHY56re5hs+j`2@eu8>QZo8}}3^{G`xJ4ed z2kaVF1(4i6npXs_Lp<#c&vu4~t@flNZ0iQkcY?>p9)0;y^Sf<)lQ*!sY5m+Oe{So$ zm5H{P#d#`sd8s^}%AGGb@>Jex@LNQA&DQT|U0+!1XK0R6>m+xH+tJ9Y)`?S3HM~a@ zlZ$$k$WwV4wxigqErR1wD)!!~BzK*(Jgzc%6JIx;%H7o{kEiO|k*7FMHMFCO<38nI zeYG$Kzm|Vbb;3|zQ(Mg9Jk`>!rZ`U0y{8E#mo_Pnr;K)pE-+4op}w|%^>xA+`_%K( z;yh)-m?GEwt1p)hE{~^jnN3HtnJ~t_%w@IyTop#@+4i1#W)3@++Ef0Q@+1uP`43Zp ztPZQ^Tm@=RyE*7MN$|eokhxN>)Sk-4s2ru}VJvy(vObTCxy7bzt9#6Z=q*Ogwu1Wa z{M1a|+E+}yEPbWV{I+Zh-`w3@FD8}f`|P%#9$wz7bbG5k;^n)uy-IWS-*peX-#o6Z zKF;`i{owwGAGthQ{rImR*@@##Gi*hiehh9F*(Qs3tILm`dqwao=IJZ;c$BO1JV#b- zbE|A|DSry5c+w>~Wo<5u&;t-|)!#|7}+TVJa@j9Y74+)DP=VyaPGZ+)%uFmA0s zb*mKLThS}7W2>q>j9VL9+**8ZwWx;E*s3Z|o?E?QTs7}IM45ZqOFdP{J>BDIH9w3U zqE-nOUc;EE=boE&v`qGf(E5tKP5;X@tuS`ybMG5+G)jfBm7`vFE6h_S_c&yEJk|Ez zr!tp@mfBOI-kdAWRT4(0Qs=V81*+UDrpn`~rhnL2O)@!_pZ_VE31ic_<$sFS4g({~ zy?)S*r_=$!_2B1Sewq7qOYN%Mt0&xas#+L3An96R@lMMr~Q7zvd+NEGO) zFhaWe@J4}YLLi>-*nsR9_n8c!uD8w_nsZQMt~0{V$KHTg&mztoO`ELfP=}9;igk?>f$W z{BI4Z54k&5pZ_FLJxO#O(!G01eQS{8#cA)3QZp=$#pit;QF8yzXL&rOK8jGBr_|TL zISy0)SMk-izdH_7{yn9>{oVD@=RZeR^V5!3C;ev$>T9hXuXy`U6V&T|j?)DHfl7T_ ztm8Dnzo&Blwp4jMRr9}=P!GdX>PwgkOg+Q!RPG-Yb+eGJJ~i#wQ{Lm0QKxi{w;cRW zk=3_aJI)fkJ4$^J|7{QR#WOVjGEx8O1;;Y+Kb*J17|zuf#21(%hJhrhuc$6CMGRwk iM1B27ah_7oe4cvh@h7v@tLc~K{i}=p-~JDBA2RY^TvMa~ literal 3106 zcmV+-4Bhjr4*>uG0001Zob6p*PvgiEecxYU<$X!Y`IZ2Qfy{31z`(?#%fo0D1<341 zK*-I2G#J_tI<68@9I(@)xFA| z1n(B3yPF`ahC!uKmarUFq#8uS>9^7KFt`|8-v$yy{|PRKS3&1yG>^YoI{YOF_HT#Z z`;&*!cs}dThVx+leG-pvI{Z4E4#(HSQt;PkIE}AN4ocSuq)ExF0hzty4;@Bc z-Dr$;YK#pa^W@Xn?~J^r$r#(z5L-axyTSODk=F+Dy63&~v(86Gq*TyUpPYPYP;V^; zm}zkm5oj)ZCnO97!6wFG$Vo(?SsD2qv9bZ$6V~DVmI3g~_s3Po)FFR98pb2#17eAwfBIqpfNWQsA$QBRu zloE>I6Pu=RZlh`xws9!0A_T2GI~f8a5GDSN!(|nrD9&)A$?!VroN`8L0whkQH3X#9 zlEHbA8UjeqZTntx3Ai7|)(i%cgmtELu z2tZwWj0W)=hnzY>&MBLW*AZ-@cSo#91e-W6dRWXUkq9>9~P+%%}Uk+qGcQRc&; zv5BzLjsBG@*1wCt^W*dMmB+?I|HH-Se)r?&e&@7%){j0PeeRbI zKfd2DuWwunE$@^H#}5nRCu||IX;vF2^^BmJpq~_pu$nCKWE|2oTIxa)3MOSfu8eP# zV39Impm;>COD%-gv-7CS70_A+MLy1oS|(KrQX~RUtVyzMV^*W!dKfK)pJaMS{In2$ zq7!n$&6YbZTWuS`htp=;WWD1M*@oqb^JT@ScS0pHZP;k~y<3@vlt=`klTT+hN**ZGa>Q?`vVEi!#;Bm9heT)5aq_*qW2a1C0s@*dd>s~`Y%+0utp5P*`} z2ic{q7$jR{|FeS76N}7rMyY?7tdiwtPd|EmzZm^nQ3_WR+$y zI*CE#Cl$evKq`wSlM={gAu9?3$t-lOR3Mo}K@)*=7QUw@kj_H)Edfz%ehU@S(=7Si$|wMEhL7szf<tq}nN^Qi z4Z!f7?$in-x~M2!Mr0S-P)#aG6$Pju<%O@(3S_+`y*$NGVKAQr-U_*}^%z}t6k-j6 z`le>M2F0biMy^SLmPD8l3x>?;APq*9d_ocoO&%0Tg5e8`0y!|NJ`F$nkO{+==LJ$> zXlkNBE)2a_K_C}~XQB#Z!)SVR0vRzhc~l@JM#Ib$6IzVMNFg~!L#Gr-kWmza1yW>K zDycw*jE15qkS4>|f&~&~G<$0Va%B`dF3iX>? zP#}=#qS&4xW?UCC@Wo^olBXb$?4sEU3+XN|H{h^rxK1sbqr7cF`U_9=6i9%1xrv8m z8{}1F8($y~=H-4JmJQRZce?z_j21)kyaf_tmTV}+XpPvQ?8HHG49yb}NRH8LpcTlF zQBVK{vSc19m5SLiB33KpBB1dcLxG$ben(sgIWsitKZJxCn*AO^!VJIn7xHEJ9TcG% zVTLxQ5b|C4t#%=#pYZ3kKr)DOCunHKtRPQr2_dyYaSTNWsTGk)@UoMmvZkX95}wqG zsIr5V^7OX#!*3@$&-M9n{qc@u=?_NZo8jLFrAq4J^73=u(q#JZ_xI9aJlIJ}O!u0NQGz$fncaDr=q(uI`49a=wkG^Tpt<6pR=5{p*LjS#n0+`rB*0 zrJfPrw74Iq1}dA!udZz#zV-e6)ca@2{53=9mWcgw>b+Kx$+l7Kv=d|{ne(y|TBl}tk#cWS^{iO5IGSp?U!`hVS83T# zY29gln##fU zs4R}As_x^d&<~H^bRQ*D{fMVp?$^H14^1_-sYH%3x*uy_L+eg+T>Jd+=;{DDzj#Vr zNw&Q9x%cRGPc-EoeN8{I8F7xj6tlh1nrb`G`%>)DLTf6sTWZNq`H}ajQ;8g7bU*x5 zy8vsSADU9PTJwviDt<%>?JSy;rL|A(r{4PFudR4H$4TmdphDwB+~Y)Dbhqq#-D^tx z;997O4*6-S<_G#}1;}On$UEGp9qK-g<+Q`OqH2DycN)&?-Kh<8h1QgsWRzo!;75L@ z4*B!bRNIf0FLVEvrIk+`&{>Yqoii;`8zu{_DfeM#%a346-I2~uQw=|Y1a(zDKTTzB z*ei~vL`_`F5q^FcmAcrRTO%L;pg0^hw33%F6BAbRO;m7 zLTgIgJF2SMrN2=vUK>w4pZvNXtCV^%&2c^VBl1z7ypo@$)Qx$|^%3`#q|7tzilZs@ zX3_jK$5$j@4T)_54T*0$9u`CBWx z#kOx%jfYWdeTQ1}w^oDKux#hN_`$S!R z_WB#&>ec0B=X+vAeOBe$?7wVvf`*Rq{6b3*mzDa$tHK9pDQ$g`aFxaY;GkNvluAG#+8s86zfWR0@^qihsCOD|YwGzYv(>H1m*)MOtHWRZ52JEyX|5X~0RR91 diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 9f44fdf..07cc802 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Mon Apr 19 01:27:18 2021 +Tue Apr 20 04:00:20 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Mon Apr 19 01:27:18 2021 ; +; Assembler Status ; Successful - Tue Apr 20 04:00:20 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+-------------------------------------------------------+ ; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00163FE1 ; -; Checksum ; 0x001642D1 ; +; JTAG usercode ; 0x0016534D ; +; Checksum ; 0x0016564D ; +----------------+-------------------------------------------------------+ @@ -101,13 +101,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Apr 19 01:27:16 2021 + Info: Processing started: Tue Apr 20 04:00:18 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 293 megabytes - Info: Processing ended: Mon Apr 19 01:27:18 2021 + Info: Processing ended: Tue Apr 20 04:00:20 2021 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index cf64c93..09d1d95 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Mon Apr 19 01:27:26 2021 +Tue Apr 20 04:00:27 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index 1338016..0973378 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Mon Apr 19 01:27:14 2021 +Tue Apr 20 04:00:16 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -59,14 +59,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Mon Apr 19 01:27:14 2021 ; +; Fitter Status ; Successful - Tue Apr 20 04:00:16 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 222 / 240 ( 93 % ) ; +; Total logic elements ; 230 / 240 ( 96 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -130,11 +130,12 @@ applicable agreement for further details. ; Number detected on machine ; 2 ; ; Maximum allowed ; 2 ; ; ; ; -; Average used ; 2.00 ; +; Average used ; 1.20 ; ; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; -; Processors 1-2 ; 100.0% ; +; Processor 1 ; 100.0% ; +; Processor 2 ; 20.0% ; +----------------------------+-------------+ @@ -149,27 +150,27 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 222 / 240 ( 93 % ) ; -; -- Combinational with no register ; 119 ; +; Total logic elements ; 230 / 240 ( 96 % ) ; +; -- Combinational with no register ; 124 ; ; -- Register only ; 1 ; -; -- Combinational with a register ; 102 ; +; -- Combinational with a register ; 105 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 123 ; -; -- 3 input functions ; 27 ; -; -- 2 input functions ; 69 ; +; -- 4 input functions ; 122 ; +; -- 3 input functions ; 37 ; +; -- 2 input functions ; 68 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 2 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 189 ; +; -- normal mode ; 197 ; ; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 3 ; +; -- qfbk mode ; 4 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 49 ; +; -- synchronous clear/load mode ; 52 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; -; Total registers ; 103 / 240 ( 43 % ) ; +; Total registers ; 106 / 240 ( 44 % ) ; ; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 37 ; ; Virtual pins ; 0 ; @@ -180,12 +181,12 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 45% / 51% / 38% ; -; Peak interconnect usage (total/H/V) ; 45% / 51% / 38% ; -; Maximum fan-out ; 100 ; -; Highest non-global fan-out ; 47 ; -; Total fan-out ; 1023 ; -; Average fan-out ; 3.39 ; +; Average interconnect usage (total/H/V) ; 44% / 48% / 39% ; +; Peak interconnect usage (total/H/V) ; 44% / 48% / 39% ; +; Maximum fan-out ; 103 ; +; Highest non-global fan-out ; 45 ; +; Total fan-out ; 1053 ; +; Average fan-out ; 3.40 ; +---------------------------------------------+--------------------+ @@ -194,19 +195,19 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 100 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 103 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; @@ -215,8 +216,8 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; @@ -232,7 +233,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; ; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; no ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -256,7 +257,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -289,7 +290,7 @@ The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -433,7 +434,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 222 (222) ; 103 ; 0 ; 80 ; 0 ; 119 (119) ; 1 (1) ; 102 (102) ; 37 (37) ; 5 (5) ; |GR8RAM ; work ; +; |GR8RAM ; 230 (230) ; 106 ; 0 ; 80 ; 0 ; 124 (124) ; 1 (1) ; 105 (105) ; 37 (37) ; 8 (8) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -443,8 +444,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +----------+----------+---------------+ -; SetFW[0] ; Input ; (0) ; -; SetFW[1] ; Input ; (0) ; ; INTin ; Input ; (1) ; ; DMAin ; Input ; (1) ; ; nIOSTRB ; Input ; (1) ; @@ -465,11 +464,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[9] ; Input ; (1) ; ; RA[10] ; Input ; (1) ; ; nRES ; Input ; (1) ; +; SetFW[1] ; Input ; (1) ; ; RA[11] ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; ; RA[12] ; Input ; (1) ; ; RA[13] ; Input ; (1) ; +; SetFW[0] ; Input ; (1) ; ; MISO ; Input ; (1) ; ; nRESout ; Output ; -- ; ; INTout ; Output ; -- ; @@ -531,22 +532,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 100 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Decoder1~0 ; LC_X6_Y1_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal0~0 ; LC_X5_Y2_N9 ; 19 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X3_Y4_N7 ; 2 ; Output enable ; no ; -- ; -- ; -; IOROMRES ; LC_X2_Y3_N6 ; 1 ; Async. clear ; no ; -- ; -- ; -; MOSIOE ; LC_X3_Y4_N6 ; 1 ; Output enable ; no ; -- ; -- ; +; C25M ; PIN_64 ; 103 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Decoder1~0 ; LC_X7_Y3_N6 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal0~0 ; LC_X5_Y3_N3 ; 19 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X2_Y1_N0 ; 2 ; Output enable ; no ; -- ; -- ; +; IOROMRES ; LC_X2_Y3_N9 ; 1 ; Async. clear ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y1_N7 ; 1 ; Output enable ; no ; -- ; -- ; ; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; -; PS[0] ; LC_X4_Y3_N6 ; 46 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X3_Y3_N5 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X5_Y1_N6 ; 8 ; Output enable ; no ; -- ; -- ; -; always5~1 ; LC_X2_Y3_N9 ; 2 ; Clock enable ; no ; -- ; -- ; -; always7~2 ; LC_X2_Y3_N2 ; 8 ; Sync. load ; no ; -- ; -- ; -; always7~3 ; LC_X2_Y3_N5 ; 9 ; Sync. load ; no ; -- ; -- ; -; always7~4 ; LC_X2_Y3_N1 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~1 ; LC_X4_Y1_N8 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X2_Y3_N9 ; 29 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +; PS[0] ; LC_X5_Y3_N2 ; 44 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X5_Y3_N4 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X7_Y1_N2 ; 8 ; Output enable ; no ; -- ; -- ; +; always5~1 ; LC_X2_Y3_N5 ; 2 ; Clock enable ; no ; -- ; -- ; +; always7~2 ; LC_X5_Y1_N9 ; 8 ; Sync. load ; no ; -- ; -- ; +; always7~3 ; LC_X4_Y1_N4 ; 9 ; Sync. load ; no ; -- ; -- ; +; always7~4 ; LC_X4_Y1_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X6_Y4_N9 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X2_Y3_N8 ; 29 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ @@ -555,9 +556,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 100 ; Global Clock ; GCLK3 ; +; C25M ; PIN_64 ; 103 ; Global Clock ; GCLK3 ; ; PHI0 ; PIN_41 ; 5 ; Global Clock ; GCLK1 ; -; nRESr ; LC_X2_Y3_N9 ; 29 ; Global Clock ; GCLK2 ; +; nRESr ; LC_X2_Y3_N8 ; 29 ; Global Clock ; GCLK2 ; +-------+-------------+---------+----------------------+------------------+ @@ -566,42 +567,46 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------+-----------+ ; Name ; Fan-Out ; +---------------------+-----------+ -; PS[0] ; 47 ; -; PS[1] ; 33 ; -; PS[2] ; 29 ; -; PS[3] ; 28 ; -; IS.state_bit_0 ; 20 ; +; PS[0] ; 45 ; +; PS[1] ; 31 ; +; PS[3] ; 29 ; +; PS[2] ; 27 ; ; Equal0~0 ; 19 ; +; IS.state_bit_0 ; 19 ; ; IS.110~0 ; 17 ; -; IS.state_bit_1 ; 17 ; +; RAMSpecSELr ; 16 ; +; IS.state_bit_1 ; 16 ; ; LS[0] ; 13 ; -; RDD[1]~18 ; 12 ; -; AddrMSpecSEL ; 12 ; -; RAMSpecSELr ; 11 ; +; RA[0] ; 9 ; +; RDD[1]~23 ; 9 ; ; always7~4 ; 9 ; ; always7~3 ; 9 ; ; comb~1 ; 9 ; -; RA[0] ; 8 ; +; SetFW[1] ; 8 ; +; RA[1] ; 8 ; +; RDD[1]~22 ; 8 ; ; Decoder1~0 ; 8 ; ; SDOE ; 8 ; ; always7~2 ; 8 ; ; IS.state_bit_2 ; 8 ; -; SA[7]~8 ; 8 ; ; LS[2] ; 8 ; -; RA[1] ; 7 ; +; SA[0]~8 ; 7 ; ; RD[7]~7 ; 6 ; -; SA[7]~16 ; 6 ; -; Equal18~0 ; 6 ; -; SA[7]~10 ; 6 ; -; SA[7]~9 ; 6 ; +; SA[3]~17 ; 6 ; +; SA[3]~10 ; 6 ; +; SA[3]~9 ; 6 ; ; LS[1] ; 6 ; ; RD[0]~0 ; 5 ; ; RA[3] ; 5 ; ; RA[2] ; 5 ; +; RDD[4]~12 ; 5 ; +; RAMRegSpecSEL~0 ; 5 ; +; REGSpecSEL~1 ; 5 ; ; Addr[0] ; 5 ; ; LS[6]~17 ; 5 ; ; LS[1]~3 ; 5 ; -; Mux14~6 ; 5 ; +; Mux14~3 ; 5 ; +; Addr[23] ; 5 ; ; RD[6]~6 ; 4 ; ; RD[5]~5 ; 4 ; ; RD[4]~4 ; 4 ; @@ -610,11 +615,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[1]~1 ; 4 ; ; nDEVSEL ; 4 ; ; always7~6 ; 4 ; -; REGSpecSEL~1 ; 4 ; -; RAMRegSpecSEL~0 ; 4 ; +; RDD[4]~13 ; 4 ; ; LS[13] ; 4 ; ; Equal1~2 ; 4 ; ; nRCS~1 ; 4 ; +; Equal18~0 ; 4 ; ; Addr[9] ; 4 ; ; Addr[8] ; 4 ; ; Addr[7] ; 4 ; @@ -630,10 +635,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[12] ; 4 ; ; Addr[2] ; 4 ; ; Addr[11] ; 4 ; -; SA[2]~7 ; 4 ; +; SA[0]~7 ; 4 ; ; Addr[1] ; 4 ; ; Addr[10] ; 4 ; -; Addr[23] ; 4 ; ; always5~0 ; 4 ; ; RA[11] ; 3 ; ; RA[10] ; 3 ; @@ -641,7 +645,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[8] ; 3 ; ; RA[7] ; 3 ; ; nWE ; 3 ; -; SA[2]~15 ; 3 ; +; SA[0]~16 ; 3 ; ; WRD[5] ; 3 ; ; WRD[4] ; 3 ; ; WRD[3] ; 3 ; @@ -657,6 +661,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[21] ; 3 ; ; Addr[20]~41 ; 3 ; ; Addr[20] ; 3 ; +; SA~11 ; 3 ; ; Addr[19] ; 3 ; ; LS[9] ; 3 ; ; Addr[18] ; 3 ; @@ -670,9 +675,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[12] ; 3 ; ; Addr[12]~11 ; 3 ; ; LS[11] ; 3 ; -; SA[2]~5 ; 3 ; +; SA[0]~5 ; 3 ; ; LS[10] ; 3 ; -; SA[2]~4 ; 3 ; +; SA[0]~4 ; 3 ; +; SetFW[0] ; 2 ; ; RA[6] ; 2 ; ; RA[5] ; 2 ; ; RA[4] ; 2 ; @@ -682,6 +688,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; WRD[6] ; 2 ; ; AddrIncL ; 2 ; ; AddrIncM ; 2 ; +; RAMRegSpecSEL ; 2 ; ; Equal7~0 ; 2 ; ; REGSpecSEL~0 ; 2 ; ; IS.state_bit_1~3 ; 2 ; @@ -695,12 +702,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; ROMSpecRDr ; 2 ; ; nRCS~2 ; 2 ; ; nWEr ; 2 ; -; SA[2]~12 ; 2 ; ; Bank ; 2 ; ; LS[11]~5 ; 2 ; -; SA[2]~6 ; 2 ; -; Mux14~4 ; 2 ; +; SA[0]~6 ; 2 ; +; nRESf[2] ; 2 ; +; Mux14~1 ; 2 ; +; Mux14~0 ; 2 ; +; nRESf[1] ; 2 ; ; always5~1 ; 2 ; +; nRESf[0] ; 2 ; ; comb~2 ; 2 ; ; IOROMEN ; 2 ; ; nRESout~reg0 ; 2 ; @@ -721,17 +731,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nRES ; 1 ; ; DMAin ; 1 ; ; INTin ; 1 ; -; Mux14~7 ; 1 ; +; Mux13~2 ; 1 ; +; Mux2~3 ; 1 ; +; Mux2~2 ; 1 ; ; Mux2~1 ; 1 ; ; Mux2~0 ; 1 ; +; SA[0]~15 ; 1 ; +; RDD~20 ; 1 ; +; RDD~18 ; 1 ; ; RDD~16 ; 1 ; ; RDD~14 ; 1 ; -; RDD~12 ; 1 ; ; RDD~10 ; 1 ; ; RDD~8 ; 1 ; ; RDD~6 ; 1 ; ; RDD~4 ; 1 ; -; RDD~2 ; 1 ; ; AddrIncM~2 ; 1 ; ; AddrIncM~1 ; 1 ; ; AddrIncM~0 ; 1 ; @@ -754,7 +767,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; IS.state_bit_2~0 ; 1 ; ; Equal1~4 ; 1 ; ; AddrIncH ; 1 ; -; RAMRegSpecSEL ; 1 ; ; REGEN ; 1 ; ; IS.state_bit_1~2 ; 1 ; ; IS.state_bit_1~1 ; 1 ; @@ -785,6 +797,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[22]~45 ; 1 ; ; Addr[21]~43COUT1_76 ; 1 ; ; Addr[21]~43 ; 1 ; +; Mux15~1 ; 1 ; ; Mux15~0 ; 1 ; ; Addr[19]~39COUT1_74 ; 1 ; ; Addr[19]~39 ; 1 ; @@ -865,9 +878,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[10]~1 ; 1 ; ; Addr[10]~3COUT1_84 ; 1 ; ; Addr[10]~3 ; 1 ; -; Mux13~0 ; 1 ; -; Mux14~5 ; 1 ; -; nRESr0 ; 1 ; +; Mux14~4 ; 1 ; +; nRESf[3] ; 1 ; +; Mux14~2 ; 1 ; ; IOROMRES ; 1 ; ; comb~4 ; 1 ; ; comb~3 ; 1 ; @@ -903,31 +916,31 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 248 / 784 ( 32 % ) ; -; Direct links ; 55 / 888 ( 6 % ) ; +; C4s ; 251 / 784 ( 32 % ) ; +; Direct links ; 45 / 888 ( 5 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; LAB clocks ; 12 / 32 ( 38 % ) ; -; LUT chains ; 27 / 216 ( 13 % ) ; -; Local interconnects ; 429 / 888 ( 48 % ) ; -; R4s ; 300 / 704 ( 43 % ) ; +; LUT chains ; 33 / 216 ( 15 % ) ; +; Local interconnects ; 436 / 888 ( 49 % ) ; +; R4s ; 284 / 704 ( 40 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.25) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.58) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; -; 2 ; 0 ; +; 2 ; 1 ; ; 3 ; 0 ; -; 4 ; 1 ; +; 4 ; 0 ; ; 5 ; 0 ; -; 6 ; 2 ; +; 6 ; 0 ; ; 7 ; 0 ; ; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 18 ; +; 9 ; 0 ; +; 10 ; 22 ; +--------------------------------------------+------------------------------+ @@ -938,9 +951,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------------------------------+------------------------------+ ; 1 Async. clear ; 7 ; ; 1 Clock ; 22 ; -; 1 Clock enable ; 7 ; +; 1 Clock enable ; 6 ; ; 1 Sync. clear ; 5 ; -; 1 Sync. load ; 4 ; +; 1 Sync. load ; 5 ; ; 2 Clocks ; 2 ; +------------------------------------+------------------------------+ @@ -948,43 +961,43 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 9.54) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 9.96) ; Number of LABs (Total = 24) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 0 ; +; 2 ; 1 ; ; 3 ; 0 ; -; 4 ; 1 ; +; 4 ; 0 ; ; 5 ; 0 ; -; 6 ; 2 ; +; 6 ; 0 ; ; 7 ; 0 ; ; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 14 ; +; 9 ; 0 ; +; 10 ; 18 ; ; 11 ; 2 ; ; 12 ; 1 ; -; 13 ; 1 ; +; 13 ; 0 ; +; 14 ; 0 ; +; 15 ; 1 ; +---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.79) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.92) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 1 ; ; 3 ; 1 ; -; 4 ; 4 ; -; 5 ; 3 ; +; 4 ; 2 ; +; 5 ; 5 ; ; 6 ; 2 ; -; 7 ; 3 ; +; 7 ; 2 ; ; 8 ; 2 ; ; 9 ; 4 ; -; 10 ; 3 ; -; 11 ; 0 ; -; 12 ; 1 ; +; 10 ; 5 ; +-------------------------------------------------+------------------------------+ @@ -997,25 +1010,25 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 1 ; +; 4 ; 0 ; ; 5 ; 0 ; -; 6 ; 0 ; +; 6 ; 2 ; ; 7 ; 0 ; -; 8 ; 2 ; +; 8 ; 1 ; ; 9 ; 0 ; ; 10 ; 0 ; -; 11 ; 2 ; -; 12 ; 3 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 2 ; -; 16 ; 3 ; -; 17 ; 2 ; -; 18 ; 2 ; -; 19 ; 2 ; -; 20 ; 1 ; -; 21 ; 3 ; -; 22 ; 1 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 4 ; +; 14 ; 1 ; +; 15 ; 4 ; +; 16 ; 1 ; +; 17 ; 1 ; +; 18 ; 3 ; +; 19 ; 1 ; +; 20 ; 2 ; +; 21 ; 0 ; +; 22 ; 3 ; +----------------------------------------------+------------------------------+ @@ -1048,7 +1061,7 @@ This will disable optimization of problematic paths and expose them for further +-----------------+----------------------+-------------------+ ; Source Register ; Destination Register ; Delay Added in ns ; +-----------------+----------------------+-------------------+ -; PHI0 ; PHI0r1 ; 0.507 ; +; PHI0 ; PHI0r1 ; 0.186 ; +-----------------+----------------------+-------------------+ Note: This table only shows the top 1 path(s) that have the largest delay added for hold. @@ -1089,25 +1102,25 @@ Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 35% of the available device resources - Info (170196): Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 34% of the available device resources + Info (170196): Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.53 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.45 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg Info: Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 372 megabytes - Info: Processing ended: Mon Apr 19 01:27:14 2021 - Info: Elapsed time: 00:00:09 - Info: Total CPU time (on all processors): 00:00:09 + Info: Peak virtual memory: 382 megabytes + Info: Processing ended: Tue Apr 20 04:00:16 2021 + Info: Elapsed time: 00:00:08 + Info: Total CPU time (on all processors): 00:00:08 +----------------------------+ diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 75b2259..c299461 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Mon Apr 19 01:27:14 2021 +Fitter Status : Successful - Tue Apr 20 04:00:16 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 222 / 240 ( 93 % ) +Total logic elements : 230 / 240 ( 96 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index b05c4f8..e828679 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Mon Apr 19 01:27:24 2021 +Tue Apr 20 04:00:26 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,14 +40,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Mon Apr 19 01:27:18 2021 ; +; Flow Status ; Successful - Tue Apr 20 04:00:20 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 222 / 240 ( 93 % ) ; +; Total logic elements ; 230 / 240 ( 96 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/19/2021 01:27:01 ; +; Start date & time ; 04/20/2021 04:00:02 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -75,7 +75,7 @@ applicable agreement for further details. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; ; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 44085571633675.161881002002548 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161890560102556 ; -- ; -- ; -- ; ; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; @@ -102,11 +102,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:04 ; 1.0 ; 301 MB ; 00:00:04 ; -; Fitter ; 00:00:09 ; 2.0 ; 372 MB ; 00:00:08 ; +; Analysis & Synthesis ; 00:00:06 ; 1.0 ; 301 MB ; 00:00:05 ; +; Fitter ; 00:00:08 ; 1.2 ; 382 MB ; 00:00:08 ; ; Assembler ; 00:00:02 ; 1.0 ; 292 MB ; 00:00:02 ; -; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 283 MB ; 00:00:04 ; -; Total ; 00:00:19 ; -- ; -- ; 00:00:18 ; +; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 278 MB ; 00:00:04 ; +; Total ; 00:00:20 ; -- ; -- ; 00:00:19 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index 7b766c8..e2079b0 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index e165956..5bc7bc2 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Mon Apr 19 01:27:04 2021 +Tue Apr 20 04:00:06 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Mon Apr 19 01:27:03 2021 ; +; Analysis & Synthesis Status ; Successful - Tue Apr 20 04:00:06 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 229 ; +; Total logic elements ; 239 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -161,33 +161,33 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 229 ; -; -- Combinational with no register ; 126 ; -; -- Register only ; 8 ; -; -- Combinational with a register ; 95 ; +; Total logic elements ; 239 ; +; -- Combinational with no register ; 133 ; +; -- Register only ; 10 ; +; -- Combinational with a register ; 96 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 123 ; -; -- 3 input functions ; 27 ; -; -- 2 input functions ; 69 ; +; -- 4 input functions ; 122 ; +; -- 3 input functions ; 37 ; +; -- 2 input functions ; 68 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 2 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 196 ; +; -- normal mode ; 206 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 44 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; -; Total registers ; 103 ; +; Total registers ; 106 ; ; Total logic cells in carry chains ; 37 ; ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 100 ; -; Total fan-out ; 1015 ; -; Average fan-out ; 3.28 ; +; Maximum fan-out ; 103 ; +; Total fan-out ; 1044 ; +; Average fan-out ; 3.27 ; +---------------------------------------------+-------+ @@ -196,7 +196,7 @@ applicable agreement for further details. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 229 (229) ; 103 ; 0 ; 80 ; 0 ; 126 (126) ; 8 (8) ; 95 (95) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 239 (239) ; 106 ; 0 ; 80 ; 0 ; 133 (133) ; 10 (10) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -231,7 +231,7 @@ Encoding Type: Minimal Bits +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 103 ; +; Total registers ; 106 ; ; Number of registers using Synchronous Clear ; 11 ; ; Number of registers using Synchronous Load ; 33 ; ; Number of registers using Asynchronous Clear ; 30 ; @@ -263,11 +263,12 @@ Encoding Type: Minimal Bits ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[1] ; -; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |GR8RAM|SA[9]~reg0 ; -; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[7]~reg0 ; -; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[2]~reg0 ; +; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; +; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[3]~reg0 ; +; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[0]~reg0 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[7] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; +; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; +; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|RDD[4] ; ; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; ; 8:1 ; 5 bits ; 25 LEs ; 20 LEs ; 5 LEs ; No ; |GR8RAM|IS ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -279,17 +280,17 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Apr 19 01:26:59 2021 + Info: Processing started: Tue Apr 20 04:00:00 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(20): truncated value with size 32 to match size of target (14) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(103): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(118): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(309): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(24): truncated value with size 32 to match size of target (14) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(107): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(115): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(122): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(313): truncated value with size 32 to match size of target (4) Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "nNMIout" is stuck at VCC @@ -300,19 +301,16 @@ Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "nDMAout" is stuck at VCC Warning (13410): Pin "RAdir" is stuck at VCC Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Warning (21074): Design contains 2 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "SetFW[0]" - Warning (15610): No output dependent on input pin "SetFW[1]" -Info (21057): Implemented 309 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 319 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 229 logic cells + Info (21061): Implemented 239 logic cells Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 13 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Mon Apr 19 01:27:04 2021 - Info: Elapsed time: 00:00:05 + Info: Processing ended: Tue Apr 20 04:00:06 2021 + Info: Elapsed time: 00:00:06 Info: Total CPU time (on all processors): 00:00:05 diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index f40de6b..8d8b43e 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(79): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(259): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(83): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(263): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index fb1c00f..1658f02 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Mon Apr 19 01:27:03 2021 +Analysis & Synthesis Status : Successful - Tue Apr 20 04:00:06 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 229 +Total logic elements : 239 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 087285312750f76d1129ef24e1ac6e02b907bcf0..f206bca598257d6ff0adee62a327ac8ce5215df7 100755 GIT binary patch literal 7879 zcmeHMYj9lEeP7r3!PFMbFc3p(tVxT>ObV7Q9FoYkXc)}UVgn%#NvN#hAyWgAifw6e zykwd0XhYkl{q!3ZYk0WFr0<$DhfXcfHPdu6ZpUhQ6; z^Y8E6yLOp=>BoL39&68@^M9WI``&%%!OgK)Y(Z>E_*sw7hg%-swWnow<^A_pRuX>wU)yD43XN`HKxzQ zy^B>ucvtYtD|3J&>B$|QAhmHvhDPVL&LJeR<`26%xoQm|5WR+35RBMeh2A$sUY+>(qb z)<%+Pe9ceJc&C)B!iTS=`5q}G60FPOM$g%R2qxyaYUFBE=hn`c)U(cbBYpVpA(7>TH@JCSA zuT!tQ(GT$j?A)VDkPqFpe2eHaMUODq3&6lG&~vhJDiJ{%-u+|lrYZ1G`#};$I|916 ziZj^9=zRrn+`pt4{9`H__tS8UD-;Qw3rcJH5(S={OUP#hyiRh2ri>owX67iQuPcyn z#`-Ty7Z=Ualu3`0;tuq1Lwd=1sD}xfad0-k)5&W8420*9ukAxQMXpkZ6yPdtA!WvQe5i|L-OFWq zE#Ej&xZpHrTAC+vl1gdlW+B35+FGxoAiC0x+ z8Y+}|^8LVDSMh%P-WL~lR1ePna={HMw2ylJ@{oVczV>%79Z+k&`5*0b*C$$;${S0m zcN{WgP<1L3kL^1=ID4J?dd2MF;(`?&&4Yk{63VYD%sbEp9cSCWa#hxf;)UZvjK{ArWK1;^9zr9%5kxXa?=z*~7qQ3&8h1RCf_!{JZze4fg|N1JqBg7J0$&45L6x#@y0 zlR*wi{U*REu9}gDeky{yNm8tq3=8?-_puy`%yv!%vl)WGTQVgapRDP?A$y-F%Al4j z8~V%oklyqWM~v(iM%0>8WPt{u2L0xBoxti$E5ARGQ^-H)s5RH;R+dHdlm#B}O?|H6 zh;vPs^&X$3PCc8B)sF6nII@Yqxm0^30EE8-;Uc}QPZNPtd0XQo2^WG=1mN5GHl zFFEX{<=`yclf(*p*plkp5D$9}y9ZhyatsoZ81MxdAPDKWM4hj_VD(e2sy;xC%JQyCwb#W|FvEtp0^1#n3RxhHJAFSvJ^RKoVat_W}RkLG>SC=g{ z=bHa===SxqD+fD5`E`X0i6Lql+TxsaceHLwS$WHH;_-J@PFgJLht4SSZ?wUwc-zUG zJUH^kOD}EyqpyC)JoHlJf5fz%BHt3Jcq`L(h94bA<)l-FiylW%At!RerYzvdlYk)( z3!!`yI~5<(_GW5|0dB?}tEBsFIX;y@9|V8hR|VkkpWlW!(~^iR%WYM{MLkvZX{n=E z`vnmJIa+^CUssMoAkiVUf-873*{Pa|1n7Uvq?O9J!5 zfHah=+%)9WfNuc4yf=iC6(~W}=VtkFZZ&lW)SI@cDD(3XJ>m}96sEz;W?}x2YA&yu zKTk=8UMUR;Jc{E6T&XUy%xu|y+`&1MN@#w`Fh98}&m)>%_lZ&=#}w>45B-pD_G2Vd zEoJ$dZoAt_8J6zTaOneXbC=hx@KD#O*NWz!8 z4&?eMqUX$O?N+^d{_&25_X?B}(%>iUD1K}$kD~fPR_MO~@?@DmG5Cj(M2Sc+=mSYg zaoG0?oS#vCuUptR!&HAjhR8~#SgCJl>dO6c4ki2?pF6PW{x?`HmV#S6I&gG+AN?@k+Yu|M!;*UY{gi-q}9 zHxN6$eJ5fpM3R(H`X26{H;TK%~VZDZ{&pa zi$L2ej34+HcJt#b7v>8tP0aDAjjSX!D;U2~!zY9?db`W^D{yiF!kNQzDM8 z*q?em9X0hnR{{0I&!m#%ODL!9XUXHR1H;^lJ_J5t9Qm%2d_-utQP=BwLPss)AJ(_# zg`c1l`X9;!&H#xcze;_sEtIF{Xs76X~Wr57&X#`SK-wbX85A#41Q5uPtBk>;U2bmPbr%_54oRNHY zgDBy`(zbXlsUicMhxOf}ViNjcUKU5jEtd?`izW(k(%l`(uj>oA!u%2CXX0q13roFo|F zhSr-i34iR};Awn6T3 zPv(M+6h!@xK0E2XTo;VY%jV;$H9YrGKQ#&NTIYI0{<-5ji>f9zwEk-!F8Kxf!Krrn z(?vs{>wgB{xZhh8n_gcz_}=0r*Pd#hYrkgk=lWmn+joBKE%~dD*YEp?IyQWhkIeH` zU8@(lD~HSd@2)%F@|OI=r^bf)v5x!Z{(5Bo#0pRMzsaco8PmgOhgj>($l2H39q~lI z^Wx;eu>V=sL>Qm0?teQ*r?*zTy*0C`#yb33&8Y5w2h060u;ge!c`11ua~9%yUWWY- zWizsGA65ptS}a`(91D?){v{)SYWurKJaLlD6zVo{RBhKpo|0^a-{FG-^};_k@G_Jx z(Fw>w^@qO@a~ghHmXHUGj1<)?GQ~FZSk!Zc{4^r@41401@A5Q4a-(d+Jcj%$s50Do z7V{l5(MKFQ93V|tl$R{zFBuP%-^|Qp;HG`Q4RC!giN_@9rS-R)fiH64@1>3^vn2Vr z=toyfg!INt7x0g^$uyCzgxd{W(p<+`q(4fMkNj77`fwF9aE|_;ogj(@n_ETLC)!Yx#O@B%cB0%L|45alP+jIsjuYPPOngnR=^T|Vy}rw509yV zsYIB6ry)_nLhyso_^?0n9pmcJIL?W-4e0(#-4KTgpzrGnuZa1a1tC42)JVaiveQj& z>Zj%{l**@pXZlz2j}n-F)d%{%h!ylt;3NOQO!F&JR@-l?fXsBLaRzz0Jq@Jd)%+Aj z=D}vNe&9Z~y-<5`C;6`_9+a%xBKjQtu18VVnG!ziPaVAZ+Tz04Cgh*|&ko#I+wqk2?QOApb&oK}n|ubCYGxcoeV` zgy%m!f08q=?MnETEYa-|Hz#*Xbu6 zm!KC&?Z7?G11c7-%!f&i{0ppqnxs)A!X%0a_yaHUFXF8iVb6=eO2d~q%)dHXt*n4Q zwSCffzVw6Xi3tpfuCi2{n@$F@NM-)X66tKSbUaB0e4W2~PP6nYDXci~N&GANPFkRX zkW~Xy0ZL(h7wHT_H6Ax{mN-UYgy>QzHz1uSg!x$W7Z%>nq?zU~cn17o2k43T8gO*} zI-eo1?Rly z(aQ2A<*!q#l8w5^|80v(%8Oc0|I$@>tu=eeO$3XMxh&CBbWfx9-l*?^zcbtl zU0Y5K1~)Da9$dcn;h%N@j(E~~k{o#Nk27M$-0ceo$GR5HakJ2$8le0vp*AFCi)b2Jv!Si4BT{E2r57wX8 z^mzTzaXypD4Og7bY)#j6zVYh8-=tFan5h)*1^GEks@+Wc+aEv-wE({r=g>cbQxmM} z``sMzKbDxXh;yZ+GV*2pVGG0wlg@boM=EXjOPVkKbo>-B%V|H>@hM&!hhI<|b#g@W ziiX?uC34+@4t~aGOrl!N#sE!dA7%(ed`fo~yVq6-L-q_{vS63>!}~q>T&9W6Bez;; zOe*9L_&^=tafZp*HDwxgzIR58#e9Y5KjeGJAK8lc69jx3XTYEL=?uqOe*YqIj(7?0 zQ*wRi8!+TM_F-Sas-qYEjG;J`@o?Y30*^9(U?ASP&}+!&68Y#B<$S@JV*0rnjS@Ks z{;(J3V9-bSXci)*Z2O_y;{{OxI-_EbVzgR=RUA5!?l|5F(mR)o87okf1ix3?9#lJ5s@P!5bpITsP K_*?P0U;Y;#!g}cd literal 7879 zcmeHMdvILUd0*id>0r}zaEsd*X$UFP>EI{e1S78@u@f*4H!k*2VhID1>l$f^A6krj z_uvvc&U7F{8B81@DRjo2J|bd(v^VN-W=dvBmDy?%8v` z{?5HClbQa}|N2kS*n4!&cfQ~Eo$q|Fqh0^-+C(BzmzWcMHskZpc5m6SYxmBE`|fL4 zzU;Oqw(V+IzazDE$CkFXmK_ZnTXyW+mTGTUx_r@+MN1p*-M#JUry7GFmREjv24J=xN*e#?{F8}%6nMQph2+Kj%HT(I zrbr7Shlow<1MWz#tNhp-?=PBt)DK8Azq%hfVlK2w13#EvpT<*=FYpm)$X6tl)}h4y zX}a0ZFz1>^4bHUQtR`QB-W=gadPwlei2tg7A>>0yO&@8L!$0hc&Lyxcd3UnYLhmN~80K=6vc`lV0bt>Ud z2MOTkC__1ATQ&Tw8QoP5bjb)|^a^7=WsN`e8*TI#DkxDEbW7=+PmU#ObaSqdw-M&~ z3D8wabL^+LVtOwh)4?jH0)=yy41QHhmu&oEw3$|51pjl`&xH0!Fp!MjgxZ1~EQ^~3w-{qd~w!gDwFe`w^hb#n{X|7^?t znV{EQ`;+}ssc#M6c1L;PFAhZdZ~oMAUP^a_&I8}eu03=2((Xye@zd$k3(q+bU8#cy z4-{_syS766$@aqQD~H}VcyR5PZ@$-l+#meZl6EGxpGUl1Qx^A%`_P?Qod4fbVY`E|jG(1{`ckmB@P_)jal7jjG-8~=5( zq>BH9{U-i|8AGQi0^h0mJwqN0t!$5xkL{&_38btv<g%P#fhSu1G5?m6e*2=E=f81R z*V^35buX^WA=|=!QmCFB3mq;Zzcqdge+oU}MCU_1=u*&$`=e5p#Nc0 zw+FZjiWAi0k7q+aWZXuQ)m7Phhh*My4SZS^@mqnx=?pyhNnQ?c=s&7OC;T6{k$jBl zfgIM6r%ea<+!A zan8l4PX+Fipf+OXJGePN;T*<3UFUTQc0EqQRZ7}QAx==oU`wMP;sN&Kq>LWB2>K6@ z4`zoqNBl}F#78J#UXv$q>yXcaBKC{O|2YSaWLBr-d48UdM}~alHaipNH|R^H3G(}t zN9}^j3KAhtspkUdjr&RVqg@&iCy$(D?h#85Q95+fvZTh1r-5E6Z`1T9H8z|6E*Nbr z+yBtYh3x(sZHnY$zdw=bP?ZYZ`O!x?*B4sud3*jUf8EBmd5J!D_zR#H=dWHH@prSyorT^#Yu9Xm z{dTE6fy?RX1Knh0>^D)a2PR71MG|^D@)0Cz>eK42Kp47( zie*L{UX{wCq#V z7q|ehAt|DhD*Nb9k3n1ad^S8LnaH60s$!U0F$532oko5%Lzh$ z$wmAgWm(jhzY2clkf&v+5rM#u{hvus^EBp^*AnMOi;~CHPU9<3{G^Ft=}G7rRynbE_Ry!HM_c0laRume zpI_V9P@UJ4xcl9)XY2O<{k~8CRnZo0_`CHt-qr(S_4exOV!h&~)fT-xdD90|lfaMT zPdtz?bl_jF2O8flPVMWuX@j9Jh~(c^JUMu3Yb!nYjn_r%+Pjac%L~fx@aBb-C+B;Q`g*sCR;6Ky#7n%c~JKAHKHib$` zI7b=^*7Sp%5@mAWXIf11KGiI>&$XJ|JYn=h9~J0PB1buaU+KX!Ql)(4Bn7VM{9Iks zv<`DvVnhlDYU&F3>^%Bvj7CnH23krK9Iy0tVqb6w>ke{FL??m16!9)};4{i0Kagwq za>lM0GYWS9=|-naBL5#s2cL<#u^i6rumS9#En zc@Ful;s%Trh15EqhZe&Te@g{%fUX|elZ%G|;s*_@k5NYaMV4v@H0nyow3NO@0{c-P zJHlH1A(^3p@I*!nybGfGUh3qe&KpFcGMRU*s~v9a%sQM(C`|p`V{kxMY+<*~tPC79 zI{I?z*mq^vr1@*)Y^?R~Ty|0_7!@=FJC*$uH4%Cc;gNG^vtHx?bp)WhUb$m_;)8~&U|ju zFUP;gK3UxQ_}m-zPc3LFEm=+TS0^$?zjJpD4wvR!42I~P`q|ZUw7>jETL1ieRKDln zfZ?~=2j`XM92|R*epUa_)VX)xC_mbx`3vOy$tNf!=AH%z-K`y^fBX2SBTu}S+1Y)$ z`|xlY_3!Yx$bMyY$v<#E`cr?=tNsJ4Rt_EcYR+ArTlbGgyCVBoLMRR2REkq6l;H{K z!ogTDBvduV*YL9sikE3LrEd!?2QoF;v>82!p_eI$Jjuok`ieF=VV8VKW!#~m7b)mL zTzR-HhU?d52-EN%E2>vfd`caRust*?wBvG{0$%b^oe*IWK5sUDk8>g(m7Q1G!+sR4 z^mKd@_6726Y8-iXoPJ~WaT7m0lCL=QO>Sr9tfcnTg?y1xc%KOPC)TmIOua@giMV1K zn=N#1ejrO_%E$b|^mDK?N8Ip?K0yRIn2${V9R}wN^Z7T+h$lF;GL<^R5YMY>_??D7 zX#+zKkambO?T%9UpJVwZd!RmQbwZ(k%!g%?Bf68}yiJkZvq(wcXWKeqL0~8xOj9%T z%yAd)YxbTEaE=~9^_XlpGSc+ z_{J`syB+7Ttcd*|@)_1aYnM0{$XWzpSsT819raT=1bayIK_pBT)rxt#Mz88DF&ns* zDb6k8p&t2AD)C;8o}@~1SveI=Jv4&+E#7K6dcw87%IKpSz8hvL-XBoditBPbMpyQx zirwS6NPbHN`b=i1@w)2d%~M&t|8Ck<`uL0-T98;|Ad>iyZlH~;=?NjAIiEZ(nGy?aa2=y|JA?vJK{`e*S{C#f5&B2ee2%J+#86lnG$&a z)jxge_vc@3{M${L_HDkj{#*Hjdsi<1`iotMMZ_;S>749T%BJTi@OLBob&Lnvs}hUoOvnmHG^8-k68?^d z5qD`z*fX+^1P8G`eG&Euw1f9t1+9Y0Ibd}G7g*=?-7N2s^RC29;!%QubXTxs>&w1lA=l@Wg>n>By|!2X>fGNFb{khKz}M~ zbPM_io#>N#^Li_yi{tN)Tq=l(^@m(CeuU&8bjgAnRlvGAMbr3rUuwKIDX5U?d(baM z<7afZc>Eqj&QXfRe8@93eAq|BBd_k)6$VF!%vdh+g+Nmmk}&pBN=EuvkW-_lO;><( zam<4lr%Qfjf5@Ab4@}gtNUrr;_z@0dUe%`_cqY!UM-k^0f%yRXTq0rqeyT}C`LVWN z#`{7(9MQ*t({b8?Lh<@%<5hffjA{AF-tixg5G(F6`DkQ($b3H#$({C}&*uNz`ZWFX t(cIhrwA=r+U2aTVH}mQ@LJj5{At?3v&*v8S+yehkEpTo0UGb^m{|0{#XNv#; diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 96d55fa..59bf5b6 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for GR8RAM -Mon Apr 19 01:27:24 2021 +Tue Apr 20 04:00:26 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -86,11 +86,11 @@ applicable agreement for further details. ; Maximum allowed ; 2 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 2 ; +; Maximum used ; 1 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; < 0.1% ; +; Processor 2 ; 0.0% ; +----------------------------+-------------+ @@ -109,7 +109,7 @@ applicable agreement for further details. +------------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+------------+------+ -; 100.46 MHz ; 100.46 MHz ; C25M ; ; +; 101.04 MHz ; 101.04 MHz ; C25M ; ; +------------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -119,8 +119,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -9.468 ; -696.810 ; -; PHI0 ; 0.212 ; 0.000 ; +; C25M ; -9.480 ; -695.573 ; +; PHI0 ; -0.522 ; -0.522 ; +-------+--------+---------------+ @@ -129,8 +129,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; PHI0 ; -0.265 ; -0.265 ; -; C25M ; 1.374 ; 0.000 ; +; PHI0 ; -0.197 ; -0.197 ; +; C25M ; 1.385 ; 0.000 ; +-------+--------+---------------+ @@ -139,7 +139,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -4.404 ; -127.716 ; +; C25M ; -4.399 ; -127.571 ; +-------+--------+---------------+ @@ -148,7 +148,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 4.850 ; 0.000 ; +; C25M ; 4.845 ; 0.000 ; +-------+-------+---------------+ @@ -167,117 +167,118 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; -9.468 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 7.344 ; -; -9.201 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 7.077 ; -; -9.122 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; -; -9.122 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; -; -9.122 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; -; -9.122 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; -; -9.122 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; -; -9.122 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; -; -9.122 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; -; -9.122 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; -; -9.097 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.973 ; -; -9.073 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.949 ; -; -9.028 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; -; -9.028 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; -; -9.028 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; -; -9.028 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; -; -9.028 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; -; -9.028 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; -; -9.028 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; -; -9.028 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; -; -8.999 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.875 ; -; -8.954 ; PS[0] ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.621 ; -; -8.925 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; -; -8.925 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; -; -8.925 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; -; -8.925 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; -; -8.925 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; -; -8.925 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; -; -8.925 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; -; -8.925 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; -; -8.873 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.749 ; -; -8.872 ; PS[0] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; -; -8.872 ; PS[0] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; -; -8.872 ; PS[0] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; -; -8.872 ; PS[0] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; -; -8.872 ; PS[0] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; -; -8.872 ; PS[0] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; -; -8.872 ; PS[0] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; -; -8.872 ; PS[0] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; -; -8.795 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.671 ; -; -8.778 ; PS[0] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; -; -8.778 ; PS[0] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; -; -8.778 ; PS[0] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; -; -8.778 ; PS[0] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; -; -8.778 ; PS[0] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; -; -8.778 ; PS[0] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; -; -8.778 ; PS[0] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; -; -8.778 ; PS[0] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; -; -8.765 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.641 ; -; -8.729 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.605 ; -; -8.728 ; PS[1] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.395 ; -; -8.675 ; PS[0] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; -; -8.675 ; PS[0] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; -; -8.675 ; PS[0] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; -; -8.675 ; PS[0] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; -; -8.675 ; PS[0] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; -; -8.675 ; PS[0] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; -; -8.675 ; PS[0] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; -; -8.675 ; PS[0] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; -; -8.610 ; PS[2] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; -; -8.610 ; PS[2] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; -; -8.610 ; PS[2] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; -; -8.610 ; PS[2] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; -; -8.610 ; PS[2] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; -; -8.610 ; PS[2] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; -; -8.610 ; PS[2] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; -; -8.610 ; PS[2] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; -; -8.590 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.466 ; -; -8.589 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.465 ; -; -8.579 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.455 ; -; -8.559 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.435 ; -; -8.516 ; PS[2] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; -; -8.516 ; PS[2] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; -; -8.516 ; PS[2] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; -; -8.516 ; PS[2] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; -; -8.516 ; PS[2] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; -; -8.516 ; PS[2] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; -; -8.516 ; PS[2] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; -; -8.516 ; PS[2] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; -; -8.469 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.345 ; -; -8.461 ; PS[1] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; -; -8.461 ; PS[1] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; -; -8.461 ; PS[1] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; -; -8.461 ; PS[1] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; -; -8.461 ; PS[1] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; -; -8.461 ; PS[1] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; -; -8.461 ; PS[1] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; -; -8.461 ; PS[1] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; -; -8.413 ; PS[2] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; -; -8.413 ; PS[2] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; -; -8.413 ; PS[2] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; -; -8.413 ; PS[2] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; -; -8.413 ; PS[2] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; -; -8.413 ; PS[2] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; -; -8.413 ; PS[2] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; -; -8.413 ; PS[2] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; -; -8.403 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.070 ; -; -8.384 ; nWEr ; SDOE ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.260 ; -; -8.367 ; PS[1] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.034 ; -; -8.367 ; PS[1] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.034 ; +; -9.480 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 7.377 ; +; -9.226 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 7.123 ; +; -9.117 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 7.014 ; +; -9.113 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 7.010 ; +; -9.094 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.991 ; +; -8.985 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.882 ; +; -8.897 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.564 ; +; -8.742 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.639 ; +; -8.660 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.557 ; +; -8.653 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.550 ; +; -8.647 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.544 ; +; -8.644 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.541 ; +; -8.619 ; ROMSpecRDr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.516 ; +; -8.573 ; IS.state_bit_1 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.240 ; +; -8.539 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.436 ; +; -8.522 ; PS[1] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; +; -8.522 ; PS[1] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; +; -8.522 ; PS[1] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; +; -8.522 ; PS[1] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; +; -8.522 ; PS[1] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; +; -8.522 ; PS[1] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; +; -8.522 ; PS[1] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; +; -8.522 ; PS[1] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.189 ; +; -8.492 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.159 ; +; -8.470 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.367 ; +; -8.366 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.033 ; +; -8.364 ; IS.state_bit_0 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.031 ; +; -8.357 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.024 ; +; -8.319 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.986 ; +; -8.314 ; IS.state_bit_0 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.981 ; +; -8.296 ; nWEr ; SDOE ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.193 ; +; -8.263 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.930 ; +; -8.261 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; +; -8.261 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; +; -8.261 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; +; -8.261 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; +; -8.261 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; +; -8.261 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; +; -8.261 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; +; -8.261 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.158 ; +; -8.237 ; RAMSpecSELr ; SA[10]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.134 ; +; -8.231 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.898 ; +; -8.204 ; IS.state_bit_1 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.871 ; +; -8.198 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.865 ; +; -8.178 ; IS.state_bit_2 ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.845 ; +; -8.169 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.836 ; +; -8.169 ; RAMSpecSELr ; SBA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.066 ; +; -8.164 ; RAMSpecSELr ; SDOE ; PHI0 ; C25M ; 1.000 ; -2.770 ; 6.061 ; +; -8.162 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.829 ; +; -8.159 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.826 ; +; -8.143 ; PS[1] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; +; -8.143 ; PS[1] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; +; -8.143 ; PS[1] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; +; -8.143 ; PS[1] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; +; -8.143 ; PS[1] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; +; -8.143 ; PS[1] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; +; -8.143 ; PS[1] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; +; -8.143 ; PS[1] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.810 ; +; -8.136 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.803 ; +; -8.133 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.800 ; +; -8.130 ; LS[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.797 ; +; -8.129 ; LS[11] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.796 ; +; -8.103 ; PS[3] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; +; -8.103 ; PS[3] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; +; -8.103 ; PS[3] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; +; -8.103 ; PS[3] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; +; -8.103 ; PS[3] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; +; -8.103 ; PS[3] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; +; -8.103 ; PS[3] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; +; -8.103 ; PS[3] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.770 ; +; -8.081 ; PS[3] ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.748 ; +; -8.080 ; PS[1] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.747 ; +; -8.064 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.731 ; +; -8.061 ; IS.state_bit_0 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.044 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.941 ; +; -8.022 ; LS[8] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.689 ; +; -7.969 ; PS[0] ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.636 ; +; -7.960 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.627 ; +; -7.956 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.623 ; +; -7.953 ; LS[8] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.620 ; +; -7.945 ; IS.state_bit_0 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.612 ; +; -7.905 ; PS[0] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.905 ; PS[0] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.905 ; PS[0] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.905 ; PS[0] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.905 ; PS[0] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.905 ; PS[0] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.905 ; PS[0] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.905 ; PS[0] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ; +; -7.882 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; +; -7.882 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; +; -7.882 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; +; -7.882 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; +; -7.882 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; +; -7.882 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; +; -7.882 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; +; -7.882 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.779 ; +; -7.878 ; RAMSpecSELr ; SBA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.770 ; 5.775 ; +; -7.854 ; PS[1] ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.521 ; +; -7.844 ; REGEN ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.511 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -+-------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI0' ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; 0.212 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 3.246 ; -; 0.711 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 2.747 ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------+ +; Setup: 'PHI0' ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; -0.522 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.770 ; 3.959 ; +; -0.319 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.770 ; 3.756 ; +; 0.643 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.770 ; 2.794 ; ++--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +--------------------------------------------------------------------------------------------------------+ @@ -285,8 +286,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.265 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 2.747 ; -; 0.234 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 3.246 ; +; -0.197 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.770 ; 2.794 ; +; 0.765 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.770 ; 3.756 ; +; 0.968 ; Addr[23] ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.770 ; 3.959 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -295,106 +297,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.374 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.595 ; -; 1.375 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.596 ; -; 1.396 ; nRESr0 ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.617 ; -; 1.518 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.197 ; -; 1.653 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.874 ; -; 1.653 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.874 ; -; 1.654 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.875 ; -; 1.657 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.878 ; -; 1.693 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.914 ; -; 1.768 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.989 ; -; 1.783 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.004 ; -; 1.827 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.048 ; -; 1.836 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.057 ; -; 1.837 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.058 ; -; 1.942 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.163 ; -; 2.004 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.225 ; -; 2.018 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.197 ; -; 2.084 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.305 ; -; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; -; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 1.385 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.606 ; +; 1.402 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.623 ; +; 1.402 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.623 ; +; 1.412 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; +; 1.414 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.635 ; +; 1.421 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.642 ; +; 1.665 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.886 ; +; 1.670 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.349 ; +; 1.738 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 1.959 ; +; 1.849 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.070 ; +; 1.871 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.092 ; +; 1.907 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.128 ; +; 1.918 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.139 ; +; 1.939 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.160 ; +; 1.946 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.167 ; +; 1.961 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.182 ; +; 2.011 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.232 ; +; 2.111 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.332 ; ; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.121 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.342 ; -; 2.124 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; -; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.131 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.352 ; -; 2.135 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; -; 2.137 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; -; 2.142 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.363 ; -; 2.144 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.144 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.144 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.144 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.147 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.368 ; -; 2.165 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.386 ; -; 2.212 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.213 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.434 ; +; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.118 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.339 ; +; 2.124 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; +; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.128 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.349 ; +; 2.134 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; +; 2.140 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.361 ; +; 2.143 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; +; 2.145 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.154 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.375 ; +; 2.170 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.349 ; +; 2.183 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.404 ; +; 2.188 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.409 ; +; 2.196 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.417 ; +; 2.221 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.222 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; +; 2.228 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.449 ; +; 2.230 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.231 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.232 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; ; 2.232 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.241 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; +; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.248 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; ; 2.249 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.251 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.252 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; -; 2.253 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; +; 2.249 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.253 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; +; 2.253 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; +; 2.259 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.480 ; +; 2.260 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; ; 2.261 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; ; 2.261 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.269 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.490 ; -; 2.280 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.501 ; -; 2.325 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.546 ; -; 2.350 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.571 ; -; 2.529 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.750 ; -; 2.545 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.766 ; -; 2.551 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.772 ; -; 2.621 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.842 ; -; 2.627 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.848 ; -; 2.675 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.896 ; -; 2.701 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.922 ; -; 2.751 ; IS.state_bit_1 ; IS.state_bit_1 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.972 ; -; 2.848 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.069 ; -; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; -; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.262 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; +; 2.262 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; +; 2.298 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.519 ; +; 2.313 ; IS.state_bit_2 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.534 ; +; 2.334 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.555 ; +; 2.337 ; PS[3] ; SA[10]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.558 ; +; 2.342 ; PS[3] ; SBA[0]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.563 ; +; 2.342 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.563 ; +; 2.372 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.593 ; +; 2.410 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.631 ; +; 2.443 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.664 ; +; 2.460 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.681 ; +; 2.636 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.857 ; +; 2.707 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.928 ; +; 2.712 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.933 ; +; 2.817 ; Addr[21] ; SA[11]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.038 ; +; 2.835 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.056 ; +; 2.870 ; Addr[0] ; DQML~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.091 ; +; 2.885 ; PS[2] ; SBA[0]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.106 ; +; 2.915 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.136 ; +; 2.939 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; +; 2.949 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.956 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; -; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.958 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.964 ; PS[2] ; SA[5]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.185 ; -; 2.974 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.195 ; -; 2.976 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; -; 2.976 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; -; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; -; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.956 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; +; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.958 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.959 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; +; 2.966 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; +; 2.972 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.193 ; +; 2.975 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.196 ; +; 2.977 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ; ; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[9] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; ; 3.060 ; Addr[1] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.067 ; Addr[16] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.288 ; -; 3.068 ; Addr[8] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.289 ; -; 3.069 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; -; 3.069 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; -; 3.069 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; -; 3.085 ; Addr[0] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.306 ; -; 3.087 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.308 ; -; 3.087 ; LS[8] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.308 ; +; 3.060 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.061 ; IS.state_bit_1 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.282 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -403,35 +405,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; -4.404 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; -; -4.404 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.399 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +; -4.399 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.066 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -440,35 +442,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 4.850 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; -; 4.850 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.845 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +; 4.845 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.066 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -608,62 +610,68 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; 4.861 ; 4.861 ; Rise ; C25M ; -; MOSI ; C25M ; 5.054 ; 5.054 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.072 ; 2.072 ; Rise ; C25M ; -; RA[*] ; C25M ; 13.938 ; 13.938 ; Rise ; C25M ; -; RA[0] ; C25M ; 8.513 ; 8.513 ; Rise ; C25M ; -; RA[1] ; C25M ; 8.082 ; 8.082 ; Rise ; C25M ; -; RA[2] ; C25M ; 8.927 ; 8.927 ; Rise ; C25M ; -; RA[3] ; C25M ; 9.459 ; 9.459 ; Rise ; C25M ; -; RA[4] ; C25M ; 6.718 ; 6.718 ; Rise ; C25M ; -; RA[5] ; C25M ; 8.293 ; 8.293 ; Rise ; C25M ; -; RA[6] ; C25M ; 6.561 ; 6.561 ; Rise ; C25M ; -; RA[7] ; C25M ; 11.859 ; 11.859 ; Rise ; C25M ; -; RA[8] ; C25M ; 12.000 ; 12.000 ; Rise ; C25M ; -; RA[9] ; C25M ; 12.068 ; 12.068 ; Rise ; C25M ; -; RA[10] ; C25M ; 13.578 ; 13.578 ; Rise ; C25M ; -; RA[11] ; C25M ; 13.170 ; 13.170 ; Rise ; C25M ; -; RA[12] ; C25M ; 13.525 ; 13.525 ; Rise ; C25M ; -; RA[13] ; C25M ; 13.254 ; 13.254 ; Rise ; C25M ; -; RA[14] ; C25M ; 13.903 ; 13.903 ; Rise ; C25M ; -; RA[15] ; C25M ; 13.938 ; 13.938 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.255 ; 6.255 ; Rise ; C25M ; -; RD[0] ; C25M ; 5.390 ; 5.390 ; Rise ; C25M ; -; RD[1] ; C25M ; 5.017 ; 5.017 ; Rise ; C25M ; -; RD[2] ; C25M ; 4.088 ; 4.088 ; Rise ; C25M ; -; RD[3] ; C25M ; 4.968 ; 4.968 ; Rise ; C25M ; -; RD[4] ; C25M ; 4.673 ; 4.673 ; Rise ; C25M ; -; RD[5] ; C25M ; 5.287 ; 5.287 ; Rise ; C25M ; -; RD[6] ; C25M ; 3.485 ; 3.485 ; Rise ; C25M ; -; RD[7] ; C25M ; 6.255 ; 6.255 ; Rise ; C25M ; -; SD[*] ; C25M ; 7.093 ; 7.093 ; Rise ; C25M ; -; SD[0] ; C25M ; 5.171 ; 5.171 ; Rise ; C25M ; -; SD[1] ; C25M ; 4.574 ; 4.574 ; Rise ; C25M ; -; SD[2] ; C25M ; 3.868 ; 3.868 ; Rise ; C25M ; -; SD[3] ; C25M ; 7.093 ; 7.093 ; Rise ; C25M ; -; SD[4] ; C25M ; 5.421 ; 5.421 ; Rise ; C25M ; -; SD[5] ; C25M ; 4.486 ; 4.486 ; Rise ; C25M ; -; SD[6] ; C25M ; 5.447 ; 5.447 ; Rise ; C25M ; -; SD[7] ; C25M ; 4.770 ; 4.770 ; Rise ; C25M ; -; nDEVSEL ; C25M ; 9.132 ; 9.132 ; Rise ; C25M ; -; nIOSEL ; C25M ; 7.291 ; 7.291 ; Rise ; C25M ; -; nRES ; C25M ; 3.835 ; 3.835 ; Rise ; C25M ; -; RA[*] ; PHI0 ; 5.752 ; 5.752 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 2.416 ; 2.416 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; 1.738 ; 1.738 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 2.742 ; 2.742 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 3.681 ; 3.681 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; 3.673 ; 3.673 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; 3.814 ; 3.814 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; 3.882 ; 3.882 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; 5.392 ; 5.392 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; 4.984 ; 4.984 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; 5.339 ; 5.339 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; 5.068 ; 5.068 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; 5.717 ; 5.717 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 5.752 ; 5.752 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 1.031 ; 1.031 ; Rise ; PHI0 ; +; MISO ; C25M ; 3.647 ; 3.647 ; Rise ; C25M ; +; MOSI ; C25M ; 3.258 ; 3.258 ; Rise ; C25M ; +; PHI0 ; C25M ; 2.224 ; 2.224 ; Rise ; C25M ; +; RA[*] ; C25M ; 14.550 ; 14.550 ; Rise ; C25M ; +; RA[0] ; C25M ; 9.707 ; 9.707 ; Rise ; C25M ; +; RA[1] ; C25M ; 9.383 ; 9.383 ; Rise ; C25M ; +; RA[2] ; C25M ; 9.220 ; 9.220 ; Rise ; C25M ; +; RA[3] ; C25M ; 9.411 ; 9.411 ; Rise ; C25M ; +; RA[4] ; C25M ; 6.312 ; 6.312 ; Rise ; C25M ; +; RA[5] ; C25M ; 6.723 ; 6.723 ; Rise ; C25M ; +; RA[6] ; C25M ; 6.226 ; 6.226 ; Rise ; C25M ; +; RA[7] ; C25M ; 9.810 ; 9.810 ; Rise ; C25M ; +; RA[8] ; C25M ; 13.947 ; 13.947 ; Rise ; C25M ; +; RA[9] ; C25M ; 14.550 ; 14.550 ; Rise ; C25M ; +; RA[10] ; C25M ; 13.252 ; 13.252 ; Rise ; C25M ; +; RA[11] ; C25M ; 13.106 ; 13.106 ; Rise ; C25M ; +; RA[12] ; C25M ; 12.557 ; 12.557 ; Rise ; C25M ; +; RA[13] ; C25M ; 12.912 ; 12.912 ; Rise ; C25M ; +; RA[14] ; C25M ; 12.536 ; 12.536 ; Rise ; C25M ; +; RA[15] ; C25M ; 12.221 ; 12.221 ; Rise ; C25M ; +; RD[*] ; C25M ; 5.373 ; 5.373 ; Rise ; C25M ; +; RD[0] ; C25M ; 4.708 ; 4.708 ; Rise ; C25M ; +; RD[1] ; C25M ; 4.030 ; 4.030 ; Rise ; C25M ; +; RD[2] ; C25M ; 3.966 ; 3.966 ; Rise ; C25M ; +; RD[3] ; C25M ; 4.000 ; 4.000 ; Rise ; C25M ; +; RD[4] ; C25M ; 4.128 ; 4.128 ; Rise ; C25M ; +; RD[5] ; C25M ; 4.589 ; 4.589 ; Rise ; C25M ; +; RD[6] ; C25M ; 4.796 ; 4.796 ; Rise ; C25M ; +; RD[7] ; C25M ; 5.373 ; 5.373 ; Rise ; C25M ; +; SD[*] ; C25M ; 5.245 ; 5.245 ; Rise ; C25M ; +; SD[0] ; C25M ; 5.245 ; 5.245 ; Rise ; C25M ; +; SD[1] ; C25M ; 3.881 ; 3.881 ; Rise ; C25M ; +; SD[2] ; C25M ; 5.072 ; 5.072 ; Rise ; C25M ; +; SD[3] ; C25M ; 4.744 ; 4.744 ; Rise ; C25M ; +; SD[4] ; C25M ; 3.461 ; 3.461 ; Rise ; C25M ; +; SD[5] ; C25M ; 3.317 ; 3.317 ; Rise ; C25M ; +; SD[6] ; C25M ; 4.210 ; 4.210 ; Rise ; C25M ; +; SD[7] ; C25M ; 3.715 ; 3.715 ; Rise ; C25M ; +; SetFW[*] ; C25M ; 8.003 ; 8.003 ; Rise ; C25M ; +; SetFW[0] ; C25M ; 4.445 ; 4.445 ; Rise ; C25M ; +; SetFW[1] ; C25M ; 8.003 ; 8.003 ; Rise ; C25M ; +; nDEVSEL ; C25M ; 8.537 ; 8.537 ; Rise ; C25M ; +; nIOSEL ; C25M ; 7.483 ; 7.483 ; Rise ; C25M ; +; nRES ; C25M ; 5.821 ; 5.821 ; Rise ; C25M ; +; RA[*] ; PHI0 ; 7.025 ; 7.025 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; 3.368 ; 3.368 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; 3.076 ; 3.076 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; 3.235 ; 3.235 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; 3.426 ; 3.426 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; 2.285 ; 2.285 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; 6.422 ; 6.422 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; 7.025 ; 7.025 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; 5.727 ; 5.727 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; 5.581 ; 5.581 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; 5.032 ; 5.032 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; 5.387 ; 5.387 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; 5.011 ; 5.011 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; 4.696 ; 4.696 ; Rise ; PHI0 ; +; SetFW[*] ; PHI0 ; 2.529 ; 2.529 ; Rise ; PHI0 ; +; SetFW[0] ; PHI0 ; 0.787 ; 0.787 ; Rise ; PHI0 ; +; SetFW[1] ; PHI0 ; 2.529 ; 2.529 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 1.133 ; 1.133 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -672,62 +680,68 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -4.307 ; -4.307 ; Rise ; C25M ; -; MOSI ; C25M ; -4.500 ; -4.500 ; Rise ; C25M ; -; PHI0 ; C25M ; -1.518 ; -1.518 ; Rise ; C25M ; -; RA[*] ; C25M ; -4.651 ; -4.651 ; Rise ; C25M ; -; RA[0] ; C25M ; -4.868 ; -4.868 ; Rise ; C25M ; -; RA[1] ; C25M ; -4.755 ; -4.755 ; Rise ; C25M ; -; RA[2] ; C25M ; -4.651 ; -4.651 ; Rise ; C25M ; -; RA[3] ; C25M ; -5.587 ; -5.587 ; Rise ; C25M ; -; RA[4] ; C25M ; -6.164 ; -6.164 ; Rise ; C25M ; -; RA[5] ; C25M ; -7.739 ; -7.739 ; Rise ; C25M ; -; RA[6] ; C25M ; -6.007 ; -6.007 ; Rise ; C25M ; -; RA[7] ; C25M ; -7.911 ; -7.911 ; Rise ; C25M ; -; RA[8] ; C25M ; -5.340 ; -5.340 ; Rise ; C25M ; -; RA[9] ; C25M ; -5.100 ; -5.100 ; Rise ; C25M ; -; RA[10] ; C25M ; -5.525 ; -5.525 ; Rise ; C25M ; -; RA[11] ; C25M ; -6.207 ; -6.207 ; Rise ; C25M ; -; RA[12] ; C25M ; -9.577 ; -9.577 ; Rise ; C25M ; -; RA[13] ; C25M ; -9.306 ; -9.306 ; Rise ; C25M ; -; RA[14] ; C25M ; -9.955 ; -9.955 ; Rise ; C25M ; -; RA[15] ; C25M ; -9.990 ; -9.990 ; Rise ; C25M ; -; RD[*] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.698 ; -2.698 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.624 ; -2.624 ; Rise ; C25M ; -; RD[2] ; C25M ; -2.734 ; -2.734 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.609 ; -2.609 ; Rise ; C25M ; -; RD[4] ; C25M ; -2.625 ; -2.625 ; Rise ; C25M ; -; RD[5] ; C25M ; -2.663 ; -2.663 ; Rise ; C25M ; -; RD[6] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; -; RD[7] ; C25M ; -2.167 ; -2.167 ; Rise ; C25M ; -; SD[*] ; C25M ; -3.314 ; -3.314 ; Rise ; C25M ; -; SD[0] ; C25M ; -4.617 ; -4.617 ; Rise ; C25M ; -; SD[1] ; C25M ; -4.020 ; -4.020 ; Rise ; C25M ; -; SD[2] ; C25M ; -3.314 ; -3.314 ; Rise ; C25M ; -; SD[3] ; C25M ; -6.539 ; -6.539 ; Rise ; C25M ; -; SD[4] ; C25M ; -4.867 ; -4.867 ; Rise ; C25M ; -; SD[5] ; C25M ; -3.932 ; -3.932 ; Rise ; C25M ; -; SD[6] ; C25M ; -4.893 ; -4.893 ; Rise ; C25M ; -; SD[7] ; C25M ; -4.216 ; -4.216 ; Rise ; C25M ; -; nDEVSEL ; C25M ; -3.398 ; -3.398 ; Rise ; C25M ; -; nIOSEL ; C25M ; -6.712 ; -6.712 ; Rise ; C25M ; -; nRES ; C25M ; -3.281 ; -3.281 ; Rise ; C25M ; -; RA[*] ; PHI0 ; -1.124 ; -1.124 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; -1.862 ; -1.862 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; -1.184 ; -1.184 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; -2.188 ; -2.188 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; -3.127 ; -3.127 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; -3.119 ; -3.119 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; -1.376 ; -1.376 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; -1.444 ; -1.444 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; -2.954 ; -2.954 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; -1.124 ; -1.124 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; -3.628 ; -3.628 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; -3.357 ; -3.357 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; -4.006 ; -4.006 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; -4.041 ; -4.041 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 0.091 ; 0.091 ; Rise ; PHI0 ; +; MISO ; C25M ; -3.093 ; -3.093 ; Rise ; C25M ; +; MOSI ; C25M ; -2.704 ; -2.704 ; Rise ; C25M ; +; PHI0 ; C25M ; -1.670 ; -1.670 ; Rise ; C25M ; +; RA[*] ; C25M ; -3.926 ; -3.926 ; Rise ; C25M ; +; RA[0] ; C25M ; -5.435 ; -5.435 ; Rise ; C25M ; +; RA[1] ; C25M ; -4.639 ; -4.639 ; Rise ; C25M ; +; RA[2] ; C25M ; -4.352 ; -4.352 ; Rise ; C25M ; +; RA[3] ; C25M ; -6.076 ; -6.076 ; Rise ; C25M ; +; RA[4] ; C25M ; -5.758 ; -5.758 ; Rise ; C25M ; +; RA[5] ; C25M ; -6.169 ; -6.169 ; Rise ; C25M ; +; RA[6] ; C25M ; -5.672 ; -5.672 ; Rise ; C25M ; +; RA[7] ; C25M ; -5.342 ; -5.342 ; Rise ; C25M ; +; RA[8] ; C25M ; -4.277 ; -4.277 ; Rise ; C25M ; +; RA[9] ; C25M ; -4.193 ; -4.193 ; Rise ; C25M ; +; RA[10] ; C25M ; -4.568 ; -4.568 ; Rise ; C25M ; +; RA[11] ; C25M ; -3.926 ; -3.926 ; Rise ; C25M ; +; RA[12] ; C25M ; -9.369 ; -9.369 ; Rise ; C25M ; +; RA[13] ; C25M ; -9.724 ; -9.724 ; Rise ; C25M ; +; RA[14] ; C25M ; -9.348 ; -9.348 ; Rise ; C25M ; +; RA[15] ; C25M ; -9.033 ; -9.033 ; Rise ; C25M ; +; RD[*] ; C25M ; -2.089 ; -2.089 ; Rise ; C25M ; +; RD[0] ; C25M ; -2.153 ; -2.153 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.194 ; -2.194 ; Rise ; C25M ; +; RD[2] ; C25M ; -2.124 ; -2.124 ; Rise ; C25M ; +; RD[3] ; C25M ; -2.089 ; -2.089 ; Rise ; C25M ; +; RD[4] ; C25M ; -2.109 ; -2.109 ; Rise ; C25M ; +; RD[5] ; C25M ; -2.138 ; -2.138 ; Rise ; C25M ; +; RD[6] ; C25M ; -2.661 ; -2.661 ; Rise ; C25M ; +; RD[7] ; C25M ; -3.452 ; -3.452 ; Rise ; C25M ; +; SD[*] ; C25M ; -2.763 ; -2.763 ; Rise ; C25M ; +; SD[0] ; C25M ; -4.691 ; -4.691 ; Rise ; C25M ; +; SD[1] ; C25M ; -3.327 ; -3.327 ; Rise ; C25M ; +; SD[2] ; C25M ; -4.518 ; -4.518 ; Rise ; C25M ; +; SD[3] ; C25M ; -4.190 ; -4.190 ; Rise ; C25M ; +; SD[4] ; C25M ; -2.907 ; -2.907 ; Rise ; C25M ; +; SD[5] ; C25M ; -2.763 ; -2.763 ; Rise ; C25M ; +; SD[6] ; C25M ; -3.656 ; -3.656 ; Rise ; C25M ; +; SD[7] ; C25M ; -3.161 ; -3.161 ; Rise ; C25M ; +; SetFW[*] ; C25M ; -3.891 ; -3.891 ; Rise ; C25M ; +; SetFW[0] ; C25M ; -3.891 ; -3.891 ; Rise ; C25M ; +; SetFW[1] ; C25M ; -4.150 ; -4.150 ; Rise ; C25M ; +; nDEVSEL ; C25M ; -3.415 ; -3.415 ; Rise ; C25M ; +; nIOSEL ; C25M ; -6.363 ; -6.363 ; Rise ; C25M ; +; nRES ; C25M ; -5.267 ; -5.267 ; Rise ; C25M ; +; RA[*] ; PHI0 ; -0.733 ; -0.733 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; -2.814 ; -2.814 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; -2.522 ; -2.522 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; -2.681 ; -2.681 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; -2.872 ; -2.872 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; -1.731 ; -1.731 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; -2.126 ; -2.126 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; -2.729 ; -2.729 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; -1.431 ; -1.431 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; -0.804 ; -0.804 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; -1.069 ; -1.069 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; -1.424 ; -1.424 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; -1.048 ; -1.048 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; -0.733 ; -0.733 ; Rise ; PHI0 ; +; SetFW[*] ; PHI0 ; -0.233 ; -0.233 ; Rise ; PHI0 ; +; SetFW[0] ; PHI0 ; -0.233 ; -0.233 ; Rise ; PHI0 ; +; SetFW[1] ; PHI0 ; -1.975 ; -1.975 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 0.253 ; 0.253 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -736,55 +750,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 8.306 ; 8.306 ; Rise ; C25M ; -; DQML ; C25M ; 8.935 ; 8.935 ; Rise ; C25M ; -; FCK ; C25M ; 8.986 ; 8.986 ; Rise ; C25M ; -; MOSI ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; -; RCKE ; C25M ; 9.311 ; 9.311 ; Rise ; C25M ; -; RD[*] ; C25M ; 9.599 ; 9.599 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.289 ; 8.289 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.191 ; 8.191 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.316 ; 8.316 ; Rise ; C25M ; -; RD[3] ; C25M ; 9.599 ; 9.599 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.790 ; 8.790 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.643 ; 8.643 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.747 ; 8.747 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.857 ; 8.857 ; Rise ; C25M ; -; RDdir ; C25M ; 14.351 ; 14.351 ; Rise ; C25M ; -; SA[*] ; C25M ; 8.845 ; 8.845 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.193 ; 8.193 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.141 ; 8.141 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.105 ; 8.105 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.558 ; 8.558 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.552 ; 8.552 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.678 ; 8.678 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.540 ; 8.540 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.566 ; 8.566 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.225 ; 8.225 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.043 ; 8.043 ; Rise ; C25M ; -; SA[10] ; C25M ; 8.058 ; 8.058 ; Rise ; C25M ; -; SA[11] ; C25M ; 7.991 ; 7.991 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.845 ; 8.845 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.866 ; 8.866 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.821 ; 8.821 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.866 ; 8.866 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.494 ; 8.494 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.488 ; 8.488 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.053 ; 8.053 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.494 ; 8.494 ; Rise ; C25M ; -; SD[3] ; C25M ; 7.584 ; 7.584 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.442 ; 8.442 ; Rise ; C25M ; -; SD[5] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.445 ; 8.445 ; Rise ; C25M ; -; SD[7] ; C25M ; 6.950 ; 6.950 ; Rise ; C25M ; -; nCAS ; C25M ; 8.325 ; 8.325 ; Rise ; C25M ; -; nFCS ; C25M ; 8.074 ; 8.074 ; Rise ; C25M ; -; nRAS ; C25M ; 8.416 ; 8.416 ; Rise ; C25M ; -; nRCS ; C25M ; 10.070 ; 10.070 ; Rise ; C25M ; -; nRESout ; C25M ; 8.766 ; 8.766 ; Rise ; C25M ; -; nSWE ; C25M ; 9.380 ; 9.380 ; Rise ; C25M ; -; RDdir ; PHI0 ; 10.495 ; 10.495 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 10.495 ; 10.495 ; Fall ; PHI0 ; +; DQMH ; C25M ; 9.374 ; 9.374 ; Rise ; C25M ; +; DQML ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; +; FCK ; C25M ; 8.081 ; 8.081 ; Rise ; C25M ; +; MOSI ; C25M ; 8.903 ; 8.903 ; Rise ; C25M ; +; RCKE ; C25M ; 9.484 ; 9.484 ; Rise ; C25M ; +; RD[*] ; C25M ; 9.917 ; 9.917 ; Rise ; C25M ; +; RD[0] ; C25M ; 8.346 ; 8.346 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.265 ; 8.265 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.346 ; 8.346 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; +; RD[4] ; C25M ; 9.375 ; 9.375 ; Rise ; C25M ; +; RD[5] ; C25M ; 8.984 ; 8.984 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.913 ; 8.913 ; Rise ; C25M ; +; RD[7] ; C25M ; 9.917 ; 9.917 ; Rise ; C25M ; +; RDdir ; C25M ; 16.629 ; 16.629 ; Rise ; C25M ; +; SA[*] ; C25M ; 9.394 ; 9.394 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.606 ; 8.606 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.584 ; 8.584 ; Rise ; C25M ; +; SA[2] ; C25M ; 8.798 ; 8.798 ; Rise ; C25M ; +; SA[3] ; C25M ; 8.651 ; 8.651 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.242 ; 8.242 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.647 ; 8.647 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.669 ; 8.669 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.116 ; 8.116 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.234 ; 8.234 ; Rise ; C25M ; +; SA[9] ; C25M ; 7.567 ; 7.567 ; Rise ; C25M ; +; SA[10] ; C25M ; 9.394 ; 9.394 ; Rise ; C25M ; +; SA[11] ; C25M ; 8.116 ; 8.116 ; Rise ; C25M ; +; SA[12] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.073 ; 8.073 ; Rise ; C25M ; +; SBA[1] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; +; SD[*] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; +; SD[0] ; C25M ; 8.113 ; 8.113 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.574 ; 8.574 ; Rise ; C25M ; +; SD[3] ; C25M ; 8.249 ; 8.249 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; +; SD[5] ; C25M ; 8.113 ; 8.113 ; Rise ; C25M ; +; SD[6] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; +; SD[7] ; C25M ; 8.241 ; 8.241 ; Rise ; C25M ; +; nCAS ; C25M ; 8.329 ; 8.329 ; Rise ; C25M ; +; nFCS ; C25M ; 6.964 ; 6.964 ; Rise ; C25M ; +; nRAS ; C25M ; 8.349 ; 8.349 ; Rise ; C25M ; +; nRCS ; C25M ; 9.461 ; 9.461 ; Rise ; C25M ; +; nRESout ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; +; nSWE ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; +; RDdir ; PHI0 ; 11.026 ; 11.026 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 11.026 ; 11.026 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -793,55 +807,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 8.306 ; 8.306 ; Rise ; C25M ; -; DQML ; C25M ; 8.935 ; 8.935 ; Rise ; C25M ; -; FCK ; C25M ; 8.986 ; 8.986 ; Rise ; C25M ; -; MOSI ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; -; RCKE ; C25M ; 9.311 ; 9.311 ; Rise ; C25M ; -; RD[*] ; C25M ; 8.191 ; 8.191 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.289 ; 8.289 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.191 ; 8.191 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.316 ; 8.316 ; Rise ; C25M ; -; RD[3] ; C25M ; 9.599 ; 9.599 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.790 ; 8.790 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.643 ; 8.643 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.747 ; 8.747 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.857 ; 8.857 ; Rise ; C25M ; -; RDdir ; C25M ; 9.747 ; 9.747 ; Rise ; C25M ; -; SA[*] ; C25M ; 7.991 ; 7.991 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.193 ; 8.193 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.141 ; 8.141 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.105 ; 8.105 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.558 ; 8.558 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.552 ; 8.552 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.678 ; 8.678 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.540 ; 8.540 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.566 ; 8.566 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.225 ; 8.225 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.043 ; 8.043 ; Rise ; C25M ; -; SA[10] ; C25M ; 8.058 ; 8.058 ; Rise ; C25M ; -; SA[11] ; C25M ; 7.991 ; 7.991 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.845 ; 8.845 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.821 ; 8.821 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.821 ; 8.821 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.866 ; 8.866 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.950 ; 6.950 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.488 ; 8.488 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.053 ; 8.053 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.494 ; 8.494 ; Rise ; C25M ; -; SD[3] ; C25M ; 7.584 ; 7.584 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.442 ; 8.442 ; Rise ; C25M ; -; SD[5] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.445 ; 8.445 ; Rise ; C25M ; -; SD[7] ; C25M ; 6.950 ; 6.950 ; Rise ; C25M ; -; nCAS ; C25M ; 8.325 ; 8.325 ; Rise ; C25M ; -; nFCS ; C25M ; 8.074 ; 8.074 ; Rise ; C25M ; -; nRAS ; C25M ; 8.416 ; 8.416 ; Rise ; C25M ; -; nRCS ; C25M ; 10.070 ; 10.070 ; Rise ; C25M ; -; nRESout ; C25M ; 8.766 ; 8.766 ; Rise ; C25M ; -; nSWE ; C25M ; 9.380 ; 9.380 ; Rise ; C25M ; -; RDdir ; PHI0 ; 10.495 ; 10.495 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 10.495 ; 10.495 ; Fall ; PHI0 ; +; DQMH ; C25M ; 9.374 ; 9.374 ; Rise ; C25M ; +; DQML ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; +; FCK ; C25M ; 8.081 ; 8.081 ; Rise ; C25M ; +; MOSI ; C25M ; 8.903 ; 8.903 ; Rise ; C25M ; +; RCKE ; C25M ; 9.484 ; 9.484 ; Rise ; C25M ; +; RD[*] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; +; RD[0] ; C25M ; 8.346 ; 8.346 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.265 ; 8.265 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.346 ; 8.346 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ; +; RD[4] ; C25M ; 9.375 ; 9.375 ; Rise ; C25M ; +; RD[5] ; C25M ; 8.984 ; 8.984 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.913 ; 8.913 ; Rise ; C25M ; +; RD[7] ; C25M ; 9.917 ; 9.917 ; Rise ; C25M ; +; RDdir ; C25M ; 9.501 ; 9.501 ; Rise ; C25M ; +; SA[*] ; C25M ; 7.567 ; 7.567 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.606 ; 8.606 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.584 ; 8.584 ; Rise ; C25M ; +; SA[2] ; C25M ; 8.798 ; 8.798 ; Rise ; C25M ; +; SA[3] ; C25M ; 8.651 ; 8.651 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.242 ; 8.242 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.647 ; 8.647 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.669 ; 8.669 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.116 ; 8.116 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.234 ; 8.234 ; Rise ; C25M ; +; SA[9] ; C25M ; 7.567 ; 7.567 ; Rise ; C25M ; +; SA[10] ; C25M ; 9.394 ; 9.394 ; Rise ; C25M ; +; SA[11] ; C25M ; 8.116 ; 8.116 ; Rise ; C25M ; +; SA[12] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.073 ; 8.073 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.073 ; 8.073 ; Rise ; C25M ; +; SBA[1] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; +; SD[*] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ; +; SD[0] ; C25M ; 8.113 ; 8.113 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.574 ; 8.574 ; Rise ; C25M ; +; SD[3] ; C25M ; 8.249 ; 8.249 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; +; SD[5] ; C25M ; 8.113 ; 8.113 ; Rise ; C25M ; +; SD[6] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; +; SD[7] ; C25M ; 8.241 ; 8.241 ; Rise ; C25M ; +; nCAS ; C25M ; 8.329 ; 8.329 ; Rise ; C25M ; +; nFCS ; C25M ; 6.964 ; 6.964 ; Rise ; C25M ; +; nRAS ; C25M ; 8.349 ; 8.349 ; Rise ; C25M ; +; nRCS ; C25M ; 9.461 ; 9.461 ; Rise ; C25M ; +; nRESout ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; +; nSWE ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; +; RDdir ; PHI0 ; 11.026 ; 11.026 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 11.026 ; 11.026 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -850,44 +864,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.238 ; ; ; 8.238 ; -; INTin ; INTout ; 9.741 ; ; ; 9.741 ; -; nDEVSEL ; RD[0] ; 13.931 ; ; ; 13.931 ; -; nDEVSEL ; RD[1] ; 13.931 ; ; ; 13.931 ; -; nDEVSEL ; RD[2] ; 13.931 ; ; ; 13.931 ; -; nDEVSEL ; RD[3] ; 13.932 ; ; ; 13.932 ; -; nDEVSEL ; RD[4] ; 13.932 ; ; ; 13.932 ; -; nDEVSEL ; RD[5] ; 13.932 ; ; ; 13.932 ; -; nDEVSEL ; RD[6] ; 13.367 ; ; ; 13.367 ; -; nDEVSEL ; RD[7] ; 13.367 ; ; ; 13.367 ; -; nDEVSEL ; RDdir ; 14.924 ; ; ; 14.924 ; -; nIOSEL ; RD[0] ; 13.917 ; ; ; 13.917 ; -; nIOSEL ; RD[1] ; 13.917 ; ; ; 13.917 ; -; nIOSEL ; RD[2] ; 13.917 ; ; ; 13.917 ; -; nIOSEL ; RD[3] ; 13.918 ; ; ; 13.918 ; -; nIOSEL ; RD[4] ; 13.918 ; ; ; 13.918 ; -; nIOSEL ; RD[5] ; 13.918 ; ; ; 13.918 ; -; nIOSEL ; RD[6] ; 13.353 ; ; ; 13.353 ; -; nIOSEL ; RD[7] ; 13.353 ; ; ; 13.353 ; -; nIOSEL ; RDdir ; 14.910 ; ; ; 14.910 ; -; nIOSTRB ; RD[0] ; 13.766 ; ; ; 13.766 ; -; nIOSTRB ; RD[1] ; 13.766 ; ; ; 13.766 ; -; nIOSTRB ; RD[2] ; 13.766 ; ; ; 13.766 ; -; nIOSTRB ; RD[3] ; 13.767 ; ; ; 13.767 ; -; nIOSTRB ; RD[4] ; 13.767 ; ; ; 13.767 ; -; nIOSTRB ; RD[5] ; 13.767 ; ; ; 13.767 ; -; nIOSTRB ; RD[6] ; 13.202 ; ; ; 13.202 ; -; nIOSTRB ; RD[7] ; 13.202 ; ; ; 13.202 ; -; nIOSTRB ; RDdir ; 14.759 ; ; ; 14.759 ; -; nWE ; RD[0] ; 11.850 ; ; ; 11.850 ; -; nWE ; RD[1] ; 11.850 ; ; ; 11.850 ; -; nWE ; RD[2] ; 11.850 ; ; ; 11.850 ; -; nWE ; RD[3] ; 11.851 ; ; ; 11.851 ; -; nWE ; RD[4] ; 11.851 ; ; ; 11.851 ; -; nWE ; RD[5] ; 11.851 ; ; ; 11.851 ; -; nWE ; RD[6] ; 11.286 ; ; ; 11.286 ; -; nWE ; RD[7] ; 11.286 ; ; ; 11.286 ; -; nWE ; RDdir ; 12.843 ; ; ; 12.843 ; +; DMAin ; DMAout ; 8.656 ; ; ; 8.656 ; +; INTin ; INTout ; 8.937 ; ; ; 8.937 ; +; nDEVSEL ; RD[0] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[1] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[2] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[3] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[4] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[5] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[6] ; 14.980 ; ; ; 14.980 ; +; nDEVSEL ; RD[7] ; 14.980 ; ; ; 14.980 ; +; nDEVSEL ; RDdir ; 16.482 ; ; ; 16.482 ; +; nIOSEL ; RD[0] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[1] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[2] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[3] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[4] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[5] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[6] ; 14.426 ; ; ; 14.426 ; +; nIOSEL ; RD[7] ; 14.426 ; ; ; 14.426 ; +; nIOSEL ; RDdir ; 15.928 ; ; ; 15.928 ; +; nIOSTRB ; RD[0] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[1] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[2] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[3] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[4] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[5] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[6] ; 13.946 ; ; ; 13.946 ; +; nIOSTRB ; RD[7] ; 13.946 ; ; ; 13.946 ; +; nIOSTRB ; RDdir ; 15.448 ; ; ; 15.448 ; +; nWE ; RD[0] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[1] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[2] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[3] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[4] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[5] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[6] ; 9.881 ; ; ; 9.881 ; +; nWE ; RD[7] ; 9.881 ; ; ; 9.881 ; +; nWE ; RDdir ; 11.383 ; ; ; 11.383 ; +------------+-------------+--------+----+----+--------+ @@ -896,44 +910,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.238 ; ; ; 8.238 ; -; INTin ; INTout ; 9.741 ; ; ; 9.741 ; -; nDEVSEL ; RD[0] ; 13.931 ; ; ; 13.931 ; -; nDEVSEL ; RD[1] ; 13.931 ; ; ; 13.931 ; -; nDEVSEL ; RD[2] ; 13.931 ; ; ; 13.931 ; -; nDEVSEL ; RD[3] ; 13.932 ; ; ; 13.932 ; -; nDEVSEL ; RD[4] ; 13.932 ; ; ; 13.932 ; -; nDEVSEL ; RD[5] ; 13.932 ; ; ; 13.932 ; -; nDEVSEL ; RD[6] ; 13.367 ; ; ; 13.367 ; -; nDEVSEL ; RD[7] ; 13.367 ; ; ; 13.367 ; -; nDEVSEL ; RDdir ; 14.924 ; ; ; 14.924 ; -; nIOSEL ; RD[0] ; 13.917 ; ; ; 13.917 ; -; nIOSEL ; RD[1] ; 13.917 ; ; ; 13.917 ; -; nIOSEL ; RD[2] ; 13.917 ; ; ; 13.917 ; -; nIOSEL ; RD[3] ; 13.918 ; ; ; 13.918 ; -; nIOSEL ; RD[4] ; 13.918 ; ; ; 13.918 ; -; nIOSEL ; RD[5] ; 13.918 ; ; ; 13.918 ; -; nIOSEL ; RD[6] ; 13.353 ; ; ; 13.353 ; -; nIOSEL ; RD[7] ; 13.353 ; ; ; 13.353 ; -; nIOSEL ; RDdir ; 14.910 ; ; ; 14.910 ; -; nIOSTRB ; RD[0] ; 13.766 ; ; ; 13.766 ; -; nIOSTRB ; RD[1] ; 13.766 ; ; ; 13.766 ; -; nIOSTRB ; RD[2] ; 13.766 ; ; ; 13.766 ; -; nIOSTRB ; RD[3] ; 13.767 ; ; ; 13.767 ; -; nIOSTRB ; RD[4] ; 13.767 ; ; ; 13.767 ; -; nIOSTRB ; RD[5] ; 13.767 ; ; ; 13.767 ; -; nIOSTRB ; RD[6] ; 13.202 ; ; ; 13.202 ; -; nIOSTRB ; RD[7] ; 13.202 ; ; ; 13.202 ; -; nIOSTRB ; RDdir ; 14.759 ; ; ; 14.759 ; -; nWE ; RD[0] ; 11.850 ; ; ; 11.850 ; -; nWE ; RD[1] ; 11.850 ; ; ; 11.850 ; -; nWE ; RD[2] ; 11.850 ; ; ; 11.850 ; -; nWE ; RD[3] ; 11.851 ; ; ; 11.851 ; -; nWE ; RD[4] ; 11.851 ; ; ; 11.851 ; -; nWE ; RD[5] ; 11.851 ; ; ; 11.851 ; -; nWE ; RD[6] ; 11.286 ; ; ; 11.286 ; -; nWE ; RD[7] ; 11.286 ; ; ; 11.286 ; -; nWE ; RDdir ; 12.843 ; ; ; 12.843 ; +; DMAin ; DMAout ; 8.656 ; ; ; 8.656 ; +; INTin ; INTout ; 8.937 ; ; ; 8.937 ; +; nDEVSEL ; RD[0] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[1] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[2] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[3] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[4] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[5] ; 14.969 ; ; ; 14.969 ; +; nDEVSEL ; RD[6] ; 14.980 ; ; ; 14.980 ; +; nDEVSEL ; RD[7] ; 14.980 ; ; ; 14.980 ; +; nDEVSEL ; RDdir ; 16.482 ; ; ; 16.482 ; +; nIOSEL ; RD[0] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[1] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[2] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[3] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[4] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[5] ; 14.415 ; ; ; 14.415 ; +; nIOSEL ; RD[6] ; 14.426 ; ; ; 14.426 ; +; nIOSEL ; RD[7] ; 14.426 ; ; ; 14.426 ; +; nIOSEL ; RDdir ; 15.928 ; ; ; 15.928 ; +; nIOSTRB ; RD[0] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[1] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[2] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[3] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[4] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[5] ; 13.935 ; ; ; 13.935 ; +; nIOSTRB ; RD[6] ; 13.946 ; ; ; 13.946 ; +; nIOSTRB ; RD[7] ; 13.946 ; ; ; 13.946 ; +; nIOSTRB ; RDdir ; 15.448 ; ; ; 15.448 ; +; nWE ; RD[0] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[1] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[2] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[3] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[4] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[5] ; 9.870 ; ; ; 9.870 ; +; nWE ; RD[6] ; 9.881 ; ; ; 9.881 ; +; nWE ; RD[7] ; 9.881 ; ; ; 9.881 ; +; nWE ; RDdir ; 11.383 ; ; ; 11.383 ; +------------+-------------+--------+----+----+--------+ @@ -942,45 +956,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 7.684 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.765 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 12.794 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 13.358 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 13.358 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 13.358 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 13.359 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 13.359 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 13.359 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 12.794 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 12.794 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.401 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.009 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.401 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.992 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.093 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.571 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.845 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 15.127 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 15.127 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.442 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.793 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +-----------+------------+--------+------+------------+-----------------+ @@ -989,45 +1003,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+------+------------+-----------------+ -; FCK ; C25M ; 7.684 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.765 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 8.190 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 8.754 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 8.754 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 8.754 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 8.755 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 8.755 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 8.755 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 8.190 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 8.190 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.401 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.009 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.401 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.992 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.093 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.571 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.845 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 7.999 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 7.999 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.442 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.793 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +-----------+------------+-------+------+------------+-----------------+ @@ -1036,45 +1050,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 7.684 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.765 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 12.794 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 13.358 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 13.358 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 13.358 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 13.359 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 13.359 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 13.359 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 12.794 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 12.794 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.401 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.009 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.401 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.992 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.093 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.571 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.845 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 15.116 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 15.127 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 15.127 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.442 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.793 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1083,45 +1097,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 7.684 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.765 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 8.190 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 8.754 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 8.754 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 8.754 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 8.755 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 8.755 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 8.755 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 8.190 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 8.190 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.401 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 7.009 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.401 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 6.992 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 6.992 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.093 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.571 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.845 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 7.988 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 7.999 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 7.999 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 6.935 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.442 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.442 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.793 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.513 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.524 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.513 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.524 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1130,9 +1144,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1369 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 1378 ; 0 ; 0 ; 0 ; ; PHI0 ; C25M ; 84 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 2 ; 0 ; 0 ; 0 ; +; C25M ; PHI0 ; 3 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1142,9 +1156,9 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1369 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 1378 ; 0 ; 0 ; 0 ; ; PHI0 ; C25M ; 84 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 2 ; 0 ; 0 ; 0 ; +; C25M ; PHI0 ; 3 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1188,8 +1202,8 @@ No dedicated SERDES Receiver circuitry present in device or used in design +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 42 ; 42 ; -; Unconstrained Input Port Paths ; 643 ; 643 ; +; Unconstrained Input Ports ; 44 ; 44 ; +; Unconstrained Input Port Paths ; 655 ; 655 ; ; Unconstrained Output Ports ; 45 ; 45 ; ; Unconstrained Output Port Paths ; 118 ; 118 ; +---------------------------------+-------+------+ @@ -1201,7 +1215,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Mon Apr 19 01:27:20 2021 + Info: Processing started: Tue Apr 20 04:00:22 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected @@ -1216,24 +1230,24 @@ Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name PHI0 PHI0 Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -9.468 +Info (332146): Worst-case setup slack is -9.480 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -9.468 -696.810 C25M - Info (332119): 0.212 0.000 PHI0 -Info (332146): Worst-case hold slack is -0.265 + Info (332119): -9.480 -695.573 C25M + Info (332119): -0.522 -0.522 PHI0 +Info (332146): Worst-case hold slack is -0.197 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -0.265 -0.265 PHI0 - Info (332119): 1.374 0.000 C25M -Info (332146): Worst-case recovery slack is -4.404 + Info (332119): -0.197 -0.197 PHI0 + Info (332119): 1.385 0.000 C25M +Info (332146): Worst-case recovery slack is -4.399 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -4.404 -127.716 C25M -Info (332146): Worst-case removal slack is 4.850 + Info (332119): -4.399 -127.571 C25M +Info (332146): Worst-case removal slack is 4.845 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 4.850 0.000 C25M + Info (332119): 4.845 0.000 C25M Info (332146): Worst-case minimum pulse width slack is -2.289 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== @@ -1243,8 +1257,8 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 283 megabytes - Info: Processing ended: Mon Apr 19 01:27:24 2021 + Info: Peak virtual memory: 278 megabytes + Info: Processing ended: Tue Apr 20 04:00:26 2021 Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 353f12e..3fc566d 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,27 +3,27 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : -9.468 -TNS : -696.810 +Slack : -9.480 +TNS : -695.573 Type : Setup 'PHI0' -Slack : 0.212 -TNS : 0.000 +Slack : -0.522 +TNS : -0.522 Type : Hold 'PHI0' -Slack : -0.265 -TNS : -0.265 +Slack : -0.197 +TNS : -0.197 Type : Hold 'C25M' -Slack : 1.374 +Slack : 1.385 TNS : 0.000 Type : Recovery 'C25M' -Slack : -4.404 -TNS : -127.716 +Slack : -4.399 +TNS : -127.571 Type : Removal 'C25M' -Slack : 4.850 +Slack : 4.845 TNS : 0.000 Type : Minimum Pulse Width 'C25M'