diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index e2e3f88..60eacac 100644 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -1,3 +1,4 @@ +set_global_assignment -name FITTER_EFFORT "AUTO FIT" # -------------------------------------------------------------------------- # # # Copyright (C) 1991-2013 Altera Corporation @@ -41,7 +42,7 @@ set_global_assignment -name DEVICE EPM240T100C5 set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM set_global_assignment -name ORIGINAL_QUARTUS_VERSION 22.1STD.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:15:44 FEBRUARY 28, 2023" -set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -50,14 +51,12 @@ set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V -set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" -set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR simulation/questa -section_id eda_simulation -set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation -set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_SIMULATION_TOOL "" set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan +set_location_assignment PIN_1 -to RA[4] set_location_assignment PIN_2 -to RA[5] set_location_assignment PIN_3 -to RA[6] set_location_assignment PIN_4 -to RA[3] @@ -76,9 +75,9 @@ set_location_assignment PIN_36 -to RA[13] set_location_assignment PIN_37 -to RA[14] set_location_assignment PIN_38 -to RA[15] set_location_assignment PIN_39 -to nIOSEL -set_location_assignment PIN_42 -to nIOSTRB set_location_assignment PIN_40 -to nDEVSEL set_location_assignment PIN_41 -to PHI0 +set_location_assignment PIN_42 -to nIOSTRB set_location_assignment PIN_43 -to nWE set_location_assignment PIN_44 -to nRES set_location_assignment PIN_47 -to SD[1] @@ -138,9 +137,9 @@ set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MISO set_location_assignment PIN_21 -to nDMAout set_location_assignment PIN_19 -to RAdir set_location_assignment PIN_20 -to INTout -set_location_assignment PIN_26 -to nNMIout -set_location_assignment PIN_27 -to nINHout -set_location_assignment PIN_28 -to nRDYout +set_location_assignment PIN_26 -to GNDout1 +set_location_assignment PIN_27 -to PU +set_location_assignment PIN_28 -to GNDout2 set_location_assignment PIN_29 -to nIRQout set_location_assignment PIN_33 -to RWout set_location_assignment PIN_48 -to DMAin @@ -148,7 +147,6 @@ set_location_assignment PIN_49 -to INTin set_location_assignment PIN_17 -to RDdir set_location_assignment PIN_18 -to DMAout set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD @@ -254,4 +252,13 @@ set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SD set_instance_assignment -name SLOW_SLEW_RATE ON -to SD set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SD -set_global_assignment -name SDC_FILE GR8RAM.sdc \ No newline at end of file +set_global_assignment -name SDC_FILE GR8RAM.sdc +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[0] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[1] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[2] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[3] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RA[4] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[5] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[6] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA[7] +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to PU \ No newline at end of file