From 4ae69c54f3fc024dddab4f483d771badee11a2fe Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 28 Feb 2023 11:17:29 -0500 Subject: [PATCH 1/4] New project --- cpld/GR8RAM.qsf | 2 +- cpld/GR8RAM_assignment_defaults.qdf | 806 ++ cpld/db/GR8RAM.(0).cnf.cdb | Bin 26483 -> 0 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4873 -> 0 bytes cpld/db/GR8RAM.asm.qmsg | 6 - cpld/db/GR8RAM.asm.rdb | Bin 1383 -> 0 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3236 -> 0 bytes cpld/db/GR8RAM.cbx.xml | 5 - cpld/db/GR8RAM.cmp.cdb | Bin 62685 -> 0 bytes cpld/db/GR8RAM.cmp.hdb | Bin 21220 -> 0 bytes cpld/db/GR8RAM.cmp.idb | Bin 18494 -> 0 bytes cpld/db/GR8RAM.cmp.kpt | Bin 222 -> 0 bytes cpld/db/GR8RAM.cmp.logdb | 1 - cpld/db/GR8RAM.cmp.rdb | Bin 16242 -> 0 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 108783 -> 0 bytes cpld/db/GR8RAM.fit.qmsg | 38 - cpld/db/GR8RAM.hier_info | 220 - cpld/db/GR8RAM.hif | Bin 438 -> 0 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 0 bytes cpld/db/GR8RAM.lpc.html | 18 - cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 0 bytes cpld/db/GR8RAM.lpc.txt | 5 - cpld/db/GR8RAM.map.cdb | Bin 22538 -> 0 bytes cpld/db/GR8RAM.map.hdb | Bin 19896 -> 0 bytes cpld/db/GR8RAM.map.logdb | 1 - cpld/db/GR8RAM.map.qmsg | 19 - cpld/db/GR8RAM.map.rdb | Bin 1245 -> 0 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 16618 -> 0 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 0 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 337 -> 0 bytes cpld/db/GR8RAM.routing.rdb | Bin 1446 -> 0 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 16542 -> 0 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 30369 -> 0 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 0 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 23228 -> 0 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 18290 -> 0 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 226 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 0 bytes cpld/db/GR8RAM.smart_action.txt | 1 - cpld/db/GR8RAM.smp_dump.txt | 9 - cpld/db/GR8RAM.sta.qmsg | 20 - cpld/db/GR8RAM.sta.rdb | Bin 15877 -> 0 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 58245 -> 0 bytes cpld/db/GR8RAM.syn_hier_info | 0 cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 0 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 1004 -> 0 bytes .../compiled_partitions/GR8RAM.db_info | 6 +- cpld/output_files/GR8RAM.cdf | 6 +- cpld/output_files/GR8RAM.svf | 11651 ++++++++++++++++ cpld2/GR8RAM.qpf | 31 + cpld2/GR8RAM.qsf | 61 + cpld2/GR8RAM.qws | Bin 0 -> 48 bytes cpld2/GR8RAM.sdc | 3 + cpld2/GR8RAM.v | 568 + cpld2/db/GR8RAM.db_info | 3 + cpld2/db/GR8RAM.sld_design_entry.sci | Bin 0 -> 226 bytes 56 files changed, 13130 insertions(+), 350 deletions(-) create mode 100644 cpld/GR8RAM_assignment_defaults.qdf delete mode 100755 cpld/db/GR8RAM.(0).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(0).cnf.hdb delete mode 100755 cpld/db/GR8RAM.asm.qmsg delete mode 100755 cpld/db/GR8RAM.asm.rdb delete mode 100755 cpld/db/GR8RAM.asm_labs.ddb delete mode 100755 cpld/db/GR8RAM.cbx.xml delete mode 100755 cpld/db/GR8RAM.cmp.cdb delete mode 100755 cpld/db/GR8RAM.cmp.hdb delete mode 100755 cpld/db/GR8RAM.cmp.idb delete mode 100755 cpld/db/GR8RAM.cmp.kpt delete mode 100755 cpld/db/GR8RAM.cmp.logdb delete mode 100755 cpld/db/GR8RAM.cmp.rdb delete mode 100755 cpld/db/GR8RAM.cmp0.ddb delete mode 100755 cpld/db/GR8RAM.fit.qmsg delete mode 100755 cpld/db/GR8RAM.hier_info delete mode 100755 cpld/db/GR8RAM.hif delete mode 100755 cpld/db/GR8RAM.ipinfo delete mode 100755 cpld/db/GR8RAM.lpc.html delete mode 100755 cpld/db/GR8RAM.lpc.rdb delete mode 100755 cpld/db/GR8RAM.lpc.txt delete mode 100755 cpld/db/GR8RAM.map.cdb delete mode 100755 cpld/db/GR8RAM.map.hdb delete mode 100755 cpld/db/GR8RAM.map.logdb delete mode 100755 cpld/db/GR8RAM.map.qmsg delete mode 100755 cpld/db/GR8RAM.map.rdb delete mode 100755 cpld/db/GR8RAM.pre_map.hdb delete mode 100755 cpld/db/GR8RAM.pti_db_list.ddb delete mode 100755 cpld/db/GR8RAM.root_partition.map.reg_db.cdb delete mode 100755 cpld/db/GR8RAM.routing.rdb delete mode 100755 cpld/db/GR8RAM.rtlv.hdb delete mode 100755 cpld/db/GR8RAM.rtlv_sg.cdb delete mode 100755 cpld/db/GR8RAM.rtlv_sg_swap.cdb delete mode 100755 cpld/db/GR8RAM.sgdiff.cdb delete mode 100755 cpld/db/GR8RAM.sgdiff.hdb mode change 100755 => 100644 cpld/db/GR8RAM.sld_design_entry.sci delete mode 100755 cpld/db/GR8RAM.sld_design_entry_dsc.sci delete mode 100755 cpld/db/GR8RAM.smart_action.txt delete mode 100755 cpld/db/GR8RAM.smp_dump.txt delete mode 100755 cpld/db/GR8RAM.sta.qmsg delete mode 100755 cpld/db/GR8RAM.sta.rdb delete mode 100755 cpld/db/GR8RAM.sta_cmp.5_slow.tdb delete mode 100755 cpld/db/GR8RAM.syn_hier_info delete mode 100755 cpld/db/GR8RAM.tis_db_list.ddb delete mode 100755 cpld/db/GR8RAM.vpr.ammdb mode change 100755 => 100644 cpld/incremental_db/compiled_partitions/GR8RAM.db_info create mode 100644 cpld/output_files/GR8RAM.svf create mode 100644 cpld2/GR8RAM.qpf create mode 100644 cpld2/GR8RAM.qsf create mode 100644 cpld2/GR8RAM.qws create mode 100644 cpld2/GR8RAM.sdc create mode 100644 cpld2/GR8RAM.v create mode 100644 cpld2/db/GR8RAM.db_info create mode 100644 cpld2/db/GR8RAM.sld_design_entry.sci diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 189a496..a55b9a9 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EPM240T100C5 set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:41:40 MARCH 15, 2021" -set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 diff --git a/cpld/GR8RAM_assignment_defaults.qdf b/cpld/GR8RAM_assignment_defaults.qdf new file mode 100644 index 0000000..31a43a3 --- /dev/null +++ b/cpld/GR8RAM_assignment_defaults.qdf @@ -0,0 +1,806 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:10:33 February 28, 2023 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value OFF +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY -value "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb deleted file mode 100755 index ae22efcb4d9139c19bcd36d72aa5a3d42c5fbaf5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 26483 zcmYJZ1yoe;^9L-VARtl_QVUW7(p|escXy+NbT{k@h=_E9lyrCJ5>nDF9ZToZ3oN@Y z-{1c|?|be!cb@r7Jaf;TbM7;DhUm$YClz=Yk9YUSOY)KGTDiH~Iy=$v@Nsf;^3X|o z+B#U$@$&J~aSL+s@N)5T^YGDWS-E-Hezu}hxA<&N$3v&3NT+A@iB87S*5lEVJLBo2 znVt8ViQUd5lY~BvtSx)nuQM;e{ft!F`%Qdx>l7wm*9%PH zyx>pf6j(AAScD_z*UnzECYU=<-c^dQr*?m>PL+91{#t`4$Ns}hx-s-kMdkF3$I5}n z+DrK5?EPigffmbr$;9l2)4ytqUNaABamuJlEg(es6tksTafyREifr%HnyB`}ZT7a= zxqT95Ck{GMaJuG3wXO063zNZn-B_>9kQ<}U&oV*JvRfIej9MIYLP6gsrs+%}Q{h3h zi}aU58@RRSN%)=r+uf-Q{ekVM9Ab)8 zDHop8$Y4A(jZ5I@z5a7sHs(yqf#7mj59p(N$({lX(7hZcSavq_%YdH8+LnY|jonLi zkmqxy2_7+Az5fK>j_>vQ)j9CPZ`VNMl$ZU$#73rLsl519i2Y#YaXH{I^YXP_O`mHd z4P~h;(5n8;ZQ_RYmR5gyoZ1_gN8rzjcBiTLAg?&>RP33+`|7Ey9Pr%A~h%Sei zIormzW%H4REJ!<`B~kdxtd#Bv=@vnO+=Gt4P91A9K?Ci%fk(1V5Jx34s}l? zRtl^Y+AYcc_>X`0nN6${w&Mzf|8z5^5fzl)eE5xLJ6Mc$_P684j7%X6KiopQlnfw+ zJ@fbwi82SQA@4QEt(A>L%Xl33%lqpK>L{lRO#=wuA6|!>xbH27=$rukPeD4r>3#an zb+Dgr<^*G&eJo4H{-is|__Z;q(4-L`@R&s39fe*U#LU%fWF_|FP(nirzjBF>F1R(P%(3!WU9++`3mG6a} z7?_w2Nwf;ZM7%>Dd<{=vm}-16ryV>7SvTYPYT}lwpjE1b?ZaM>>lRxqr*8#Lpg5Sl zmTmWJNg}cFbmB~Wpu>wO%Qj@8@ocn_qoALC2!~WN{Uv>TaKJMLCHL)B0bBOhLnHM+G=bcO3pJ#3n%Vr>OZ1MbMK%fW5&ki?uPF9Ri>2 z41sT>KO!I$KhXEIi2Ak$t~2xFSIY&TK6eEo?d1yLXUqmV8L7Zpp|bHP0H-sn1=mAZ z?G@;6SCY>4QwALI&)~)ytmX|WhYKb8su+S9la5jS%F+m*ckE|pOPRUAr@H(7C*z}= z!X-YH$nf*|e%JT2Ynuml8%x5sBeh)5(u2~oIf^#>pQen_KX4u>ECKcI&;<_tzl$1R zpqA_Qnmxd*^HtFmp5qz$et=?nVvT8kT{d9t&0>wmpQcZcH?Wj=E#&j1w5_I4YXh*o|~t={|;Hrn3sDyQ13a4se6Hq z>U3&V^Mpfb7B=$Fec=2j|OX3tdZmZ0@lo79{@>9fpx@YlF;Da$1L843T=5 z@kk2t-HT{Q{sYPXL#Jm}pK197*&}a`l+9NZduYW&s|od$at%!7->u1_7nZAkij}(P zDSZ0eFg`hxG<2A8S2AB{vZ?R9xTX=M5jO< zQhf*C8^?R2^krFb6(I5XQr7gg$bXjFAWIPiXhOc+h~yMPW0+F`8qe5~B|iJ4S`$M6 zEMBKHHO{mTH;|_UO)W6(<0|-#yl0HcCOC<|3{7*g#4fW1va=b(SP?@AH~nvm*CeH<|+_;;XLEJ zVzT_oWYMs@e&b~}INc0CGsmPmBgE=jNgn{cUrvHJA?rxHTH^O_f8oWGKEZp7#jBJU zYPjr;I}V4(t;TVg`3rvML06_0;w8D~=X!>X4|4oo71<2K+HPn_AdkxbBtW1M6`n;F z7{pQ^Ve_$V`u!L0tmns^b-!0U$?DrigRj98C&}f?`-?H$%6BRgLVmt^=|M`YB zn!#XW(0G^1QUyLBIc3>koO_l-h|YOJ4V>=kW_{8JV`7>o$dZr&eI+3HdAM-wU?$A* zl1QE-q2w+cTxx0y^w@eDdi$$XiPywdM@jE4|L{9JsNz&H*YQYE;tN%qCc$EVXS1IE9?6+bT-iI;I8QG^&(HXBlQH3Wwbz*SU zL^v|4;`T@VQOIeD6J}KxB4!D7j3&w1X6Wu0dG6z=KChA9aHPX(3dCdnD6uYKW?)=Zd2n?;mnplS;^6{h-zcI zIu(y)#h0KhygvdbJMK2Z^;=d8f*ToaGg-LiS_c@J+3T(fWPydVZPvopi!rXwdwQF+$*K=a-y=`MyGD!A;>` z%%KHWUPw<#xcHm7p4KNwAw~a}wU(x`_r^06FKyaE013)ZzjAF9HrW%dQM6OPa#^6K zwMnm$3HIa{!)@`hDoElvL&RnSJ~(JKAa?j{`2HL``E_9{g`K0 zpdXxpgWHI0318?tvUH@IU5J_KvXo(h*?Ak(%mF@pvGhP(S+|IM8aM{P>g75eyO;T_ zmteNKyq*F%rLP#`y3K63phBKs{^wB-d0zhA=l_kf?J-Wtydf?lhAAd0Z?&WUlwky- z!u@%9mU>PB25ToN)eTtLEQf=maud5q3vQ$)kVGVl0A^=>V-0;zyZ~5vkCBo`qVk-Q zg<7v5#&)C2Dwyeljas?Ncs2KscC__xjq0>p$cqzuKly||PdU<_E4+U+pCiFoVAB24-k{s`L|TL275h@#z^j$!s8h!<2qXlhivX+FZ{ye2`SwKTiDkOZj~uJZoXq$i9ajA{eKQJhizZ) zlfYXxPvb8~zdHrq(DrD8+;n6vvkBMAio+M_i5zuTO2U47e0*IY+ErePv$>Um^XJ=2 zVOaG{DPbhirwijy572TwnBi#V@TDeQjXwHbyDXWu{(TKy#>o=hF0;f|egZwvxM*BO z@UEKmWmQ|HzaYu+OUflA@wffn)Va(M0hF0m$U|pXb$$1X-VnXBmIXs0wmyNYZL=%F zKVmOkN(>sN$`v=M{xFw;7p3$=bSML`^*wzFK01#YHh4RfVdy?M6IkHu+AhdBlzFLueGg5~@(b(?ubc@!DPgdn(?D%Ss2O*xlc!j&m#Q0bG zp8qzHtvgZpV`BD-3jbd3Y~rA4Cf7S|)L3!S&+&fg3H`f_W@WO_isiSMhEY2BT_na= zvqIx-Yxl3T6nhE@i8>rbt^TvD)d9-L(ZHE{`C)*J@7Bb2jLqHc%BQ z_G6wYCvNgz{rr+r_3dUJ-xr5+LM7HjXuYB&U8G24R&R8>=`&cAot+rcC zw3UXnd%SmRtdJjhQG{NgJ0#Z{%{M=^Wj`CaVkw=*#v;_H$a}lovu}oxp-(a~*K=I?rpaQE3zI(0BIb zy5{s$`nN$3&|QI(~Oj?=i~}wb<&b<*3kl~SF5bj zQKNt5`W@|Mql^w6wFXN^{#GS|SIp;ZwSG{hCuOTTJ+AGG{D zWvHHVm)--Tca~?~DV3;x&;7%TFotqMVWi@W+q`mi?~8c`sGYiM7RM_%t^EM$&B`+! zM~koz;aKJ?kdG#qy}uH3mnxq(nJN$vIk&NGAWP5E>7MT%fa4F44xk<&rD3x*MK)X9V zmh@bR$WEs|fKdAO&N$ZC(-JPjY*3Sdz=GQSF1WO;EXw&s$QFSp@r?DCLx z@huBa3GLi<0|u}(Dm_n+IQ}$WXWKR+gHVBC-`b{-m(WyHGy3^BFd;92LAqE{15Grx%`o8aQg6JP3ZZO@f^A;$4p$))#?xp-M$K#0v+@37g0gYpcboW zgy1#-+Na?im4bRWPS zgc^Rx7&>EF>%4%)Tm`p7%5{A={&wnzj1Q>oQ-V<3F(CCd{|i;EmYpUQSCFeyB|s32 z-u9(`*fBc`+^)pmc`y^r+X+*dgfw7_tsxXrbTeD}@AK^x9X{uJ0I?-NVp>cQkbS!98oK zU3(sSF>f~eYnoi}_AX(U&$$>OB!y0fW$$u3zd!@%TOuXugKnU!j;xn_PJHBtdv)mj zo49g`B=XAg%_whl>@LSy@A#p=i%=?RKqk;>Wg6`X(z8i7Mk~hS0>R?#&PaV~(Z!x5 zop#iwO(qgW-q-WC&js*s=%;HVqk|h7RR!J6MnDn29DaAkpw@nz?cA0a&x{LoqQJ}W zy9>`54i6+OY8kC^cL4_Yi@NJT)=mO92ViY(8-LkMA!ippw^{b3GVc7~=;V{Td=uAS z=Pi5a0fp0te6;ZuXw9StZ5%TqgFeKj3_-ciqV0E^(J>h?lo{W^!?-8@gLh>=`at9a z-TR0G9x?RZBO^EJiUzqw-=nKVP9Ur7X~VGp$q6!HD3IkNY~j9)CP+dtRIL3Y&yJWk z3t0Mf76$7tgd!>9JC}w72hyqz!~~fbm$4`?*7W=^+f+taG4*s^5FLi=iwhXQO>8Z} zh_&HOa$;2?hzpbv;YIfj1ERTw(f%#jB?<4#Sy++(qXNK3lck5*dUi)0TG`t{4i9w|0)1oxztpZ%LDxw z_$XSvAJ)@!8lHnrf17RAdQa4Ki=wE%lPT!A-?bt_kM}^GFG0wbFifDIU%wbJC)hz+2;e-?MGm}f$a&;y>S%yK3xG!7Y?j+i#^fJU`Klj-eI>Tt% zgc@8@AxE9p{Ut`7yE>NJWKqkz(#$w5fSKDK{ggWl>Jue@6j@W31SCh-uMmtVaM1!C zky&Zl+~->PjpE9^GNO9<6X4S{rh4;Z6L|ku8J;*~$e0^E>X&cMXo2(VwZ1^8IW5O~i&h;p{7IxB(-p z?w(Fy+3)k%66u?K+n-U=w4Q;tgM~P4Urkbi@*uB(F(9WkUv%Q3(M4~lEAW%V4s5s6 z$z%hzn~U)0zr^chDQt>?4t&fA$vz~PWY?A;8mD!FF^a#e45&|gfvyhHOq+qojYqUI zMgU3eSQ8L$D*nG;DUIAd!t9VrxwnGiMcSUh83202z>x$!&{cSZcjSA<(V>H1FopQw%A<;6~YO z#RAz~L*g!|3hv^3G+@rzzZ*TJg{~e#12gMroJ3ky&pp|1OqsgBl37#F%bZX`jB4a* zSQ;&yzzQMT^`=Nq4b~j7U8?T~r>`eAhu)Zdk7oTEXbWFe<_2FUTjyo<7IEI-7eFAy zKCBOQB+py7NIWZVJ)ovLF(zFNpi!YMpIYsiQ$Df)g1eoXV{r*eFyAlN>^*j{yHlTn z+5!*K$KWf`bHl(|UVQ8>37yVxcOGE?IZJbPG4>mwJXjYx#o-uhJ3Gd5YOB-lA^!8B|MO4O#5yT(2wjXV=J$4x93{K!qR zTJ%YsmAYfO)lv1=k5Ie+h%ws4L>xpqDR9&htd<)~6yss$P?c;xm z$1POR;V@sa#_&3OA$p3QZb~NKZwN&AgMup z6%YPUY6AJ4Z+i4aYa}Dzmy>bD)V|aTOtD&D@9vHdSBpUD9irW{?w#s(v*P(_zK=*x z&44eANd>**bhSiPKgpt#2OFyuwtpQbSX@xMzB#yaZ98Q-$hfo3OA8>s`id%%LDZNW zqd=5)(q>HMbQARL?o-z!V2H7dE?Fc+Uqw^EM=QIifA|wzDoCfT*mG&-{(_DIy9=>0 z!QJ{qZW#Z}!ef!+=(Lp`VMldaEeMeexrPaf#=IA=KhR`id7QS5n_Uczb+qCK+BmG78QNQf9aFQsRZ>9j_5 z$kFa1L$7SnIP>1)K>=kP((AIg!C{9Tc09jXEx0-61y+vO`hZL$YXGcBQqQ2W9F#gZ zl9MP_2C#brH>@JJ^DR?xD?>-R!R>NTt#p zOd8ajuP`Zp zV94z+7B+5Qr;9%^cV80=(VzElmO=e5rmvZTst*55h!7ULEA@foByxD@5Gi8*kDsq{ zg>An8=M)K7tj>+tqJVbggKl(*KQAE#4q|}V6idyDV)+m=GO?G#{=iR`!r<}H=p)fv z;G6c7;E&hBbVE!j?kfQcpMxrCe6-jGlhf>FALgGBFNMXzF7N4{Kyic@>#4Fz<8Uy7 zG1#N*Duo}cxH5pAoV>_Zf!umXL2k*1KD_OO-(`?*%&c5a)pksTxhn-8`9UJlLM_(< zZOvg}%Rny^rsXy{+H1?SGoHY9)T*;?_U26-9>60Y((?Xnw;T&{@^5{-F5~q3-L!0N z=;p@pIV_*dn6Er?q9F#dN_2${je!7{%}+P6{MU5qni9F+fS6mHQf!~0N@_;gl}V?( zF2T*R2xEEk6lbpWE>&GlKP3Uk9l{s){{79kpHY?|~m<%W%EcndL zxhl+GLcmJrvE$XZtE|=rFWTR{f|`8O+TA`my1a4Y^1gfD#b?D<{^8_IVmXG&_(>YD zyI1mcQxD8JB{F**q~g&Tc#r5lypWtsk5s&uu8hI1kYUekU(*kYy4B8LGd^fH?q#|G z`~18J8Gytk*w-WE*k?Zl06UgRD7QLm`_~wwte&3v=~A$1FaE&3A+hCp6|?pSO*c6K zft2^cAkw?cy%$0hEi#;_GnZ4F6M^kZ->AGZBmrrL3gefN+C*r~cxVqK74B)Fi$Da( zsh|}lRn(lF6Hv?8L;L7EtOo$Ia9bw)0=YJ=1V}6Y)^SwzE#Z9mXWxoW%JgpiFQCeG z^esAjC&e+(k+ji=dKZzdep$nN>jg{L6e@)Q+2~Hu1Oj^?=8F4W#eFH7M&H28e7U(* zZ{bX9K3d-Bj`s%#ONZsZP)V{fCg>WFuNG?&^aO<>K2UmR}d2PFePH?VK))| znE^d5vwIwh)??rT5P%%konc0Q(Zz#kl{YA}aX4B56GAnfj(`Gt(LFCAZCJrEQT(-4 zK1P2EqB=VE(1x=Zb@C zDuf@8zJwcq=q?&_=~*xyi+~S#;*6vM-&1^!7%0Via5K%g5UZ79vTdD=fg>Qq=(Xcl ze{{9;XB5xFmF~iKu^;2}c`8{T7$&g!-G=i$#pCh$nkN#v>uz+mi#IXIk7h`Dz%a*? zv0)%{3Gy)gd+nUK%G=YKGH3;&XSq?0zt4NuJLicAJM=pPJpa{P6=A%ix4!rJ-gEtw z5S9>V;LJ6@&pZ!X@)R=5Xn29^7D|BDKC#7jJHGoR)aOX8`jAINlT7c_a)LEKz;PDf zo2ZmIKV6vzVM2HXDc#QP3-p8yR6V}|OF2&rdVc$60s%Z+$Zg2E_#6;|dcuU}-QoDb z{t(=vA7QJEoUH540Zwcp-?_NO*^$IXW_W}duDxHx0T;U*)Y(29re|k}f8nSmFGQft$2J$tUFNv{feMqD<+(G9k>Pzc|A&+V3ii0;tD3_fV#2`q^lhoNz>))sJS|{j z7Bm7DVm!x-AX%##c+Qz3(i*VDB_ILs)rHsd+~g4nSK=(* zF(Ot~1iP!C#xd-*t@^1dal?0zAI6n6?LD(vN&WQbPsgoMbzwLRP#?HXsunViChr79$3$qCEWZ{4v7bs`L;m6Bm z<~xdjtCHBSP_gp`F*vLJgw~53e8rJk+fG*MxwJVJcjUs&N+%;_ppKQ1+S8lEq4a%f zK(#ku(*CeN2OhYw+xg7=@Pp4%N?P^&T+lE{i}iHK(`?PW)8diVvAg7^`8iMVi(4C! z6pysOJAsEFnWzRy+fx@g8p8hQ0kk!Ek>s{V??v#{vjx2x30 zjJ0bc+$HctjMyXIhK>8ki_zx#Sth{w_`3+0Vo;N}BUYlRHpLfiO_9~T)TjnFJ~Jxt zPzSZML6|t@^0#r=+iW=v@@=*(n^bR2V0nUp9`!4yo`E^im>kaYIJ8ZwntOmg3pK`n z7*1J9L;&hH?}c?V!38RAT@Y>{WwONp8s|RE5BNcnJ}_UpitG;vOm!IBSZYV=hY2iOH+<}3?E>pKN{k(|2*8yFtRHARxeYu+V(I%5i0Y8naKo_h5 z9jINLB72|=3oHaUQpB2#CR1GsXjQ%Xmo!!c&ly81tl6cjb(@{S_ouN6*86vT}L|%m=#%6Q3?xhF(QoTx@?Aj+!zmF2kca7bNcZ@B-G^WxGu(rY^%*N;A&Mi>E$)aw=0jW^8fFD=nd-Av3kdI0CZB~qA0%kLRXIt&O?^d`vYKBzYR09r(9g89 zP`2Wku~GMG3xy|cb^;G4s=P19FOFfYpJmrRg{gaXtTTIyZ7hjzZ-Y$(7O)4r;X=q> zPp5hBLBX~$^;?!#phzrD*~1|h8oA{pKVs{=Nh(j2^X644E^XIFD{Wep>UudS2r3w~ zCdb{+12b@Y6LrEt5g2TbngPLm?R&66RDgrXar&OosIXG5^P1DSowMSQWF6Ud2M(6AK?(!m#*v01;?@U^sq=Z$M>D ztfObYjTY?jfa0`1odMAIe$pfii5P>h2bkBV_uX>o6rv074y_nnZ1iLDk=>bOPIuLU z_24^;qVZkr!t7tb?@&X;oSFpCYf=p#bX>G&(5SGWW>)2Xw@(2rw_1z*_bK}P+7P6& zagVF_xqHNb6k>lHPk>~XH+X@JiwhCjC~{f+cCBTrn11lSx z(p$8anYlik{4`xtB7HrQ{8DUg&djs@*BuS)eS4MW7{aC#mi3ZXQ$hlG_?I5BwikrP z+n6}*TzAQf>_L7&>Ms)mF2!8Nv=;7G)O5zK+Klj01jWp-*XDva3(eU*j%29ReZRt{ z+bq{XWEot~(}4k2sbK5}(o()aV4hp$S_KjC;T4ig3^}b+c_YUCH}EJlH^=cz3dixg z5nA!=4Pv04L_3#W_Ic6I(oFrx< z+ht1@U+Rbglid5gg^u}P@Ww=O6o$P>H+%^BP?0a{e+&;)z?nf z*P%jZLjGDn>(hGpDC`0UngAL#T|4Qrl{=^;K+G;m)1`In`xKY{#)B0(r{uUG>UPY> zhR@PC0kJpFI3*HWFZZ zVy?qp&Q2D%+HFSP=;10>8CP6%n86{D9BLfADut~@(d4huolMr+j;GM8>w^u29aaYT zR_)UuG5_kE?kyH~8Wa(Ec)&{&$e#=OEIC!;8Yj@+hsP~Qjv4K6Uxj^`y}=~>UBzp! zH%Cl}&pjJvTcP}LoqDPXAmCEqR}@ekCvyF5_?&0Q!edJBm6siQFo<)z_7ymr-^cc4 zw&OO5aC(R*j+0qIr-Vz&`@8GcBHm}}kz&B#mV-s%onaA~&K0NfGYL#T_m<=aIy{!8 zu<;ZkIwQ_I7xGqw{GavqkFu07AkRbgQl=R(UiWr!owN=K`Fb$7rqpBoifz+v+#`kY=r`-?Hx!|r4y);U9{ zYKUQNzsy~O8-b--#QTva^Uj5~nJhmu|MiGci^~M${;eO=q^W;pGTSs}bvxH$=70Ew zW9gshl6TV>Zo}BwCyP${0noeX2=A!(<)I^>Nd1iq$Gd#FYyU{*r5B-O@rOPcAc0~t z-LthtEVn>$k^0dMswT%|M#tWS#eretMQdl8md1^f7Y9t3*G|Wd?n~ye20%e4dxbwO zGpUe~8s$dzlyk8spy`0p1hx&zye*D$YR}pC_r-$8u3X7PCHh)p0(if#@JDNtwt(D% z!xp2Xx%gq>s47s+=+6X~X+N7{U(}TQt?Y=JRb7yZCiB<7pZr>! zZ{_Xiyr32A1%m|E_g=NYba^QCpW9ioA9aZw{h;fsuPgY+d3-SXZHMnP8q+!A-Opb; zUDLWl((7sQyG$HkER3G7f}#p?eP(m+!&QH<9~fP0wuk#&n9hv9_$Vg$AUH*aQhlRMRz6%UVqlqV%O(OxF)@XM(fk{ z{x0GaNQxa#t}5%j;F?#)v)$4ghsidQ?ThHsv|1<>^)G@QrnxH_A3)`^P(-2f0=$V*X>R2%IQ|Aj`ure*AesBCvakB2>jme-9q;OPohF) zg6q#ZAL+N2ciwU|G4Xs~1Y3tnCS5aN?Ht3lAmz$-X@Nf$#nr;4pI7X~U!E+U6yQl` zTuc>=DQPnQ6WwR3GgX$%rBQ$Ik2ZAGRu`cW7^9R8Y)OdnznJkJi~I~CW{R?qTYRB! zPja81=k4HqsV0-*etDDG+VsWal0LlEL-n1Cj+fLAR7ZC>mfR2xKYoC4JX(}3nSOppor8!g){J?d zAYS|E(?@*G?*ZOH(@G05ij?o^9zG^2r%69!0=+9PQNNB!u#^l(a5u8bN=-hG^W!qD_~oMyumHn?X+o&rL&QLOeL*m9cev z$Nlmg%`fK?2G8tP8-W0S!8iDkeC6`hpWgO*)n&`+79T30dcS2P8DrkY@Sf!D`tI+9 zWKB7~7TPu%1kqO@o4W|@;~jB6?IOZCUcRiEJS1bQGV~u=6kw^GUmrA(Z{{{pvCH<$ zO<1BV2`g;Ttt~mP3P!?4=?mK|8;0Mt#UesCr7JoAnKQHO1*#fcDE%`p8uP}rpY3cp zm7cqc?A{>uNVzUK?Cnt+xZz^q8IvmbqsrWWl`)7_`hKWfLvfWD)-ssJbelrFjjagy z09Kv4d{0hSWP2>1&ONU^%lp}NfcJCwvwX)ZP#xb*@IoAfhu(SrT}z>A=BQ4i!^m^P z7B2Buf*D@TWJGh`v_%v&J4eyc8hG|NOBC$;F~cT>+#yvhPii>Ax%i$LKw#QNF=90^(e16!@~#${fJEdcS5AQ?#A^=;*> zU7Fj}%N*6~P$W*8zM_DWsN6jPlZs(fA5BUoxgxqAlAb}p>d|iF@V=6h!ArNojPo*l z-aAE^eIT{^F`b-#QIMw>!Ww%nsFeLv_|KUCgu5eu6P^8Lwur|5cbxbTBF zd{(t3b`7>A^F%fUCt+xa*s9pI+tjK}kE<}%dBro5+a5DG4l1`56Sej2^%39J(u*Ui zuqA&LRi_ZB!6swtheQXf=aUP)p_*6bluGQECSQ|qewe*7Oj}e686PRyKA<|D*bFVe z%4-{N8KekQs!8qN)9RyzxnX}-v#mE(tDmvH%!^NM{J4cT^;4x-$&%a9MPDoSK19?2 z&{J0-l78SK5+ZoFxNe01mc~DeiRl4J9A!|n^_Buy{?}JkKeB8Ca zFC{)d1KH2Kpy_+n<3rOleWe%TT&+(opaS~l1JMssU$j&1BF9zEEZ}H2+<`_alix$H zc*ukrv-X-f9$p+v3Lp2-f<8I?{BcwUUtjKZIB6G#328O4JLBK4EG}ueQSFYQ6Vt_= zsmfjq!e4CI=*Sn5zm&9ik&E)!a$a{=v`w0_Yb2fHOLVr*ca;~uXDomPQvIs2_m(x9I>wY`UZbm2mFvVtbR;0IZuJWu9EO#z2*DLqdBVm#HeXPVP5pp=XpR{YF*7O!M0q2>%FYO?BeY9#{t^6 zF-r*TJ(X2}xDDDCTW%4HUz+*(7N( zt=&5xwm1Fng_t2KS_B87dew8(9k23Zt1px_+@8+b;XdiDN~nAd(n`%xtd6dh?7A!7 zJ4>R`HL!gGt)98h;OBntMqO?FpuiGruJqBRSK**LgV%r{izU#9A#IqWLqII=7#^I7 zU&+-lpmqO7Cxo53XAD~e*W9WA^mRQEJ7tC>YWQBFB)hh>Lxru~WH9Upbs@ z^Lf@aty_`5RY`0@q_7({NVS`3W{;e26%OSlr=$A2@TX$yu~&;qf7VGQ#bs|H??4K- zd`TdsTZTla&MoI(XfaYqGjtp?GY84N-7ds$+~9wubL&als|vJxF!Jm+FV8d^CMSaCeZ?MM+%=s9jT z5LoS|!_^DvsjmI1;SU(f*+pl+B0HvjXD2eKPGb@J!P7*RZ~E(UEXzRna6ZHYuXV?y z;5iIWGluy4WK2Qu)uME%g^Hp@3fWH&@sz$$ji`q%-bE>H*_iG-0*M_2be70x&f=? zPB47ORyRG@(As$R5hv`ZzM(?8_Zw!P*5swu>PEj~yS9q3UxxK884d}yZFF=V_3%Vl zL8{0PTyD0yeCZaI0hiMT&FODCM0q&yR+xy?IOyOj=B}K+1%{1LUl*@A6H(WTn(U;; zXCFk-Xv4e0Yik-`Z;`1C0hQ>t#iAvPlW%5Swv%~N1pDblGabxg;a66*7WO6Bnx=o$ zLS;tn)LjOi%8IU5*U^2#S6o;ea|YPz-m|KAlIqxri>**U3=D4^ztpSr8IXW z(frG;++g0!)PMQQP=aQ4J`*};G_(WOlr>eBgj)Ge$7jNB%IU6BJ4pY_vBhPU`Rf5XFw#Ceq`;J z)|z@vFO<5Sopi<>v_z!)Px@g)Dn45@!aYlaJ8)3rbB~1snYi?;bnBy@Hxu0yQY^jx zR@xjUSesw+D89Ig$CT5NALfW;X_I?bM~oL@+3}?k-^M8QQHOTB=zHCM z57Vk^E+rQh&U2c(|J20OXzQfyS*Od=FQlRJt1lz-)BS~P9sF3|voOS2de$}$IE~>G zrf{Z^u-`jjrxr&!n7ZEx)@t5`9X%@)nmIp|e#?rL)8c6GmHYR1tE&kX7AXZbV! z`?n1}aee|ZpE<2nMZaQO9=xJc439m28&gTY=JDw@sco$LH&VgLSO_S}kpE+=gG5o4 z)Vy@qrgrOx1L@5ks;s&6z=T)Zy{|-OI~VjCLIl(7>a|rHPUQuh>H4jDU9t~N1ls46 z8Xl^=XFI3#8nDeyOEcH>8stnK>7zm3BTjMY*SDyUt}O69*O(ws%WM|4#;g^^LUusX z_ZFXoOYOCP$d*6A>3~;?)G&%ALq@a>DtoHJ(GmsKeqpFG_DZ~%d%tgh#T2>IPEP7L zYAP;H6(PoC+PcU)6O58y`JV3UJQc0@sR3bx6a9M z{C=JdhH%A)?dV(MGOU+>9{XNvUNN(MO`pXUw;Q^X)MiaRYwn^jOh8XQ?~cuCWc@_< zsEo+9Ma-UxZbE3oT>Qe`x}3bBTE2;}k@W|OVc$RR43r7!09Lklc>e%TJWswM*`~6( zpRxv1!@ZI^UPazH|3tWU!LXGd7-(Nb9=|FNhu$o$oBG1o5h&pQDXaaA!9f&DQA;C9~Q&;AP~<0NgRrBJqJ084s5Q#$n3EOL9GU|uLv z$$lHX?6WEmT>wK6+QSPCVKQ@v7ge0P3^T#iD`3O?*MEIG?;B%H9HF+ z9ghV;Dm&AAj^zY3g-`V#YJ0dAI9|W+uA8P@9w3NWd82s&wjRoMMb!U5+sU!`A=ZA+ z2ZkRf0jGwGBo(pzcMm!@QBFXC-Y+SZpm*9ByZiXP7x(f3+;i(d+Eug00v(-zW>fCp zGJMD;PsGxjU~}iW)(BxpATQwd>g!p~@ySBhuE$x;VP}L;;?r3F=8KRN|6g!N>I*~} z6#pV5yzVI z(W}nRiCINu6%*4-o|YkiOV7jd?0La;XeUN?(}=Qd)%YPL9I*6toXWob{szz7EujlP$CD4WWIDa|NkB1Q-!%*m%(_!9ZVT9@*la-hDr3q9n$|y$ z?vh5(qW7?ndP08`NFd;SHX)#RP7wNW@N!hrI0;n*(4nm&Cq`5^pQFSJKW1y?#~g!v z(9}y`(Lb^L=LOcG?HFd`F4~Q^;Jex%YWIRwnCvV%;%xE;6wgji(TacRP`SUDz}{`U zmF{u=MpvZU3{XzYu{%PaTG?l0b0$U7qArQ0_Y8*&COEIepQ32498|u=k(RTtM$RW@ zM4g$@B2vzv)nj~g{g6$b`>=X3XxqAl`q-GE#<5$9yYpK&*uus+d)&urzfTNm)D z|0kj*UD+YM{NUG<_i^J!pX&?TPx$X7o+W-xTwn0oI~yhr0Ig?fXu( z3-g+}iZ)*3_-u`yA^N}~Q ze(2A?$)D|VC;2zwH}Ri`R~{$}MRIPZ1s}7p6U*^GU&Q&Ej?agAOpr1aRw(oNcB%Vg z(wDKm@OXY++riCieb$FBb?b_7Cs&Lo`%5LQCbxoS+C0|%jo^>t*6-hX|JXas4o0zH ze=vas|9^SDU4nm7-^BBxAAH;{i!y!|kMIiu8D2@dl;hQWzoa5^9Xw})c(D+VQ#!rgDW zF7B~?&5dWVUrg-hYzjEn-B-=|{ReBV$+W`rpg5a$$uepNE$YnfJrIA4(6*`{8fU594*R zH{O*CSN6r8@cH$#cbdvQ{Z>)UgL9 zL4Fg}JbvlAc|Xkiq4dDKALN0d<8~f@^LRg0KY_8~mb$O~?)Z(jt)r*)p7w_S&~s4S ztGq;Y_WqjQ0nyLbmt)g5Zj1tM-%Z;uf%AUxD+J?x5PP2p{@jl^P6zLC=-tJT(C1*C z-y!nw`tV&p^m&n3SrEZwImx@Z+OD{rk%3 zfIi^B%ib>|-q2^ve*-8X4xcPOl=)7Deu7MKCajpG|L8LD#0H@JHY8QT4M{vlD-K$< z{NzR9RKKw3h{G!#BS<;^S8QN$XcVU~42iC_a_LfW*i%^7m3oC5go`3L5Xz@Vr%@&$ ze9ih}kBGtz9P0EB@=KiV#0+(YmylmholB5=y`PgIU%B`G%cArxg|3mON|cS99I2m* z-ZRtDVAaxtU~#G(?>}*XbUa3fhm@Ccl~s->U{@_Y?0)v!@}JPT83rEW2@F$#x&sr> zBYw$|N1h<@r>p2)54lK!>rMNwjpItSJbo2}~fZVM1*4zhPN;p6KkaNe+4&PUJX^*@>yqV45%iHqG(!kiw0^=;z znFqncW6~?=_PuE0!`eE8dk(`%_@WCAhT(gC?5Ssy5pgQ-csOzy?L5rz z737tkCP#VTlf#GCUpu_1y%xCk@^MfOsAao5A1V%Fz{zL!1A5e7>z;BwUU4<{=NRAf zapl65M|O2WoP5f~t>MsCY0OcV!fn_Cew6DkI=57O$?^Ab_=(t$Y*3B_)s-G`=ieeS zhUD9$Ntp_MRU1FBa?=DKpy(6pL+0@Xa9=^&boh+fUY~n9oht1GyTJLUDIT^T8&ljy z_A;d3G4L-dChe^V3YCiQ%QvMy{qTeD2k?($M9u&A5`8JHK2Guz&<6MMV2cu~Bgd{i zV*B#jmwwmbGpeKAKP1M!jFA9lYw~MWb_6>o3??f*IkRqY<2Q zz{s|0?7~eesnM#n$E;m*;`$SIVqH}xbkNwl!49CG)Vc4ivUh}j^aWTii+z_eiACAE zRfn)207%p#A1A$F7~F!}R6pc^*9@FY)c87QrvQ7E5OOZ%A$s!!n`7`Wmts4cKkH9A zQ5v5`l}o-+Sgj-Z3o$s2L$!9+w+phXUn8_{Y?%6P`3i{3dY^DKS{wOciS>>gvgbD# z4-5JoB92ImNA=ctiiDw4z{tGFmLWoO@wB)-o>2QHRTGXct6=(e83F zaxMAxq4PsbC#K%FPcZU4YF)|^^8?wSc;ZgUYzD*IUVib!K{ER({>M6zO#eaUt)jZL z#gVH|T&-+G(=RY2aSn?UtC+k@!Cg5Kw356!^N+I^L3g$93u|Xy%JdA!r_cNCULRL3-s|{yxCZ>fom;UuJ;E9A%|Da&R(+^g?D$*P0{=~pf0O9wu8ny_4j(-{&XI?0QuZ+sL2G3IB zfMXnf7RdqU@trd=MV550mp>mH{m>CXJmV?VKI9qwV?XoR18~ovH$SmUQGk8Qo$> zw@|WZ6X9`W6KjW6b#ZTydOgX!w4%SXot%<#LSH3!c4Q28J(b*LIr>*WGiPBp_N#|*BER4h2pC8Qj@-|`)G13MgaqTF+j1dI!Y6+RYLa?eINEM?inAevz+zVsh!`b~Kx)cCJw!C92oYYQtdc5 zs@-Z-GosSCR^%u=)i~bqytJ|bJ3Anntlev}o++vy^^mnkjp`pobwvvmi@ueLCC%q% z1S;NMWLNeft4GI2<@;U5g;TuY& z3ShZmFAPY_4_ft*m3!3;>Kgt`203zu;NyUM=)p^cU;#a$*p?Ow@9#7?+CxNr8gVPx zZ9jUQv)ju<{|Bf6?Z?Y}U9JrN8RCDk0x?&g!oYv7@LxCp|7pU1=>Yr_gwKySq_T78 z=)(v8SvOHH|F$OZuhft%qyog;fCtfW1w+%4^muMR#)pK~=}wCNv)e=U;6Q_Vt2cxL&ibfZ=bZF?Vj=%Eus zMeP3Bv8kMCRwM({?oL#n4tlB@%mi%t_4a_c%^ z2d_G8>_~LHRCDE9Bat2rnMjeS?!`xG@@7#nfgJ&nsP4i?i|8%gMD?hBjELUcLPS&@ zvT|v1q4{ii@6dRmsavBH-h=9ZRfjDey8{1xCLS1Ja|C5eCTnaKRQqXexL=f|jp&JF zvc?{S>VvXti)dGF#5Y-Ws(%#Ky`!w$ykfHI8h%7nx9B0O?v1TPwN(#UR z5v;0u|BP8mmD2ll^O?Y&#)$5*Ma0Kl}M~pOjDrzERqoDdgag-wVHznct zSrFr@9O1mP!wW11M%ZFq`#vX5#JOJY=vEk=qO{;RBK8pRnMf$Pa@oGi4zwDCy+Icy ze<;`f_9mlMhujG}z$&2om%kqsdq!b2PMT;$j7z4iE9CquqEF<<2}eS<{GgQwt*C4H zLy`PAYMJXMm9%K)@KUdoIJXZb&Kos=JO?vUwiEoSD(#T@a@TSS#p@;VpS8wx+B<>cGZlbdaP(^gIbIoHk z_LIK3{yL_shxB_yw_h)L^&X@*G>a9z=;}T81EP!D**Wu^ef0t2EYa=XBF{5p3Gyzt zJ7}v5kK@bl5nn}3As9zzIz)I}Rq6fU0_5D_@0qAQW=_=*pljlQpKBl9c#7NQ$E{uC zcBZ&T^))g0O3T>qg*`bjCMV3}?=Pj8%>?7RdB+UpCf^l>vZa#Eb?wRZ}kpCwK^51(P|FZ}3 zF9!10%fo~G^T2`pKR1y7{Ri^jUHC)V_wxhwSt9<#zhZ==Gc6p5&)RGV|H}sAvlJNO zpWka$Gz9;4nl6Xne|Mn%=L~Ew`qvPBwjGGi%K$_8^KkwU{1*)5f6_qy?;ps2zk&Q8 zF_8bghw>Nx-)Y%(UED~}JI}3&y9V0%D1^i@`enz=Iy4@>KhWMq1MR(HApg4z2-iRWJrf%+)E2Ju&X4H_T5GZ6n?1NC|BK>m*%$e)$&Nty$A zCzSrh6GCl?jh%OtSKbA9yf@i~f7(F(4)4kuz~#FI*`Af#2jSrsRQ{RF_8aj2J#;o4?7IxzvV#vb(dyP`?6Co zBpyy5sL$C0`R_T9zwU|*(qA|32E`i>1a|pH^?LeZ)rqT5sP57oEV7^I*+X_287aA6 z*p}E_JHA}97T|U5UV`s$IJWVoj-AVUYTHG-mIdcsyO;3i<7S2rOMmM`v0nyqyQ) z$4{dcoy>&*|EK5zNJWm$gzon(_q2;nWFf%+6KZLTj6RXX<1nYh$jIHs^m5VXTDL)% zyO)*XzjdI!k8nNB^U9U6cgTVZ{VyzSEpq%)82I@A3j7j#Q!&u|6dXP->1L) z#rZ#Xx6Rvt{%oQ$!T|5;zv#bxi5u|y@PE$0_Wkbx@r?ewvNOb=JgoJ|=+E3phaW7# zpGCgTIYwF^w_ebIAKNJ4L(`V<=Vb%Ow@(g;Pxzm&&HW+%`G$)hZe_LUkG(7!g;TWQ zSsnOH;yUN(Oz3}&;%A8dPjUM6(Vx#{OOc{e86ga9U+{l1uziQd51Twg^uLL_&)Q}4 zH1@KoHAJ7y2kLV{d9$kM(w%zre}IeUE}CiV{ojH1ZaL82A@MMc{ud35|7|z1KYub% zpF0iI=T8IUXY+ylhql+>X+Bb17G1GPJ?*u_E@wk5-ZW?T?6^A6^+O_I@67j|G@*}=bVA-D{j)sF-50ygn)n8 zbW)L{GlBn!f&3pj&%e>H`1A7v+jr%__=&H56pc!_3iMewfd4|_57}Ub|4@8A>x1qb zoeBQ>yhLmKvw_5;*X{|h_lfMa9m_r*?+XtN>+K}dgXJnBbEAi*O zAA5=x)!WiP3xA1Z2&Vuq_gVvROWdbASGaOpujcy?;l)Q@3wZQtzOLp7FBU9Wafn{v zDAS4_PO`@EFCsrfh74&8{kp)QSm&Ftw@_p7Y8sk$HO75~|De*b1^8W!!Dn!W=-(&S z%})oop#2}o>1q`8wZy|UL-y`IK9E0l#C@;AWVYo5Ee~ATPbhSaIq?61oBO(g_Knh? zw{N~0+gY}^CiHo-^qCPIh+TVe=)(goLM_1W+M8wk-dni8iu@HLt%(oReoODJ2#KTD zUfCZ9T4x#s@KrE?-g){-*ZlknswA_^Di}R-j*30)NK= z{wF?%=!5?sCjN1Mr`Pyah~_7yPMzyp*%#t7#^^}$x>A7+dSkr+v1|SkO88Nh*oQmU z^Wg8M!|;*Ok>>H+9dx7f&l0uoIWa!Fu21P-PjdaSYy6?VeBaGq?XC%*IwybOfltYG^hty8;k_yOOJRF1qv)eN_?udv zP0fGEo-naIWIX`>Ee5OyZjAoarYf<((I*v~y1j<*xAv0aMIYsd;-kyZc=)kK#M>g@o z4ByDvFL}-xTQs;ASC;(Uv`ROJCwgx8G_XX?Zzzbn5mk z>BWmAeRb0_l7rfXmu7~vBl>d#$@Eb^k*r+~$h6B@vOQi$b=v+t>BdXw62Q^fE>dmK zy^$XZ{{fCaGTIV*GG|DCy9UviGLg8!s?YW>J86eibT_S0*6`Ox}W!29zyr2y`8@dKpg89$<3mbjnAJs5*~e6Od~ z!zbrA;NCAy_+Bo)Fg!2U^Y{3kzu`0gE_Zx9zUOapu-EhVaPu=TJibCYXr1|wcpQ)YWEBWrj1gz*Q@|F~-0pRC0LcIEi+T&?#T zyh!jceK`00w^1E1uV)i~9-fKo2S}=f@4f$x|Lq*y^Eba4%=~bf_}@jxMLMhwA@ETa z4(g0Q>zUUIPQAxhx$-k(lxRc3t~&}BUweFSFE)Do9fXVTn((i5__QxCw5Ir8AI3Vf zm%T~sHUF7itRJuoxbLsTm2?Z^kJd*uJ+UjrH@l!q@v*BfK6+wTiXVAa`kW@^uG?VV zD}UjDXBhCEXh#d;TtS(nDR#7G{jo=!yoj^WQ-!9csS@Q2M2`B9Y$+9}RM!m&@^#}M zLo%+hb_BVO@S6oedP2_QEaP8A(le6U4>a0R_j`x}8=-ztq&6vu?psKbRl$U^neCg9 zx{%b5#z`BVPIR66U!&kLwn{Z>^M_%0*}3jor@jTkopjuGj`RA-?S^Z8=!i?d@%|6v zD~i}SYtBjhrX@c^bd@OMH;zlA>y3rjhNK~Gw49%D+cyF%gV=uk_zRI(atrE>SdC#{ z9u$C9gbd2)L=fpx)$H%FDo-<2*4&KrCksCuT%~ zxY2EkrBjp9A`B8mKTb8HztUp#WerZRjNY+Dw5p1+%vh?4Zu2+Sk63L6O!!+1x{1L_ zO)$gDHxM2h#Zx9FfvP#QmZa^7_gWbnAu(?^kb~|M=kf~EN+4J37t}Y9Yt>N;7Rb4H zuqf-0u1&aFf}D|o2gZ=7qX3~ny4IN!(vi&C8xrP*7V@2_a|!7z<(TFm*EAm3H%K?I zae!PfT(_Zvoaze`ddMODV1x83R-q#ZJUwL`M{a7XI8L>A{Y0j#h%#u-I>U3Bh}Y#( zH0`AqCPZVoD%C{iW)wtwk6U5(@L>l6fF3CA!x+lbJ5qje%B(nwJlb?oc4sBGMzT%T7 zKDSFUSCLJ`n^rPIfKOiSFLkL6vQM6v8^x{O))hjd%`A0WiQ6Qz%x)#t3{gv7ZJRqA z+ZoP2dE!oovCGm#-0843$(;`KQYouL%%}qtDsprt2`}`cD>FhYI+29{ejGYC8NP;@ z88{e*x6&{_5_!)}N`csUHPUb>@|4@9Eh$7|aOz>Yg z5PxX{3CLV$4S@vJIik1!^c%|C;bi z1o&5|uFx0m{m(s7W6@-<$M-V&;IkGO!avTtfus+Azv(G6u{&61a?aw$bw(4X3h%1XY&IbI=oVZT*rS?0P+AqNOIo2kI`sLN@^FX-|!l6`% za)yJ^POc2+Wz}dDpU$zFvf}!yO5zNoip_3v2GXIP!I4#r@9QZ;f9NA=4 zAr1pZ^j@J8j%+fj;K6{gP}tFqB1(ea5S|0WWx?2!;4h9;}k4@(p?oCZwXww3)76SMHV5v1s_`hhcomnmk{WQg$P1!W!6Jhx2bZP(0H@QVC7tH zxKcYLEdH>dzis0=;pAAZY9zAk>QGV6~mR8}0#_=Ej`PwJrC=$dEdOdUL*K@7pqaM6YJF}5;y z<8$*nvN+H=2WRgeoj8mixSQ)>2Iqi3Ydm%LnLWhY_@UqJh-&*HC(eWA`+zi5oZwro3zNYfU zDt|<{`za?jh>5ogPW#+~~3bCk;H z`Dx{ERj#S9c#7cs?(CK-dtcJF{(Lu`UnCl9H}Xq#u=$Fu#FL&QDBh^@xysRRTjd`Y zfAq#z+v|X5%G+QMRNaR~=Veg-f{xR4SliJ?e-K}<-+Z~=UbLt6uUvjd)tjGl^}xc0OZ!@+4w|4ug z&KYy;fFEPQD|MhN@w}&UVyDjmU+f!lU^Dn1*Kvq!zoqi`i_c=^GOVDVac#Sm4#voJ zI-V-L|B$`+QGStf`k|KzPsS5ql&@0#=JEU-mDj5LNaff~-{hdrvj^}r9nV+YyOjS~ zIsN-AmGMjJr#%IO|9;YgXWq=OD1bP7l{tPr3ZfDz65)Co^M3aFZmws$X;<18J71y$|9PLL zWjn_%ZyP+RvwF_&E`H1f$XqCY94y#QD$|dd=ef#xx^iq{?mk4vt5v3tvu-#^`IgF| z-$C@3C}$i#TIExeAE6vv;t<=v7h&&J&bMmJ51Xlue#F?q!CHcK1p1>dIr9Da_eIkO z&usQNebt|LmER`W2Z#oJ|33f#0RR7Z0d!KmYZO5gf0OTMJ}#GBBZ5~13$;ifViJwq z?%v$(%H8fcJHZ43gCHox%0SYlND;6wM9>2*?5%7>e0kw$GS`~&h~7h)U8?~Qt! zJ;}nGH~Zf2ef{3#NYE+~y?p<40gAGaxEz{L>Pir6BSWp`*+FfPA)0I_s^>kymWz7xk znm@x0j6jldejt^jJU{6+L+gOu{m|F}&`y@1|IWt_JJ~ShaeI69e1k0)APLrssx?Ok zZbcd;%V<)nm{Il{qyma;W;cIxOH7{))XYHD@%$i^7RU7U(mKxjj~DAh&`qpmORE4x z{>l3p-~q}b;nvQt!!BSmkffoQvx^@32y3qKWqS&GIE9F6AVWt=^jpT<-GdJJ>D+%H zQ;XEeEq?{#OtZ~x{0*dHssU*6se{^;4@-6uJPv4Og6{I*cp(-`zZPx&{iAq!J@`iZ?&} z=RmaN;Fl^o=>Z(?UVPiDgYPuM5q4bVIO1J&Pyx3mg{iH3eXYo#iR{H3Is3rJf4+Xm TvHy0`e455}VkwRR00960M~#TH diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb deleted file mode 100755 index d932c80cd10ff4a296357f9f1bff01869f2757e1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4873 zcmZ`-WmFUlu%#P`1q39PWd&K5SU?)dr38_b5?#941u5x?b8!a3g!u*eVL%0UJ4Z{P zkgyO?P*eaWBp@UR69(#9xq8@HSOH%mEF6F^psqUbm6bUVZfW=KzVlGye#*Vc()`B@ zc>gge;6L`l-8D6dEOY6PetK4cC)W?4H_7F4blxS92zCulB3@DorKb0#mJhw8)_f@lBFp>1cu;kwpD9^9 zDl0Rz%Qfrx)_?nI$K#LQuTtHuiFTir?l5wfGCjy$zUP(Ouwmixo4kX7bBmg6{2K|R zgzIvpUi3f3r&~I*lWoT=zbXSh#NCehQ=GfKl_mbauzZHWzsr02H~X|J%|GGU9q>~9 zMh{|XPWVQ%7dC1UW}ox=2D{;Yij!FPcO1;I<@1%YUJPb zhp<7aqaOCsXn$`+fweGCW}2BpWAd{g7A8B*s&>FIpfVACuA)5AdLnEk2^IJ>thetT z`jkZehq`G1ej?FuW-p4tp_~yc?hJSEvnUDXNQ1X(z~_k;!pv+8!Xz@x^|pFdC8GrB zBLLo~SSgl@jR!}?n4|@aEV(_nEK^h`7^$q05}EBN-4c)l``Im{2*8y|J~ z^ZD8U5i5@oDOJ1%J2n?tg*AC;K6uj-X7(yd8Z7-tRq?n+TpL)51Rd%O#+r3PDIl8+!_da0Z1Rd6rJYFW8t@)i*G$TgJbT`p` zJ2lWWsI#ontfyjeY7R-xHhOOG`MONOJMc436gya~Z;r$kB3RlPinm54LPu>;lFS(L z@8jeC%QSMpmv$-pr)+rPUL+*n@c7CJjf-53`bBuy51q$1(Oo%oq}%+)ytCp`ge5dU z6q^W&EkT@0HG}&ea{mu<8ovR2Tkxf#8LZ^`EqdOLq>B~!_g!+hSBzT*a^V$JQI@(T z>rRJHwognpa4>axx6dd(b(Q(EQ$HMcY#Vt} z9PJ;W>5fAbMP$VZuDUn|sUFDtBT4QH31d>wkAG&=`SpoMtJihh2|ne4kg>efJ+mK! z(uuM}4nu1DrFFZ)JkL39S5U7PqZD zk&+ZLU2gU?UBZJdmh@W^l?5rt6?Y1Jt>?cLh}Ymady&9MIDoG0p`=D`13eQV8ENio zEkHO<>OX?PQ*OB;SzMu8(uChyff@mLV8kbKO-8vS!9XwqN39ztDHIn>imysbl<$oKkE`)E_NE%SzZ-msFPSiyqgq6?*sNtw z4YtxOBPxx;(ahsC4s|GBT=)HzzI*hZ)aB#h5$!YWZf<%N%%BEO!@DtTI5)28C9AtvA;U{P>1jFDlbYn{KC*h?`mMF`}(!X%YTCrr~ z=56Pe&IF*5qm1vh5a$ynMPz!Z6OU-2HVz3@K@NXVbZdEv>gt6|*QtbovD{3g*T?2anFEvTIx%&qN(s197CN~OWt#r&E@2IbmnUi z(OevibWNK255dh{(puLuvFUx+J^roQu5L7+pn63qhGms*to~na7OiY)btv~)@?pVO z+N{Y}-5;*iy-KPFpDm0ZPj)hac2E4;qBd=C<&P|>waAYMXtd~$3OGz80>JXCf=|kY zvl+)>4^NTI(cJY&`*K3&%>A4`_A5b_b7{sGkt^RBUnH)W^0cT|cFziDC~uSygndTi zB?aQ#gLbce2F-q+VcJxDSm8@{!E33hlA?lhLzQMj)98tF?kv|Yzt14pzE_ElB>+{JgAwyW&1?z1W4V(vQlQ> zekY((eQ`K{L>GWF%_?5|7uVEtSt&88OYGwW+QS)nQ^-$S^)a?~{rmon&*9z|LY5uY zjKa#YrIh?muW#_IH_dlm8F*+DRe#-N%fcD-iD>%8cp+tjV*^KgY?GPH*Jz|45Qf#& zaKQwH)HU-K+uA*vboBe%_(uD0qdcOqwlZY{neXwolw{E{V4Ku`J4E>-7y4i2q^>}T zV!|mYu5R#RES`$F>r+O^^j8_o1F27Cf)%+Vfq5Ixt_TV>b|kCb*(PTj``OOOnuGvK z)ELW?9nGKiaX-KGBF%=ZjL?laJeKBN@g}Vn-sdZL>{c9>mw2GujOc-ewNmi`itx5<5GcHfW&mdgkEB4Q_?`7*_+Q;xInJ4cguKlI z0m#j|SlUt7d|GaXtCu2!krrAP%?Ba$OhGQNAXI?Y7 zE|o}s9iO&Vm1ELSy>`K`UonsGsMRr=g_kqWbv<7nXLs46RIw(n_}Jc2mLMF|r=zCk zW(!s``YH`_z^GWRoJ3Zj*%BX*a|%UE)5bpjm6V+Y&|DVi^s+@rTA2QmQGOFu`di%p z7p+;pbji9fGl9gVI8dDmmtG*3j#~<)3p>(_{JmGJqW!z+gn)5hqV~ zLw|_Ji$QgoNUA?T`ki@c+xm?HzSR$_)z7uYVV z|0B{%lFCWC!j1hIoIiFH(ielWO5bqU99j~ds%%m;+GZRUy)1q@ zA=MgdS-fcRSr_$@F)+jhphpOedaAF7tg|J(q5hHZ%+{CpOR84P6&L;uL<*A07d{Yp4eDOyPkvpHG#I+=Z zYgOqF0Rr(`9LbW7dt!lVCVZVG2fpWo-4V`lkwXx!H@rpLX(UP1EQohj1wXQ#tJ zvDKf5ZQ`xhA-xS*Vin<>oCA$3Qkp%9h?9)wsSkLyU2b3pX^{1BY;f7CR7>D;F^(LN zlN(P7@MsDc%#J0b@n8d`MCRZrYm*7Sp2AV4-d0HD#|!FW`)Wo2O5RCn>>QoyY)e$$ z5l7o<&h*RmA%{pWy|1OKUP*)3zs3h_DpPgQN621_6CV19B~pDLv{$m1?^c6ew$1Q_ zFRE1JEK5xh7Uosn#Qs>mZlvs0Zlx@PprQ=B)xO)&K5uo6hbXytw!&#)wz^yw0BXWR z4<99eyN25zBCZ|z+XMlNnh72mW&cQ{?CK_2{t^iy={m7{alS8oLT5f0G@QpZ?&B+m z4D%i9VoS9RQ0bvOU4E$P+>Rc5pI& z`;B0Pwc*yckJ&!0&9GvOQNm_fC*3kn7Vc_a@th8d2;2?=U8K-I7kZW&=rEx)H^1?y z4)0d~38!pRhO8l2t+qguwoJ)Uc`qW@!r)OC3;{!Kv&P?~!m}C%ZC z@VwsGkr+_?Z*oB2G9;q@VP5dOVlUb0ggk%LZv;f-AM1!+2p*gB^=VCXBU-HcrDk?F zQ}*IhMlp0t=X%y6eQd^G=;z>qL!ygeZM;!j5O0-BUsl~fM{K6)B-ih9K8bnmp{Kzp~igMU* z!S$cHL_nUN-n{0$C>M&@&4i7%X;4Rld6VI2H6QoyNmc#%hzi4rF)_YkYvz_EllAw! z22B~F~eHNSGD*CyI2NP;|SoBTjd5g+RU(CZN7a8z2NwHOvWi<-Hz7-t6$6M zS-z2SPJdDUL?1Qeza?2dcR%+;Iiz(O?KkS`T%X}-JW2)KvLE)Ie78d;&E=Pk9Jno!<-YETbxXG?7v7uwGk10J$cFghl-T4K@l<$7Y}b9s zK)=w%Wj$iQ!kMy(xOlqpdgs^8^r+KCIhCX1!fTn1qqiN#W9264zkH?|U-)!yrb2EN z)9P+3|Lnyo`t0nyz3sfh7nmCA+U>j!TDXx&0o+hGs#$7s!rIk)zmYfTLrUPo+-Od2 zt&z>*7w^#frK3aeFQ>V0>c_H;A^U0B&V253#h(~nu>R(6-#T)b%RYwnlUuP_h=w2J z#<~3L0L(pmv*$5@oVcr3`bIHNq%FYJ`2oYSynC#CY3&*V%9y3rVk@If&WO_e7#)V7|veZ z>LsYNMmrbB*KlQ3jF9OU^Ui)VO3-h2;v5#4@ik;qMZAeYjiMHBlK9R_q|qT;b-Y6M(k&)qfS0?U}w! z7}I={-1CVqiCUC9@$lafbI9%%SF}BSmsFTsU}lCltBfzM@^>VJVg7Xu3bEnH6+@E@ z9fy(8LPn(+32zf-oN9fL%?DXMA0Ozy+*+3LfOi0fWpnc%7_wcx(Wp)ab#{c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I8^000000055!00000 z0027y00000003tN00000004La>{eTE8$}d$fQmZ^BtRe@&I3XTBKF!%Q5Eq}$98Vi zB#qq)!2=ra&N|!ft#b)+U-;iZ3E$aS+qz^uKB&s=?B!v7Qh!hJZmunRv0 zxpd*4Or;V=2DBT+QnPPXIFdsMqRx>E0z4XH{)BOf*_UULFX<>ZQI_g$>TS0kYN>Rq zpH0zyo5<_Q%*3~F6E>V#%j0nC5DmpeV~UnrcD}KNh6t4dyBmG_U${{ zw>#AFI&HW8Jq#zfL5<6!??ocr^y?U$a>sv+VVvcgu{@J84N?=C*)`i)d{-n!}AVdRQ{n_%PnG88s8^hm_EG@gw` z2#`jhGUR7T9>s#A!%49tkHT;T^Bv{}sX(EmTqqI8IO{UdL>kaIO5_78wP6ayh`OnW zXV0Xfz$)fn(8kepRBEo$pD%T>jPMO9nP)KAumLNqtJ%!~(1VNo z4KRDZ?a^@mM}}xL7W}j41^*eo{pc(T08*H{#GaG^#U-MQ;0nnp)3qieivj=x=2ud^ z_bSa{H5Nvt?% z&Dt8X1(G7utzZ{2k0e z)#N6i_p6}$@>~Scj}j5nI)`Jt2`dv}Ht49R-*a83@*D+yqX_DkIs>OgRZA6C8!jOt z2sqEM4~^r^E2t{Dj+v`K{LH3?f=Ee!S%o+{9_9|GN`H%~g`-ANML72(2*NY&-1GD5 zGu$m_@Jk)G1~2Gv&>!?@H0~bXzXbnSBsYMsxlSNaT!q4ee<+et&(6$9>LEF))AZ)2 zc|7s|E9$CwTcy8(XAaWM(M%he(6P#P;GZ)*#ad)pMwp?q;?S31BckX%Z zZ`!@xGEw**dZ*HVqHR)cduOq&;|=c8UjP6A|NnRa;$UE85MW?nh%&TH22u>%Kpf=a z6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j{!U)5&JZn} zKn1K|EkcHC%s^U1pjupgom^d9TwS258QB;VfHJW=9=?hM(lCP|1_p-&dHT7>yZX5L zy81y3jshxTXOIArH785TffR!f5QE$T(E?S=$iiR%v^9*)(w7-1FNmTB;!Z{;1`VJR pV;{F@ko#c9xcU3IxCX&o$HBk>l$><)C=XBxJZzu_00000|No$HdX@kH diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb deleted file mode 100755 index 365caf7b02ce9b7351b73bb45a9697763ef3599f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 3236 zcmV;V3|sRN000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000003bO00000 z002|~00000005*600000004La)L2n$8^@XcW;DB;rEPIU)1>0I#*n5+Di49191ekz z8be0cS_UqmRM)lp5Ug~Hq6Qbk=z~ERGBYG(LH6O&m1@_m(JR($Eh`3E6nP2))MefF zB`Hv$Fb=%}2W$;jm5bug1A2iG*vkD&%0+Nz-}{ZcoPW98f4=$VoB#jEAAkJu$HVBi zEwUMz{~vo!xMS>}rt6t)yMqo{*acBpR#6U^`8;engBOO5MuXrLZ85}xhKndKa5j0u zGL8nr-OEy+Wu8<>W738@fZ1{Q#*=@qolzuk{uX5GtIgPPDYJIzhy*DZQDm@W^&0Q3y(n{yJ zIPEE^3E>cYnNRL&3zRB^QRp;eX<5NVj5y3ezPYT(`rp_M40B=VQQT(Io+vO4m=&~{ zuUp{x4o1fKsU#cIOuB7dOM8dcpnjTzCG17byhi*cwZ~((gHG}R5Tz=3Af;VZXtZT? zotS34?i#{35vDlabi8$MC7gX6lQdDH_s$er``Xr!f4YlX+|fn6M>!LGxWGHF zq4iLiD6jF|v7no<^|Rn%LZ3KPMR<7uXE~3q$E)Dm*D&>CWlV`C{NCxd>8vcP;2(RIll!ukeqW7MC-zP@`r zIK+dHgRcqrFA1FCCv3TnhQu9dY8NWpt_UoVx-_u3;G8k{Vp{-#n;{`1R=cw6&z`F|m3U{v2OEfDOeKpJNNr&4k@Ve1| z%yPUzU(=&L^j=3M@$M%~@LP>rIbkRAFiDNU(IDJGW7j9YH89rZuyB95iS( zcY@7NnDYsU2Gr|WDW{-L5%z&Teoh;K*5yUwV|51q0iRc41DeSQXRkOGzXx9@rUx&E z8w!>{4daSGE3kq1ZfzL4dtLZj@Hbz^Q=2hg)SiGnw<@0JDk9N*u1ahcFz?8Fd7saG z)~-`z`3U?*s){Ym))TZBAZL!r;vevoT}3Yj<#6L+07L%!41I$4Zn73UrmM9$dMoTpLeHI6HD|SFEggdxA1ofjLaM)n2#MMdUee&=2 zw^cj^x~jBfr5DvlbeHpRisR>B&Y;OIc2fexW|WYObHhtD`zdgrVJcm-3qr6DV173s z?R)gzfWUL?t3BM0*L(7Nf<+|btO;g6%gz_1c%aqY1oV8G<1LBr0QX4R=Zf_qT2#OZ z6U32=#p{CoiPW`IRR1PzeHzN8A5h!IPI{lz)!L%wp5*X>^&Q3fO=4m7Fav9K%L6^V z6FYORTQ7aXnPo5+E&Ljf%6hsvPMN^q_(<&TNxbjXYpjyA*Bpk^q$(FKjkA_x>1NHc zMc8@fMX8!MKg4W-a#Nca1I`WwN)cUI%1!L>P21CZf0Q0}3R#3*xu)}>#(4^{jVf-H z3+cLhK-5iB61oz6CPhKFjHTGxIUf+-7TZeTw#aW|mW9U8Zd&Xbw z4q3KDdoHUsa)Q4B<6a^ua1rg2{NF{}wQwx$vTT~r%Jy}%8;*&zLgx@grKezTVMWLQ>D4_N+Cw%l?=D} zZ<-9prpNSW@?0HLW=YHNEy`q7jSIln-1@7#1de+8)HdktZV~ud9Q=%$&7*x8hH_Jo zs4s75OSz9jc}LYK1{vNi1hg-L+bb13!~La~jOuE>US^Y&bD<<P+ zhAsw8$`IMA=eO9#evV?=vjyukR+uhHL%9*}gTIUM*I&v|jQ&psX#wPEk zGVF>Rvm;!5+o;>AHg6{RLRopwUy$pmC2R?%3x6kk-Va`}ZXUJ1-Jx5xN5=8JkP(X{ zUD7*9?;Wplp|AIA!yYSYuJ*w>up6BN!mREGKcvsUQ-qE6UXpke-znZvqiMxgl~g=i zqtphZm^|g`_409SNyxHM_cM(2K1pQ&99Q?Athrop##F=SzF9p1?NTn)OSsr{%OQZF|Ae8`uDo*14SuIB}Tp3p( zjdK!sq<8uyr%RA#sCK5mY5HOnb}!Yygn_b`9Rq)#2udKJFFh(5_rjrPP8ts7CK4v? zRZJa(q1+^&W#o-ojQ>&V+a0>K1)tyDY3535^wXmfzYIU0VR%&yz+s-f47^h1QOco; zSlg5|3lev;QfXWgv(S;k-TlanEGk2uh^d%xP5`OB2;zNgYMQrOgBX{4a67kv=Fr65 zJ-~fGJiHX>**3bDRZyv2>@J&dLo@T14ATrAb))mm!bTo`5~2Fh6m0^X~&S z-_|D-*zn4^sFXK9v7(g^?1P=SSC{z5c!6Okug*}JEKsS}DUhUtXqKCssM7-!=%Oe6 z!D8%Vg(|zLYlRYOQ2;+>r@k^;>%PP$zQoO6=up(01RV`#KG)tmm1Wf{=3Mw%ZTb=z zW7h6#UG1ir__LtJq4s#}N-Z3z46)80Wluj{VX1;`w8Y!Fke{~3mJEB4X+`C$m@iPs zn&FLtxzU;Po?(cON{u|i4jm$^+P4(n`>5kjx(NH7l4-qHv@{_(>S+qZNHT8$2C~IA zv%K)0NXC^`6pzkM$%A6 zwV2W_%&-?fOVYE-Zlr0ih69wFz`tKa=CDzr3y1XX)9c%y+az{sgnj+;m~3)we?>J5 z5f@kNj1DU}-T)>1UOlsv@{*m_~ZJW8eyN#&X|uR+7gCp)b%p_=8-FF`>B?wUJG4aFSKD_PS1g7PH2sd0IifY z4cYl=u4S8;phV0d7kb_vk3A^FUNnj?MMP`Ae%M^m<9@aa^xs`bBq zQPU|;gkP5)@fTNSXB_yHJH|>dGy>s{Tinq_*gFG-v4gxg`X!1YoUk*WvZd5ewuq=O zI`Kmo4U3jop>iy6K|2Uj6zl%8JlWn7bR4dTQKn*_J>B$-zuFzL6y=eKu+hnXwq3yy zPTuh9KS=xn`rNS=dw|yO%M9~1uGYyLudXfvHz_`4CFX#Q67YuopEW_cS4Xbrt9)M- z?F38%q5pN!xkB$?^AgTxrrfEWM(0RR6+n?a@k diff --git a/cpld/db/GR8RAM.cbx.xml b/cpld/db/GR8RAM.cbx.xml deleted file mode 100755 index 4106069..0000000 --- a/cpld/db/GR8RAM.cbx.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb deleted file mode 100755 index 54bf809a3e42e39448661a5056f6810b819d3eb2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 62685 zcmeEs^;cA1^tK{`z()}&X_Od{l5Pf(kQ|T>K|;DqdPG1Plr7k6(v%P-8D=9Ui3{LI=a%=%v}m=&z-JpXx` zgCGA3^WXvW;{TZkJOuw^R}lYWeI6dSKW=92qZEyb1f+SvY^KbSaUypBE%%Lm@&F$C*$XvK{i;h^_g7s<{_77i!u5i5YS zJhwGc+E}vU+iuLU*h+0|_(BJgM?yrbsSz?aFjt7e&fgcI3kK|%BpWL>YAl_d)($^r z5!{?a-5VM&89~}Ay2^Q)2)GuF=w^*G>%qiuhfKn&X6dolK8$fv2GHiqMVgcPvADAR zKY&Kwe|7L4e14o@it^QC_o<6Tukcw=)$BHXQ4}83bn}>TC-3- zOw>6=*RX5q4CTg^>(X9I^M8=FCW$2@I&fCEfeo?|;vB08Fz)%{q-~N-@6TAah-y?o z{a~rsb6bTkdN?41);>2jTuWV_pgUX~P9}rw{LA1W(A#4%mm?G^aEs-{(#g?S$Hld= z4l-aky>@@s$S5L$@~yx8tk7Jxs5e8s!4pDm6EzoibtuK_uxGxq%$Eo0p4!50yP3Gf zJAf0ze2(=AELWPx=~FxU=Wr)ZIsavM-*@{nj&(~o$q!@@!|N6_Xg9t`;lTG5a(E%h;VtU8xHsVUm0!d znFAE@(*x3Z&s$qOe!gZL7X-Zxz`^_TaPo07RWgU>`P%nIESK=3 z%)k@U#J+B9h$kdd;~+C`0oV89zC^kNcXxh;fp8wIMM{G+*8SV@%@~2(gSWrY@ITn> zanO!$7qV&+UJVY7fPV-t+mQIKN%hc2_~dw|KKiQmQ#8S#}E!HhBeq=xPOt~ab4;=fG~0D z9p@Oy4Y+|o;5~MGLFHF~9%K%Od4|xQgwK;K^W_fR3|JrYTSk zHodMqCKjuvw^@D5AHXR+>(Onf0|KA+GTMQGLEwXP=;co)I)})fU6vxyEXt?$UU~jy z7+Q;fD|=Ivp#}zpTsN8QEyb4w0(mEt0C!&m$f=Akm9XkRu;T*`Z0Pj%Hko2Ut`e-o zFPnf}x~;ieK^#BsHSD?Q6}Yac0rE&vd)?+zbsRH6D6KcsM`?g;Z{DZ3s zHfZVeA^zs1hDVi5dTn*BM9Ej}>#@r{;$-dk0T5ODoC)=Bvx6#RU_HpSjX%6<(B;Gq zgq3RF*y`lNnA5(G<3XYb&VA92mWAXPb?4ZclQOj8nr4hT7-BYw-ClElYFBU#EeBeM zQC(g+#|5a`MmId(-!URZzz?cJA0WhCGq~b1qZ(u3^FH-;tp51YEE1H?WeQ}2* z&WB4-IXK)J!tXMY>vQf4F%{!5F|%r{)b$-lJZu`=Z;k2M*OIw(3`3O1z1`&n;;j6c zz`FOjXePG%esgv{!*rcE0S>9kTZEIn>QX!k3`EE4Wo(-CDhY01Q8Ifc^&xGVxe zu%N)7C+(QB+b_~cJ9GxGn)P9-fZ;3bURIE=RGF?t<^ZG#=ypLwdz3Jw-^aIvy7Ogh z_rz|WfY36l+P;x%aF`+d+LTFfeV%v;wvBjq7tjIP8Qz*(ipOBkZ%@`DgV1*-|6F9W zsBk4{sq0H}U@v?#7Mm~z^ObSF&zF+HUfrsmh*nLRr&?kcPLE) zuD}LT)Y9S$w-|L?>75cd4zfMBBnSc@_-tZCtJ?17QS5~gt7OFIY)&39su<1760MX)NnMZ%bCU^>Y^rsX-hQT zG#wo8f|@ej+5{%enUV4bjWSK%{kOAspFER@ZLY-mVZ;0gS?jj3DZm&`(37&^`&Amg}qPCp10 z(gDMYiy#J)ac;jsK^S!DU(E7ces>RZKEspIq-FZl6nf^J=fo zG6e3!3hLEwl$j<1$LLp?!8(LR(1k}H@YLR~0CeFVUv@d4mMFLH_05sswAq56CIEeh zB)e~dfXHDtRXUK}HCrl@Gp|j*gf)YYrH_NKY!5vIRpfQxYJn(c|3E27Jzfk&Hs z(Q`UjDfE`WYC^|el?u)mA!*1IG1O&digTNBS-4$_#B$Un*ZcyT+ybP*xBvj!TMmu_ zx*5^X-SvNID+I@mqKDk^eVYuR|M_CKwqRA4G(l7PtGC8fknI5~H52FKc9Gtyy^q-+PJXx^IJy!WZ5?t^{Q{CozF#uLxC z_>F1WR^?uCG%(srPX~>+i&dNG9JTpNRC$Jtdnnv&<&7z< z%=u;?9h&Ix{kd)~^U!M5I5g4Pi;~dy1o9r5Ob2GqwN#FIc~v^ZxBjo||EB4GOXB~} zlhb=d8@xnxfpQ#4<40fkJP3kVKwuAx8RR}&YCN5JT%(`>!rydR&~gKo3+Zk#cl!nR z%sn!`eHb(s$TAOjm#MYLloayuseZ!Kq>>9k=Vpw?675&VtsCFt;a)%4(-wyWwfVH# zix1^XN)A5NFPp<<&0h};VvT?uVy{;ASYoN^0awA~hdmy(B$;_W8Xe&>Z9xSJci>X; zwfoq=Jz2!G53`>V0QSkAyjH4TA9+g?5AB6>Z&rM5OL(ghTpySfHHPrO`8=$8*4aSYi;`~615E2&B_w_u8pT6AhWP&>A1T99YERRwf zkZSWECH>~pCf2_2nz+(9`Fbjuq0v#hr6S=% z&~W78o{}%^)B7RB7~VEPMUS=_3H11Yt&jq7`fCx=f&V*?y^Xc$)u-w-)@3L@BH$l> z>@$9wERfu5?Ar9VM{tOUU%fEl_Eu8oXaE3+PVnim`A*aoc{sUEva)Vk%Ea5Zw(yAYi2Q~ZdA4i-}LphlK8aikC+$%@{4V9sbCGFxwD%&p$ z^n1VaTjy6=Y*XXUCCQRu@Xbnf%zdlf29?<1fB9rS^yy0R_h-`Omi$L+zpVw2j6Z=7 z5nF!`giEzc`%#oDbN=lyGN7MiNkXZx$21S0+0@XfnDRm>kPZhXwnB)@$+amhAQt5EfgARJb|~{1(wSK#d$S1ItUeG4;Uu zgD%Xlm0k&H`b;l(oOVJh2G}}2W)E@i8y4y$m)%62JbRI{yY$7R`;Y2n%x_taDdq&2}pFxCkqy_HKDQAg2@?7IrD7BK|vh z^XqVj1l2LkaiJ@}LMp{>q+y%>Rr5&d{m=pP>yh1+ZG^n5 z$}oAQM`^YGV`yExg2oXS6r|u}#9nfFA82_*$bqjS@m~C~03N-D-qXEN%_7;rspJTGvY`3~f@S#}da=pi|7T1x&sCb5TEDt;iRfOW!#f@AF24Ji|9v9QhMD=I0H zF%#&UF*=+93~KKqWc&Rss`tC|L&;!(H2&L!!1ke+jc&h2ygB%Xr1$W6aZpo7=N)5# z1ZcG2T&%?O@Ohg5m^N#P>8x&h+lh6LDQ}|x&d;~PI%m1J~7{vzPXt*!np@SV4=Tb)%U}FvFCirt+wpA z?0y=-Unv*cq$s2^A0daJ9esI$-<@h0P)h$7lWECcQo0uOXYp5~lSOZxg{yotm-&V= zM@TJEFPJ<;+rRZLopdPL3`8PENUl zJ7f;f(!~AK-#pphBDs|V*j9cQY%AOBl-0&zy|#(IsNW5rczkC=dtw&4W__%%bxtDg zrU>gfa1denNZC6&KVZ0qcKZ239pm(H24!hvCIl4!1Q(iYYuzNZ3aZtPgOL^Xnf%I9 z5m`#wp>~T^m|3%q+LA9=HF*A!`Qap8x76XQcCo)#KLwNI-w@HWRO1@o4E`*DsXW)1 z_FJh2aqlyc*nqOAWoYjLlzy{RKj;dOtlDqASZ0-T+Ja}+sX5V#_FC7e;r&qDcf4To z&@ApAR=m@q+|5y;r7*nUqs;#+ClU2LdVtg!Pj=%|Y}_=e0{ddd>DOsWXC#$s`l$im zpG3-&a$zou!MRa9BT0eCV+CE&0Y(39GnV&rLo~OOlz)6%SKla2c#4aEdS&2HpMoFm;;b72<+oYbAjzQU8)IsL+t&ES zN`EJ@VJ~M1WD*XoarJ)9N>Emi^TPNXJyCp-)~8MR;R+V+>!G>g@v zcz#(|x}3QfBMQ|cuJ1hKVj!sHv{@wx&EQV3{vTa2@Z%{Tt7v06M(vSU^jK1e@>$bl z9(rwiMAAWu-`-y${4?VWzSOIHv69(Daus_&P?Mwe+mp#!&N`y+)PA*wsfKIA_NX83 zj+}$|-}JuFK$41LDc;t7vywZI-s@wJPwhDy7(1;}^LK~FX<0xc&6V)I-b&(8R69f5 zx-HQb2Otf-h?hI}HJ@?VGJfgv+y_{}_{$C_#+{aPzjM_0nmeY=eGGJhR|jxbNpA8TUmdGlAhxBJUa4@^hS7GKaEHpi2TLLna{XfD6Sh z62qCT+0A4Ww<&LMOyDykvDclq=?X+O{^g3JJ&wSY6ubD3LT4Ad6C@IN&z*HX9+nSt zeMu^cXYk~x_5JiC)G|JHAdB3EX(!ucG-He)CsaTGy@4u(6Dc@@EUjtX&Z~1FUaoNz~tLErlL_>L(JHx65pQVQI*D z$#{KdbIdXKV+hXwa)$1Ez*SH-Vp->o)aEd$n4@&K^2_(^bM?qDadPpum)zhd=ZvSX z_#VfeS1WGU-VzTzJv2A1q9SBwxDbC4)~ew5MMov4{vweBOL8OtaKkB#@-f+Q7Z2CG zq54w{FA`uvRm~Q0Nya}CM839RabL}ZrrIHv<81epqAJaQ*&M0aS&cJIh?o>RUdE*W zvV^9kj|lA=d7_qSkWz&l0%w|NP7Ifhv65}Ov+T#HIjUEAIwlh_Y%{YSr4?*Er0+7M zoZ9njoa7UrJd%8%7KXgV+9T>|s#yWSrOd{-((&21v3LZDP;iRtQF;{B_!GmHMjaoOnFQhN1XTb!Ed%p9aDDh1rerM$AMG z5_Zx}g0hQBp9jH+NV<^+xyjck;?+l@({Qz@Sh5UibKIMVpG!KT!w1Dmv|O!EGBunm zZ6;%w7ZXklrkUQe*oaK7fYAm^HpL#p=~N4=^kMv}QVp?~Y$p@+9q!bQ-xEAh*3&gPubFjW+&QsypU z6GDXHB){+d*PQ}21A|`X=D@xtVV`CEEpz0uBH+5#Su*2jz@ovtn;vAZ%7}NsIVeVM(m<`2fNQ$hA(z6$+N(x3z4gd{(Z8irwaz<%8bPG#~bV z@^Mc0as+A~b&<1fF&xJBx88>e#}7VQdNx@%nuN_(!AT>PuMN8bi6mnjg9NIv^jJ z1TvSO6H`If6&*tE7X}Up*S}etD~}4>;nW*&6F>`7`WY&4u~HV>_Q;m{cRcA18# zH81ow9;PLs*jDC!@|YWRS|L0TPmt1f|6cd(;H9~raU!t-=j0M-#-$A5?Vq&u^qt7+ zY+$C}Ql+d5i>^!bR^F@hgFZeGAGQ9z(`W+!1CF|xs@LrrdcWto0w<3iGY<-2F=Q>0 zb4)Ou_SN5hf%s_ucB8COxv*T%UA`BO)LZ7KHisJtwi=6d1{Ox&%#E{-$>_G2mPOR* zPMbwt!kH<3o*0Zw4Zjbpy@`5bYwt4SK24T6UnAnOq;s^R|M+@WigCZYn7Ex@?{}&s z7sA~Pf^ct8NrR?hk*P>xgoVv2i)z2|;mNDy%>Vqse=jRHp<$PYxB^Tx`lOP$eRT=Y zZmJ}r)ekcjOBf^Cmlc7DEQOn%+ouJ>Cs6Z+pnfvT^u1=T?igg0CA4-3D< z{8{>4^MB*kvMtX_y!M5|4FyWxeJ7p@zR9JKz{w?#(g#zSeU)pqkw$E~ z`ralLO-{rJYlkC2{o5r7*Z+M}aP#vITb(^kT;=^ZH~?FneKT%c)qfB{3~p_ebJ?>E z{Ix1lHojDKU(l5Kr>N;M3F5l+@Faz}U0UyVQz_cpBy83CEOB*pWP~ zvmj3^&Y{sdd{$k0u&}L2*M%jc*ysi;k}@{dfX$p}L*AVz^K2qv71SoANGg%0ouvbIr086`wcx z-WTXc+fS9vK~MA#l8`fgp9(tHd~k%%L&Lc?5y8Y@<5oF7j~it^Q0e%V86T8#f5&cS z_<%NC;fUzy+D_Vhsd7*lGL&2iK*Nt?jo$AaK5ZGruQl}c6IZDwXSaYF&fF2?s{@3(p@292WwHFZbrd^0z+%&q z9I5ujc9Hi9e%8w0x+kN|Ch$8gv|Jm5c#k?SdFOY1tXpPXp1xmblsMazIe2MvfZl&M zvxF*bGW|K2=#Pm)mX+zOzi#JV1%Qo|!WeK^9U;aQsGo1~>u0z!cj{3Rupya^$3OT2 zPudUDJq%kc_0c}zyS#qBT(&+Nd={>e(ksneQ2TAy$ta?*ijk|G_1RRJjNVtxWv$13 z;!F?O^PMd7pJ6#X$kzwzPq-L$5eD<%Ynb>s7ZzX%qwp60x z>#v(lChF_p=EY5A^{(}~Iz1D9gz7oh6@f##t2J&J`haFaJIb@zW-60z+F)k)o;7tp zOt^F03ghgBgpl~onX!6+Zr_qniesh4)g3VjCTG(> zujnP9?=Ib+-2kORkjz0C^xm2>;+I4-#6)gINv5l$kR0* zWm7U%%#}!TGpY!@%tAClmKp5~#t=z=sl;ng{I4@9!Cw{w-+asqtjNMkKX@c1lD@<6 zWOU-2YZ)~AB(1tuPQyS=Ks*80u+?wFn?FXpbVjQ3nQ?h(MQOTnKtd#m1C*n7rI3E9 zc+&ClRi1!kl>WU|ru2s$OwgG5<$rOjw22imzP^qSdYxo}cpvt~$gjsWZ>#e0u?%=j zJM(c5h0(-K<}YTWA+a|jH~Y(vG&%kd!`fE6TAOy?);qbLn&;nl8{rJL<@T#c1Eg(x z8e_;BF?DqMX@mw!9U@(yR7gSJTE{(ux(!3XLqDAU?y)>!zNU!<52xqgfVOcOHZw3h z@W>YKEhXMS;Sdnz66`0H%yg=YRo?QMu8TtjSVsx`z4BUEp)QYljP4UFG`r#jTv!-0 z^!!)xMP5v?{>8PGD21k73AEm0!zQT5ny;+!d2m<2j~l<5w{Ps;g`k`qH7{&bl=Bn5 zKEjAyODiEQE$xnlLR)HN^!D&Tu|8;B4X zX~?TW0t%<6`ZR)$`AuU%&e2wvPR(ZQiLA{@FZ_LvgZ^oGUT%CzBB*(H`uBwYF0gU0 z21nNaQBk?g+3|~jy&SYEX>6v=wq4sXly0PPRwQ|FeVO+;DfgqR&p+d+Us{HkcfU-! zWA|1StVTvgBm&(xrPuU_CAHDUa{p=nX)q;dvV`&cqeC5wLq4h!h{QA^UlLsnG@2Ey zq*^ekDGHp>P=UHW|ERGwRy?@=(36vj6z{dXK@}u0(tQDOj=mCAQ1M-?TlGy-=8VsP z_6Ds=x~9Y>^czR9oy`~D{Si>vN~wr3vZ4lmNwRwPn@*rX`;Vzd#9Y)G0LLEfbY-O? zohQs!JJ7>2faoObA-(Yb&tui0`rk*Yp~m_BJV)r76X>F_SkqGPqmfs}n>>XH78>zS zEosR({kk{BR>{Atg36BXaE*_(Cm@4@MP=#n!|O1lu=miO)Ghd~^Vg%+jV4VAb8g?D+b|u`qebjHr0)SfxUH1wuKJ{?NSV@&Yq&ZvOe+uOUE8<;u;T zd^o+vgAn{BVJOk{5krdLwB!?kBY0lNzBoVc{V=F0N@_VvGfH+Kl0n~T*eV63t#g+? zK&LpA&r%w!()1-sBVw{sz4n6){$e31S)tvHRiDeE!qrG7O0~64o>mo*6cd6S5zW)5 zqZT*X@Ov*}#1Cir)>9{8^y}4FX>$WTe11`G@68CFOk>QJp?mGOnP6`!hhAg7q4KZ# z^_gw?9%ySt!;lGAmx~Y6Rvnt`;ZAnJUr5;^$XQWGi@9q4YFc%N~y`}y3S4#P~MGt!s}V%m6o`D_H)}c>ujOHrQ@i8 zI?G*VG)@r2zU&O0>+T0Y`Q#a?J@A;I5-6%=^nOPG+}GDO*LTbl{TX!yZyqW!qdSgh$!FW78ANT4WZvy~FtY_s3Edcuh;A3( z!$tsB4P9nHDLkWGie3j7A<1bn!(QK;n)7s6UPWNpkVk0_LyOmn>epeh4F2l6NPS6YB#3X&szn}BaI#jV!ySSa4R zaEz1ykOe1~Utu;3CH}1c6aTfNZj`t#hzLzVYdQ5CN`bQ9{tCwO%m?&lH>j6 zL!5?pO!wL(%K&Ke&7ZxL4}~;J><^nnur-0YoQYOf^E6la2A7^ix(rT39Q?*YZ|ftz zZfoV4F#LL#FQDSyH-HX(iOZY)4StjC8YA*nBSzpzD{qaq+|jr{a>PH*d*$XKAco*O zL8qni(~jpm-dXZuM@h!vzkQlw$kS`)C4~3bB#UF}KI?#qv(6dQ{5|Ux%Z1NL1oPt% z&G9}YB*kMt9$MRQ#h^xilDfQ?kun9Dri0c(3XD0>hiCl=G}XzhRy>diVK&YG(?|R( z!QpvA<;1wYm_tv|yTzpkq~0MFzus<+xm$89Rb$7#M;JdQQ&L|{q}|e<;tKhtXzAO) z373ENjlGzyJDtgO;9Z(&9W&dG3fB=w-%A6FPb2=jmVQ(26)Gz9vcrw$FZv*HCT8ZV zf((a10)Z4&S)3{Y;QX%$!SU0>ehD=un-@$KDJmB?c(~X5z~8eTR`jRbZq&DCM5c9B zM&0)~Lf1)Ss#j%V(`)e@JvAfUq zuN+!+JzGDk={(KTHslcn&USi*VB9&w9}SUOe8M066sG!=;X}*c8JFmL61RZT{x&;5l7qQ< zDt`5fpfcpm)_?1SKK``)Ps0e8Nun)BS+Ynco~W`3M;gv4a}GPx$>V$9@F3Ra@w`pw zO~zNBzg_e+O>L*3LamTyj^BXv^2hLl0P-UF6poz|_q(UgrZFo9gq52kVIoa8gg>=i zJo16EfjRtp2XMUD7dX;}93eBe6a#wn%7dUHU2Hn$ykk0z9}}>Z`8}=WNs7-iw^xKQRmM|lP!>_4Svi$5@1OC+Gv;T#NSwoGd&n{0 zD*F)<@I>Z)37EL1oEs04pCn~Uk|FU@CX9+^8!eOPL+hf_48z`#))nazY=Yp=9EZ+o_q8<3>PR(AZ7sgIH_t8_sSVw5@af#n6 zmgK?_KRIQ>K?1>kTSK-O0}uh*acHCvOLp8NF;VlHe;tB%xAxmt!y3nDhyN(cTKbQ4 zM^^&G`G+?4pBnkmF$TjCV5`R}rt%Nzop~cTuFv??PiUQ9uVp4^&6_O&nzpyK86>0J zduU4|bzVpflA+8F-S>{hUK9NJA^Vkin{dwri8mo%9{BGX)9NmW6Wm?7J=^KWzE1*XiYr;&W~$ub zG%v0kZ#`~IO@~LBN`pS$Dbv|@SJC{(pl&;cMik2;LD}7NkuQy zVvCRnka6s~REBt%Ou(biS}fI|%nPQO4?LG=@yriU(0hm(W~tx0(buSs>w>B3EIB8U zl7rs=Ysd(#{?lrP2hYMev7X1KY8RkAfx-8@{(RAXn-U+9AeaoyvlRLH$I^eNoq4I`};o9m@bjcXS9BZ{$!g5~H(Z^uK_xr-vxg+5+#ckw$o zG_dmg_O0*gpe`%I6=EViEyu67=w%l&YV5w#GBaUHB9Y&!Cw^9m{0+D@NWG$>jtwTewd%l_Z@bw2NGoR@lI}VQB1DK`jB>O_li!n0p1gul?yq|h{ zp!v8Nk?x%pIe{S%y`Wm$C9^ygI*>M@ChaSWg76lCLG)k8$sx| zd_d&)(9?4EBmswT5^dpu5j_ZhpDr;plh5n+zW$AibdTR8t~UW_fXnS<>C|>b201Xy zr`&QR;OW!Kip4f!LEdjY6TH?z<>KKdcM2qxdjHL(ZN$*2`x_|n=~je)n%17ox+<82 z$q5e%ZiQ86$f{31D#x)O9@ybrgm+!ERTEC}(=HN3z*8mAfPP{Mqo}!f+rT=Z3gJkj zjGUi)B`~*~Tq%VBx;MBc2`ryJcHx`UXYyIjb)4oooG+xj5}gS19f!32HbW>LTBtOT zvP}4Io+Wn#Du>-mPE0@@r?;?wYGpACG076^X&*r7$jdY7U#^#*jZgI{qqOQPn?D{q z-VD>S;VE|o)oA?#07+IS&p)u>IN2(5k*R96mHGYAtH{!5Ui+7Mp-se>4_a=?n`@A$ zB<3}kdrTQ?me>eF8JqzO;i^|?^p<4k$S5gbS|*U_hXu;#YacP-Eu-e>rG$0aR< z93brW-Ds1zA|HAZiY;e}5SqW%|I@9c?t9PTwd)ydAGNhoFgOy)#iM=bqYAR>V0W&= z_wM1V&pDq?EO`X13wvV$?rw0pC046_V55pV(&>Onf<7rBd$3bS0e?^RU&Y<<>sv6~ zoP}U>2&EN3nwDHpSPKOXTce1*-SHn%TxqXD8Y^+BVo0?>+2{Le6-q*V0^|t6dEd*@ zb1R)t=o`I9W14qSuYH?mwQ(guc58h)xROAdX%BA6aMr}LS1JqPs42Vl9Y@8>1LrVL zH*qGCvu0FSiU;zHtMX|scZ&I~FK#on;p{=h41)eAwy*4mB1EF7qH^XoH(-}(L?nvK zu^g#z?<;!%#a=lyz<-qjFKy58H-oC~ajR0YztO5)VQo1uIvrcWK=jm36Ob90hMTzg_GY96n|ug8GwQ;=uPYLk;p8M#6| zx{0PA6DPSJNhOCp)AF>wBpkOB;s$6>h6zDCq5~|&AAa4;BjAtur}KMrKrm!yKQ$pC zDXD7tT?Y6haCLHlq%fTj5tJ|ElF$*+EUMhXcA}w@UO=aakG&t(aYi(M5>T(^+N?IW zq|^u2ETWZ>So7kWjF*p;*Fs38j`h~#rjNbe2n-8RLiaY0aZ_Ejs`l5v3-!m3BOCtYoOmH5Riz_s#SYQx(hmn7u99Pm?vYoT zt=L|XCb4^}M@ic4td0eU(L~vzHEAO6AD+ggY+_?$_(!)=N*WN?t@1sccJ=_h=NQO4 z?aXdLnVGaaFXwM5|KWHg#!i2yShSnnyj5?@%@9(*^$`e~Zz@gdo9>*yGg0U|=6@_E z8Z#B`)RP-^>}LP&y7`^urqn27FHV272j2PBS5Z}+sZ#KV>2s06ee$A%x@o}Vv1i78 z!6&B8m>?ynT`Z*fTP3p=Z{Oewft@8e-wI9ZoP@hHLkevmY$WwG%UhXB&a;=H6YW6W0fGnZ)0lZQb%@8w;X3 zqOZ4O@jzwhHNwQ&x00s-nwlCW&*H1?PGy0k=-Mq36<|EL|BNIGf_|i1pw71_Uw4zd z2od6S_kh~euP)vA?Sq*b_$*Ph^ZxBt^bAb=q@QF7mj-YzAH|A$W@Rz1eoj$5jW7J5 zZJcUL%+TY~Ak!e!VM$>CG&r(5cqNu&Bz^II+A`+ZQZf7AURlvNv!X4uP`T`@Ou81o z%J2sijJhComJNwQT&^OXM*>h)+-Tr{Qh2Wr!=$B(?N)dpPn1hD{E|knr=9mBVROr# zCIgi3O(d(|qD(1xm-Wo<%3cGhe*^KTJDwO?t2sPKa{0nAfD5-ZPvXx@8AsNet~Vts z6kPaVo)q-tWlSmMgH1J7b(98#YN z>Tr_lnG)vyml5jCrgtEeaW7iupf!s@FkyyV9}s36wYv%$c=<=2Wl&q-&9b*#*gsaF zORh5n7BR{}Cb?IjSOMhda*}_UhZ2E;}5Zca-ktH*w~XIH(zV% zUoCC|i*Jj%v~Lam43P1~dgz4N(Bn$59BUr8malN8GRWi4EUUMO(B#*IF`it*tugLx z*7R-Kd|W7=b&iUL_6BYOTR#6A+uxzak!D)bfrdW#^Xz;N&)OgEZhG9d5=&tMvr!9_ z{zJGo=X@0`r|swRMjr82r|*nH^AStJN`uMoBWS}a8>;Oy2I_3pfVyN;-hC3WB}ZPz zbq>n)_?F+A4Sj&@BIbp7s-H_Fs#nLl7Qmdy1myUf^{*y<13b8LuK+EkL|WWF$E7Xb z60qTmd?-6xXt~t!^UT8Mh%E||VpU#d6053%t@@0$7K~rJnXV1%reN&I_R;~X=TMtr3(0>UqswK?nf(|RZAeMTbQ7_40OSv0A zGi?+8dv%Y#*FKF7DQf3k2*^4da}4IYzJYh$W5GJ=3m(-;R3JZVafeUSmNVbJdi2Vv zE|K#JzOLe^JeLoKrm;gcYJoqIs20+cmd=%cAnfK36SN>D_%wVOBRCdvx zP1KGCFzQp@m&Xd(Ej7IUT>af{D*CrJqYRXV-Mc54%{M}%sj9qaYea^&UA4t+_X9Pi zUL3RQpUuzjcX>JgyaHhMT;_5h@Ot2fL-hUv<0nEcjNzx8wY7<+<141oD?88AVhrRr zv6gKKDi`9pGOHIdZ!MT!DEv&p6Z;rrS7N#YH=Zn?H%sYV^5|R{W=j&oy$b(FZ9~Y; z6r>cgr)*@xZ?L*Hc12U4rfvMR;)`g$)X|*s#j=gw7NvqBU$TL0c3J)@Ct+$Y(ijA6 zJNG^w@*UN$#-;WRtmM#io%4hh2Y+$7)syo_@O@l)d{r zJt2U~W27Y+J{4^qkm@t)=dWWx4M+7Q7~Qz8hj;XtO5_OSonx;fG8vqcXspuu zM`QH#v2fY!O1DsD6@eGyp;K@A9WrTR2{6-=oLfIK+=@rrJVKjvJEjxpzuXVoT<6Ns zdh~(sdW;WiFPvk>ego#j3fRB)JML0Ue6}PU;fE;kF8118zJeYQ_2n&~fa0e9>LV3f zCp@%_^q>pf{m;krm6$U5?>#iN`r|uX{8n>dBuNs9o{~4hJvl9K|RQE0M zoQF{u|KOf07sSP}I(G@+wB*My_u6u|OKn$Av|l!6K{8Jt*pjpJ4pPu_ zHF{x#6Tf}Pr1_A~%blAZF?xw;r6kIyxSMlgwhnpNSNfBld$f#$NGx>J1b8Eg8 z9p@lD^L|AhL7c3Km@avK0R9K0Pr&>(OYL0SL0_}OT)`g6@`?n8)amb0wOD#-LcgDc z9KJT055kAJ+z&VGBd4caOBv?ZR{P$A=(hJ^oO+}VEgw*c))`*UV-fhd&#wO61JG46 z_~RL_Zln>!IsuuCoh_0@z=jlt3XE{V>)hLCZ+tFkGl>jWJ+P zpE}rMA6VNR!YM~B2|R{Bf0Ds9NHI-X34c6Wv;53tKC~e_ND3VN20K^H+9QXawvp;w z%a;RW9itzey@{@0>(le1y#9z*!1`0uyyqNZt#SY#u3d#YUyM+2=@gS;d{L&w*o~?M zXW}(}8pcVrI@lrP(kVi1sZ3y`NdM)r5ye7V|A7k)gPpz>cHb-q=Hm6~)|-Lob&%-C zBd@9y$*01A)Mxsfk7+r!}poKFdftNTL&#Sin zHP?Mo!!{dZ8(dduD{6zz8t0@7Y=4Zf(M0=RE2JK;;Lp2kK^oh_C^@#*mcVStwG$Kc z^6sWh@hsEMF_6>!G@BM!#vJta?+tg+<;7|0!!fLA8P4qefb7N!A6+r}#=NZM=UI`Q zI+l|45||Yi-;F-L!?oU@-DRvTSS#(pn#ypCOaBLhKzzTNX`h4PzjrAQ%c%ce;p}s` z<9`+P-$e@i_jC1MTN&a^XgTM<&uIT!6n~L_+O^))zdlm@XQ_RNe^K@S_aUe04_6(d z{)+JL==9^`&-~w>>`3VkRQpfu{CfcW`5pCdzAYQ?mFYQ3<)i*5P~X4`e$P~@-viWt z=muaV3|IXdecY`dOE3OY{y5X}cb?n$LOj|w%%T31^1rg?ck^$~lx_om^eN)C{M!j-jmp2Ji;s^HAEZr&Z6>vp%4g?PtYcw4N{<{>|I^Kj*1H6b_aa43>B+qgvtE4< zW1J`NtXlpLww5V>RibHHV}Fe_W*YUbmYE7H7wM;(dRd|-{FouCWiCV-HO<2lH4;x& zOOgK@QGbi__zU%vW1J^#;39E7X%{|L`N3;rStmbM;d=lIkCGTlQ6wy@kx(>}+FOK* zGVSsOp7x4H>OwA3Jb$<&r-yejFXHSowPuQM5lv>FohVod|GO#wY~wwPwgV`9ulyCs zXICR=Cf|Q5^>mzj$3pvzg3iCvNWTvDTOX0WtK;8h!tbG-jbt}S_d@;S&huFE_@l2} zqVlJieqluN3(&D;dPeeBZlp5#hv{|4)Ia~J{K~tzFbeH= zHDXrp+RV~piqpXzram2af;KU^aYq{V0XUQ+$d>CV)ahtA;7J!}KE0ybg# z3i;nDp#K!`<4x;5qa6J?HUAJl&qj!UT1Wq%YCFEqh4D=vxYp6voYit&8_#OSQlx)8 zEfPzre_W*us0g=fJ>#2Wn)x3`Yu4M{p{aiHcC}c4s>ToV*QDV49F<{n^}kf%1Xo2+81x_jPkSoCMSPt{{g7~qmw@`{!Blq{EMmln6bpd_~W%2 zlONzf`ipD)r~U6y|2ancQ~rm+_Z}+4u`2)XT>Q-{IOCgG&(L}muK`)&9j(9B{*;Y2 z_?(4aVmVr3F-)!gLHYmW>URMxfP$R~6y+cPwH5ClpMd|B(BJM}W`6lpcqaMAn>tte zT*sebfMF<){89HK!9SjH2&cF;(_LMDW*c-VyzgZh%|Cb+G#2{DzZL(qp#2fz2dN?4 z?#4g*?=+}m2g84IiU0Gy6fSqMFg|folJ(t9^XqBqAN22&klX0r?8mDk^l>J7_8*P^ zy!?W16U6!=|Cz18e|CiY^bxxof;3KY`r}NUztPNZcW%v$!a7E}S|LoLGZKpf!YQX&i|sopW!@)Ai)e|7 zxo3#x9v+h$a*ey|V?8y=C}bTaSVrd-SnbNny_&BHSQz!7P8_lD%C+TI0(R4}bqdu4 zSHVn6tiN35c;VL|%Hv-Ar~&S?kOyqS@~WxS(nlhbsbyhm*(-``txfcZ|c}#@`0bm!obhFW7*jF`>Qsfr+EIq*Rl6AJvSnMCjUh6 zn41*8t&(yTaaDNYgzBk>Y5fBvj6(h4ExI8d;BOE-6`Syh>Mx~F{0~Cjj~)G=yZ(9( z7`Au%InC7{D!K~isQ-}eEixZy`~!S$r*ns+S%E?}slU@Sny4Qh96V8)%rw9UfL#?+5ZQcwI^BV|E|%R)=9 z4awsH{_tdRwA2Ys)A(XZtiK&ryjnel9J0_8@Z5H|O)T8FRqO3pZQcX&o%Uz@ui@!P zM`2AJ#R)sq)$gbDq5a4|>+f*&`kYEQNb70I?bXt3`wI4H%_-HAy@2_grj`irssO2e zex#mkvsmK%K=Um3_t5&Yd4NLw>3t>f7;209TSE0m{cJ4J8|(R6C zw#YISVZQ!5%@isgzB<)teB|^qo$7C>>X&3T7Mw)Y7%8*GaB(~;&SX~>=!d#R*DzZ7 zAA$q#-8N?YNN0KKW;T{Iv|F5ceK%&rm|-y^*z-3d@euMlB4Olj0?9979o!a1Kreot z8o6QIUgEgTi(9+j3+GcU{f*m)lud33Ga8;-RM8SB=9_PWr?qloZw)kHyOi3MI^N%= z)V_nXyNJEmyz!xC$umo&MYHfPC#`YH{?rERhb!AdaNz5&Q2H~gZK&<@ls@CvRtU@J zgY|Hf(jN^e^R)c~&GKoMJOjCBNBAE`t61zk?x#ymVy+4p;li29Kfi^=_dJ{ba|L%H zjMSfKZsUAz1;o>hntRwEh{Gg0pDQ{8wA@57ynaA7oc8&w9I7Wby+R3*Mg*mO%Z_l|JR?+j&iXzO&$Gh>lHEe$Ha>MyR0Oc{dmL!__*A zVQzRz@=a`l=K*8=sxCKx6k&~r;K!OL!(GaMk^U}5Co6gK|Es|MM}vR*%K)`M?RNu? z@6%Zd>=A%BT&*hv!*Jm7|5E+;=Q^Kd|CwC;*cV0b(G>zeiqmfuSFjcQ)IN8^zkUaO zzNPU&8DG-)X8+A~?A+7Ie=h99I<}ox`8yDVcufA#G;p7VU+BXo?CkjS@@d;}Aa+<% z{`j>f+Gvo*AMrot?0cKy-%j;cWWW6j@bfKZJky^`@%vfe+rW+Y1_!Ve7E%5=w#|pJ z=PjYz_@m1IgyQ$J=o8V#^go~aM{3^>bZp&H?MwPcg5L3p|2mypT;}E`+d2O~HyInr z#+_R4>3;z@OG+R2T?T-Ir+>Vo&lQ*l;BR&hWk{~4wFRawF} ztVBum^P2Lvx$+0UZz;sb6%|`mkt-c|uUjlL;a@!<9v>-Gv+u+7f+t^Z+&rP#jM+G^ ze$s+$!*{fUQ20c%O%=D2^z;NIzW>WpJ4U(vh33X0ZtY8ElO)omzCX4eXCmMP%of6C1kebS9S$k}Eo zu317Q!LoFbZk8|L=27Klt3Gjq*w59?Dawt_DT=u1t?+VO>5cf)F@bXiN^4h*I40ca z#)N@7gTAU_%sE$Wm*NLF;=ekXvw>zTqz_AE+OF~>A)`Umco5t|aXp6Z4As_M8q-DE zT1#zLloN1WC2EU!J${qsKqZ(;Yua2urR4~;p3X4#$C>4~s*Q8pHMk?;FMLlBQ5t@n z(Zs@f{IiIUZ0|5LR8NqPp7jsOdyKUXO6HN#kJ2D^a7OkA^i z4){+{H{dr+e=Z&x+us3i#A<2JF8Gi+0mk28?f)foP5$n8{H^KEZf%`xe&w%dhI72q zPwo4T+Rs)r87<2?{I!MY&GlG+z%mI2^! zwAz>Yc~oXqY~DBleolHr-3&AD4A9PSsUPou#{XF=f2tpT%@gqe=+4BPie9%|mxPBa_``~6R`T>`cf%I(f4|Z%;(u^~ ze*dieU+egP!tsBb@_$za{}*ciBL03;!5`jd5lgDyGn7B$pZ34e@rN(;#r*Pkhy0O9 zo_=xPMa+*y{7^{LKc4_4A8TP8#k4N+zjMj>&N1L!#m|0v)*a_T zIu?g}Sbb`s4V6FBkNzEOIq&*7`}*K6C>= z|GHcAPucttT>`FB#4;NAQ~RMR_2Go!KOqw^^XXlTpYUm`rwp|JbXrgR zW`D}1SDL~|cGkjG6ZU7lu7;4utULq!tmAK1rSI!|QBS#8ulEDD^#2I;IxlIMelRDB z{B!(&Sp9oV=-&L(zEjW(F3_~cm>~`92fIhPD88mt`wU6?zpeUR0*F{ffc`U}zpB!> z3Ai`F?-iYYv(3v|HyGO$(Em(qO@5-GY=g9o@n`qg_M$$I|3ymt6J5e8Pz1JZE1ZV^ zVeOyF_pZu^ZzIG)`Q}jGa9?%LdRqUK%18dML%rn~;z!r)&40sC@BQ;T)BzOE&=oG; zF)@MYgYbs&H<6xy7UdVaI#y)AGYjnZp7OtvlkZn5-+v+B&rr`RppQHGu1Ebt>SM;A z-2>SRp7ZRpnCiEPzat9x(<_bPR^^ZRdrRf-Kj1-QF`Hi((YN#Ky!-{0!*A6;iu7w& zXN&khw}5|(&tE3`y;=2l8uW{A5cb^f^t&SX0Z*|UBJIKr+COjpimI@^(&zc7_pd*x zeJwtCesctHvJVNE{g{+_TqNIl1@e8R_`Q5*sD1VX9lM9}VJ9Es`wuF=(Z9Y#KCl%o zRQg5s`2vVoiugaLfPc&1_B1E`3v;0mk>T${9Ur$TnIE88u?(!DJj|2!1|3 zCf58vKa|3vY{~)tDVAU1o+H-asgYPl!e$=ZLb{rA41jDb^nse)F z72e1e3s(!wlL>fL@xQNYkG+89;s04dHu{Hpvww@iY;HB_FqLl&#ZUR>aq?ZD^zE*m zVF`V_IVb=WX49qQl>djd|Kb&Er{|F{N@^dAwk1?PUeB4$@wbfH56_FE2KRp8pHEb9 z|8aP_>MES7*}zuISkJOD&Rj3U?Bi;;TE^!+ACoAFD?>0%n8lq9n=!ng=O;wwXtKs<8%igxjg(TfI`2k z-=qGA(JAtm(#QLY0~%)Z>A!z-{cqC#U+HRa+8<{zvHuLt|8I2t^$q{wwSxYS=FrzK zr$+vdQu?C{`ahe{ugbQPXR*E>Y7wHrNQ3Lc{Q-Miaf|4X5pkG@C8pyyaHu6Y;;P{T~D&7J9oy8jrWuxrtr< zWwUbxC#xIB>e}1l+COjZf@Z2xf1>iOqS=(u zV9!^wetYHr7s?-_;YHW~*An>wcL<`U`1#SNm42%K`!RCxds>TEZZ{j_?`4gfQWS*ZI)C2+5kMvqS*@XW{UOnpOZl!-z<#hzZ;_d zX&kSp*^=^egk@hZ|33@l$Gyd2Q_!<)$ZYf^`txlR?$Jk)R5`RNcc>HL0g4%O+2?r9Ks-X!)|j5#3BExV{jFF+;i6aGCy3qwK>TPTAc=Ox zF3R_?Hplx`sF;%d_@v~Wh(JIB-oSy@_;v)I*!{-M=VZMOPqo2gwr+t7-{%01mBqk! zI!qDqjEZ{Nfth0i3a%UxkMK>K^7;$E)`eaC24lmoX5%zPA*3?^kX9)q zUWjD{P`Dl#r;W0U6#Sy=`z_qcba^0X{afP?Xi-FpH4w8{zX|U#Rw5peVl?o)0X%%- zL*OC>@7%c-Z4veXb1q0s43lI$QwrX}Gie4P9rkLK;*+Q=N`dZXxnPN{0tzvC1-Pk>#M=RGI543HvJ4doY-PJ zvFRSr@KA+M9DP7+`T*K<%-#voE*fJ;Y--QBD8tw^ZF-2>^rWb1(bz}8gXcWsTC(Kt z$4hL=yBp@73~#Q0RxNk&p2vGzyh}J=4kIvD|H3A~{z#NmZ1)D*9#n zzokV3YqClFo(H~F5aL1n6W`#MZt)a~^F~q}lv#E#+P)5)cBg^FC8@Mp>xO7++J*OY z90%RV{8T)a&lKGj^=0%$x>cY7oQ(%c&SwziNv%fXW3+!9(hUbp;7vW>)gpyR7a+ym zEpU-;1;Cjz<;;<}jud~?BHf3eW#8Ks>1fR&>3F~R`M@}D)VWAEDcTRqk!~i?8in>R zK|0>oYSK-F_KfL?B8(al=@tOD&$UP)()|?h=Ud<+-F$#^#t&TrH>D%Rd0M37J;HV$ zu}DXVf6oQJtQ&1NCE^r!BLb6^0da@xu(T@<@aub=aumG_T*^v>#3|pBG<}Oi;twA~ zqWJ(v>LEQ8iPO{29`o3s-$wyl;&g7bkIk~bW}M=yRbhvWu4wpi;30mIha>olz|d;z z=IA^G814fGSRq)P5`%tsOKA${{FWA;3JIgYrL>ng#a9t}c&0EnBoerA@+jcAlN5NZ zh^?msKloOwgNv=F1^fZBiKNO5S3tseo-B{B&6k$S6i%{ZM6+};6E9SPT(Tl{eZvGB85md2c&qj1uoLf4*1)^Ez;4G zNpaasmcihLcl9rqLD-Mfi=>+q+^z*myjo?pyBqEI&yns>(E1SVDe`Zi5sTA1(f%Gt zNBBX}BSpIZ0RDN46e8UZA;lLhaFK2*z)2TJz(wf}0mYRvSq20D9l*JA24O!!c!xN4 zwMd-KfH++wiw{-}i1kl&5U0!(ts0Zr8abO38u$RxZy&k*8eBFS{{jy`hD6sz7$Gu? zTLKborkWfRw<0O-B#(0&wv;0A`#RbiM7Hlm{MZlMgD%HXp(`4`0C?sAUC|ih^i5!B z<-8fEoZ-F=3~NU&B`4nw3@=Ap8eQRhZbZ!+Xg^cdUNmqk+TR3iuL8{q9^vE`z;%YN zh{V=zp09Ax03J2&c^bI6jwuzSxO!$;+WKbTTqA?9U!)S}AJT`wi{FSgsHwti_|0`UiUN~`Uf(oGL;zXZk=opk?3`|IIVPskgpfI)0`z!xIj0$F>J z?nbn~5z_qz(g_}sj$gW+S~yWDL^{qAr)hzUbo_SDRiN910>-IqIv)3P()DWI_*g5IW1W4wR=U1+6AOEfk!tb^}_hLun! z?L}jJ`T$R4hTXxRz(r$613qVqW<+D0@9CUSNr=WcGwcO!<`tRulHxtUz`JhY9q^F7 z(Wc1yQ-ZfSz{fA0EQg~#XH2OqKY=ZtM|);yX)m%IiuU|wD>JmfMV3PV$JcTl9+BmL zfG^$x7g;z~Vy#bS!jpqMDe@VqZ6bG+WiGU7mE|HpB~E#*bO!LTerzrIlW{t!jtE$v z121Bi*^njH1TD^cPl#~g;df|{dvVYXYh}@QB!6xXIAP7w%%A)gE$7xkS7bj&@c?VU zx2VwVqmumD0ESt>`D(GXbt6)F~_28RRZdZ35vO~KYTb_Wl*>kG$LL_d5_Do0HFnoFZ0R~a@! z`_}a_nZa^>%rMBdIfGpXdgiy-g|6sxXW*F*_?r>Or^#D6Z;p&#gY$L3`3lam`vb$8 zIhMT%dVUZT4?>-^7t8L8_U8i!zC@;Q;p8uXudNnbHsXH^dc+zy;LJD8^$lV^N?Hb4 zJ@^ya@aau7$B&jLwpJ;4FP20WrC0lxQqYS=su%4Y zM?3MNzhR`i7gFp74y3(Eu^ZY`iqjy4z(tB(0oQYZ##Rx(NU@9Jrx(l2b29kn-!q;m z1wG2XUM{vEO?b_gXiObYu-}$|v`XEa`S9MeZNRbQHOac0 zq5VdgWTY!nUkX|`LTX-(mG&a_CTM>maNGu50vD+_2K?vX1i44yA~my+&R&QdMWntC zaD5tDz%eHAojJlmQ)aV_0&;R$CgWhV{ten(ou4AOx*9MV8E*kZ4bMDwj&_w?N^h5T_TS87@b}d#w!k=Av5_N$kaW#TG4a>b=q6dDASQ+04DK!CrS{))|a8E1`{j z{ZitckcYt)F-Vc|bP2@!gqclPGay#;?nl}Z2l(a3JN!0@cd-TgJqer~QO=IIM@Qcn zj(E3gH_J!3_yAWV@N^q#X^~t+OU*SQ#zsgxyIZ;46>w`Q+olgJ42!Ih$tjxWxIp|O z591maFbaL(Rd6;xR&KX}RxMNg9TaW#Kqw05Kf-G5WuQnH=XtiOz-Qv{g3|~e9yKVO zoDBF3YE#Kp;sgH%hugN;T72N2fd8%qEstfa7)2!FGp}2KCb$R@9KQn6Dp51TJO?iLp4o?^?!~)agm=x@;zc46=T2Lr zEwRe`CWRtLK(mo}hQJSkZ(Ibtw`H0U?>ZNF4i%e5T#W`EJ;-z}ql8fWEim&c_4%3n zGCw4oxshv&J4TKq!;HXHDtwqH8A01b5Ohhn4dr$zVDQI#UW@< zPQC#yfs0oh3^-Tdx5_ArSMZ$lFPT=ziFgI)RQtBTl^?)=0-DV;g{Kgecd6{`Z!6mY z61C>H0kM|(O>{)rndw`7D*wEona6g`bV+o=)v4fNRoLr~keX0~uLf>c0DQj$&JjWF z8zjGufPQ%GgMFo7H2`H%aC=V+T%^Er=S~W?Y?q>aJ)tSZAu5G@Sw^JT8{^lC>UBFu zjs^Z}aQkz>`F^thU?yqOZ3y_Z(mse3pF)Z!TeuY|76!Kuw7^A*pO2#y`W0V_k0RXi zn=ia77eVn);Jh}sw8CGCya@bbNZoD=+^y;`h!hKg+dEp|A_d;oP-%;@k#VamuaI5g z>Rd=+*Dj+F3V#Gr@LC38dqx{d`|ZBW)*MhrzDA)X-Z_aQDt0-=D<=IYu@{`^j=_XK$xBESAS` z97aJFo_U@e=?b33fQK_K*2@ajpCDbUHgN9;3bk=VjyBeA(MEojXpgU^HvR$0=7c18 zcPKazZ7c$=PSv=J#C4v(E40Dc2xmyV)6*=%EYZ4pAhs@BJ>WGyo(&0I(Z>9_+Gsu3 z6K(KZkE?3J9sdOb@9qL^!tv|7HckOYcv_=H8}osyf8=OmM`*+DC=mY;9#%kmowtJx z7SYCc@N+q~|K{9pIAr1dp12@CvO%vG+Aa~G3BB4vGewP{0YL~7n&L0emEvlOrAHeuXrY6EX8 z3~zw8)Qc=jLmNl5Xk%Ys;B{(g#edPpB7pPEwFwstyc?<$-?$Kz*T5|L!Z?Tgd<^mI z4yiW+d>P~o%qxIfq}~zm{gqSsHjU8Q4RC2^T!=RGiBAJ!+mxETG5dFE{WwqH8CNS*5VT?=jF9ePqn?bnN8(JXnUgseeK?z4$+;DCHJ)(@ zw~@C5PVUT`-g zgFtJI*8n*jysZqru8sa9E8&MB_6N7{fVk84aVPt0`a=eaJ53(0kz5oczpV%9INw1d z{K&(v05N^y5Jh;PRVQwV3-RI6jEfdHu>d>ZljXp_0{pv{d?@Yh?YOaX{AfB>#w~m3YH1;gtqE$Itxd%9;r~NmtM;Jsg z+acd!5^#VYM~$1nA+KBvh!AqeCr{{&&x1GkA=(MfnZetfIr)&!(XQ757a6Ysyt z#JXz4)!kiN+KZRsX_!QordHXzS(+Y#_q8bJl;t>Jus3yxhY-Shv8j7`URq?~_}kmU zgLufCX#cLl#Un(PY0-YCs0-slBJ?!i#xrrjpmsmZRAV8_2*Cqflx0d__%ef#3qodY znU{WycKCh>=B4kTB|ZD2ST8&;1fLnv;=RK2l!%(2wBQl_zZB0Sh|x;5{^t=qL3n-< z80O6&MB)LwLIrcLG~W*;ezmO_nRD&$A&l*HX|MKk{~t z%O2lETH+g%!q)WvQ4xlQS_t2u#n$38SHdovwCGdxe+gv&NsiCF1l+qTo`o^`n$K(l zdl@a+R?EmcF3=V~%E%|y2WAj*@c|&Oz%OpjvYYtKuAsGE4$s$s=jJLA5NOQa2cBEk z*2FGcTVtzcspfh8%tOfY)u6ZmATl2y&zCu$nJ(r)vC9bf0B05RM_7~MbAa!5c^{j|G79jIfq_@L(H%u(`5N$FqyLPVeI;N04RE{{ zCB&xjPh{a&bek~lRoi9#jPvMw;GAP0&l-h`e*waAc^2?6jg#d?@WAnR_KY{lR~G_~ zXR5>Ah~o*+*JAm3!28M@-VFpU_#I9?^PQO-sS=i^0sk_i*G?|4fLBn zhqvp%+wO7Z2n2esChfwbW~tWOe=|4YH20t3@rJemZt*qHeE5wtTk$_RJSP?h% z)T*oDk#n}PrCO&mHA9iUNNb@O5(Fe80s;0uSQzmn+42GB2XdFpGdJeD;L< zQpCHyg4eC8nSaWt+X1oqDtOnb(AB)r;|LN?P6nJ;@&Vuv<M?QQ5sRc$)K<5$(*ih7Pr zHD-smi>Kp!Fv7)N0|A$uD(y`F%&d}C4Nkt}lYKop8w?UPc-F5{13c5Ol8#(dwee@= zksbYGKeHFlh0MM~AYH57(GrDr$8}4z!yVDFy})@EuTrCq60>EKE4FX99WgcAu-DkN zp?#|Gc>9tYE9`fv=B_Ve{E2d8s4Q5AQI1U*Uq8Sg_s&PVNAlx)~fL7ya;=X5Q<8Cis!NKY(JZrxmR( zlFOIy8wQ)63$5TSThVssfVXcVK79Kq+DJy~OCj}qIU_RPWjnM5ES3}0X zW47=J@R+?mh2HR1?nvtuH?w*WF||G5yx&^*5T5x}@r_z!84#;4Es<#)h&g7S7rltm&J@7e4*x`k(vWgqaojlwU8atd6(p)6v@ z|E68mfGl@rkt?$BTns(I2Y<9n`xsGXm;a#OL!i%hFPJRv0VnSm1Trn+d#i(Iv)5!% zM&bDk#Mjj=?FG*3FNQ(3l0&ec-MRL@v&);~D9g>jyFTo)aMXpw(L=y_e+zFS3!j0N z`(2Df;TiAlh<9rfx{I?5XZ3tq&01SV#kZal8JqT67&?6&@+}hi5qsU!CS%{?=o;W+ z?vZs7k&(0RkuAK5jQ@wd^MJFYsP_NeQ2|jA6?viv>?#Uk0u%*&(nL{$l9NbK1Rfws z1Vx!KAc%^A2P!Cek&FowX;CnO7?7ZZ1w|461W`~yng8!wr%rcu->!RmXJ%(tpY@sU z>OTFelj>CH>b^aTOE>+m=02VI!jId&b7CW-|Kn<7xB29s1IF&>$M$8veK8xa@3T?* z%tQM+UufR2IX~!PHoi#xVdZ;{OqLFc=hk$#HHY5}(0NwW+4$Lfy3tzT!;L_R63 zBzy0}PZyPU%f6Mgqjjb8rSd=TlUoU^7yc*v@->~!&v!`Y6*}1%O#@g8$N|oy}q_m zyW6G(f1dCamZPc<8J8a4Yt-Y~leF;z;`uxE-+a%~`~2%<2pvpYV>A$uVrKtF%`*i81?>r`S^Z$vW zKR34V<-$DB=vQl;(zk;G{<>uRI#%?GH%FEK>$b=zjecy9Er$qwiehPI7$Yo}eyn-# zg;58SX@1^Pb+Jc_Ehotqua`NY4$PK&=9B-Al+K@&&QC~m{+)E*tEQXHZ9XgAHmlK1 zx37wRf!iovW&HQ)obz-4m^jSO_>%hpj~Ui`&yX#9tNynNac+J-P4r`0bbgm~)_*LcTAM0E%^A=;w>Ax&n4ok+q z4{NMnFX~`(9kb<^iu0WR6*R?%#E0KYdE5Ul#n|NPW!`Mz7lZo7aP2U)#vk8Ewe z*VF%3k#1(o`}HQ$3xwZMv_B;}e?s`nTKvC$pa1J~5A{jn&HPp$It))dHw?X_2&`Ht zT`JD>V`%YxAE_dpXWab48k~FYSmB>9u5T2dpNHD9NVr7w9Zkc+*7SInfR(y+g|wd1 zR$^%{&l3lpOES9oJnCDbZz2xzglMEMi-UggTWntHY;QU4CFCs8XO?ucA;cE!3elEIwEivq~o+Jups2tvIRWi@`@ zwDbnt^}jeoe@QK7Op{;8t1|=FUN3!GcY*L*ClTN^e!k?!`q zS{zlQn}+WeeFf29Qfg@QuS((t$f;3GvSM+TR7h%&fC>&G|uNpL;lk> z0viN65QnBfkveJqo_> z=`r>Tao~B=CgPmZ8IOKnv++6N>^ANe?6G=5H|lZM(nj+hbiUJwy_U?zKZ_5xK(lx- zJWv1Ms#fsc&?|G*Y@Dgl=czSK9ux=eYjnK;=g-=XkrvppMMD$T-=7OO{zj^AG)I;$G~i-02(A$k^7yhU`Lo%v{TwD8p9OXY3rRn5ka zi;likszwdIlqI@0bU8sbzDj3TDNdQgx;OCB65+hpv#7H1i}io%S4Dd(hd3VLu=iQf zshzh=Lo{_h{hCzsx_A#LEa~&oWDS*kgZj^n)FU~EwNx*!l|+*UQqQ@R(1bU9@T)!7 zGv9th^Z+P#mBhPC+li@XNn(5ts4!_z^d;W{i>)`Zapy?!-4-hP3GAO$lmxZ%H(|`I$E{-YL4>2XMtW zbB}O>_3aVQ|9XF^koStS)uhD$Zvm|yb$d&YYBjsRTJ9+=I`1Y8Pj8{WMf8(f=(~$< z8vDNTzjRIBYpOphr$)T3SV`JMIuko0_`>XEzQmg)KPmlVWmXscQ}V-OW$QNU4ir6| z{kY%Ol$Q=NzxC|Pf5!ja!tWjF#@Xnf36bfRkyqB;F6%_l#(a$ba6^lDmo%ieaEb2~ z=l2=1XKxZ#8tz$>_;hhmoJ-=x!f#y8D{R&GajNkED0h`6B`F+Ka~}J(9=hP|6U6yI zlPC)IeNq(K6rMJnZ60-qbmrG6g2ZGxzf1T7L|?RwUelR2_+IIZefxxRrt_i`(D~z~ zhUm>AzPI0}=&aZ?_CFf@3ct(P zL4543g4py-q5qo;{#@6di>oYGs1&bt8|e>0S@7WB~p*1cWU@l6=xGeXhc7UJ^~^#lh< z#&d#Z=8cU-M`i$pomt1a&FM9|S@#yvd45CxYG1clUlH;pA-Qw2Z*#p=7`}mio~SmH zGFvtiJ^pW|-q!KU`0#ZH zVoE)#^f1q_C;GACFKMFPs@mR9ZRd(oH?&<>m^mc{ZK3GX^rM%Gs{ThMP22w#{Ty-o zK||Y54A7Qm7F$SL<1-@e`fn!U^CD^cQOPj6X}hNA-!M%A&VNV$deJQ|BRRGL;%c_^ zsp4ciS*QQVTnMLama zLDr?MU^VesS=JpFcuQcciw#7Neuk)It)LM7%9_>I3f2K%t2>^RpIF+0b#E8uq>@58 zDDRL~I*iG_^Y-ZhGoRgrf2*vp0wW=Njrg1;&K5b4td6!3{eqgdX2n*bUs$7?6A$S;7mab_x6)*FQEVLye_SaF z^RA!CQ_l=BYU9hYDton%bIRB=8xNQ5yb(Sbc$)ar!&^Cft8iuMS-lU8?#HPX4z#gYNVNN;D9qES+waO=^F^=sHs%jC z-ONTU-;{59m@Kn#Rq4ES%P4=o_#YxVzsFATf757g=f1CO+@i*3BmgcFpBv1Fg_T%E z-eb0=SGo&+qu`o9O;$e^HUD$)i1pG>6`fT|N=s+uruq5e1FcHc9WHzf%heIt$Xx3_ z`HFix=b-<(K6-R%A4v1nVe-{K#o^wvUQFWB!h@<()knF8vqPDY09h>ZuQWMSoc}H@ zRt#G3rqXW|W6a|IEUMi#TdY1_W&LjDd%EVj9o*NBtjCbRVoQ1H6v?-HJ=0-^_`n;5 ztc66p=$FcNTT5VsAc;7Md(x+Jbcti|3O{ z3gw_MbHnDPLJe7;`Jm`CV`P3jNVCY?vac?xc;HuTywPWTMuLKT!|G2ePdymjth-P2 z=;vsNS@)Rek24J;-K_hk=san#*%7_{?TYYcl(t~qy}~S2QYZ(7x!jXQ<$nbGi2N$~ zYEyAzRqc*Iw^lGH(%vZRz9H+<5&8Gx|A2Vt&6G$t>wYJC^mEO+heSu0NK|Il{YCWG z*R12eOh2cz1?%n-X4#TLIVgL_Ix>!D$S)cPRo2dZU)9m(vf@fvVOK^%_9yYVUslWv z^$*FcctG^%PlLyK#s3rinWjmkn-z?wdq|%u0?~x%c}d_*+h=CQ82v8wgcpU@WAS#2 z${tp;#cJeeaquzPesONHekFYTeQQmJo76)}fg%!>8GerXwInYAN5QVzEvKdxri&t=m)5^ zZrq~zHwS3Gq42kqWkv$G+oidETPs4MYoj)4s_9V%C@+#5Siu7xO_C|k1 zQFL&mi&D4`KPC-JCBi|a3BRscHJ0i1ejp^a^9`(nf{w=dYDs)^=}}l%9Gu0uAZ zTg939TwU7P9h}wj71D4`p_UY#mhKkOAMPqT)_hCHZV~^D=)1LeHjDmS5t93&R8XMY zRX#_q%|5tzsiZJ}dyOPkGuK}4+mdL{UG$p>U2_e;DY~y2{z$!ux6N;fzKb~993ReA z-Emf>N)zxx6?W}3X~BGKeMz(5tr&;vHPd4S?`lbVLqJ>PA0ml7FL76I~3Bp(R(#D7H?k-dMgjRL8bUsQ*<4W0oirVXaQAlce zb7`ltg=_Lv(eIYTJulgM{RS8IufpNRfp zasIxzS`?a%7e&sd9h~nIXS_PQj2!DX_=e&3Q8)WOu6b`q(Rmi5|M6H*-fiSNy{{DA z-aCVC61SJcl@#?lE1+6W<@LB-s7I5C|MA|ofo53t(7D^?_(e%Qo+0{Pk^Y9Djd^c# zMdr>mpO_|R$S3<6J@C?hauwyoh+H~wFt5Hz9CBkc-sX4Tmj!!_^SzoCpI+mQQBxa?<`w361}Pyqti3LT=eFXuQv~ZL|>w%{qkJ6{-pTf7&#`5y>Uk3>e^mc+GvsUVR7Cs zdfUpFjmODX4+?KI_NK`avS@$dxihkB$40Mm#(SS`iI+?&6VxUWEhvu3{=VhLH71{zw8u~-?h|H$+cxl31{sqDJP|Tkf2-$0i z8C`CCtHdqT_91Mr9?zI2=o~JFKUE%@4UthCvbs?Ek1yI2j-+`WFZW}R_3L{h5fw(w*%?2?)+ zYe5@I*6lUA)yPcIUn4qiOn)S??s`eYYVM;gnhgJQ(eE@q1@d~m6@&t5XVsqA_@A0Y z^XCb|zOZKX?a}HRRYymL5@z*m$)cY>Q}i2xKTU_N6a!I*D9-51iSrMH=UWi_1&xf( zI6hLVsS#auoevFhYmAN&a*e3-dVy}t6 zEN0_}gq#^AnhwU{c43+Aa4)l1xNdYt)f;M@R}tq|2!X!b&3V*)Pspzcc~sd;SGs*l zbpFf9=Yv;_PK?nL;t#%$OG|f`bR*5uAl)q~(%m6l z(y>T>=#+fc@9*{ex%=*WXU>_KbK@Fp?cYi3U%NG*%S6Ay>`QmvYXv9yvu}O=VoL25 zTn{aeT$-@aJK;5)Gu4n>g7U3uJRV(N(5rr`Su&aSsj@4dJRXdp9#&lC9!;e z-n*}vWOK**^{>0V#veUR!%Aw!?GlOJznb-aLXmZp(U*0=E`{0+zEi6E|41kcPiZM! zV?KYQCxuLW8C-8?_T~6=nds%NAhPuN%BT4DJ}AjqM4{t0A&f0%k-^l@xlyx#)C1hG z$~tz>89AlJvlb&hw`y>PGh z*uP+6Riq6Z*fH>$I5)Tht|+yDW0#g|9M-+X+%BCNmt^1%x*rLrkL|TGypg=o6PQ!e z6#U9*IFuD$DK#Km0F?)Z0I6kH(pueB#d+{^Fr)Lh(>Qo1K+h3)&|fLPGuCk{w=Fn! zV@1MA3F~SkNWB7E*B9`$*C$(j{^RhZSfF)uuq!M>`TRm}j)T4$dzr3UFubK(bNgSv z%#^jl43}h!@=@SA*G9?j%i%h2aO5hv6Wd@@1{0k1NnwllZN)rACrPN57a{d4xX@o2 z=WZXk`3}*ZVf3?<^jzEg-iqL~OqZwNEo&`4l7tWeB+|HT?)o_u(@MQ zaJRnq&fyHayuYuq`wO-I4>7w#8P}S3>;wy$0gm}RQ8p5Qmiz74BZ9)RLfU8pZZGq~ zTBRCu=_pldXii4uk^RDm?Gf?Axj0m|UH$40q7rzw%7pFu)i-5FuZYA_My=D4b{=L z-1Zi&juwpP{(jOdAMohlwiicEtiz{`ikk_xxIsBX(x((%uT6w1F>!W_xi7p>_ERzX zlCqpmMAGfS{f*GCRJwV*8H?BtK1p|YTZ=s2?Ke(#WAA4ki!pu;tSG z-~PdS@J?`z;=u?|RNT%`E%1~7J$;Xw=N-2ur!3ZVFCgt+XBq2|CCYFg#CoM1LE)3x z+Z>>ekDwYh84GyFq41}e#@_+x9226r*=?B{Y4GUj0MNZR@I`z7Aw~ppB^XOV*V(`( z@rz)qFCZV>@tZU}4iHep*Pj2MYHVl4A;)^tAdQSD^zP2StoNhm3!brgSij+7yIIC} z#@t8N87=fLBztY&=4-**D&EaL4pn6iil2Cr^8* z3HjE2?F`wsT%;LV6xb9%nSm0WT=%Y92^EG9Q~Lpw!-0OqvhfGmxvZ zR2QHhWFFc8JZ1hwUe7J6VJFdIJ(tSnwihyZN%PS0OWtQih$POCJ?a^BOSau{1? zdA!dp41G~5T=SFkRZ5Z)UrN4-#yyVlo9|pFHDxle+_Y!>6#1cDRtS36ni^%wiL}xAK=U>A+wA*)t^aQ#p zWq2nZKmJ$D-MTbfW5rv&Y2JmS zS??R+iZ53nffJWp($9p307bQ20;fV}$%?c*#beUqXfV<2xv`*a1!Y@sweGUvmHFt* zlmed3RttBl?9T1rOW+!GdKTLqM$`!Q1ZoD4~64pr+C5?YdHJl~LJA##QP z0~_{N!6RHu}fLu$ClrZhy$#kJV#4T;@%vGJ3?Dq!U<7LrO9Xow z(t7I~#%6hZD)$y5wLH9!Q4`VCHVBD(QaF7u_BR!H(Q%#5N}B+SsBZTlFsZzFyS?*d z4OS@&R`krN*Qm0XwPZ}bZMvIU@}Vuvbh!DPr25}PV&mtg~*!5#UpFf4BaG zQ62D8@o4gG%DUkvQhFHukE-I{+wzakKc0rrULu3O3Zgp9JUVjPKN+_Cr*Y-Z(_Wv( zFt=wd(HGAC?NHl0tKLW2GNd=CpO8LVVdm0nEaeEO$P& zjG(Nupm)zfN;GITDXq|-)@Qbw=&2ty!@oAh%axz&&(<;}MiCOkXmpJ7JO>Ocyn!3D z8nC{?Il3{=DB7Oi)RE09h6hdKukf)##sbu*K1Uip8U6TCr4CBzw1_lrJQT1#v`a~c zU*h(un|@F$-ROhP;{>8Y)Xz>ee27L~o8E0m9qQCezU>aNr&~>Kjd2TW5QgjcX_RhB z&V!P2J|`YmF6PQ5q4{bkdq>!73~hiO1KayZT}HCW>%Pc2 zqpH-a5;10PQJqWz+y@~RHMRs2g)EZtxxSP7>uLosotmmilu!(kovx65?AG58+>5;9 zhUF}K4cCg75N3{;aN^?<<%-2hkr;4nW2?r+LDTiL_0Rbu_nzOaMnyIp{v?F}oB*Yj z@33hw_Y=F?0oFlWTmRV{^g0#PlPx5~t(MD5dVnS9$rn?j&Q*~MD!;#4xpVKcA7EAI zn^7(G-q|SsTspYmRkc{2R1u!EBnbPzN@HlguhTJLMtTl{T3&mwp@MIWuJ}~ z?8C5hg}A-JXSKM+8#nuq`x3tETpGQEL9`Wz_>PdG`?)rG%!kq9->FB0^M`cq*NX1F z0rCBohs{iyxikmk1`xqwVt7ZrqY3QKHQ7gc!R2S8^4ff`aOmd_#D^8PhS#OM4~~@A z+)`N{$}XwW_b4YzDp6wgKC)c#qmUVWOp$v5KQ)@1zPvAQNpN^x_+bCVTS&p6-rbsi z6WWk9a*gZcJmPI!>AkZmJq4ZEvo-pd``MJQ_rsyMspqB;r@F#JJj8^Y~ zg;j5Ly|Hu>y#uVsii4(<1+FKx>)-|C_>8uI?0{=I@WDXy8>6G(NP0hS_YC{uKP=yf zQa9gB@d`UE3oBsm_8ckr^ic6*PH>xFyk7dc{3iGOR~(E^8$9a()Ga$)=^ptIl? z{F;t4zwZ=F>SLBn4!x7U)-C@b-=Csj+uKzBBGX5DQ_~Bn-+8K0$z4S zq4|z*CvqAI&R1RBJO|u>K{KXhmZ9cbo`E2!B815OZXHw*`5h%_ifzP)5b8Y(%unXU zGIb8Bc)698iXb5q(}<8qcbEL+lO;(Dl?dP>;UZJokF|!ZLodewDMVRf^ZS-|o(c*K`@L-=5JHYCQbiAS23fMvf*=ate0Ck=f1KoNytd z4;H>9g8f1)3?KY`qF}qtP^o7m2OZtX5kbNkJE*f|YvtN&vB+ zbp$@o=zvmk9}V9&33egq)9Eg{2Qt5TCVvC?51FcQ1d26JXTk+G?3El)?GW9DR5F~u z*Es>wZP+I%Lzgk|!E;1EWvKq(qjH0>%(323LOG>Vy0yp3Azx_K8h<4iGDzF4(K4nAGUij2O#rs zMN#5Y(hHAx)k+1TaSx?5wVI`#nwc91YH*c@fHHvj+~1~nr&xZw0LAfK6n;%}Z4$nO zmn%@7pTUFaB_Gf|BxaHPa|D9mC$PM}j6e`YEcK~tNET?PTQyyKf_evYMo6$XYW@LW zr8vYlad`rpYWho$3&hO*7$dZYZou4p!sOoHB5T=l96!Oy{X1^!AYrp*?7Y1V^tp-# zF9uyAn}pKMvF92;O~7Q!h6G1}g~sZ^`e9NUMG5Nswgz-%oE8a=eKW0td$NuqbW0yU z=BikDm{LxnIe+(gR1l1nSH~k6AU53QWFt%nWd77w4CKhU0&>y+hBRZh2<=5+#L3iK z_!8QalOciKLpN;MZT8h}KKDJK4;90jMVNz^`Zm=hY` zNB92ecPZZ>WF@g?$u_6ABr5D8Ys?zYWj<2>F`eK!p>S6vA}$pyvo30m6rgbrq}&^? zsdjViPiLMwKf$wF2CoA7f>f#Z(Bu9+%M-aqk{Jk$yWmEqE7b6bL+2G4|A4q#CaB1u z#?%skcsaL^goe&?6Tn{_?YR;By5Z;~TOMk1eA{)R@US-r5plBK;Ux*Kp>jC-*@>6I zAhI->ez*>CH0%ydG*O*X?q_ai1fTK@!)8P|5x4hu7!wfqJb;8S_5;^`M_RXF7}bB~ zeT;h5)=sPg#lY#6QA})Dvlz5^^vxHrA582%*rk6G7t)R?O^^)oBzqD0*JKS#I-I&w zXtjT6f^C^TUZCGuURbF{M%=+$mYER1(^ngM;%=~f?Mf1cIpUFs?ZE14qUh_CmB$TJles7H|=#$m-|LnX>+v-6C+&W#( zIn|tl{qKsl$*D5-3ov4IIT`h_x2^2O+Y}Ec6qPWQMAC00>8_!eIDMAenA)Kw&L=NU zR_=Aj=gr3Ae8CmONoJo>4>r;Btfi(-0PYEW{WkF+HGLA`EgJ7Pkck+k9Z7eGj)FZU z2lIp#!pX3uiFuc^sR;7JT2kqUoYyi{4kK)@a7LlvSgk3oe_!cCxt07Ej!s)?(xeqZ#H%!cZKUrSy+HYTwGxD-P*VSd?vr3S<^Qk?&>A(*OL#W_ zRAWkcURH6%>q0WX5nqy^l&n_R6Q4KINHTG-zOji?haG8aePIPH?2aSn9cDTSZ3l_% zzdXgpF*))?4|w~X%GH?xZ8?cBB%d?j28m4V{cy9Z(1T@vEbqWgf#xiM;`}!P2X6g!4Np}j^LQtel5H%2Z;Rj97 zuvqt9^_-ONj(_b);zdLfYjw-~hn%dg$$P+%!7sFd!n_XQIci6DL9ioEUV zdIuGkSC?Q92Fw%2rc`q_^|}%~U4c=bH?skMKMKmxtIdHWIM@lX_|P%6o$fx5oSAO% z#Sj^kES#XrIolOiKKIs?F1kEP&PlR>D-R4<95xmf+(J#R%Ii5ErDWKN-{n!N5EB_S zy!5>RFuU1s{}NB^-eQNsB>zKGfEy~~xY(HA zSOUTa;?RP^kd4W#mRZ2ipBJ4dDln`v^?|mN*FJ4$m zTz8Wii#X@i!H-4%sXT1@O)jqfPX_9u8iI-qn3kjP9A;#qia2efQ9qXC=TfA-fs216 zVJ0Iupe+9CD8rroPUU*q#Ca=I$;o0y^av$|NlkwTSik_wAi(OuI)>%7hj;ExxN4uk zhT&5YR-3mFaH7WpjEi~iMx=p%@UXm=(CLJi$IM-uCb4Cp!W!67T}Yd!C;@#{78F`dXB-A zIBMrD03~y-*zt%!1;1A6MNh%tk`)joOLRpJb6s=({A@}S@6;=}^gk?03f!LF9D=!c zXFPDz1nnpWXN@1TN9WL7dpa#`hoWg%8?V3Xx(-PAR+V=cf`r;MPD&)U)o5+msH@F3)ru#$`+nGn6ttl^+ceGm6Z)m0n?v1Uk z;@u_7=j0}1=~++u&d(xjG>-K6=#Kp9+^-Z{Ni2O1FvpJCnh=r|zrFeTrd6H49;)r0 z=1U7E-`xAOOtv#Lz6$DrMD4o&fWBC|JT9^-S+&gjYm=!lup=9h#Q+|%@Y=gxz5T~~ zIwNeZ4o7!mWIG8N;{{$0j6NkJbvP+X9(uo+tqI$ZN$;XUrl=7YMQqNxa2TR47{#>jwrbo+N&OfWv>qzIh0c1@F7f@!ALxV!^0y z`QKc4=zp*Rmdw`pv{nC0A|`9-Rb{&Yc5KrNxLEa-yjp29C|SujSOwGP=GmKm5%BiM zr;)Wkv#6^E-uNmLg@_oGf6#Tm$U$+NQtcd45lp}U&g}nc(mIE1gR2NxJxF`J%f#`}ai2}Q_wwTL9IS%IcfQP=ca zf~cPOxOs$O|Be272rI#>xCxi}m5?^KupMEs@#-q5$T4qG+2>h*BadoSsWgsHLLr5S z6|+xQr99e zAmyeUkDy-x7tPy-=Z)h>|Fz48fWQS250zgx%l^X|A`R2qZ`paCEbr?BjPT!C8ySj) zf}Q!2(6^R*xjgQw=eBQES;q^OL|H1j|H>BzZAH%n=gNvO zSDqx(BWw#hb0hvxS+>BK*&@*(ZF?sEc6XDX;`+#%La54MnI!`hW*njH|MoiN3#2UA zwvS#Vs#f(f$vIT}E4}-StO>q)nlTqQB2K)t8dHZ9EgtpJ_bs3<>(Ue~u>@|T;KK6O zj(l?<(Zhv-lO9Tzj(xiy8WVl~Wp$Zj&i=&*=pKoNx9tZJH?YL;h@&!SG$=#yHKR;z z3+Nq>)y!O2;iHs*oLS3xjwETSlayXknGj6IwLwqc3vnXwT-o|%v8XWFP(rGihGM2!(n&AGG2WZU=ORk;M*Fgw*&l9WN7$Bc|sUNLmP7>5b#R*7>-H9fLJrc z`KVm~r^R`@L8!{VXf>y8oV1AGeBCW{7iX-pFpKnhT5K8~hOnDkoK}Jyy`2IihBEyv zKJDP_#hM_M33V=HmxP%rN6%u79ZD!To635;a|<|4kVMDVTZmLlKg;Vz&XbVDm5lHJ z-e~#~3_+Ir$S=H&f(1*wEHp&3SVV&vHLN`dSc9GoZ+*Kc;x~3wH2Lk4wdl_kENP?Z|o=j-l$`uP{;C@?&HQqoOg-+Mg9Elr_|RH?NID^BVC;!p)H( zw1Li9hFScxu;w0;n{o>__|F^x8sRTldnchimti^;X{WN$h;U#Ri)CCjsc0WXaC+Ug ziMBt4UTKHsg0Xpb%#Y7R*1m{7?jW7_SBmZt)NK~XdWnj!NS;5-PN@X_Mkcecu&GmYc7Lvm93ZG2Xw+kmETh-+;K5q04VC~}4bNfXr=RN9C{e*qN9Se&=Z7l~c7YLCW#{!5=2a95F3){t@p$2oE& z8H%5f_pY$)9Kxl0uGP+m3L5$Hm&9`z=;JEDnCvE;Pr*1fKP;nF6+%+C$lx+1N@un$ z2xLtes@pfOn1m_U5KR-v-@nNYGsZ1cF;VHFQvC|o-}2}fgGGdiCov2qwSm-@^Wa%{ z6`XuQ$MrW>`^#+I??A3f-8x3l&EcLwCdqxm#`h8ZKf&CYp@z0HA%a7$L+-Y?T$-Y} zDhb$%!HX%+^dHVhW$vE(&2}*jGNo+()dkAcWT0{(N~8R})%8OKjujXJ6U2dPMF;2r zW~pj^%XhIC>q6^S^m4i+R|Smd9$|ZRzo#%E4T>2RQ<8;*m5#%{55@eJzcpZ<^(}L>;eO-ISnUBsUE~kVkOv6+w}u$hpdOfo`Y`=k%g2a$-mlW|3HCT z4S&Kl!c2%(dDNt=(u>E3K(x#%PUEFBd?r(IlUc@@`TneF9?@aknrXi4wZ#F9h17C+ zAU&E$)a4G=?{9I%TO_KzbNH~33LSTGWw}_Ofu4fZ`YdYkK zot-QYd|4v-tDr`{7*_a3C2JFAbws(!kNcSl?EY<*@pQW3Y}L3r|2ZE{zH8yqKTG=b zn)?IxmJ-(@xH)Ir>76yt*qR(Tnss6N-`nI^h+pX5td{lNN=Yu0-OGEthU&%@A^8(4I zczS(#F_ylKVgKIBNtJ24>UZ9CM3fH)xP?we6SGNBU+Dp$%U9#$NeHL;5; zqQA7Ir7Z>r9-*_9WYL}U6ZWSNR1N+3SW#&NDtJh(IO%XSfyfrfB$#S6YA-WCWDL|E zxY-m5zNY9?Yw9#MxW;m_kBr!L^LFh?mAyw55J(uE#KW{9V8R~gnHb|FGhYSzD<~nt z;gytUtFsaH@0dM&t=c_)X&QFU5Lm8AWOQ15XLzTkx6pZZfgu^vQLcTt z*8+kCjzl-zs5`Y*XGhj%I|btR{r%HkYA@o})A>5Ss%L_l2;UTX4;uJy$pnA47LCV& z_H0gtEqBaWYK7dQY)J(@x={<<{c`{)`vcwl%exp&ztIxKz%nNiuZ(V4g$Sadzt`0` zc4~!d#E3c_2gt3_{8KMHVmJa`#cPh)vzz%e-|) z=NizT&08Aw`U+>y0JGuPw})l&`_3?)neG?qgH_T~`2-Y89_xfjGLp)Epma%_Glumf z#iDw;WlI5cOG@(peEk*Vkvu+@--IK@vTWe)X5I$Mn651}VpAySA&w@q5hTP?%*qWo z&`8lRIXNqJYM>%Cr;JBsRg_R&%{(F*BI`;h==mBh=rsXQXi@)P>4RxipH+o{@twCF zdG@R1(4KX+VGhg?=?Q=$;&4}1;oY5s!DX;&MTh85n;SHL?3qkxhG2MuA#@M>bNWKJ za&lB=B{Ui@OWu+;M+nhMqf3 z++JstLC>;ic^;AsKCR~pPq8Fz`|eDq&tu)f7($59B+^bYRpxc*&3q{yKGvgFJ@&Ly ziBT8g8yS>wHTKn<6bMPCcb=e&sx;g)}l41dUswU!DKY-oN(=#mBbiLAXj znJTv;;6u(a$Ti-L2}5J*a6n{<<21*l&AM1=QGeJ|9DG<+Zr}ew`|=Z$VPGiTy{6># zw<(sH9qz0dK8H;VD?YVyqubDM=hI$xGk;|pV{pwhDkfVlj(e zMA*axq%z?yh`{rsn5ah#h?KRFe1QD;61B!2$7hr;7A{`C#ByJ!?e^_HTsWCG``uIu z9^X@F<52;jTFBaPGC`DW+Kid0ldc&si>K!00KLz)D)cY+y;VeF%4ez#DfGl#y_ zFtSJKUi9Kk6l}z)9>MTorj6@>-+{RiuNfED0Bm^PiQ`B?(;Q@}fDFN&hu0q^C`sYN z_o2q8Ld8m(zvZwuJUk?ZT99dGp@>XPE6GJEkGP2MF0f+BfIv-&=rRys#XYJhBh)n3wvs`5CuL&iNhoL*cNkWEZH=aHJDO?{9f3#ic z6DLmCj!fwmc2#=b)_459Z+`0zkY6OZoH*)xVB9RNkCXiQy>Jws_>jVUme*OK&Pn#T zkf9dW<^+>c{BI0`xdMXL6*N76(_hzOnA2~DMkQdSwLqP+q*D5rwRzt?5dOyn_!)Ml zl2?cqm?VVDuFznlKLG%L#oznm7`GAF2jJR}A=?4jr!M8 z^m1!i$PZBmkCOh29^4g==^K`VjTf;2edg~~R!zJJE8taMygH5Yiu zfa{L9taD!`fcm8%cQTBi>@=T70kX%E&jNiS^BlJT)V_GQ&l?w~kgZ?->5 zX?-gZ!KL3q!R%wS@ruXW=Tbvht+^lWxUsxFLP_(Xl%9c>Rbz`DE|56|mjoIJ4uNr< zf+r-^#j^Ej!Ok#pgkfZ{@5_vmzgmcElX^zksVsu4G0nL=cgn9 zB(fhi57U5itDEK>goGYFZOH2?_~7-}PsoUxyA#Twm*SBc4~Yi-73B`1q9`<2qn2z% z0OD%+7alsc<-1sFls&38MKmvjdRP-6q%%*c2r`Fk*YV(^PUq-s0h27=lVqpSJjf{!-tOxd19I)n3&46i z2yCuYQt<(I`mkcPwX!eGkW)5FP=)uW<_^u4SqUD0f`t1-i(Wr)n^ypqQNdN1aKmO z!L3vX$SGC|{QdnH7coh1oxeaa8n0}p1#7pCJ_N7ml96-}X$&z>bnkZ^2P}*i!0yn# zH`Q8nqU2dQmlcRtB(;yX3gl;a$0`*9SD|3(WN?o~@p#peLe_bDf>PmutaP>;m|(}x z0Cq0JzQMpwc9QVD{V~ahuqy&N22Z^qB*t`CiT}I%ZWVuEu4qDDMF!NhoA3U0FWDa# zrA_ZB*Z-ulHm6_3eJuBJo$}-bGQ8V8NcN1!QzIJ<5x&p1Kpo$zjkEdJp{L_LvA69K zm?DQKp|V$i&wV@m44dEa!6 z7U%DGjgEcN1kz4YU_m~b)$yN#$PU)DZtlo`!XU2|jbU@!TK|NAOy3)9d-gcg1&a`S z8Ofwjl8Lb)2WqJh3cpZ9MyP1mb(ZO%B-Wyx)B^ycrLcsLX5n@J16Xo|%mO8vmHB55 zA`Da=lC*ichbSo+l`_9xJ3%J^6aZMrWba;RO3^$@_9p6fd=}m}h9W)>iN)Qi|8O43 zMEYjVCK7C(GEv*uJfcI%zwSBH1426Zjc6rzsTekCholMK-2F)U+k5kR_pO5`7bMNN zJQHNrbC=m)AqjpJE2Gc`TKzo-R3c5qp`@#|0nFpEskk}y^J5_8T!Hu&{P*$r2rE_k z$VvfZixCw2WJ!{u8L#OChWi>45QQqjdu-f3p}CPlQ|7mBD2k2qPOtLV^wsy%BrX|$ zFSjlznzgdLkXMT2J^ivpF0{kap^%t3mbaEtMr-=^3p{`UnORbz zcRzp)qVlHRibfH>F$v5d_(IHmro%r7|tzRUZCT=RZq{8|x-sW6)V=qj( zX~2Sq?GyELl4bY=ZW&>TwGi%#h>7!Xtw^tPIcTB-wc;AMQVpxT^b4h~JaBhd-{hNg zg_Q|_z}sVaeO+&eJ&_g3xA2OcRNJyZW_tT92>H#V!ar8@FJk)xn-jYCf(SKr4me8= zbg;{4bIsn}v(AZ*zzEUg{HVQ%&}F~&1Y42(JH(-Mc?m|Wi~{(Q`U~gxJLX)A2lQN3 zfsghimot#&I_ncu!STu2_Ds;+lq*}%NaOlyC}^s|`q76!*mKdctu=-O!3OMcd5!1q zl}6lC5nZIm2SPrg{|$bg)nP^^D49rn4l29)H_+n<)R{+v7O5Vt`WhkNH$Rwt?D3}i zn^%&sJyYWB_BgMjo$t-OwIq@+hebNaQNkxW2(%w_n-7hmuS?ZE#T^yo+t$_jQObiN zRoFL*zgHv&%tOLF8`w>n(-dN z0WWLZwT#!Ge%Un;65mLsnZ1F%!Cg@dV>E(DJ;;*mcK4p2Y@e*8{A`1 zHfcR1bjJ{g&%`t_#zw%W3i24|>$hDc0ieblfJU>#>zVE7*7*qA-z>Mlwy=AVoZNFaO@FQbnPMnJ&e<{J^+`*svCOz-uh_Q%%4 z7CDHZ+}oQ{)yTsRyIa<}YL=z!IN74?OIBL$Vw^?W>s{rc;hl~`JTp5e(SOHTSt^L= zGBO0|_qB;O^|MQuHC=V_h@ebq3+T~TTSN%AcDM<^CoT| zwZ1BIz{BwFeL?uLeRqgy^WZKYJD;5pg4rZ#{t+t^gU9+B;PhQIK%hrZ=0cx#GY~(# z*C=gAEOH{@1w;B@iEd*0|1&U(u;Gy{cF^r6G|xga9WO^$zk}KempN`wScq3e zZQDh)&|l9wX4cJ9EhXOWD+IW+{0$kE9Xk3Jc7;a%LnZWA7FpLIA@){RR_)Fit>a3@ z;zSJRW{QLFn?tna_^Ln>ga2~jg?h197}+;D!xNnPA2e`nrJD&n;+EdvrxzQz$ofVb z3|u}A<1NXVHGzJlMqRONwaAN3>TLgQ^Uo>u*ln>Doy;D%>_z-=-yNx8!o#b5f}TGb ziB75uUaofJmOh`~?Gro+DIfg0ta=i1d_PHeeJRw!HQ981*?vO_FSHe%FkvdcWpmqY zfrw7-jg-1@=%E*U^XufAlz#B4c~JhcC5KN;~)C=lJ6c_xW= zmvW=VGHg#&@Q8nA^3uTOa)!zZ=ni`OBwmqDot3yRtGr|O=XbSp-4wMRjs-_1FfO*Y zvkd!Sx;OoI?B!Pf&djTvxT9Y`iea4B>m4wPgGKeGN$r8uk5m7+>K|JgH4`oHkKpL#w7Ea%X6+y}J_qTpqXgeWZHYL0jdKW4*%#gt; zeZ(~a-}Z2~|MvN>5Fe`31GkELgcelCsA8L^kHZYOwId|cYR zKB?7VIPd85*hM9~k{P#F|_5$`0;_o}8qMQad~NNR?{EZ=v}%-v~`R zVR9cHCgI$*i6lMgq|Jw3oME(wOQPs;6`E;v7KVw-*HOv1!LOFGEeo5{adXy+Un;3yG~4?*brVtNEN;KOXo=Tr{2u>8fZs;_ryIOAdFj^F zruJVZJURHkZhXsQzc-Ta^&^q#_83O)E zHUIQJxV8nVLVDvPYo=mnZF6AHIh>lq6Y}50k7As3@Z`zNi)Q=_^XH1|1}=%C;kjKX zN$>*C%RmCFFzZp2mPlvKz;XJr2t~U_*-Lu?B~w}v0LOrBhx2Lp=e^IV&wkQFg6Y$6 z-`CY5%B6k3_|BsH$&`nLtIfy)stwwlF&VR&S^w`2xWkmur6NeWw3vL~U+3dzmP3RD zZ!XU{mrQI1_2U3JY}TiHFY7}MXKFiu$)Rh#qWCxCsWAOG=g;y3Z$p+ zy$=k`dMYC4YGZhHy?1ZSdN0SH8aIXy5q`bozT*Cgox)(_bkg+Rt5a8KgFGQJCw#$+ z=Gy(@QTR!y>1eOzYrOoxd{yXP%ahO^^j_=XY02aP>7rER18F<0z0mO?TB@la{DG_B zYUl8Nqz+qLg!W+XdPPKS5pPG&e46*(D@U`*z%Duf-OHmZ?c;xOt=XZEKBAM+4+)Nh z7d}tVc3w0$8}<8ib@nnJhHt8lBBh6-818N4;g@&X81=Zrq9d1;H@BGXRGZtE+bzaB z#B51Fp)UqLM^*_+%7P3s=n^J237w&bel`9F4_7Px?rm3ihYIeWiYANn8!iOcJ+1i< znw0`NM2kNfm`4w2LUxwDfBe?DQ3)x3XO&lJ9IZ9-%voTNBDTh|g2TC!r8n63Qx6}a ztg2TQD6Z_c>FhQ@BoCOBiB``yY3Y}b%&{C$d%uyD({yw67f&|4sZnEcZ}A%CoP&Cj zgVsA~SU1P^rM6x;c4yGl{{2U#vLduF=S6mOv;VB4@JryRYRTIH{g*9I-VSOt9-eBV zHL<|Nvns*Jx6;bM?M6|%_jk26m)otLp6gltGkCE~I^@IF)P6FCiyK@lpBM2CNa=+v ziIwZSxW8cl4CQJED$Q_UR$6o_qN!IP%=Co^^`mQSm-o_$Swbs~zglQ*-7{QgXRxv18(|dRsFssUv2$YFPE*qU+_2z>E}q3QW5EN)G@18gu}({ded& zN8CU@Y42^)vV!gqmU{_wQL7#zO4xy4lh{!|p`N^bH(Ky{yV&+fNW3>qh~mTB1>cqp z`N~g1vrvEauC$`beUhgHUqkZ9elFYt%v}NYYPc6Cz0>hz}hXe_v-Ttf^%iFD;tke)H1F#+@M?-zpt%Bt$oeDn#~Yo~M;DUj=1 zdE47tc|#5IzrRF@;i;#4f8U(XX_dU~-yPz!+t3}pq``GlzzPxTWQcqh&g3Y*&TL4r zPU*h5c!cnTOWd4DQA6D(bXt;lgh_~B%)8SvcAV|GCpW?t=ZZtjgn}u5CC-^lpPD5+ zON${ff2OyU%4Yo9$*+Eq^=6f32ZpLnEzRV{Z3mcCmy!C8TeecXSN#OMIDAI`YuqNP zRep(on{`FGkN|xNct1Ipt2;wfGuQv&t3!2^!Tr$_50wmGYwq9FOK_T(5f z;2__~yW<%{h__Cs_4Mt^k<||Y<#XkybX_-J^2n>2pNrF-h{s7YvC7#^6@!EHB?;Eb z$-LVY7PJcqihr|j@xN`JR~_>v_jN}!vocnzHL^7M)97RR!gR&8K(H2Pp%Q2Z%RPsR zYerNH5-d=@mg{r<;0p4xhCh2RIxT;{-Dp^R_~L%>`pPbH=f!^UasIf!%{P;srbC{9s-pvGHj)5cEm&T1;Wf zx*v8&|ICohS%K!k4ROk!%5TaKf1e&Y8ro>g%xAgSqlJ_!LDH-gR&nubh#n|F`q#YL zO$~*HBbT?!9mW-^lxQW++wO6IHDZFziEj4zAjT<+3M>Cp@ zp4yE+2vEDsd^aj5@N-reX3!?faFVWyABuCQ*q&;Rb2e%|k_%4<{&UrwJwh8<ewiaS<%h);$%;D^W+CmJwSoUg#+3)``|}_fAh1(E63(ws!2x zb~%%^-Uo**;>Gz4Z@F@?F`%(#eq$R}v)Cs0UKfL{c#@OH>{KOoF3G3tUAigmQri)< zX!84^aO~g0qZx4*HoV0}t)*pZggq$>V*-~)enqb-A4G3B@8u?ywed1H5emp!F>3*~Q(UH#}RICiy83b^0 z(%AlWr%-+YZRGi^mK&D>4(tl*ie=xJqw`)!r?u?KU9(?w z{1ttDf1TV6rhfX6_?#6HlQ#14AkK@%Ydk{1dm#3KwN(z9i$s5+TXY1mErfiTNiqx; z%E!YMruzw{b^T4_7eKANANs2Sv6zE(SF+Vqa7>3pLLQ%;_?H@w_a9SZ;TFA3U-ueq zoX@ogKH}oPd~N<*tF1fSH7LSge#?&l@LfX9CMmOQS(mT|legn(z6KmEpDc;vH{MP1 zVjuTxdg*eaMaK-^E#|ue2M#4{XH;p;u;19x+{&6T)%is}e>3D2r}x!kIsBZ-7N5gf zNd0nD^ReL0(!{4=PyNulLZuGkBl_~>pVQQ&*Do4v3r$N#UI(O0K4y4NQ6{s z@(#0felK@LOwMD`Nv7o6#mYxYa+ES`hg!X&d9qNd>*@cdJ#H@bOEwBj2QG!5h6yGq zS$EI`sUcs5Dk#feIo@A7QH!p}*(LZ##8V!I3P}u`3Q*(VsljaTFW*LTxkrd9bNV9@ z$BIEZHF9a=*EWncN;=3RWivL@JALByE8pUH2NMLdDzXY)e;wsn(TjIpFf77!XOWx@ zHDj9lvN&6?cb#2qne(aX>{m=C>;J3h%EO_0zj(Xiix#15l}d<7l69!0v4m`?ER$p@ z`@W5tO0sWJLu5k8zVBv6vWp=xmSHkuAB-_$nEmJX-+k`$KJRnyxz9bH&pGEk=VQoO zZ*+f}=6-kc5)dw`)nMJL48ir7x=M+SXcq0k`TjI?Ihi>&IEFXlW?l z&~!Vh&p1JGOjQIlJy8En-oDzkz9_s%LN?df)B2@5DM8&;fgN5y+TE#L{zj!KHpJnr ztpr*wXrXskqIE069|VQ1_L1eK7@QVa^cAh`h-z7MaqvQKM27>r=_LqSzS)U6EH4Hy zPhbbfIXf#m#==4)M<4WhwrhB&Tx8!eONN@Yf9OWgg#35Ubqd?1kUGqVSKFkW{ioGuvlZ=B4%+S`(lTE4|^j z>QrBR_#XbBokpDYiz}$ZjvoVe5Lw+$jt*jb^U zMrNk~FI7_*!5yLI4!(v_`*X-(ayai%82%bZiFE!%1j{S9%I06Neb8(QH!8sVFO|1< z6xJt@M1ze2&?_TV{ib;i-kHxqf3rt-i%N!IUYyE-_ih(6c3MufPw&nCU<`J5l25Xd z_{OES(!`URUMV!5J@yX0^Mg{?OBar{QDBJ$M&qv9E^J*jgw!6se%}PvC7yC1whe8p zhwQc|)u(oo-EzOz_zTRsd}n_N{+$%eRaiRT6yeEBJr>7)!RcYAc+d}Kz0NsR(tM9= zHAiiD;tS(vjx*jGS-F6|g5&2yvziM7*B#7e@PY8g5B`<2N-4NmOP}8{&6~t<8=oMK zqXgpy{$PrfXZRQE&CpKs^2(si{lHEr#_}uxeh<7+wO&4_Z7R z$y{}Qf3$E;inxWS{Z=C~y!a6xry>-<4AV zl#?7BjHlfJIBn}Gxp*ntddjX_p6NtBQ_l!d_vdDxS>)7@`gCYg%xk>)S}cowBclA( zI60>SCxq9O%yPbrr4$F7yrTLH+0Z9;?5YZ&-ekQO$Og(8rOBVH7`_KUUeVr|s!o!? zCq!XH4YHBbASLE!!y|l-)}h(T7kR3VlQ(>7WJ8M*NALSdye((e?W?S zUvVd({q3@Orax3ubAalX_XYjUAd5fx@hiDWk=LpwJbLI zB{*#@rw&V;#qRnyFNIqP!3P#J#B6E!$wBQ~|B5D5~`;YY}5pzZA=O`dSlzi%^Kl`C_x1hE%+ zY5XsX>+RbO&E)I{5{Nkn;dH^S`lf=C*fQmf?Oj1_e=e&f&`R3|X%9)M z2s9V6Jw&)W3?pZFQwzW|I@9S1Xg&%05?hWH|Mzna5}z&w9xK9F9DxWmIf9@i50eA9 zGX^(zwpUgJWbq}jdJ7y!NPhs=if6Na&5omfL6gT|(|*zKBh@eEdL~)l8V;&**|n1g z{*9(xa)bc8IG!G2S6a7N2E_|Bsvpj87=v+k^pwlOd>rCdz7kp{d$3cgGlu3b;ct4B zfj=qfUTh(`M_5LI$L694K}~XQWWP=d>ys1d0uHbx`hJ(_upw^k0~0fc+5KdwZ9I8M zwdLA=B*C-sW5QA*&aYDu!l#oPQWMT|6{URm42bOwNDGhL6WI5M!$K2BlTU8` ziya*Ay+Uan41q$Wse~ZHj=UF3ZQ-^bJY)fT4c@TpJv3FK-lH+l3>^ypz6Lsv+lVz>zF9Fp1U?0qs33Beo#!*6?Pz&=w zFLL_^?o(RIOgeEH3&f0*$!9T>D%W!n6;MNj@in1XQod${0U&kiO7727b_<%?Il|(W zLTcI&0#q~nd zoBKn{42Lm0v0>tFv@@}Je*Aj5@@+B!?DOopjgLVGmpr-l7)Ha?AhU$%PcMbs)tk!^ zQ>V$h3h-^LsyNzvpIP3ZSI1)J&o9=}w;wv|?eLj$rq{>aF2+ooL~hwRfyKtcQYz9q z+v9c)BVtS^gw~RnPYUPZMN-HSTYoe2Pclc=+ zHZ;#d_xE_C$fnmRI8}g+`sUStk#cAgP>Ofp+e%OTm!3rkvdIC3@^(Xad{<6d`2{Wn zc>XSHuF~_dS9ioaZp&xaIeR(4T)Q-e=kPxUIX|cg#6xV)*&1ar=~u5w*g&MAiX`An zQ-<=nqq{fZuf~cC_$wFpdkiB-#|p?Dr>x5TZsBcTwUM)gwDKVASYpLi9Eai3WL>~+^e z<;@nd(1#v}VL&ijf~0Yf0%2Z=Eey&L$!Hfa?AUf(Z#cn;I&2yF_t zp*csFvBE+ezy?p^6#qS)FWoy+pj|G*OwcSbw^GM1#sj8%D~24L6u7XjU;Fv+VJqN z(z!MN)tD{wlcir--56(S6u!N++Kdl7Av~1aE^5BXS6qPHke`L-aXXeVpTPFS)R-`; z;S10rJRG^9puI)4F@nVp4TVz~VrboE1;37oeJ7oTw`c7A^ZPPf^@DHjT#J6Q(rI26 zt`+KCJXo^sYuu-e#~7wuQi^++o^2U%lo)8-KX3V8ueZ;d-X|q`yg%#|V`(Xf4Lzp2 z?R7UdeenEMY}R3+P6}t;ydU%2(2G4nK1rERz+h7R%b>N6GN3L}RRU#yGAj~!Q7kN` zr`@ztS*+k=i-R-rdj(SJEFc5Y`MhBa1X|FW=TBdn=XEb)_W%rRc|!11&cVBg?96@^0uBVClJ?iY~oBx4*9G z{fkSl$3(|is^ljH)j5&$KdLZac}3iZYV!PegPg)O)SWvLX7%ra!Uznt8g3~!+R}v| zZKCmT(n=O>&*==3ULM&#ySEzM3bCImRxaRzH z9;J{om?rI)yRy##0c6q6BC^~w?NZ`vH?5MM@##y%O6vu+I?vWSFL29tQTxjzTF|4)QHdqZt*Nhb@Z3H3o+@R;n(~UP&8_ zGzgGvmv_V>jShlSqT-UHwhYsI?j}WZi2tsP3iX!Cf?oqT4}K{xN&LhJ zhWnxRfF{|!2--%Cnx$Xk0%eAs{dcK(iG6;u6Wfc_3A&vX9+AILn)@ImA$WNSuos<4 z;RS!93Q$?Uh)7YbeDrKcz~dr<(EQw`+_71Naa48i{H|LIB}pq=Rw|O4lQ7nMBBaEZ zgLanpTKx9B9a6d$93-XhP6XwILn=@DwQw^Uf|tyigjdOJl`NdhBIn|SgBhCRa+rK% zR*YEx8nnZzNbgEfE9Wk^?y!{DF?s~pr`J0P$}z0Xko#HxMyo3DoX`cn4@bPAn2?gD zUoIXO(dFWc%bQKf$yg3l6Pv6n>DMbL4s&^ySMix!2{rV3#CoQHZW;0sYQER@(&S9A zr-F8D#bH^WC4v{#kAZ5oZ?4;qx5!qg!c69~1yE$QnkD5==)}u_lM1+ZJIu62pdm+@TLywsr7Jp+kbwh8~ycv7n zz7hd(Y2PG0(QMH>U1i}V9iu5p9Rr-$W%M-(#z22-ub%nPy(%XwgWxSPqu>c*(3xw5 zS8ZOTDYsQ-@p}htg1_HDI~5ikVcTVDn}fPN{Z{FFf6ppkcS>m1xsARdaB>1xCU!dj zdb^?&iTR~qtijJi#Mg}XQX9F}eK)GKZ`DjO)R=RGcSoY$_Yad|U1aR$)%yS*#+W_4 zSRK`Y9qb+xHY?aUPcp5)2&X#{0d6h|0|lWsrdTg06hn_S`DA2gV{3Z!^qXmD{sL#& zvs~cC;;2`@D9U|P&(K=eYMSE4!YHouPvBY?li}mdHVE}dqsbJb-WL6dl3yVr)-q8G z#)|~~kE^#+3+!h0g4?U@caIFORp^4Lq+IjHIr?WPPJK9WJAhhrh8P6%)}ErX-e@Sm?RB>T&Y!%rszUu(?Ov-=rLj6vH`tR4S^Xeqzo*T|K`0l1Hs1eN(y`B)!8N z+{(|1@U85ga;p4Oq$0ajMiAa~Q81eb;?6Ly=r5Tn#$xI5=%%YwbYt@isrSn+bLLAu z1=-PU4|O2yZ5Y-9lE@Op6Qdo%Qnyw?9BNS$LxnO<%h|N+8wDK z4Lh(zJ49Torngp)4b-jU%SxD^bXvA>e^qy7f4j0<72D<;x>z!qfv<=Yp7G6(#%rVR zwD6?!OV!~gw+ZdnLP~o$Dil^N%}#aOD?!mnS$sF_su}O?RWHha!>q6y-}{GWUU}#= zZS#_I+Q>^#C#7!uga!Jxp2^pDjJx4JX}=hIl8Gagf*_=qVoTYz22wGV&B5m%(Z1M5)q?TNps-0~id` zK7?ttx4(!pn@*1Pzk=@le052y_iWD??9b&i-v{elW)hHDFm%MH~{y6LA;tkJVaiW`f&D^eP8!f8TM_jI=30LfEDF?A=6VJ5Iv(n@F$M$M^T?nys9Ohq6YWK zE}g#dd#DbOfaP#+WARj__Vk8|Oq#712S|PLVh-yZz&XeP?%MezZp+Qc94WriwjaJ` z{62Dfm7BYa?n2=C7MKy;pu1o9!VT?oOsWWHr(H{B5Zd3+e7(~pxy~7Q*efE4b-)B4 z+#==i>JntkhV_h}w0$Vq@sytz`(HGabYi)1#<$O<(hu$HJemwM&d>e2)4#)5ZF;Gy zGqZOQzQ>Mh1b)y_-)R{0AmEylWSCBhY}Zxs78~C0r&;mjE>a4=fErd;TBfS%JVmy% zu_47Oi1WgU6XsVLdBf3B8Ml;%e>uZPT*RJ+dJzk)m3zgcVs1@ASL6x(oPWn^=7t{~ z$r(M(_gm1+;ww^ldLR5PuR%a(%6BEaG}hpnMz=1$oQEG&@|QWC>=!@@-d&1Bu=VGPg@;>aoX!rq#BRo0Y7qZkn zs9{FlISh-8GTrCTwF!>%5}i7yDJ{W)t(8>iZvInn4^X1&ZypYi?gc8afUVm6`_&kH zzg@>-2J?RQA|2KeCdWC8jKhla9$G?D5B?QC_QvPUq>+AZM)Jmv_cQ6Q9KMp5Xu zcYLyIQi(_EZc{b-l0a<4cTEB#A+~n{$94&rhd5|rp{pS!>M5-LMlf@B@HDiAJ_wQB z8Gp(7t#yKWBP9yQhRXLN9h=<*S9`O1=klPCrBnt7+37Hr_q7g{w$D4=%1S@ zcc@e9=7q}CLFxkh>dya}uZ_l9*NjiL{obe^b-jaFectW$XOSiYZ8c$q=9^R8FjWep zWWoC+BPmwSPBwZs^jn@{m>utT1J4|nbzsKA+G&rHYLIt`|7dMAD7Ek!-L&y}-SOiJ zeP$;N55`}(?D;_S?h%DMCoVrvd{-O$=K8yJ5tA!#PCbo}6!f@o{_fMu7q1=f;bqS( zn_(g&T`PmYz_wC6PMwOGV$xQ}fRW3USDpb%o=JOfv3FJ5MOPu$uhVpZq2?3TPG)A) zvdgdqee8*By6_N*FB1PIbbw9l?n8_5(kI`Bwm$oN5ZE62ngo4*4cTMW;}*zyR-Yhd zzKUPyJ}+|^GXB6|F~BmOT+^;E|<48tgainHt_C*IYeJBHPoUgBrE^F)8E# zwD6~JO@kw5^_@=Tpe<9bt##VsUQqpJZq>WO}p#)n#;HR%T>}{ZGYd z*m$}L{Ny!Ao^Y9?biZwZ9Zh!`S{E+0GstO@exPG#1xYeb2GFi1h(?rTPaK_#WsgXF z$wiCnX@@gbZ|6rL{EuX(n-?eS^=de4R7Hv<1%5MFZ9oXe$`RALtpIwn3XQy3io0J^ zfJ5%GU0*|xXSzLW&HuR&Q_e{yk@;q@+o6X8d@m8J;e&IN9*`DN5xz8@TO2sh+fcuN zA}6AA6rSytOgEQuE>S|F{?Ma9B-VVW#L2p|ulqZOnzmSUUO%lXH)J3JXhilRthllg6x@M`- zZQ9c#32k(w4RO{3=U}Y!G|E|*-xRRPRdkfY99rJNzxs#>dJDcvxq^lDgL?$pjKAB7 znfE(`48nhn!>Urk^A!uR+(C_D?aP}<%y15Tul;K%ID0Zh_m9OP%t`e)RHxbvP06t9 zw|+kI*Z8VPX!evicByfFx!a2_=PoJ04sgslqx=o?cbFomr(veGE=kmYBJ5;ZEosn8 z>vuZU)e$usD5EYxxC~CG3+kJ>QX?7y{!82ri;RSqrM=9p7m2v`KtNrI&>o!b@XNEI zJAZU|Za=5e352hFERZ{Rh`P}ISK6mHa$b7Vee?^s*&!OVC26)CA966&L{ii*7xG;0 zM3bo?a6y)vijc;=iJFV+j4VF3e}sqmVEk?kj5}cLj$v<4HVzDl^Ov)5AwMUd-@pLkH}t9g`N5k#=f&} zH)n@8Zlbq^x0sCMjOCxTb&=%R!H}of?UuC7s(HoncdrY@@wCg^l;M#v>y4HSim4w{ zvZE9@Lt5ER(0%=^!(QpP=Xe7x%j34Wg^roY+)!cBp4 z^}^k7x^vRWb|)Ysp7D)|b3H|xSDpxBS0zicx2&e$X9`bH^{Qc_mBWajh|JTgkZadz z{@Zs2t_M84A%aovIyk|Fo?l&BS*2Ik-H7?2Aa{IZaNZJip2we4Om?6!2h?a?SMPs` z4CtOA3V3@sz>I?$&WDc>S~w5Khh&HA1RAr8T3rNzdkWHG0nh}rX4{)Ko3pT2Yd*Y3 zb|<>Q#DalF&4VYNvJZJn`tB{`GKe>PUjMa3Y;bLz-d{XNOUE;(YL_55PW& z@h+=biO-KBjh>8kQxzv5jTsK2C3$k^4X*#oI!78Dp)Krp>J$jYK=!Br>nqRiVrBpb zlA+dEKpwVgpnY`085sF0Mc6v7EGT?Lnk=xJbWC!?FKUgVrm?^>%sjE*_BfpGQ(B3> zAX#gnqwS-ZxXqwb?+W*7MEV>CE<}KIZ`eS0UP|&Qk=K9oTw^CtYi+`yFN^K@TD(cn zErFv1nFWdD9o9h#&=}=Oe5YRZPjfEwa>~|vQw?oF{e1bXjwJB{2OBs%AE@jp`&&V4 zI=})WycNOD2ot;&KnrY*C6?cv+5HNXL>-b+)Rf$=S!!-A1%}WU>cSpM0UZRg<3))Q z)Q8oYsDIMQ*#En{g_%e7eWygwhc(P~tIMM%*-9YuCO+S~)8U$unTqf8WGbpKTRTgj zh*hS3XQT?D-ET|CVOg_$ahH>Cv~jKbydI{&6R0hR*gPS%dxc8f<`2K|IUO9-vpft< zmY$qi8Vd@?UBm@je9!`Jp7tJ#mEZ?fo4D~-{yMj5%w?a|0ZxckL|CI|FUV+8OiN9} zR2rcs8a+;-yQNizA}s{Lv|M%Y74K9D>gI?RDsikm#^vQ3% zzPwrS{j~;0IVG0NEj4Y1M?VGEQgr#MHN*R20Ql1ZX1=+Q7=91Ue31F_uZH|xXueM} zp!u*3HmNp@mWpAji^r>*Gv4kgf1kd$xHH~BCqEva|HrBiY<{%uRfc(v^n-o<5w&=A z0KxoW$_Vi07&M(lll0DqxpCJqpRE;23q*zTREe6TL1`h>+uEzV`m7xEMDlNBw4hZuQ_|4}c(1u% z%YW$C(}=fu3%-3l&0D3VLjWM-i4Wh*0ssJNSz}uh{tH)L=#P=!Qpy;SF-HMT{9@y_ zqa=ZQbCm-DQAG>@HmmMDUJ|$-LzZ9W_b7e0vp{Sad}-5T>#G^Ama@$Q z!3vU!NvbP1d1b?GH8bu*9_Hfpxek$LA#uK9#t(=&WBS-bBnd$LoT?g0zpt0e8hYP$ ziJ+s`Q3aL5NvqNRu`2hr%Q@na4<4AKYy%_T(=x48xtC-(yZScSyMOyZFpv1QJ`m5n z^P)_1EB6CL;(oX}NtGGC{WC<#=2uv-6Wgvp0H@knAuFjPzyD!-b(MNnF(8Hx405k01kTU21^EH|fn($0wby!nD+Do+YhBw2{ z%|v0zoSN+^{!Ekaio|z|-Bene_ZnXmlTS95@s?3YF8=!N>ZPoZ-PqE;zdXu(?B1lTD0OO1( zZT$tM&1F%<%`M*f2fG;74(-x9>`^-*T^k{zru4CpM2Tf|mKt#hj6slvInip(V}GIe zSRrTl6Gu8hB}v;P+y-6x2&`&MTiomAF-@mYVbA2g z!gG7Q(Pt|{tizz)Y8RIV7d2UH%!^0t5Vmc&aueP%N<#z$3;|!dBBV2zJwGP`? z9`wM=cP5fLp@}k}k}pVOmJKHt6)}!(a8&Pu2D|zFrx-`Z+bD4bqdBp~MP%P8AKR&Y zdEvG{y!D4BV0N@xxivU&^P1C#8*Y8jVzo)KZ^P6%qqa}PW~m- z#0e(-f$k-|FxQ@gb8SbfL&?8OYVqd;>Du=ivv0kp6I;l0JU*5RIS(&~y zm{8cT-4SCl1ma=K|J8!W!I?71qA!0)SGYlieu z*nj2pggBuWyT@K!zLke9k~ z^?kiO<_zMwc(Sx|_twYy~Jc<^7kvlBr=!nLvWidI!vJ#_uC{*Np!Aw(kdfb)zxI z)ys~>Ky<1M)u;>3k`No+hI_x5t48^|E>mRs`Ld{t6>8!cixU@O%W3R`M^@7mv_<^A=X$^^i~exMLn5Ok;B zbe|r!4{pSqz+0je_Nh$#M7SW78F!<6)pKM&IJ0&NNoWT$lH<0sZxuppSQYZnrYn;l z9mk<^@iHxuW7M0`t%MMZK9_liKdMIY0iVcP0p00jtx-+2KZk7plnv~fJ7prUl<|$b zyD?N7QZDh$RFw&@4fN!N!ak{Fxbmx8ev+(?C!&Mpdy+W@n4YYUjuMAcZrE;fROaob ztGZUL^5j~|k;|7r!jhyp>ixP^O%;*_`xxnx8Z;i#l^7IVx7!j%@k#bI{5|K9oD12T z&#mTDgdSG8%q6Z@E7tx4NusVpRaoxu2jJt1H%> zp0d-hW8;N3-?yUk{RH6Y2blDfp#EZOL3ly2M>ljaBR8h)-lUks%0BWRq8z}#Q_n)Y z$mc#bzA%?wH#H>*Uh%3J;N~%2z^F7AuMH>4TyqgP-nIt&4tgKTN0tQp4tOQtNeA@% z=TphHr3D5)pOgRTL{1fV1Sv`bC$3M*sj3i=oKs?J%%~Hl-P!8_5$o@Af*?UkiDJVy zB-5(Ysw$G<oW_bcRWkMxpYU>Bw z-Eey(vvGa?P1jX>+H_RdIbzJIEw=E(^H_h{{enrc7PottUnPU}y&YPNzNpU@DAt|7 zQ|T#c+}_rICTvFeN|I+L>;?)bA5L0*A}521`0@N&E@VMz#3OHeBhRBd;%F?h6%|u_tm8u89sojxui(c77STGgsWZ>YF!?7@Eaz^`GJ9hl-}r{;CM$h=<3H zp_ubO=2NW;E-BA1eQ++kj0kLYITCd4M9=%&kHmN8hNlupE1jkP{#uE6T~$r`GGOtZ zg1)Ba+5DxZBd0gULTi4#yzsi$nd~EvcIay%M>liZ^&y=flSf+WTZqT?(>r4`pAQ1t zo=6>6tUC?(kg@U5vc&1WRaJvX2V|OcM(xvK>$S6^B6pmYAH5z<&MP`6^f=Yc&R3%0 zNV%)hh1ndYpRk&;jK$B2Z-o!6Doyw+79MrC-l+wfO6d#MHW#ShIvFf{)TdhgwBJbJ zue+WjD$ZT-!1eD3iJvclW+R?Ae~LUf8SaID75EAeRPu&ib8D~MVXC=NsISp7(75h< zrN4u1@YtreQv2856Rq}7?9byyqc`5%+zqUGa1uosQi6oo4So`cIBF0RaA(i!^1GO& z&r1(3D)`4%+k(a zE_l=2Ht{7)$f4!GJGWJ_dXN-j)lp6Mb~U-6_&?eBOiqTME0=KFRq|aF&;yrxG4H1s zBC$VK`tPCWpf|>ze^{Ug@i0ljb-`xW?4DYUmI;XXdBfH9HyRUn&&eoVKGb|dIVIZ0 zN~epsjSyD&pfHosS*wU#KPT?<-*C~qg7CfjjaI2~q^LT>ls2P`_s8!6KG@+NbZBSM zoMl98k6r$JJhbR@&Ea*?zB#ce)(`);^ZShs{bp<3v+D)uJidv=nd7w1yPI#08hw)b z{<9+RdbWG;3`q5Ckz{^emKt{)wqc#}$J~R$uw|-G&t4f%H@ohR0G$OMt{>>tQ*!LI Sg?Al--4v;B*%tf%U-Lh5%ij$E diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb deleted file mode 100755 index d982e4751e2f9d2a7399b6d4590d7492b1135627..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 21220 zcmZ6y1ymeO@Glx5KnMg6?gV#tcMlSR6N0-1hg}FB+}+(Bg1gHi!4}uWoyFP9_rLd@ z_uf5oPXDUAr@DHotEYQvsb1gPYM|`>tAo2S%&a$&AfXj zp!`4Z4i)o%`~Kbe^B&%%_>?b5bItK?W&WGt zJXhM6AmB@JN%^|>dcnG2TifHk<3^X?lOI2JQ0TS}H?LzQ(2T9{46*4{f+2J>HDHJA z!uZeqnX)%HJSs&9aBzbh-K;8RwTy8F|EfxY@%#EUQU~2^(pPiJ$RjtA>#KqUt)JOd zsTpmr*E_4@{@5uCVeB#qYIZig4~fOn^+E2tlr&kHscbErMl&j-<8o?*hHWm1xpH(E zmO&Rj^l5g&JJ40hqx&H#dRhelGT6R#I&$`*yFf&o{Ja|Zn6deV_Z&IW6g?;Br>6(c z{z6A|9mi?ZAecWR1wd_Ie>ruNwZu; zJl=v?Cr?4Z6q?msH-hWcrE?tD_#+KJy^sd)A>Q$ip^kp&zdD5}vvKLg=rHRN(MA;^ zNr0T}Fv8p(+?u1C-ipYb9f)9u*@(QA@V@>!)YkmZi$sV9m zzv8I7xc{jivdL{|6{(P-!(QDn{bj*dGGQs1`WLB^UTt-1s_KDf?oL27vLM<25QfJA z#jc_(?PAI83#4-jD{QTVOw}9f?S4!mL!{Tcy$UWkR($(R9PxrC!#@76YlavL+IFVK zKeC-CBr2H(E<|HFZU~RXmOU*WRqZlWv-FVX(7E4sD?jBC?_kV z*Zl~l-IH`Aj!=$+MA(ZE(Fw{V@7j~8cog|Pv$jP5%ef6p%8E1W(#lWJfp7Xcgfrgw zoYi&3`)lk^@9@FD`d@nEi@&mHWv{S9@88oof2eW$TT3aBZfu%nftePcyi~m6wN23I zi$7Gn>=kD+9%qY?;gHDK*~eBlf-y)XcmKul`p_kLh817-z6ASe7kpFfE8jiIJ_EPImv;32J5Yx}cUY=!ONUNToj}9a`L?!naIaZzs}cnu5Ca8OL@U3qXo0 zYqn(W-a4evX3s1LzG@nb$WGN6kM8wgC&lpOJbwJ7xc zt4pdkU_DU&_8@5Pq%h2Om+{)SFeWwDwF3J`=%e(c0QXZfd9OiqL#ucgYv%iwt)pm~ z8V|YQxEa`Yk^3U+Uyn!Ac7yqm;F9_B-NtJ3bMJ(~S=+IoRriP&jZi_Nzz>_(M*G(N zn7gfGw|}8tBhPHFMTtR=j^oB@N{sQg^$9`bD;1;Nfsia^QOLJ;;ko1_uFm!pOK3W5 zs3i@^`Sd_2X*l(0L8&C7SFdZ=q|$`hN@_F(RoAn*BG3C+BGoO*q^8}qCdTd_@fv(I ze^jzUXWT2#VtbqNTlg#yADv;?QX)O%wakob`4YGlOS%KB4*Hgg2LtcmKt_K0f*~=j z5%KoMArd4lDa8G(H50G_;}?M|{Y+gNjVmPuJdoXP3naApISsrGw0%K@d^wHT`kwml zewQf|hwHrdB?BBv-Z}q#6XOf!jUdIr|zrvKgvlE zzYrIu(H;9*_!7L+Oqz*L))40G-CEIa-@Y8xFPu2b*?TNldu>{$<|=Oh76bNp{N~vv z%mHPKS-AEkEL`L559wpRj|YSHI$zttBZ(r?(0N5rpbXd~BLeh1CWY@esr8zHAx~*` z3OI#k6q0hfXg6a}{quu;G5I5hg=YNd3Z?N_t6_g!HBZC!NCUA+U;nPD>Z)&9cw#*_ zHxDS|3{}<^)_XvO*78klB#UrDntPsmbX5G_61VoE0iS^z1d&wS29e4%Es0j^u&!7q`hv4_8c>F3$I*ZS zsdH9N@0EYD#92wykR zN1Jx7N%aIJ{!~XRRw$W-(4nVj1T>{u>^W}c$0es0|Wx5NEcflq)u(e zmPG?)0h2(PCsNbh#b-w_^6~lZ^(SbZUmF_GP?UkDNjczcJf5#*%($`Ba6{{2+OD+h4?+4Q93H3xpDc4MNGWCINpKVZ)n1LiV;2$^=dj+3>^luY!#;;4%i=_39;ornDnIrOep|U$nv~(PruJca z54?GmbW6D^zhN3A#hH5D)+adJ9~4C)IZ|k&hEsaiEZCvG1$DcOntA{Q7R|QI<51cc zn(t}YfNUQtF;gEg@*w-hmoFr)kuOb9uGdy1^9{J6?_$Yj$FMelhNth?NKMMz9X&X^ z3B&bmjIkA=9aXz%NPD_4%QIE2dWQ{YRX)r_*3GaxkvM#4mN^*4pc!yw&kVWk4;8sx zVM~$FRp>Lo(kMg|JIMJtv5T>6Ib=Ng&DOYI?Wv)pT*)la_gPd>zzXJL z6&YuN{hUJkppP;kjGw9}aFEZTzo8gSvf0gID+XP|Ac+5#{Zvz!%+Q~c;`(exb9NQ4 zSM2g?0m}y%__+8Q+8hnP2`r|sOVleCS9MlyHPB0RwK{#yZoxQ)#b^~XOVEB+wEmXH zxmQZ=hXFm^;Jj+k4f6fK0Gr$udvBK~)xC?xum@CRE=p#I)g6I#3$ zM4voLbtsHmy*)QG*UNC~)SD=s?kKZr$A{YRY6f|F5HoA*n3hpjRN`B&>aXmKC~gV) z-|RLK_lT|YzYghA?dd)(?MXaE+82E@XG|d9-ss9A>qND0A#$z)?(bZ?CwBKIE}pE= zLNk7T)7;gZh2NtDXinW)&OHybUZxbonwrm>k9+z&v~n!$I?s%xu{CH13ewC*2}jUb zKws2yo?~AqU&uLMZ&yNpLhkXS%aUEA8T1RzV6nbN2+F}?U&ORt{TpH6Q?V2*uZqj= z|9Kncnbqwc?PVe;{^}GK;<|rWOnu#9!#D(iL7<$b?(R%TF71=lbKce0mj>s97RT~i z;3Dp^hitB2`kB~Qw-K?tN6TaUZlKZU(v3m5ClLrJA121t18epNe19=gzaM1BhcPw{ zczOG&YtSC3g(@S+w{9}#E$?^*mP9jK(0wtD&n%AMqRqI%#O!JOa@@+?bOKL9ql?Vy zgpZy%`zQ2|Y;rV4%g=33(qm&Fv{>6fi-*@~>?;%P?_nhUI)Y=Q6+OAnJ1?-Q`84w(|R!rem3t?HyuXHiKowIOoUB=|7iw?$;mLD0$J@?8hzs1=#lk3(_`CtBza%)fp) z;i<|b8cLeOj}=j=EMcvc1jAS*LWQAGoV@b7y5hG>{RzA0&KD?5)gO-Jf06s~AUxOBr4TZIeb zr(Yab_X1K76kuOnUV6UC?a(vQDukN&1n>sVt5WJoGNN!q;^d@RRCF@Ety%>a*e-?7 zDWO`}RKNFY{;eUMjkHbbtLHPxl*Nk$tc3KAUB36WD02B1VsEG^5EnA&BQx8S`ch9s zP`mt&gxp}>Pd0vfBPo`1Sf)ZN)Z>^lrZOE7i6wM;7Qe4YR)^Q2r>F=iJ@C*JrFanO z`(&^gUuv<=rht7&n<cVS*!PJ-vOsU)aS%@(p&t8n;hU`Y**pQ z7Ml@;tF2Kz4aV^<{)Vt@I-lzG?4&pOKj|kO;}OybuQ^FZRFviJFftze_5KJpR!P;X zBd++-5bKhI&7J<8p3r-|_!B5=PblJGOVM>?+oM3Kw~~wK^ECSDgR@r8c~&}npo7-e z3OJo@5*q`v>8j6-PFT3_4GL|4ESSAiJmW+|G1uAqlBs&4px0XzYw!m3_ot8_*uNYi zfr73CTs6xCa)vK+Ia1H73)?SqMN-e1|6zsH^T`_~Bue=j+g`rvPEvNYG~NFV$oAOD zwz%wYiHl~yDd{G5{f+x;J!*$Gcs2FR!jxof$uXioDS%Ky?fl_S`a*k62vXdI4plIu|^rO2EW1-&F$zU9^`8NdA>wKCW zxRWk|Jly1s9&LL=LbA9oz+XKoV6{a5+N#m=CwB+@b=o0$_#P&F1J>EfT?(YCS@Pb*j$4c{%8q90YdA^>et#k_y^aS$`S zf6hqZ8#L}4bX=Zis}9UZdcl0w9w1frG=%O>37yy)qt+Esq@616|EB#olBbUlZF#eC zBG$r6e*COm4eXoK4gz2g_XEc+rrx~0im zHPXpF$AsYYJh#mhEhcAaZEY!w2Yl}Uh_n;^%CR8E*}T=d1KOxX2Q}-E&q_JRUy%ov zZqC!Cenc0!B!2t+-N|vPt=MeEjdbf8F+j1V2}SGd+F!-5_L>R4{`o9_e=%EEL@iao z;p7S+(ZfY9KCz>+w$sQ}re_H~?^oRt^e4XSCti$d9AJx110?!#+q!ECw>Z@B@oP#C~lR-lKQKO4BaTOQiR*DvK3zb{CjBV31uA$$L+#eO745o|8VZeK!U*| ztB-CcG=7=*qtWSyJ_@9uwXQGRo{6MWSoqIUu5az%q>H!+)*(V+;afbZeB?V?{%l6d zq|9H^=qO>)8KsCMO@*VZA8Z#Kb;t`s+}QE8)p_;{`{YJUzu+j1*6C>TB8?jf2@5(yhX`t|^Jl_G z5QSwhqHl&HkoYwktzTy1mXuHs$jOA7qHgMX=T>?`l1O^x2bTaI(85EFDup4J(*7Hewutjn7Od?jtFpFx(JS-Wu~CT6cX-2gk-+BDq->%;g3(cdjZ6ZP^t{U@aS~43GSnB`^i&;aZyQ+Q7$kl7NQzOi z1L$Z;Se~71IJpEMcSLQ#IqrD$tlX1c@cy6dl~DID`hui_Kw^JD3u?TxfEFa77brlb zY&PQ2w$1Y)&_h1_*N|SI$JYmfj{dRXO;Vk76Gt;fp=jrCkZ+RBRfM5zwhly67#OB1 zHm?;x1E-XXbgk{|P27m(^4(j2ai&V8lsgA@D5MrNAjjj55@U0OO*TIbe}20%-`4@CCZ%spS;S*>e$b+& zcxa#gamX`cjCV+wcKfbyg`nnCPcT$*L2KWvBqH)!!#QuD$T45m7v(Nn(fW5#JtUWD z^M;^Vt_}WT-9GmubbyO=R_BwUZlsAppMH~lO}G8+gyf->ex_fi+dKww;*jTxwh|i{ z@2U>!@ucCC9r}?+UtB_HAhiD zKxfAge3amP24u!~Im7BF#7CzI^%%%)PCBwc;&GVz6F&<$Z>p~l`}NeW4>e3z1}qyi zZU|8|sO?!Y&l)s1aDeure; zKH1)zkt_G#^LLoyUk~?9Mk7fhLj$&Zp_m_p`16QzF&@8`7i|n0C+|EyROP;j$T=yv zFo)$ytiOUlGN>t5sf*>XU!dzda>c}0A>8j;uj`d;Ws0`b#H*9-H6yYH3;0Cdoi8j; zhv|`O+!OZSH(FmG>!Y!+9=8#(f#>+lJNXC!1&coLwTrzc;;t{GR$@hYH<&!0?N-no zO2QmZD^($k$x9#k8VVPTEkE*gW)~2~*z2s+=D{uW;AE%1`DL9@#xX3JEFbAS)2D%m zt5T}D2I8)sQvGf=Q+@cU&h#VULywPn=l=7)zeS>ZstV0nos)kb{_R08&9@v6=GDT+ zM52y{odDHOI*NCBx2v*;*B;im$w0pYfCC-?XpHMD${X`T+CCoF%JGvlt0}Jmv@)@M zi(7lk8G{YoSZC+dOE2)Rm`joVhfqjUOw@=LszsZ)oMF&sD{gficWckYMJ3Nf{UysG zzFiEi>2vQFtMas~Zr+Ae+2vafg}*+8g1R%~jqxK%tbS%>Gyw{F0#OPY*TyfJuF{DK zjS|ID|L8u@tbG}*8G04$81K6#1Jz%$*kB6|N#+uDDU2|m9}C*S6)C8z81@xB{@R)w z!78}03Vg9M)y(+1NzL~1S+qRrv*?(}$MY0_} z`)+)G58ufU513~hh)>sp&jS;9#+-je?)MYg{2pR^`x?4Qdha)$EoxnP){re9%@fM&)1fBw7e*P+h(gZT{8omZ!BpD6P}Y&7$^CKKPoOWiMY?lC2KmIQ-I4hG!maaiLcTndmez0X+Tuad;Je zHs5(|@g-?P{s*bX>zrL?WUoc@8dsO-xz^z(*UF#(`B9Ofw2G0RMFtl{O3wM(+Qy+| zd424mmZb(O>T!ZseJXSfVkO$(6@NMDu!FyDcRKaZ0hrO=z3m@%Xr2K5mq{3WEp>O# z7&(!$sC3OQ*Qrlu>5O!9&|*>2Zdgoe@MbC(QXRG74RBcyHO{ai|6D0z@%@Ws)DaR* z#WLxrZl}Ynhcy%jBO|Im($y$2<)dmtUb3sKK-nfY->Iu=O-!SYlIp#c!_=W$++6$G zK9cs-kz1U$o@dL-s`97~#K&*qOW$=zN~e=-)|DAr*@s-8k07b7}lA2`}I)f4RH_6JH3wAXK=|r7Ia?Ukvum|>RTumV0LvV{n*N|fg(QN6(T;TUEx#SJW|d zGb+?7v==PT`63H%2D3(<%0S1(Wue!jd(?jBwYjHW=V%mE{X2!LKn7(U*e9bVwN%kF zIDQjSM}cHf^S?-4>g~r2FO3a?%L=vO-42#DZ7>{OXBa2ORKgs}=HN2(i&!N*FGARe zFnIz%R4rCu-e;+J*)=ZY`>euaAr%T)XG>aixdEeKnCha9h)4cMsshGM6TKdLq9M!Z zF9a?4gxL=?g)K@Soy%uc(h8dF#nhEQ!AD00PR{3lR!R^c)rmK%%8V`lm9Sxy>l7mQ z7(XiSxXMFwTuK%|TYSC+6E2MOpq8>$HL)6O?&kPBQo&AW+?|et4_{RLOh=jYekUt= z#;0X9WXz9#y- znuvH`c8h{IQF_G%D$NUNMImm@1cjaEoFJ`J3EHx+gx|R~{NFLCY|xbvDSy_1clR58 zx^>wTG$emnusPz>%2W+HOqNObnx0tvn00v+TNYM*GcY{KJg$LddlROUpk7)CPI}pC zq{@XK#~+e)ZHs|URgDF4&(mxK^KBnZDgkihc-5udcIy+%@L*hzC-XPReOe^${ z=QAF408U@tpJvR)sFCgu!@cZK(7W~M8#s9}w96XjlZczwFyNmZ4tX)M%ce~svdejX zGDNW5fbrm^Qz4?0{Zx)cEZGQ)}F_YFBE3`3qg>_!Sp^A#XJH1K-?4yjLmlwEzQ~7a6fZ7?z_1%JYCn|OZt+Jy zdD!P!J_V>t?WQ7^2TA@ zuaW0}V}l=y{9l%G(m`3SHO)mg)afx&6>pk`8$x!{mnU6jl?tA z!11?dz-~_9^Al#xw_l@54?gN$=zG{OoGXy@YhV~ioSA>Cpld}OMr+3p?;Ztdo?V}I zHi{N_=SB-%=D&A7Am4r2^!)b?9)k6=4fj+ocg7=Z0#5S}w{qU)UlgfNlFWY$MPU;U~0;3#%LTG9mXlkY6>h@;m@aGC)Dcy`?Yzm3$?OJGzc- zXY;QC{JRvc-<`xLgf+emfzKKXxVD6>tCCtSTtT12OITr?yRNUEU$%v?T&sQ9YcT@O zd}4u^+fSGvaplk%ifEJGwJ2c-R4hLeP|z*v@5!?blopP@VjX};y;^PsX->bAOZd_} zGJp3;+4STX!=rwM`-$?SCWtLxIGE)5TQt)WN_Q3 zwWdtmb~4%Ss}WO|nEY|BC+hStmNCNW9tqJfu4~k}*_hOQ4rOA@YhNFh*_yFKjn@!i zAj+5kEo-1%^6kz&@^rQgwYtbPImgp<`BS7dgHz#o{k=)M4y?KwCAWwH5CU->bjKAn%Y>ZM>s{&?2iM$Agg)(|YF7d>>~?fi)jPH9}b zYyRqu2h``DCQM+`zJ-Q7^d5%kLAkHp6Bh;y zNnP<4lkr8{hDkh253GXQ5uW8`N%eDM0<-3Ri>6ztY1VK2xv|YlSmDxx@LhY`O_>@l z(7q6koQFi3I#VnYsLCEv1i=WP!k?|z(Vv7!KvG2H_1sVqCQ0;M+Glte`38JW!+bt4 zSOupxd?hMn=bo()WxJ8}?)#x*Y=oeT!4b`{?npQ39v3Ala8%zZHb;$^1H{KjcrCy+ z1Vb~46hLQqG7ZC05y(W(gC(wreKv9Yoe7dccMM;0`5UaZvJ3$AJ5xq4QUH0-9V{Cn zQ{*G@x5?vIT7N-Yo<;ZuRbjiL}ntx+;4l6Jz{j0B>*iwEzc zr;!tpje_g06MTi8nMdvO@%MM0>L^^!E=Yal7rx6r&P~Iz*sNN#UB~?wRi5{jnO}O4y<6-^z^RK87#_o zc6}ntwuBMicu=1WQbL{loKddEoif9?bL6&gkPWUcI$n(ilu^6z8@puz1acU&225^w zvDb47@^idDz1nXTr;VcSe{TL&apL}{10~vh$YOuIoaC4H`FI74xThNM)!V1$-=~hE zfB1<0GdueE7}HVGmwy{$@7H6-HK`lcVvMjOjxae#{_aN-hFM|!`sx8RDz!BTr6@ed z3#UIf=#p(vVuEUSBP7-JQavoqDC~v{vtj*i>z@ATUUz^luw!;j2=vW$wFVEO7=tr@+ABzO)HEM)DjR%IQ38w2VrrXYDt0fV7+pb zcr}jAi3+r38r!@yDTK+4!duUp(D2K!?qKY>e5agz$l*3JTKgb)?D0c2fith-xvLSqTEDd#m(akND&qtd-qHASbwt!1b+&`XmunjV zb0f%;ZQ!2kSYv%m^g|jkrYo~?%su8n$LS||PGoB+mvk^IbjH>NOezq)^nVBJ?F6Jq zosK&>0BE-Hsk?A5QL(NCGkka*rF}(zo^fSH+@E8*cAsa3fux^B2MoGFGQK=NtNXj7 z@7b_`pPc{Pe;kks>-P8L*alIGVqbzV$)oP+!g9jy<-%g*o{huawi#qUt0x~uwvEDo zF`kYCEtKmR9KfvLV}|Ogdt5>ClfBz6bc0Z*mpydmq@=H;Sgd6~lS#z2_=d+*Iz-Pzb&{9(42rxeHIQw+mZdsM%W3d5l?d3TN$ z*Tc+x2WS2QPH?$AUNG|A9)x6}em+WqW{%?*abE(X%%y1#Uz$!ziUDdF zhc*4*?jFb8W7=G+M+CJxN$Ve9A}15BjLEc~L;`j=lHiMVDgmUVEYG#Z(oZHHSMRKi#(-X5U+T&Lbb`mzg=`fYEoHQmZfV?2_xI)Y zP0hs(dPXgL#lru-r;kb5Cp`Rh_>Mzv?=VZq=ol|zXx;^7y6v2A;$I*c~5DHV5o~Y(I-2&+xjT;Srln zj;uM8dZg@%alvn`=BpS-&Ge?z2hoZe8y1g4RGNjqj!W)XGTO&AvGHg<=|xTzcg<)e zH&LGh_zo*qw4$p%@fanWyKc-+)otnDCHg9VJEb(Y@r(Z6oe*cu+M~eyR<-$Md zXzl5=_#1o9R&rKf32bJtZR?uf>FiW)VNtoePaosd*S=;7&u+VpGc-JnOZUt0FnUAbbx-XO7F zZ|!LO0i#jZ>&oT_H6}{$6w}_1d#5u7Fwjn*U9$z3!D!<1uoy#6?Izxvz&n z&4%CN#V$rt3bb`kt*PS%b~D0u`h=T7^JeQhr(A+8uWYw!YA^2Du;Ss@vPU{Cm}={U z;~6yKF6POvJ$~b*%TQPJ+e^Yujt%VNWDLg5RoUa+>lFD$H`|sq-6TkacJkU$7glvQ zeywKR?cj2GL<1n$^4VTsKk_EA)pSl|Y9lY-2^^e{)%oT55`$*oc z&?4KHGG^0qQDk%9vw9dW=bCxK-niN8fHmq%@|R)3RQymM7rVE0mUMiMDr@ZHx7aj@@h7v%YK(WY(}70+MPd!V*xFT^qwc2Hgk#`-i&+ z)1xSS+*NjU$7zbq>$W;drp#F`G~<)B(bv?+B$KcR`t78X%!aZZ1JA+ozDy}^FVRXU z@<9MB9gJ4@%R}NH8Y+%^(4h$nAn0Zn-h@mj_#2``<_X;eAJ&(`_#XzQ90RfgUv&B; zOMaKC^j>{iPcK63HTWcMDeCsQ`8m zsRcKv(y#(>8aZKHVnQD@R?01{nFwRTzahjV1n2i3lk3v_qp6@^AM98KHNL?aW{)i6^;;=8uiXEa2YUmnxa|4~;E)jjrN8 z88SX(RzS;{DWua=yi?}sb(5KFRIm^WI4!qxDBm9GvQ## zMyCC9qY?`kRpN;_0t!FfWiykF4$ff#_e(r=%B-L^0&A@-{h>k;P=e{RTC13Mr>YT9 z_Gy6a3>5BEJEED*>bp)OZsRx$7=GHJ!OADlJy1sIL!+@?W4}cEY`(~+Mlqd6xxix3 zUwjB%Bwoqp%T4VOrf=|ogCfNqzb?rL4qUP2?=omh zVf}Uk=XUP_w9SkN-4Z%9s}>ELDToyxvTbe;c~Nj)CoOtGIa=ob82L=7Z?4Af3$#QmQh%$PoP2zhqt5+;sf?bsIw}fS+?b<$Z1XR|;qY3Qy4T2jub}Wt3DX z-klAEQ{e}{Vhu`pLRjCbYSff49y6<&7ry>Yuhi4CeJQY)RzaduTS(D`C$hk-%$kGl2hm{Qfy~_%Zz|C3iWZ&92N@$*fJT${PZD zHl%!&&F-jFC%b$bK%wq3l1z+cRG71_|o3sV^U)19=s^z=l9*yP+Pzvl@Q?y$TCHimAvwqrNycrtZ`03#%3DOAcu| z$rPoRU6IP@TW{AuNUyNTX73j@`j!?voe@eV10z%E*Vi+gef~ zcr55r^z>|;Nt;51s5|U(DU1j%f%MZBIGgMr6$pySuPfBokZ6bb&=hp)Nt1RRt4I}q z@#poj)OWa)f&kfi0QI+zqDjsf>xb)%c^;tuS1mDN{j;#o`uES+Z?cht%}+7~1XdF~ zqKmegq?V#ZP@IF0PR^FMzIn1o_ZJb6Y50k4z1zlm4ogSl=m$~xb&8L?WBgEG%=Cft zA8H>R!}~T=$r{7fK&!Ai^l%vG+rDW#n!KKJ`m#S8g4}EkIk?ZALl*S=f0NCCRbc(-M-`$34xH6<%@hao=?P7-2Rq;%^Z2|A1z|SKcHJH;Jm>xjN1DO#{e7_q~FB zc6LeXo%wS#AdE!Ql{5V{6cX=&Fj2x;RiNO-*oDnx+ zMn%2o#6nW)@+pQ@J1bxc%-@0QVg_J$5lqa_-peUBPHfQUl)m&oe^QCbJArAJxg8Yey<;A1?zKVCw zQdLjU-Aol0!!mq@oS zeOuG2-jzc-5B7U2Bi(yiR`+vr&%9NCO@AwEDA2P4XputX=ABiwNT2fcN=wv}GX?S| zj>2tD)=O2F@aM%v)a$+T4aDdacQQ)_q0*mDyGoWYL)_^i0`q#(o~5cDp4$*1p6 zH4PIj?S$%AIHd?J7v9{CQh~gKfnw$5XNGR}hD?nWaY!f1WPzU z{#0KE3{v;w;27eV_DA4-igJ51BYjcIg=Y3OhmH_EKt!i}Fp?E2!U_5UMN)gGB5?Md zh{R5;gMV}FJmT6Gi%UX5vXB7^k|xcxn6n}+=GXJQ}(bq!WDDPDxP z8zTB8@7pUUC0S8WTe<0jj8B|e@yt*>sImM{0^xSpc|T(*)U#p2Q4YiP?alV>DV0Yg zXeiE-;&HvTH0c|ToPXjcKoL#EK$&y#L4Co9G{kqfN>29*w)&xHzuh6I{+5V>e;9Av zx&IT5*a*mJ1Nny-rd&#N)D7Dqk&a-!ou>k9z)4_>5dHR?*Xcdc%^g`7=LxzviG#Mc zm4`Sel707rq~7dMkww3R9Qi}LvAwr;?l40CWYmO8`8&{JN^7-&Zol_4ivK1XL$#FR z8M9lYT*%d$Fotw^I);3MPYyQTm6kX(czSO~%J5~!&{QfSneA|xv&9=*Q!fW8Bv3KL z+*Aoa>NX}4Er#`|PrC+QJ06I_>N;+d5ByaNw5!!9V2+w zM}wL}uxQa|ZF{wGKNTD~0Q_^J4-^tR?wZd6x zGk(F$ev;$0YH;U@zZrBH{u)kM(~z^4ZSJPukUf`dqT*Aq&^%vL=O&$@cS$d19XlUcQt(=2`g#WvG1xHL(1WUlmnWS!$&CxbSVcEsn>U5 z1(poMb{MF6?-&1SYFrR2T4+rkfk&d=S@x)zNplMU0%-X~FORt>11}2LDL-@>kp13- z^kic4gpr|sfCSX#z0&mWe7f#2A=4fEejiL!B8cc^KyY=>UdV(dK!qm2iRL9q;G#v~ z@{7PlnZU)4;A((H` z>?cfh)AQ^sd!DCW2Oz7toI!IA{}GZ@=v|@JdxrE5*gQGLcbB^~UzQl_vnGkVElM%5 za3~35**xv3-STtr~aYT+H`$ zyJMApdGw^n{vhplUJ7iqeK@$U&kNWJ?w1NQ-06=XqMc%msbi3a zY=y1jb=$_=eo+4`IE8>k6PAM;(!tMH1@3e%N9gwd?h|R zn>#KNiyh}HfYwTd_VAG+dL|DHsUV{q1J`){uK@QE2<{-t+AG75tHO}41JZ2mH#|a= zwO0ee3!q|yPnntMN4mc0k)`n8^+1%m9|6L54lX=*{pzzWTC12V%6<$OXWBIvZ9cz- zQ%tvcG%Cm;IHNrj`R<+*XCJNTmE@r@pvMB_@V63l7!a)8=+dr*!%K!#NhNtWU@*Kk zPb<*TL0!j$g&Z4(91jRBo;ZEk@PL2actX&e6LUn5{kT<8sgr_;SwIB*GAAhH4PhZ~ z%ptShZq$0z_X_< z+OVV|rSx6^y^jZJcxl(N{)xroUAT$bg%)L9!7E4gi5NL+AyUKy4Q23p4@5~h3lN??H(dPu^EYi?y`Fl@pk$p5s!Lr7PBtPhhN5rCMIyp)Z3q412~&-LI3y=B4_v)Q1`oD8FP8D$El9DTmm%s-zt89bw3wVaQ!!$i09xd;dPa z5ZMOdSufC6_$IJhj|iC|Q?K7~GXde;S8E=T@gOH4EjMf)oGWp-USvGN15tC+ULFV( zNjL-$o|2w6dj7@@PZz3*l_3838c(Jx@W9cMrHfXh(^R(*(~|ROU^&~ZSUk97b@%-F z)f1Ew`V0Uau2!N_H=@*)^aBxS(FK5T`kOt=-(Ul|m>&Oi^az$4 z8r$?x->_RsT8cT@jVJ-hk|1IPh=6BDJrGsnr5=bfWmy<JWnm#7^FWlfpY}kMDWCB`RE0hphWuL&X?R`uYk)MLmH)$|M^)&X9*C;Yw>%J4 zq3?MhO3@Dh;d*(=(2AiY)v>Mw{SYYD>BN&1v9b^TQ;#Zz|6f2tz5C}mrnb0EOF`HA zFeN1UMQ%<*JN+t$I4(&<&~E@~Zp!ODLR35507$6Xw|K;;THhKW=C{B&>{Z764hXY} zIy5-E+`BOPgHM;T=T5gSaUEiQ$ty4qJrrjchrvq7!+~%KQIKUGNLpe}^*~BQ@`*6y zlVQkb0dZ7FwZOl5geYr2=Yc3||2+)(0wBC$vT}HEV)5$gN7Jls9`IO3?>A5aqUy0OHwmQmBtc zp*|Lc`gj!T6H%y7Mxj0xh5ED~rM&(bKT0|NiRdGNZn6dCkk#;v(AFSgTM+STAb3l0 zWEihe@|E1xOGo{W|Gy!Z=fA!D&Y;G31reXf5&j+XPv!{!b2I-A1f~S*3J(McS7OrV zp2X-7UN;@`zrFTw}0Jf`}V~h?|0ln}dj3 zf{0s#h~EYgw*?Wu3nFe0B7P4-%|nx)AmdwwY9tVDSh2f>6_KnHPSyeu(+#x_LIAM zW|d!D1Edo8I=5H8LSBS4H*T{#%B#;j``ir|s^TO`%iOP#zZn z+-hGiJmI~~emOkMm);C^_?ftk-r%Zt0=&0?@x;r(^06^Ih9sKVOH;`p4!~h$CG1!@ zjnN?>ov&2u5P-L|-F}uOAl?4%R{(y3HXP{y+-rOPY34a5t5v=5{IMqsezWy8uYfTe zGdcv|yy>7r0N&E}md4o9xw%lC13qIpqmBs62qWySUe{vx?mEJy#X9TQowzQ z-Bs%dmmyWBh7oojtZT7bx{k1WS{)I#Bdo=i;n+9&q}7KU5L=G}5}_ypveOhT)Po#p z)`-f>dr0=j87Eg~yHD5k*nQfe$L`b)NQ7@)kZP4(2y2Ym-%^Y;rK$(Mt^|jW2uOsr zJEl9iY^hc#!jwrs92>Zwu*RwbV%OOLv27uwxk7b>OHEjY)tg*~SP*-lI;vxjR0qVR zsA{b}P#r?-k?MfhBh>+MnPSy>$3X0%IyqbH&hL;C0g0%Qt3$Q-%Z{-n!dx4&1v467 zpqM|WXI}5z?(V+1b9ztgp3~bsd;Z+Hcz~{ZZuu#9es%O(Ya~}DL`UX&;^~jbVDOPx z!uAxrxh-kl?U+i6t$WAz?OP8(%rv@HdT17#H1h|lGA`zb!;x~6muc*JY~4$x`5`i) z^NLu~v{TZ&_+=WutF)C5o-=*z-P^ay`ub5h4R3j-N9AeSax~JH;&|yj+qZ%z-H`|7 z%OP?l?}`P}CJxDw@{ml8leEM>-cY%Z&qt>`T^7n~Y)pEp_U3)MVq=Wn5aufMzil(i zz9M!`cl_!5w(~1aMIU`2r8nJM)*Wv)?QkC~Q}RCw`4f5mUt8!}0G=y=m)O@n14@@* zur+P!^zjz@gf})mG1rR)h|wi{cL6O&%X?@&Cyq2j|6BdVF@=lgsD1pLXCda${qx5i0m^=W=k4uh3)V*|^(V z*u^yo9>q(CaIo#K2CuX|%leRiQC@bXRkjHE7vyDES!JgozacNv{fRO!L0OuY;j*lv zZ*xQ0xhPwd^Zkd#w-tH3f@A7Hf9g6s8F^nF7BrN-)+3V(?Bh_j0{TP`X{UfTX3<^+ z+7XI|wn}D!##q|zE-Swfc$Q25gKU$(Gspd39v=oe^)9)Uw_p^sTFpZ}kYvS$Nt zk9Ep=3CfOAvPe50v|}vV3mmxFpy~SW177+tuP5tJ9JF2Mo6@Wg*0xSOZ};I%UuT(? z$=5@5zLO67YYT|B;w_q-HAKC@brO%boQ0U?ZQ68Jp{2M z)X#M}c#3iH{{a91|NnRaq*r@v6h#=H1&S@@Ro>5fpjHY+3L>Qs3UhmNds}WF?Cv4u z4_Bo?!K6U2u_Pc8f&^nCC`5_TV4|f7niv%&QGy8yB*ci17?nRr)Zhb^nD~bQjDFv@ zJA1cRpe1ZFv$r$9`OWv9IhWnX1~JAq{kr8dc(Gb&nN-r(gy{=2XZYzX*P2wr_Fa#A zIhO`&Iy*M6Tida^EwQfS@n&2`&zu<30vPMfgd-`jTvtUy15)3NuQtZlctbwd$~mg= z(|Wcaq;q4WOZp;JEyK1{o=eo##wh=POheX?r1q=^u1PF z+{~u)`$334a)s*Ymf5$~3ARvSZ2dWBI|#?dh7vxgXHzP7Qog}Wt_cU{*Z^pwSr@#n zem(CeSjDD5!`(P-=G&gHn-FIvcvCm*EX)Sa18fES@HbDR&r#6hDw7+4Gt-uDXu%FR zy?{Lo{r;90_T$$$Xo$t&fWWhbnG&X|3l}HpsUz-F#-bd4j)aDI-BmNf;4$Vw>=4Yp zc>a%J@M2O5?rLUS3eL(WrHNh$JJ*K>R?nb)v}O#u9*t8aC~i;agyc8RzV zpW+_JS+)||0G5FM$m~W8G&TYnF0gF$n!jAAILh`wn?{n%T-|dLEiReejOBQN1yS2V zW7kuNRCSIoLkh{PN7lwsdK#!&3_f_R2S26dAPRM_l`Im#pog6YGIo0XWPvhFhK9mo zRq5?{TGTk0v5D*${C;hB%~@Q8!qB3OZYxvC6ElAP>I_bmhf_gaQ5snSJaA-IhXLb} z!o4?AnwSlM^nbbM5Qz524MO>|deY%et8a5XA6ttE_0Y<#Z{UjI;R-ctsY`v;%6qev zorAdzyEc9dugEe5bqa@B3A8eX#(TMY-YOZfZCy}}i&qdQ z)r^~hQ&yHWKTGt|b1@8^)yP24II4qJKivMweE6OO4fWIx7b3N3Pyb+4fe#Yh=hI{aokcMk7y#FrB zF6&=@4WW>HHd*+Q@^@NrXf!mmFx7Z@9tXz^)~;$QmOpgXPr{-j<%Y_^sbU9(8)g`* zg8SILgkG#{n~O5YjQ4an@B*YVGeTgvOFj&DimwoLPlW0z;Gv6Mh`2jKv;#uM9vnBi zsmZ-&L>QDtVp3Kv35ROPQx#$GK$2F|;Ytz$?uq~xfkmp6v>?wyM2ZlXj@3{a$aJx} z1C`4Yjv^>cI<90MwKGC87XNx3r_C*s{|%kMSRwch;VWeT`@`zKYba$WOdF* zl3<47S8zwo_)A5;cnu{+eO2}6 z=s~FpT^3VGB%o&)dmG+sDtmU8!dv=~I}UH9izR{3hC0>I6YU%R#UUI)%OIW7LhQrK zH*UhIA>ow6O)aR4IJ%U~zPq{(j{=s0w#$a*5bDJxs$40{mi|k7#Z^PHsD;d()r>~?of3=1Q9x!R_%GBy{zw5u40kB&ir;@ z6|R@Z%k}n9Y|75AeYMjO8mp zKp;81@BjP0=iGbGJx`v!_L^C<*Gy*5?D;JrdGO#t1>VDZ>T&;jcYoKha`&)vaR%`4 zadLC=0N#1oIa&gE`FH``f?PbjT)f;od;l#gcW*ljE5HXc3kLuXKuZ~*Yh@02Z)xXw z|6~ZuqkAC=Py*&W@r}#ip@jqqXhwu(;L+v392GjYv5gZe?un<~S>BU3d*49P_ zCxrj{<=n4t1UIBH>?g+~gOihzer!hG<;=HuRQ_nrxj4JN?ZkLVdC&bB3@V_>nU%4_#Zf;KBnMFuVze+-%fN0#L4XZ)^ z=xSCHdF1>IV{oZ3dZ>U7U9xq>8n?wDq;80L;kH>2Z81SSn9UO_p+y^DZ5Ky&5laG1 z;ARMmB)87B?c;q3u0GWt@`4<&02A=PuK-#;x+iRt4^=>9@(i~F6i>sq1%}EXDJ)z{ z#^1$R{d7+2x1SDKKonRo-{FiS$FCM;Yf}nREE$H>A@7-^lq(ajSCiY>{Y*}Cw=ITP zArs6tim@q~oFZz|y6&ahFNTI7{gx8RDwXlK+U`l))^l?S^y z_|sV*c@r$HhjMwdL7q18qi;l1!;iloZA^ElwKl25en&@Gp&qfgC>y8XC$uwCz47~a z+HMKnrW#6s46=Y&;uO7;E_OK=wQ8FRnk-F*#vwM$6ZZpCeIud-&5>($VzC*ziWJ>#+)#8V#O;S=2`XqC~>+&vsG8gIeu?m zxk+X~h2=WqoalQr1d-1oq=J$7&#;`6(Sp2PvycGGD_kn{$I~z87^7ApCGzCG=SuYP z2u3U4YEK~Kh;+KcpjAT!DZfV;f22DdWZY^|5RkHMwFi8ETDpxx6|B};r81z5$%6P4 z0Ka&yryL1QJ3&@nUdx3`CEcpsbbUWcfmrW#8J$*~n{cguoW7HJ9z}kHbb{1O1G79W zWaO>__Fk2o>FhO<;c@f;la4H>vkZBEZtGJyv#vT61TsqwUsGwM&!>E4M*9K(=)eYdW&r+e@}x3Z zE=T>vkv1gNU}M1WiFx!_%6!00Fa&VpTno5y{C0np0&Yfii7i~ye{}uzl!@-9`br7n z!_K9-v{>K+aWABp9Y+UIU5?)~TsY>3xHVAF=QEjd1YpjW*g*^|Ko5%hURrhE}FXFI7)Sq);I6K$@U z3;DbyUC!|%gBt*qhL3!dA`S-Z=9 zs;!PA(f@yC$kWSpHg$I+n@`az8l<4y@$8V zcRniSt0BN><~i2pnzb)A5HXgT&R^@ykrz*#%c}sFn}5BP%8Oj=fxnl53&_9`(&bTrx%b3p)L_(8{FH0@ zL~%fiiF-~#*Y-1|@;Jq~3z9y10>f9U3tYcCCfLWcNy#OdBn1WWQda42WQH z!~BYIBQQ4vQO*Mf1i)_i`#2Mu)mwUGeSr@)smR0ukv8(ph__ER7m51n7{E64#1o=` zY&L0etuMaG52BPdsUA6t%YNCVAf9*vxcm;d3~X+iq$fUnI7LtFCcXL>4WdIbfGJ9jj_=ro=6AG*$|6{ z_VmKTOv5ufpb{iyod@y(5K+MZ$MNy(hEg3*tsasc~}_Hpi>h-H_^?Bc%TBA)mG z@O+dT2PO9=KI}~u<~+szC<#85NyKC1ET&1s6B{6Ee)oLqiE}g)@z#^RtA}n3A(Rw= zBT{1IQ}7LF7f3v@3mA9^z98!hdb}z5=w93$CtXh?*Cta>GrJsx!gV%LI_Fvny`bnr z6ZN$|HaEdFM`Q7rjFHQUS691Q5Jd-Zfp0>JDHhb9PYk$jEmHRJ-A{V02qOdB2c~T9 z(x$i|v%+;2D&q$Rp`Lor&MQ%76?3hW$U?^@04~^FCJ`%-HeWH~4j+7TVDY%mrvR|@Fput@FiH_$mp`8+IQ?f)G;2OT*w-{0 zyu;t@eC#ImwV6m}bjuXX40UL(Qd&#t^8ug4K`fO zVrpQ0pRssyatR~Cy1D@S*!N$HOWeN?mEgGFarR`KfNyTU(|alSuOzfaLcCo*x?F9b z!UP!Ctjz}3mwq+$#Q6Tj9Wpf&0^fRp+)b-qO;?ecEg)kl_PQ^6V%)-oi|?nChPsEL z@9v@ex7&?~Q^6;BLDgHG58Pbj26>)D(5$z7)n=K>akY?YRO-8aeXYbR&#N=d3~sN^ zJ|dB4g>&PwprQhszWJth=|o)1&(SqkJ;8GF!ZI>LKEDQC z1=|#X>y#EKqTHozOK5g+#m?6_HaA)x_MxwRWOgHkCuzL5yL5@S9(y~*lJto`a`FVZ zE;uM*L?IWpm`qb30NwoiRN0! z#RVTTfIg1sI%L^yvZ)ZfKH$u5*dodchUou|DX#v%zvcz+_^GaU>h=uGSr zeg&D>6Wo{gu~?y_Fr(C!l|uu1CXa11)ECSyRW{p}v>55Vlj@Bb$dV-#I{-}Ehy2Z#mtv6 zt`Uma@quq{Cv{d0lboX&t~Ok-DZ&_z>df*CiJfK%HhT%_q|ZHyPnOx>fH@!H1JNV8 zKHOtP$@so?$X^C_JNDCViSR4HCJ0Q#C<(r29NgZEOv(#QGI;MkQ76Wy2&VKIc^@F% z7oxsE8e*I8{DBibi4{sO6qcj3pa4DxES1vqMbPtKih2JZnltk zCiQtNsm3eRbN_IzHVIkPdp=?3Iv-!o9_dAazF?_A_pIugCC&2HDiY^GQrV#KxtdBC-xTc9)j-itxR(+54d(U zl#LUAfCYSW9aU}E^D7n&k`bpo=gQuZ(KY+_1nba~oXlGs>x=K)U=ViRy3AecVIM8lCOr-hjx^ljS9s4CU-W~i98(IVa~o~5QamlS=icQC zfB_n?8;*J4OP5vCyRRLwmRK@ZFX`@g^YlxNJ}jPKnP`J6v*-{-T#!GgSZI^cWIN3F z$C11+98BaPAKFW_WrSJA8UAvAaJf}J2ryER5f_6c_O(1}zAvgW;b&tbvg*`FHN1NW zHtFv{sUs^s7-mU4F?7-^{?MEQt0wu&0W*)#KLF!<-9KcQ-@Qm0&04zY#*MV)GC1-4l3xACZ=jfSink2)y z%by^*Qh&JD-N{&9#NAB6?el{4m0@Vb3mk_7C$sA0RWclh{vb2%BB>VxCaHrxri8(a zqwZ$ePd$`VsqP`wTQckR-!$mIzC(ME8RmOCLs>mO^KCP}x6*=H@)J;dJW+AR`<__t zZM=Q!+-fHLoiD9=0QeKxmLJYgJh7e+M8r73#Y9g)$HBSF_GTw^59*QR11itG&q?Z! zxKyQ-7N>k9*%sUR8c%t&c$68%9dDL_pv@5vm_tiu1fuf3PS2jB(XghQaI?59A(_ zOUSrG0Gj~dq{;K^-k95X@(slUE^z%51^v+D7fv!cf7>)|zuJu3P5+WGTCjNn3V4C! zfS=^BQ35XI0og&_iX?*?_n^u4{4wHgpiSTws%Ufc}kGj z3F{xyi+qFgk!zSybqk$^68Up_v=A)qldd+xBl>L87I2_*mASF-u*;hMU3 zfS-@;`bdcxveNj`#f=~GQeNV zy*4AzI1QuO+k!?kyKOP8H(z(tHHnAz$HY}PJ8#p?$K3p#K8U&}tHp87xbfsEM-iv4 zd(LAa@3^7Qz#d?Ki~0w3;PBqaO@!5MS@|XDfs`fT^ol;WXKa%9-a^p+!$Tx)?q}~u07xzhOA3VY^p#C=gp1hvcn4q8# z!EU>FgUoTT8dK3re5CyF=NCGU2wvdbjOghWWtv zk5LE0h)p?^GduJb5$0z*+J58ehSEi-dww95&T}7qza002uk5K^-cLnVRU*O@xmH`H zruV%i2yf554^&xh*CGQuE$ufjI#pjWENDEQaYiHf zdt$ENGnn{O2X*B~SV(>~>iRCvVAGnDcRz(cUVM1=8vD6F^tw%a!ysD|;TczS3q;=F z-i7E(Y+%|z(uEl@g9Kh|*v~)wMQq^Hb2%@5h;JkbdHUGFSZuidqL>bzV|vxcWF;Pc z=syuqntnZ1`g%NIAdNPC&3xuD;w{kjO`Fl4vKBePW=V?mbgwRQb7#ZrssdrHVru zZ}P_BG_mIO-Zdrtax97{yd}i5Lj4nT>tx50C@u2oEqfzz}n$GJ~pu;}%@{IAF z@ENDa+4rlnJK$35k^uva&#c#zZy6KA*hJAzZB{e41DUoKv_|$n1=>|>mgomL9f?+a zTC*Z-BFRE($g(7EIP@p#&dBFC9p7e-EWAEX7U%@(;l>eJ<#-^6c;-+Q-gXIYgKjh& z;~85vF~@?edF5K)^k%-d%b&kF!q4?u{aEKDt`5Ywu#jDp6u*e#%O9QV`?nI(d zWh;1JbB2x^dE%QAtdE0C+uYQ5mePZ4_TbqKvFqywjJrZxR2HOT8glcM2nlzZD&`jT z{x~C8H|r^Gcb)aO{Q-l@6o{e3bD(6o@X8-1*FdmNj(>NHcw=(Qh4$)|HDg=?Tcz9H zXiG!;kqhV1l-0h+@_JXQ!Jsz}jRHq)Ior&rr2H}r@3+|2mhZJl`_V3mS<|O8l+m_U z2m0D~qxb-%lO|DH)d)v%a)1Q{C2cg!@YWyeAeS9)SKB&uBF+czH+!%kFo}Hc;=qZ@ zCvKn9qPc0^F8EWh9VG9MkyH}eOCl#N86s|c_koJw{R zkU)J_iFM%ni5CVjE!l#3Ud8o%Y#JVgmXf5SYlhB{`w;2vJV=kw06 zsiRx+&gLqStT)`4vP;8Tkv7;=ZGhza2_e_6CTtM;b<*n0)=j#GWD#MS!p`N?@F<3D z!FQ}tbu#8?IXZAL`xHBg=vxVD!M5$s%hwmh3Ik0RwU4jwJK|?w%RqIx{cQNCE>m@s z&A%j;jK9P){r6))xrnR&bi=^IspPxkhw~!zdRP%2-v~j!?PjWf5$CrJ?p?;y9!=-q z!Pk|IP=|M=*-snvuGz5v=fL~V*E;c$ROh3ocN}cLS;4yQ9kPF9V>&XfoP&Lv$lgOM z?Gy^TJGJK?doHh+A-aFeJMz~y*>(wY-Gx09iF^|?a_l!d@@ncLwQZ3hZshg^X--Jv`rF6DXk*sXhj_VA0SxX41L^E*-X9ltK;SKsGc-^owg&S?&p=D2(NM0LnBN7H`{bw_!7*L7z4L+LyU5;{|d$- z&pDahe_u60r6bXEOZL^6#U12s#dglIJa?OaGn8%gsM+g+P-9IYN~^u-(wgesQWnxh ze~alP;8aDhI!72 z%io=xB%t*~)F$gD4Uc>N{iWS0Hj$=b`hT(4Igk8lDKp%?pNAnQYq00*G+?~?JU*j zmWR>4ZoK-OPD!1=!&lC&J`yDaInpMRouw&Yt{boP2M z=IX*(9fOX70j-WZzSZi4lDGOvau=%-zcIJ0MHVSFXg zW|1Pr*v|--LcX*!O~>Q1^q`{!>L+=)7psm?hDM<{`}52=bYSEN9s4`__gKCtxS+nU zBwSqb^MxTUio;De)l;s?5{sZ=G1I z=Ll+>Fg97dC1{`^=aCT1A?*r<_INE<0CM&-7SR5Jr_WkPh{(OS`{fm=4NMc0-5aZW zr8rG?f_`DGN1Wltl^C|3Y1@k|M2(+FID74hFtn!+L*4S3p~#_R#Fm0r@hy5*P#3nC zfwh|BR>4|y?VX$VqNapCBLa8wr=^IU`8K=k@*Ue)|IGWKItIzYvAjQ4#WS+K@o!vI zr7k4QVhn75(5*h>D7mmXuM8?I=Oa8Dx!ZCam~XcF=9rf7Or35#Yh0~9H0t7g3YJ4Z zz(8tdR%1;+^(co}0oh3=X6?#nX$m_)6&s}$89Kkqt~!0U zR6m%7AEcfzcJzlxZT_~F82;MEeZ%e5ik6Qx{`CINtdDa0xot1lsKt?-liGfNu74V* z0HxE>rL8f0pfeyg*QoI}k<&P6Yi?@s7+kWPUOOk6*Zw1-NsV4F%Ml7KcG&lFacCD^ z6FFJi{v;AM7M0ndws-t_&aWUOKqq0%kt)B{d9z~DeMQIZw^?juQc@h}K)@o$d{Kqp zsM7u#w{%hXS;9<&X#mGt#80QfNnMd*#qx^`uUz5l&jyh>Q-& zIZ?*I?&j2mBc|u9H)V3^%5e!9L?V4v7V)%as=CUJFVDh1338kk@nERY`(^(4Mm9E= z8Ck9p${lx>qG`i(OI%tx;Tbqqqg8(d#@u)*jEo^c5#c|seCH=xB&m*s+xf^w$w;e$ z2;1^4m`YjyterKS>bZNY!kQM>L=uu2_No1=BCL<~7v|bupKGfc@fe7DyhV|8Bn&vWQR}uDB3ci4dch?}>?;aMJe%lk zig}8rtpTf8eG2Ar!taX%xog!c51&)NLI^VDJs9du;*X3 zj}v1^daX0=3GE?qxH}M7uw7~`$t5_Q+Y)Kgw|{1^`mrx^{Z&WhnSnvJkAL|aj`mxE zg%L)_t?}8}vKe8AHUHb@*{y*K)U@*=UeF%RI^74+_{GB+G2^ps64Z*%^sspIX*QdU zDNZYf@hiLY$o1IG;KWl-plsuS-zX8*a1h;jlE#X}NS8%Kp<9seqJzGl~t z?ae>%B7{Lb#4M(<#rgvMYj4o1Ad{=t7dG>ppYf5)mj=Up=T(^cCaiT?Jz${1B4PTH zDzd~q&bCr=(4DZ-Nyxz7(f3z>@VGQZoW$0GiRR?d%#>q!O7>A_`(UK*PCib+F)x)p zDLPN!+#6?K+m1CwKQKkZ+q>Y!9g{#_y&09cZ{Gs!wt0kAut`HBst&mANtBIvk|Vbr zSV+xLff5sna~!_YsrV~qLx-Or)DDxZV>sFA$UzS;+1Y)_obc18M;xhpm1q^&Hmd2x z>29Zwtf|;ftyD|QmPu=?Q=>!igOS&c`5NNpMNDHs^!?Ym--IyrT+%tElAEGkf&6VO zv9FpsxfZC2q$z1@+FS6gkKp1#`keiPz_pH}JJKF2-!t`r$Z&TvVI0BP}=Q=@q40s1u7&vPS@vC-waOZ)4XD6o~XiF zJK5Npxbm#5PdE9{LVIq|*cO;)g{_k5G~w6x-IT-VZ2k13Z%TW*l;k@`A3Jn_C`AD_ z2dk~O!;jiqC$d!6VC+SDWfddBj?E_ZDiQAkc6yUmVu@1Pfi@M?Y2U&gKRkqzNkqj1 zb;@enZx3yxa5)*)=V-YKpIQ<=Xxbv7IB#MSDk=>XImk8P71aUa300kaVd_=+xDgQF z4%hR4BjrgkL6sEeY}AlhlumXTo51;5jkIijc3|W_;MQy%_b^?j4Ow3p&^9u;h6wY0 zzh~?@+C-_=_4&JCh2bFm{JO^gLaBHa6m>h-4i>bG+9o8zwewR=XBf%i3f#uWOPFbQ zdnM`90!m)LpRBbfUooV*>7ev(%nWfz;8~G8v@+g_6C=EwZ0w~Zd*-paUE1K{ z-o)Rsr#z0WuLsOT7UVd0%pOhYFRN%?&Q17wLo-%>H6>c-cn(A=gHIf>SP_kGBfr}Y zwSpnyY*w+~ph!9$H+TT>n_HaesL|>g>a5ji@yQ)Ona*m|M+~@_=9*_cC8)`e|2KGHTr%;u!MX?)VuIDcA9E5*FH%w;)f?C$f zo>bPX#S?;C?j52km{!c~_pCCCnN2!Mt%!9Tjl7NiJ_CdOlp?(W3d5gsB%Mc_idI;M zwtcY{?FW~1lJjM0R~wdAu?hq-;S#E>B5J6d1NnX)6* zoacIwvU0pTo6n{I&wL0cW143z^?bgnM?p>M*%ou~V{aZ^B`W5E85uIplOL z((BaEs-#JFkHZr3^PkW$Y&>CiX1u_$2o3G@hs{xSIRGmXm1b#-MX3QBI<-;djp zBg<3lQ>T5nca*o@>@_{QO{pEG$?;Y_t?h7!nnc#t2dX4)xx_VRFHm9>F24}8{ix-Y zt)EU#>X1Nv6JJtUU1U*mrm9tV&L)bD$iZx=G5#QgNVdcVaD^2+GCR<)kq>RzvM;%nN=R%yl?0bf&A zkvrc$zAxFxeE4E0rX^r*&$E1|N4D_P0cUuBTi2re`wxbq#$frFm4(~J1~wVHrca1(;z+tUQ6pS`bwQt zBo-A>tp!ukAuqlhx;cvcJe9?0 zP_2h8J?7fHi~aNa?7a%KiDPds{xb*dMe$TGXxf_qhDW0^nRaF%fcgEKjZ@aeJMVY6 zgWcz*X>o@MTL0i`DTa253{@C~+nwG-vmPOlz3~-`0)ek>McdrdO{{B4#g##Ho;HRR@J(c3u(+l9QdCWc`#UPp`c9^P{ObG^b{%_kWL9=_rd`+f zuQ*q>$l!$}SZ3Y{Z#r-`B%i%uc0Wv9E0|X0vW99`JI|*5yf~*JD{D>a2OY59tf&Ex z4c^2#R~ycesZtcA;kE}5t2o-K9fRuA^=F(|TNO%JIV7-w__PHL_QRiey`KF!L?E^Q zqBRudL?cIiBkxdId>YMa2_AZ3Hj5&K-aGUZSCe=l~Y)7T3}`?^J);$hM2w$S>)fiO#R4rP#0dl~yEJX@<{S zDKG9pN8I#Vf{!8^8vI7Du>wolS)BsuM#lNZ9S*yN#r+B&aKza64)uO*xT(y@P#-zC zDAfGa)^hbH{4W36a-07MS@>v7F(_5)kLbIG4)*E6wOoC4$yblb(uS*&kJF$^vB1s7KM zfxhzuymP^q%3`OgUhdXGUf3Gx+L+7He%}tuUoyj;S#HF4$bom7Id-M)F6#MZpm7}Ozpd=Mu;HJs5W2me-mq%wO%|%)B zhvj+Nb(6$k!tB0Pq{L3D!`<%uw;&PAPeP~0OR36#PkH6^D~rZdWU zI{kN@b#zQcN6+sXA3Fa;81sB>4NS|jFwwlDzu{^}uflMGt!4M_NDzKop9jt9GLv6I z5Bjgc7IZvpyt+pB-knX2^vwIh^IPGQh{w5-PXbXjae_cQl0Omu6I}ZLcrP(C&;Gup z?rgilW9<88JBRT>;~_|6Pl;~y1S&#=s{ygEC%tkB;liPLM*E35m7)K{sZKO>q_a-7 zif(7wx`3y2Xryh1=uVOPb%|E+ej=8)fYv`0`_s^UBr$}+AjB_3cLi8a&V2nLO^QX#w8A^%Q9yYY zpXJ3ZUb42Cb`8$wDv7Rof%(PDFW-?|TR`4phTviU(`D*C;Ou>>2yf2ws^HIpTq}Wr zZo2;KR$LR}=cGx%<>**K<#$Y%x*LQ)qqIWAI_oFd(vhq5s(!D&`49`>K^>g^cDvD0 za3lU?_H8k);6xAdIKy+W@|x9AE8j|>>UPtz2Ukwp#o=uuIaMB8*OEX=qi5;4@p$&kDSBA0 z>c-V{u_n=;>WpvV*(b!9**Nb$g}=uE&vNp}d)-U2AJJ(er|>o0#m*(w(j#XozLZ!! zG{viaLg{?0enlhnTYu<{CMwchm2_D;XfZ{{WIQuX$@?nY~bje~XHpQ=Wqgh}4(fG>3Y z$2dKNd1E5##9e+2s!{3m8z!wh8eaSF4Cp!k2Il*?{!PxGJ+Q6!b6zY6FV;_9`4N}x zaubcC#xS!anYgVN5S-*MPQWG^?&9A%IjpbMBEQ9=KidLpUz~EzoI`7;_#j$l{@4XV zyu^{95Ne82Y28EgU$;Zx%ZOU_dc>bcoZP0k6!fm&6UH-?NS)&9wAQpT??wr0k6{x| z`wc&nd%x9PgDCMghqHJ!tHyKOU1rvxr3W?!u>B2!SLv*d)b_=#B~dM_9qilC(-tFO z`V^3-`paPa@i@W3(hDt4kM+c;hJc^%X0{6JsE($(Gvq6&-q*8>cvPlGh)lYC$z5y^ zTOVb(er)OU7Z$cZGmD$e-;3eLwc^lNY{GTN#5ak|%P}E++1c)3 zA`1NbHxsW|u}0cC3Gm-^raOHdZ)ZO zs{4u>{cRLwLc|X@l@XB(=xVccQ({!hG03 z3PP&;Sv{)Ic$2wfsjti=YAkK_GMQS#qIZPy4QHA&r~8^FXmWG3+NPeuLoHh)5j|ru zp4kqdP@M|*AE&eW)9kPoAg{AjQ#Ga+n{HHBuBt@pG6o&k37F~WIrfEvH@Xu`i^g?WjExH5s)QIt#?G8&H9b|1Jy{oIEU$|l8UA7KXO?zC6vLXe#L({xeWXOGD$teil zS|&>ZGRbqG{o~NlAnEkza3y>0^5@d>h@D43P56$VF+xF{yPwA)n>1*q&1B^c?6cj` z_t1`_Hrr;?lB5z(u#Kv91@rq)c61F?&-o-ssL7w^YGF6+)?7<-GaqMIbahlR%Krl_ zd#j`;kLosXk;Wm=HcbHawn_f5=)><@O7+7-3xeK`_-UTx2`PG~3)HEf{Y?P})XazC zEUb*nuXbT(v};!-K$xj2!TnLZese_Yx%slB@nrQYRF2v^5VcL7VA8l|QbJuz44V|kvL`5ZnHly)$zzDG;K3oU^QIv- zg+~?QdXFt;?ox0(`{!PNSvJ2_7+;Q(Jil2b6Tz`x${8}cAiMGK>cb!J^Xjj@Rj6!Q z&$hlGNmoyS9gU>e%Zs!gC!)RqhXmvKa@J`5wK!$$sb6CXqfNmyLmZ6e*Yl&1wu+~) zIf*2CDb55P)ETgg@G~T4-b_KVyq>MophA_mA?MXpmRicP#JYPP_h5%%0R;F<|H~baa)Cw;HWBt5|b^T^_lj? z&7FDMk0crXVT7r@2hP*yYdjkyLV;!~D1W1kxSf*#ZaK0dZWp6-Mq{9(ihz~}5)BgZ zggI0~Q{|)D+_^k{XU%867QE2)YN;z+*Y#*jRt);Tz&EL)FQPk02JaSst99V(AIg>Y zSxvXZ&oceBR!>ztrR1EtDlHSn1?f|)Ki!D_<+^%t5bzyn;P~to@1u5NSMH;_$DtNpK-HR)E$;&|NiG|_o@Q{vta2CpsRFXbx%|9N?lLC7st{g3U=W&U z_Lg9{3MxsXC!d#k+0}e~XL||M4+!SJ(+>FyVqRzUm^0~V{YL8AGZGS;)<2+gwAGa)~qvB6fO2x^>xds*1uT;I?Qe_C`_DTJMV z{8!Qc>3{#tDfs1+`Tkzn^Zl!1V(#4SVU2&&-R>8Ls#Mba#df5w>O3CFPTQ!SU~(b6 z2?)!#n3=rG)cfsn#6*|{Nz$+H9Il|9p7v7Uqo`DwE$vcds*FUgvLPoO91YIM2H~o* z5-?aE8|cWb|Kmi%2{{+L)`2}rIKqU=o*^iUCUIPi#7uBjk~dX%Ate|5j;Q6f7C&7l zpvvmUG+E`zp)5(ee;5tN@g0}~<~#N=F|k%%MGh_I{_#^xf%uLeX{+EKk@BB&Di=p9 zXj-p${rNiTW8t`tWVRqj?p=^h7GD*efXc|``1jy#emhYgqx#h6K5hkOghee*&v2D* z*uJtS|CW;~(s(y*~Mpzj~lM)q0PYfU_&Do>9827fw>kQj<5O1~TUmhH*$#nyRnW#zRadsb7EZ2)%# z)*Wp^bSx|SmmW21VHmr`l~wPIxQ)!m{nbN8q~d%!q+xZm&0l+qP{BvbwO9O8nK7e& z6Xs=`f7ez*Ns7UU=z&loeBkkE#z*?s`fV#6to9pWzLXW&R*eH4unyh2c^Dt{eNrnu zgZGuTb8`fdbNRC(@4XELQT(D8{gSXE6q8!xNA`-H-Mtr>>}T6gon#HNyLN8&v`C~_ zc?)cg4ie(5V><_q>om3#krC4kDlKdV7qPTr3rX3Zc9qhICU*J~Wch{k8(OTKT7_pK zTZQ=bP!hoS3>~pk_BohfQ&fx@MlQ0XU&BRYiT%5es^Evg!kyh6Q7H$*#1QsUKOy&Y z-?Wqpsh%V*&#Bw&ijFZV1L2RYnr18Wi#lfp$x`VWFjW~mr2cTW-vz156>;C7VX5uL zo;xM~99K$CBkj|sK2GR0V& zv$$`$+0)lQyj^xM`Mq=eGV^MAdwG&})(JEm9eij_^l#xS z?5bEWmO}Om(bLMecy=D=0`$|>#MhQ>rkqI#ETgB&^7F>9T>GF`ZoN4Q(^;#p)cxK@ z!wLjkk5jCv$+LCYxpL#kir{v=yD5Q3{RAE`c7|QEl&m?PsnVVY<{6#Q4IbWb>+~JZ z5TW`w)80(-`VNeCc2F+4m#?tU6uj^|8^WH#5SlNctR?v-GrsR(wK3KZcHIH+2w*3Iev;xvEKo3-3_h! z{LM$C`TLTc1eHL(?d)XM{e?qW|JKIKXGBt~p@O5$zb!;18 zkvka)q%)V@)*Mo{z@3i#4V`JcmB0RcwPiw?6i~ME2ABD2$XxKu*+bpLPU~=Wc@qU{ zw88@lJF(2^ilw3*T&D;+TIn`e4e5Lvh0#Ahg_ zk%sMUy|GiR9Z!gnvHB01w%|XfayZ4X<``@0#mQg5q+$!0tAf2a;7dL<_J@7PrT)R^ zsz9x0c4!FE&oS#&Bti)-lHx5UR4|eN@xx-1Psd<2-|!z=Aj)1*1lq3J3_& zg$P0dA}29~BA^tBIVDP$CPhGyPJ|GZz=a|j1f)q9G$6f=pn@Y+6sew)12ln90)&!; zU@k_zxw-LX-j{u|GjIR<>G$5--2#zEt^POAw4v)o_o;(9WqnkFfsEGZ+`z)TA9BDo znmyw|=|S)JlkrW?knh^?Oq>rX9T6}bhN}tBcz1`h9t&tmQM(Sh>v^Dr4)lbP?a@gW zZs~ynY@`uM4eOx{%K@j=7R}s0?-T~N4cFPkE~(L0)#1$^aL@iC*K)7VEQ6$3>&q(x zy2DX)#nd?4>~~+D&N((2IS03T%vwAnWw~%nzQA?PnYNQjGAHf*A%f1eeFSet55Xp} zwlOi|O8cRH@RRY-Fz1Yn7+>%1{R^0ea%l3|jk_?-rD9e)y_zx}9&s#vB992PtDDcF zvsB+xwyjPU?O9))ld`2r)Se|&rQ-7sABHALUN@lBdp$c(|6>zG z1~OhI*$B&5w!0=0ZuWGg44 zWSOn4$iaZ1Q$>!;Qu;2pW=A;$dXvRFBC+L#`{EX^9z!Ws#(m;G%%zyaKIB(asuhrd z_@!>$9bGUIfkGW#U`)J~IdkzY@l0U}o;>25T|SY+=6%w(W_#at7f6|_+AOIC`>GR{ zq3Fn~Q^vg$HIt4q>?I4yo`yjOtM=;o;}wh;LbFrJ=*U#5&2k;Ze=W(q8sVsxGUulu z!XQ1E+$@XqP!GaYjb(!0cfBOGN9#mofjd9Y>E^;p8)(r;uMSblsqG8dC^Kahm;GM8p=6}M(=xiz;#^p#H*v|N26!5YqyD?8Ft z!S9Lq70*8B-q}tLlC+VdSa~~Q@Yvm|=omhG@>))eet>J6{FL2RfkwFqgU78>&uI|( zG=sj4wezL?4VP39k8u%3cmdqM@YdzcJ)UK@H#C#pn^y4gS2+8&N`F+=7vTJSp)iC_GEE<~x)jlff)I+RXUbT#Qt&*wk$GZn>=d}!whz&Blpow2O=#_aOIr~IH) zkEQV$-UT;p@)PfQS+EwNL0n99i+Mhe3q=^Smg@Xkr0=~wKdYL45CBU6>rgCL(!gHr@nsRQ+esc033|`r9HIGFJ3FR2| zr4*WNSI3pBf)R+m`_+3)8yHTmTwL4j1dTE97_{ag6D3On4Pm?cG^*V_fUxi) zALCtnkb6%GZf)I|>cDFc{J^ROr$bl4@{OHU?oObs^WF i*pJO{b}jVusa*-i_oLajn}DnnY=t45$G3?=T<+hZmUeRh diff --git a/cpld/db/GR8RAM.cmp.kpt b/cpld/db/GR8RAM.cmp.kpt deleted file mode 100755 index 2f27ca1f1047fcb6050577e1872a474c008df897..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 222 zcmV<403rXZ4*>uG0001ZoTZUnYr;SfMc?x)F8kgjn-uAT2~;RWq2LG9$FL?7x}@2x zyBk69#~Zs)5fubq=gv8IV8)GhMYVwvEoJO;`L=xj3d>eAoPr%0D?61j9&(0lFO2K$ zdAjfeOs5#VzD%UUw~xTnFb+8;z5K0^qzU3t#FHqAd5RU8oh%7+Q8wUM&9J5oJ}T)3 zlH~y~ZfIZVPAX>$OAcc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*HlW0RR91007H900000 z0071U000000044700000004La?0svDWJz{b^@1_mUhLhS_1df-ggra6J>IG6%FKH8 zz#3;~Wq0Rpy(Y7|d)kXtWM$o|P9`g}HXq$nqt)8R0{^f;LgI%lgu%kdGDu*4Az3oW zGP3yr7UmDKFfteni+}jB20yUZ!}rDG#<_VTGjF}JXL|FhyE1Ov6A>p)oO9yDiPt;t zyz|bV;QyELe-6*JYjYpQPpNZ!(rnfH%}!fYqmxdz|ECfAdijkqf#h@T&*ANlk@}DD z|NjmB|3ke0f&Ksc_~n0=^nc!Y=X>$KYs@Q?^f5U#mzs3P_JLd-|s?>AHeTMbX0Gttxmt$i13# zztd^;URABmQL~{A2kiza*i+Z*?E}^6KaRSp*E#Gzt#>2EC=1SDv)@z2&7B=}Qt$TL z05o}Ak285yr>M72RcFvY8T8d*vlaE!VYhRPFNi%1E32MixX zPe2KE((N2|>&KeL9pKVD9@s|KnZ4r6xL)t|I*n$%A04QJPGfK!wflx0kgnNADaYoO zRf*m@=|;U?>s0jy`+qHJ^wsr#M`Hs*9``ijjZXWZX{cc_wPfBgIH?UF*an5K*9QB& zMz?vQnXh=%jUpEQs-ycRnifqHsXLauKBWK#mWJN-lap4nQQvPx3ctF9y3aGF(5veD z(`NrMNDHvzrm5?zs6)N4PF>?SL2hJBGWR;j@MHiEF-&n?lGZexp?Oao*V}``dZRz+ zf|mQIt{!Z3JxJsEz`=(IGg*()hZl zVq7(i=;5HNdF~+U*PE^0!gVbNPDbvuI!{$?aC}_vo~8r@5nqG<*B~ZyzXws}|7ZUK zgou?^Q(udpUFZz5_ucy7DC+4iLw~M)A0nV`sB+@}Lol<~=br*(diGR?>7zc`Cde@C za?Zo(hJ-9exnj0D?fLcSus&$@)!kNSA3aiSOv&sCuYDE%IC+!5vkzJY%}AmZ@#S#| z*bNWa_Cxs9(ot^JPkQKjXqD-&Lw{&@y*t^_Aj-;o9fGtKu>Kvkv2p z&XI{t{=N2L7`>kxz&ITA_Kxc(YJR>O)erW1R-^1`3+_{}*XQS-cANbu_2uwT8FN|9 zH*Dy-%kZ$-AC)1JohQe7@A#5)goU53fF=@K{38hIVM7mA+RLhT+J@FRNtN0Tbx`97MZhBN)~K7>J|9JKFJ4MejF?a2&Osy9m8y^;&GUax^**}#Hxenoj z12K9{-J#D%ivs*m-6ouFbQP)o(~fEnj`t%-O$%-siWgl&E)Lx?Lb#{pK}RBn@g!bRRe2LhUvlpT_Dy%Oq_cIfly` z)?VhUz!J{01pP%d`1K;SS#Las;nbsYs%`EV5dRk%$Y|aFWwh=qcnzwfZMZ0p9S=b> zK%0&>H(7mWR{pxl_*+J0>`XMtj)09Hj&6)8zkrdz!sm{AN5bg4fv-V)YiT3!3?;C` z0%fS6NlIe}I}M14)bp?PAtb&^COx@COtOhLG&$sV)=aWHqloZ~C&&S8C*5jG5`#Dz_UQy|`!>zKYkB z+HAI)$M7oLhED*WwqZ=oV%#?}BAZm)kyu zl_;vTLMm;p1%$ym%6g-h=^8yY!{V3qeU=z{IxDfXUYk*SMzBc?Gn1;BR87<>GbTAB zllZ=ovk!3LOz0dx&d!mJtx4i~*6*`SXEZ${?@nT|8B5JrYQ|ENq~t8$XA(7&sF_5a zMPeqw&LnCkQSSwb^5X>h&~7&mn%!|eG;WE$M*r?4{y*>cS?0m9@Qf@ovSfE9&bVd9 zEnf-T;yW)+G*oVGtK0SVn@Z0Xj+iB6^abZ&eW_fkRK)V{oqFRX5lV3aqN%XUF@B-qN;@a}97t1`?PhNu3icAlIAwkqH*Uchzy{ zz;7;zSRwlO&eG$~5@ey)j7l>qjj-WotTAJa8EbeOVz=Ode)tXmI2ymBUO` zN@|P>&!{wtGBSQfB}t7@;Te@iQAWnks3fT|DmCdfu^Bux;z`u)@_Y(?E(Y9v z`hY|nZpx!9w4=`+b%C6p)LS?fSo;U<;THCI36GaKUDnUwnUgwt3#_C#yhoQU|4o#_SbPX^cwfLN&{m! ztO33x8fymNy@rw&&j+DAAAJ*o_U!MM)izAtuDVmlMHl0FiW{THpI;c_C7t3-A3UCa z0YRQuZgIVbYcYVRIR#}ru1DC&oZjF{G=2yF^_SVp+}w-?Ga7I+{)`W1G??+htY4V* zjWhdTW+TnI!2V#Fk3QQ9LO;f7-z73zx2aO&o>IfR<$oL1UcvBGfu)TY%^W1 z`9r_69L&rYh>6>9oJ5$ZA=l|;Ir#P22n0_;*eKpsrlT&m^xfQ}rbb8K02AB$dsLuRTE=U_c&!vws4j!j_DfkZ|#>GLTv&Bi(^d(UTBNhkg^C_+lw^+Yl zN=+}E+YqU~AxtWTHG(6^#apOiEXaZJ%e$k333P^P>lkC5hg7#4eWeco9wmXJZ=ib@ z)-+M=_vVXhEFLMjtM6EA=@Ct26(yqQ=6)Ohe}e&bs0Ipmf%F(N_gBG z1EM)M$MK)J1Q&MCz>rDbFK9qE?pXamu}VfV*FCZ;Am~#80lUu(*&@w+GGEfyyI?#g&`6{LTDguApi= zDyM3nRu7|nRX%9)!WEN{hd5Mm=mE1*TXlEoHv1cM?7jix@k6_vllfNk1h;>d%`JeV z!I}I{{kYjWHOcwDS$qT7`*(Bui+QI`EbnaQmlk((i;JaY6HDB14>+yHO&q__vee_K z`%V*!q6`!-lo`qGb1&d)zObZjDrBy1s9S65C1njvS_nfDp!sfX5#ac9Ljf5N&a6fV z#T>gowgDdIIwTkR&N^nAL!Kf>lEvJ9!-QtJxV??VL{JKFCqTEa4tRIq%L;e?@$d;e z6tTryH*-s9;C#-qO@2jx)3UkAWxf4+?@bd$MjX1ebxz}zq4jB~;BIBRlwPn2o8keN z$(u6RU~Z+ph-T3#mLZv}dqq_aOnM%OTU>vzw^iQVsML1%ws&^-YLB*d@9jN&RI5CE zba$^>-Yo7IV0N$DmW#P$Y5VZdf0&<7huJ>Gjg9Sxd$oI&JG;p1E($4E_cqJbyOpiG zd&N?9yH?w~S1DJE)e?ScCKEPOvGufmY8mjW_&(@&%va(ODvrHhabwdLvr{a6hQ*Zc z+}W<~n#9bC%bm=d7L(|pIXE`&W3#@$yS>LO%j`b(0ex>croyrF2U8~&PD(>E|miH>_CO=*iaBC&M zR#?sDmR45^3%Qj-Zu!>gYJPb!x4LY(dK5WiJaf8J*(z@A?Q9fF<<0VzW~0hx<-3c! zmF+E4-f)YOR&3E@9QxA0<)T(?$&6)~;J6KgydxWvS`5_jn)>9@CAF5TOz zynerIpfo$h%*DFiuD9y#Mr1`=;wZzko00ooX&Y?t>HAwHHV!g(H=+`D&GrK_-Ken6 zud+2VKcS#UWg+9K@iy_i0 zRABi9YA8H>$+;Jal?=ZOpq=f9WWhI}CGYR-Y&_a~u)VQcyj$MWf_wM=MsauB7C>Al zbCE279`T*(_NU7wNYMS=o%_3c>y;|rwyTfu2@e?!j&dBUWbT&Jk(xpweJJxLZ$XuB zKPZF3>-V?TixAU|?YouIUa7pXVf8LkI9ih?6E!?ht^(IsE-!)3RO2?!xWib#kESaL zeUiW~8(R>nRxYpO2M;sI7c9RES(ZeTVb-*^Tih)}QAKb{wPWo7543XFPkbb#ag9{7y*&YXklvE^Y5T?I~L=HpR+|wA}b5loph9~>rJHPr|55CEXMyUnd zL#8KMwme4$H=wcqNB8e*)>3&P{+{v^opVUc5@Ye{;RO%bVry``?^FmU@yt6maQAV* zBQ!p=@^QWKxY@RPpMsyW3Pu}q^|zYGgJY`h&QquRMHlvMfais~TDq}Uy#E+ST1MS@ zj9a5F^53cV?I4Z0vHMUMUT;NxZVg8a9?Fc)f>#pim^nQfN#k>ICo+jE*-CN(l=mN+a-~xWK-wvECD0bn^5eau0 zpvjk-S5FR^*E8@Dja7wbeqO-`u@C1BJy38fYC^X=s%vjRG&$>Dr(j2YEXJmd=RiAc zIYi4_CHRtu43ttIDuf(z%ksIewGX|D?`ERO`cH8_0Ii7qQ`OeYs5*ygnLf zDUj>&u=}>KpF7-tQ$UGIQZ6l!<2==<_ZoFf#CaSxZ7!W20hhiMfL8@ z77wNH$#g?m(tq5it7l4>zl9WdJUxAgn#X8khjfCIY@2+03}w`NBWksJXh~GC+dWkc zZuht8G~KKSP~3T%Z&=Y&2sfv+f?_OF!;NT$ERv5#*wz3VapN;f;T)IGi;QU z(>`~TFC=535azd`ozzCj!hRSjT>(R%$g4 zFLOLYoSW_+ar80zpE!;~m^%ZENkqM4qg7YYbS}bjWtU^`)kO#oJFooms=9$cujm-# zhH!HbLKet1&Hxb6%pq4;Q8)0%=D54w?tl(w)}=Q_RBsT0y`k+aIqXTagkPOIL~%V1Rb z5UZ#9%C5YE1~f(Mj?_65wFY)C?CH7Y2ZrRC)3QH+H$oNrebg1FE5W~Ad$W0B$`o3G zB`&%Wsi@4fpJG?zeB~w)6*N4Q^AFU=G~E zhOd@4trtnQwc6k`w9di|4z=|de%C$$J$Z*|Yh8D9Y4z>F;UQ+wO`=5Ei5D`uEjofb z2s7&jACry;7gVC- zPw~mPZ!~t|T1)bdOEm5qHQP{z3d&KHOWQqzYJzHsmzw~9Sn4&x7^P!Ad za)6wyl|wthsi1jNxiF$Od#D#HpjU+Yxn(-mzd6@}ye63IHYn zXBCf*x>$(P1cy-K>if-BvwxasUR%Wxx^zUeo|!ke^*Bt#%&6(b+)PSM-|2K4Mx8)6K)Dp3beeE~!qa$y^Mj!LV}tWyy-Olb z)PG-tT%UzYmpYWaK&NeLowEMP4Hr%>7!` z4oMRn^l_hsxjM)!=7vIz`s&7d`N3XkquLIoq)6DpWlCrR-WnbmQ$^>nT@Iz^#HLMO z*{Wb}etWBIFE_#$JPAB$#()(Ln@0m)Yy{5iH6CN!pds~nV>>)66@5SrE$x=pu?#5I zsEc^cc@Em3(}8div7;^a+?`4X-R2Wc_#JgSgZ6>Rnx5a=by%zLD`~IMA^p@WA0CRs zF84^=-OXPAUhIoW2gEGV>kE%2urJI115rD0&7g z6t(i1%!T%Y*=U8H^8l>{i_xko;U1G%BwB_JS!w@a#M^k>Zhp=!1k{C%SrtUCaQ%y2 z+J|b;p3!DV1g*{kdYggHHG#(>@+opgN7WuT5BsRg5v%|xuFa@>L{_Xfx}6^EU+WNT zI%|!sDTj$k>X0?;_^hY5J|KHIo?VABk}TTfai~L*JpvQjOCsAAb zT6S7+-=k3vp4Q>HiFnqt){B6}lVGyM3&$#M+AT5fPpPvX_VSC1))_x0mXmgg9OyuO zfY`mfUVj1KcARe~9v0{%iKUCfX|}d^)m_Ty&Y<^r z{x&8U#;2htPrCs)_FC_>Khaku2-5gOoTjmzjPw;!srB^LGE;8Mt}g|a~`wzcg$yUAp- zwPl!E!FUmhl<5k*Mn^C~X!c|Kh_@XQf|z(AzoFqVF0k`dQTxa(lwe7#R#0R(9^QzA z8CqX=$kgBS>)kr0GyP~;R!N2x5YVZHj04;q;07^(z?1C#lW+7C>rC`0Oj(mVm4z%< zhN=6kg(BvSVT#MceTl`A$QQ~ku}UCQ_FeCsojGwI@;?T9%_ejY{=;tdmZZ&XN4tL zDe*!X0*&b}raHrfKRSFnbu)oiL{hVFl|JsKy#>NhNTM&pMnUOPL6|nLj(x&WLFsYi zwz!SdqAz+fxQX&R_6XZl`H89~UT7G*=Cu@_1wcc8aFSW%noQ7Nv zqql@<6tpj1drd4(w6f(pl|c=IY}j!;=fT?QiGf5FcM@2Mcf%0JpYb@gIAWZdBwG5-FMsFQvr=2=aa6Fk-|X*M8BE37 zkx44vvJ%loKC#tIy<$IwgK+|zaTtoR7QiNmnOA%Yr;QVc5=2m7H&jASd=kNQT313Y z{b>k03-Eqa$90ql;^D%T%!?ym0Pv_?sq6ym+O(u6f6Q9R92FHFioQ)7vzT8iDXKyN z8C}TmQc0~|@|J>rO5TUa+h(LQTPvAZ<0(k)spUf)oh0aX{^oUl1|U7y{!%*B!`tGb-IkNbk^QtyvkWqGc}l9at1c1*h+;mcw}Hm54gqC^~j z(lc1m-oYX^f)DQq*W;(e5et*oS!$2a@SzHFfe=+oav>%$7_%qcwv8E|pu6iSz~lKK z{uA#M+GI+F|9C`@;CA+i{Xgy;QG_rsR=~;zksnun_xt{9t z)7ZOc!I(O*Vg3_{E!54c7@(qMX(?4wld2k?<| z1$H&O0j1L<*=yNBkoo)qeq6@{n>_K2eXwB|1IrrP)c|(XePBKiZOq;4r7R|fXveDo z)cq45n_gzdE)qju<3{A1*HIgo?4O!6q?cdG%vNzbtSBjY1H_=!jSO1ZV2}fBJc;IK z{GyK5@$oJWX5Q|Y4>HWe@!ACvIkhN`NMab@HEG$X>zl=9+q^QXC4rr=XjTk_Kxil^ zU@gWHu~FgkAY9qnO(4u+93J8$kgx(Vgr#T&0egiAlir(^+BPvXZkn7RrNBW11(G5t z04Cr|qQGA7#CcUr>n)!E`E>s$RZQN1wm2~6txktA}9zFk~~?NvyzU< z3lih7?huq0XbM&kwVMLQOA=$DhXod4j0J!QP66X(iE+3C3}Y@W?w{0nsiZIK2w!CO`#ttGC$zwh07TCpLj-jb~B=j?CLQ zR8C`WI7qO9KwpTqz&Tvi=`{cuu>G(suoqOEh`oRn6`3CPB>ps=p&vwf+h#q#b9x%e z(FtA)Y>i@qpv#kfcP@QWdg+tNM7xQr6~AuUL|@E1`XOXe8ep9w7F5>y_=#6@eFMJe z%cE_L+yWW5yW;EdeY{_F1IJ_-90_Z)vFoYKN*(j{BdM=q0q+>^;{E#J_=#YZGYC^1CZskSy>rMzAs=VmEL@?0u@1e>Q)jOrn z;4dDwjXT|HG}jA#d)|gm5GeIBewu>dm(}zXd(0Um#NI7P7)<~n_Ere8Fm)Cf)@^+4 zDXEtx_QnB&<&(%1k8b|3;U=n_4$7J(8dHAA8g^rWsfc|Q=Un@`uL$c%Xg8trE`jw& z(P47aUnb-0mS0b{{KTQPpvid!e^l)mKed+Em4BEJdUwp%kkE_vwrXB<3FGSG`r<4w zlUx4Mg}&w|!HD6i=*~nV3x*H?&$z!!C>RpvjHV7%KB}LXQCk)5Eb1==KRud~Et|ke ze#jD@-28;N%pQ-`m+lg`n?mq5gW>91O30eM2dgjV99Bd{!>upa!}fmf(dtw4vGuKk zlC@Y9{kf>U=nCIGvM;HA4$-%@muAm#5pr1WjuyJjMu9Ud*_jqnXQrBLvy9kDB|K65+ZR+1n4s}+9 zerVjqj>2t-aN-wHI#v_qOCyT-v2#4L^9u`YRJFFF4}8-Qk;A<)H};dFZkr zMK~B}e5s7i8lG(JvAr(Mv#A^ZPRTYtN3)_o4_8g&n+3%x(F{sFyzR(_Z8Q|5hL|EYZGBLd5>PL|LrL8U354_D=J$soXxu(e6Fl-U2{2 zaRMXxAxrp-wU=3*qw<>dtLu-FtS{PmBI{cKiN{64ldI2KURt+j>R-mPhd#2!L);5O zvy1wRMi&49(Z5jp6M?Dqe46)bav{;OXnP^!;aCeG+xtRwpWOI_h$UZ^dk)@bl4&kO z`Y6h~#66kYSGJ<*IbXWOcHi8tRq%s{Oq82w>k3g28bJgDouFjTOk$A$x++=VAeXh@ zF0=M#&!Ju4M7LjcJ+ZWTO;|yK27+E9D99mVCs0OGX;gS>glXW&?k|XXCJ7_`0&8M; zAQFgri=Y4ygQn+)Fo_AGa91IyA%dbyeJ%-;m^%^!sxU(c!UzN7xg?C7R=OoBJCQI# z$2^yWkuy%WL}e!uCJgZW=omQ}bxTxM1cf&F3KAtbPjxG-V+4%^VFY)HUj8}Zm|D_~ zaR&u+#4hSBI&qy>NGoPpP(VnJux-*57$VVg!!Oc^Sr+*`%i)7k2{#@-=(PGc{2A|fc>LOfYWYqwR&+}r20&qr=kKizAE8L2foDVE2M_I( zd9mxwQkvapFKTm<2EEBh=;ou6xR|wy4{n>lPFWj{eC4K}*tWtB z7W7II$tmzD5lI+Tf?i3K-15aKp(N}XL9irBZcX8oP_mu?uTa>U79;YG?mq;EC%je` z@pyci(Y=C)k$uH**d6@sp?!#SxVyDpv5JJl;Ujljorm%vnRhr=LNM%35%AUA9-&}}M%C|@y+rnAfpP(n>#H;(mXCB;2%q37d1S>E zVU?CS%SjrobhoZ)RgC%Qim+ngl2&fhzD7!jqM()B=YK_5rD)|=+-kIhFj}3}o+7u-f+`60?UdCJ-w-i34>v``q*71!Y>r~*a|T^Rr5A*m z?Jn5~P;9y$M)FlbD0HW$0*IZ@$#@}(33cwY)DU9w=*=M>%W{^d*D)8t(;Zlho7zJUc9feeU$1Xm(@!hyzQX9iqaFcluBuN@X4&?D_1F z6qbG=-Gi{r{o$$44olmec!3mzoSG4`Wa;Cv@F9}sYK;)Len(4ZdQ?aR#EKujW_MX# zNWIDaA>E@A5ph=%U$1^vY=Dt!vSE zrN@*OFKK^F2`{1VmqhnzgBCAoeq_gsoyD2wI7uM4s6!J=bd^b@Bc| zZ?a+H6F#QZFe|onts+I=CXtGFpHB@btDk-A_>g0k=}d&Wi!C*IL>DW_<8Q(w3uU*I z&Mn|8DbqShohzO>cjpRgl2|$jVWy1wgNUcbF+vl&o_+|acGVptFg2uvCJwBfBpN)v z(sf!>Lra+1fhFS_Epg7&w9s+QSRT(b@e*zmXJ82#B#VhdMfjTCZ8Cww zI9oD$t*bktgDe&}#?vC^tg2}uCRF*VW%xA3oKQ{^F=4g`u6VE%bG$!A#M}`Px+%j> zOz@a97p94rFx>-}f>??PUB4*N7ljKW|8hev)dokTPhj5aesGy zyWKif8zl?-VWe~g41M|#i@(2n(|z`dK8P=+dbfM3N{{QZfdAGy+f?9q;BL3ORtzHE!=K<2UU%f za~l@X%psR6s2liWbI`4a2>Yrb@{5SbFWM;LQCL+s3acU_kG<;oyog9(%9eQA>Ar~{ zJbd%jdOzN{1Kn>Lr+{+jdcUJeoo=Ko-o$KZ*@)meW(uqoe((@>Xz9yHSf)%at{Vnj zblIjBjtxU55I|ojd+bTDvVWQcEBn|{EQe>e%&xq3Bk zcomdp2DF9qp^t^7b;{+@nHr9gz;&+i4eb-N9$pnYvVJ=2^`WJyBcWN}7JgjEz!^Z#kgJo>47ob_ z%mC^%N(NA;PcneIZYBe$>t!;4x=tnosOw|Kf$|%d3uI|72b-n69Bh^bGipq(qZq}9 z2GU(yF|J-3GO_MyY@UgwYvUlX@@@kp!!xP$;jM9F$)!DDN_;GIT>+#>b)9uq4bQ_Vv*8cGl+tg`5~#;je4@B{7whvokj5Z+T0GUr!Otw2GL4bW7b| z1}?JcUsbi!c4I*`T2a00URs^Hac_jVE=NF`Bs;57Si@O=q z!>g4q#a}5P=K13|4guQ*f@f^#=N;JGAI5QS$K>%TelTV;pZ1sHv>wiXjX%!)?w4I@ z2-tGpN5<5T$M2WoukPF2oX^?EeNzDLBLSSh7=ZggTo0e$uf%D6Tsr{w$v}QT7KqEm z#K%Wl-%kbd`}O#%FZV}cTzuLc|2Yo%uW{7e9|iKe5h&M};nV(RoL1x<E+4?<7Xvu|Y5?vZ2WVOj z(A1l-aW&?CB#<^xtT;2%rwzgVegHqtWDP&}h9mI)&oidI6G;0nGN!d1W+I1wl`(DB zx`p8Wa-u}@@^f(<5O8`ej`M+#etCb!b_=jU=C+*oD8!*33}omva10jIyCIpr6_C|x zObwr3h}W6{Tz)t}mxBPDr?@ZUM+0fU+kcKjKM}z1jrgk%{A2*mu2b+p2>sIl+-?HG zy!c_R|M+r%ewB)Z?$S{;PMAMH1@cZ|H{6c+gD8JuBq{r5TLU5rth+8V{ ze-6l?9eE~-3F(r5ov|L}01ZBx$o*{fur8oP4k3N;GlBa4!$4d}wmuuc`5y%8`+-1y zzY}AIkM9u~oR2Y$k)QjmK-`;wxByw^I6@wNkTk`A@vuSwhu}iI`$Hm)3!>RlW&B0} z!9PGJ>e9I1uMQv+!X;~52rlP|EYucYj!H(wcH!EKSnsSbQ zlHn%=mo@I2hcml?!5?*;NZ$cRfQzn=}{_nm?KuA_3pGX151Ooz%qcB!=g zHc;+rpxnP7fU5`KLbMOz@^1q9{l$#={i8tIHv(zDH9)Ih%}A?X43v=zl<~^}xQ_?m zLUj45K-%q$X+ty(#r<5MjK35pR>haTowB?LxLpa|LwA()kwA+6VlpDhN zHv@2An-M>NrDP_AbBHd#5-9h@K)JsYfcroIE>uQVTtaC>WAV`VEi}#xl^crtkAb%2 zeC_ZP!tb)<{t6HrE;m5>xvX)4VNd3?SWPlGI1j^v} zH{LkYj(4`aprh+OZ0I`dbg{bUxbwuV`r+b?O6?2ojw`(ypwsKC!+N{p77lSy#crpM zjXmbFL)AGnnPA6_E3T(LrR?M+X98+{Y&+`pn+=5Vu#^Y&)*#Yvb05Ty$*`N;W~5gP zx&1Y-0?HQ{L#jcq**?e9T>gosNos#Q_8MsqhM_gTK(opD|*ZA(zAQqNFCn5`LKD=e~d65is$Wm zFKTfCU9lV0b*x@^$2ZlaSoQkdL8CwDx`lV#l3A-iAc>D! zN&L)OGE*u=t?-&{EG}?ZQv1!mMV|7yrZ&o>Ul;vX=JY7Cpsb_aoA&vgTD)1AFw3&E z{7`8tK0g+oPrfes*FckX*c!*bC{eo+>>A_`@dS4AE9o)_iteObIG%6$PJ zT`f^=VSKTLp<)ZSe05k%ZWxW6|30qRL~RL}XwS8wQgedDx>WH_l85Bi1pHL z9V-i5lGME%29m{9*ZuNr7MI{4S)8uV5YGsrvU;PMa=1LVRG8e8Ta)#ZEXx8Vw+so) zFntrPNFD2#hfC@O%Mvb8=JHS@=9fKdXdFbV5eM;^J=sDitoJZbA2B2Xv#;ZlfNe(o5JB?CP*2 zDoyHC6VzE=2H@%<(J!p{5|W0A*@9heMaNOQ-&5*F5&bXj!-hq5YhiWSQuJGpxi>~o zc|w}b>QgH3HYke@*c+FOv?dWk_F-hfy|`aSTJyn0&1tJVHTy-#)RY|~ZP)0nQ%2h5 zlF2+%t2DIjW-SRef_YxV52`!=w0RJzTJxw~ZzYM8TUd45sk0G@T$RI>*?y6uDakJj zqOFsISs5=^r^RX>lh~MEa(TI5RYzXVY5UZ!J2nYDMJOB&z%O|9gJn+b=S}IB>qtZM zByCbh4qTsw<@yH+-pMU4thg=Y+33PjEcyM+Fe9y%U{SyiBi|Jqm1J_uQJ&J0qu6lf z(XqBpzS(rFt&|@;>sTu%L;0ozMZ=~fMaQN@g>?4fJlu{j)DmsEy0Gjd{LD?6B+;)((Be#VD;@LpMT8?4ZJUTYTGCJ1Q%t6{VPgPCB+PZlvFxK60nZ(z58IqXEdmTMy?n=cW z*px8n(t-*gZ|g>g{|^8F|NnRaRFXYU0znXl=lB+ouZSX*mC?jPA|cWk7j8L`y918H z4}_eFg_V^iT3DHAXQRD;Kx1M|jIptz@E>4ep^b$RZ!%|C*MH_X?W+&jm@WZkKu+nYLdvQ07!Jt;#Fo?D7*rcRWr(Rm8WjCdR zKm-z)a(S@($5K)vMI&vEwgjt0VHM8{4==B{Kn8=!3PvS1ai~rWYPza%ydUF6Qn|ZA z5O)(IgBm5Os#KM_2~HjM(jR^#rUX@9BbGg4R*At3UOs+44NlOkG~m`#HHkq-4Wt3$ zJYzP0vwx2nlR{c%*pgSmoa;S*{S45z?3l~7Y^!Q&Lo~@BK7BhnMzvw5TvXJr1Yi-L zD_op+eaN5>-YJuAj@C2Iv5i2{$Rd&ym@DPZNAW=hm12Zkv%yM4ry9{^`+^+l|9%rB z`S)OBvFVmA2`{jI<+IHi6Om)kt7gry8bithb4Z=tE;N~r>=iRzsp~4Wq*{n`OL}D| cjGX)`qf81yD021Qk0LVsrlO{Q00030|156Fu>b%7 diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb deleted file mode 100755 index ac484d59e9a1287fe76331df616ef0a585ec0bc3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 108783 zcmV)9K*hfi000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*B#+3;+NC002U!0RR91 z003(R00000008f(0RR91004La)V+0l6v-1eJOcrOyZfO#fz+bG-3~n*4tICg1W5=4 zLU0f6?kwFCdOeV9)MrK8KXS%XR#9>$mR$e894TmQfqs=Ml~ldtjDjlK zJf*EDQLVa0LDlCt(pNzh&dh8thM_1|*c2tImubWj>A|5s+Htsz?}0%{TU{Sp@F>h5 zgjz+p8rRzZ26aB32mRji`IB6)X!|Ume@L59pkL&(x>8w}I-@=(@}NiIQTX3WFU4bG zxkkxNQTRfjDLneV_829uoPQ-}lE~+`@>yw0jiUOhUW#(XT{5};xm>T#D_+!j^;uz7 za#O9s_ELH&EvW02gdcmHS6n2JQIsx}9G=KE3aUm?=aubw+N9z_$ySZ1WUJ)%#siO{ zU-6h(M)ZU#E|mP0wAHvu?@Df-GK?V>qA(~8dRnrgQ}tC)Piyp)q#8wCudY#?DoHBt z)ER|eS(K91JK;{*w!*3G)6+Y&#Uhrfz6y`B95tf4MrlV`i=tVL?&)!gD%Dq=|6#+Y z(uq737ysL;>y_Tq$dwO;a!+ikmpY?9D{EKUROb~{YFtH|!mQ|5yr^DE@}81Zcs!vB zr@BV*?#Zbh1@R=U(5&cJ`chC&DJhyg@pxiWy%e>Ia^-i5W_6{~iyBKsbhl7j+A_^g_mJ8g!C@U zjD11x)`0c^<(jdNHS0K1$Hi$ru7k0(Jc79@yfiJ}k%_{LR@T7}w!asUSK?vK@l2py zsJlNcEEp-s`EvhnKz;~1xd}X)w5aQOEEbv~?FQ0NEXeS6{I-r%UGC&rHLOea)&xVGAt!&FhFYADrUo1?lFKk2m+z#U$R&ww=dXmB9~= zS^Q@|0r?5ujHN+uFHrGz7p)F3c^p@ma$_{ezp;2LwM-Sqd31x9Pha4~aTYr9#*ox^foN z;vXBx@4}Ek4&ET)-{T0JZ1Pa}Ie(SmxpYs=En#4}SOt4BSo$Eu5 zz6HtHv9!i6{$RXszT5tGf}LyWVS`|5@oJ5ugEpor-i-GROToy$uocBPlaE#uXlCtU zsR{D4&^M%F)Vn=~k~Kae$oZ?X)V2&V6EP(&()LZNYccKsVJr_Dlf4-u_y>)oc)Jy8 zg_ty9tTB+^MWc=Bl|92TMJsd-4`V)sh*$5gM}r$UDP6MFR(uU!&L8ZQFpeU(Hc(Kd zzp1-1+e)s%yVy|7y|BC)8P?MF*|)^MTWVvf$Nh|iX!d6)PhGYv|DM49oe(Oy!o%7T z7~2!vFM$#8E*pbi0U|-o6YT1p6(BJVPjGMDd;1p_7>70gpOCwH=ZwPw%ZtXd_tbdN zV?V^$8F_%87<(*A;*;-TN88YN_I4q6Le;Q((-Z6>?IV!CXYy@Nu&ehAFn0P^vABA_ zz_5@0b8J>bA6L}LpAwsPOiJV8HEw$t4mJT?F93RjzMGU4q<=7H*FJ~6Y5V_U5 zslkdZ|c^z7?JF^8(!THYWqAiWsdI%TKG zIiX_bF1|NFW5+?7CwNudXv$mh2Lz7*(-ZkwJ@)*M+_4S&q3zk*g}eY%odu02xC1-9 zh$SU#I371(-o66$Cr9k@?oa2Sej z2VYkw%H^OJm;bUxgJ2vZKw3r?s(rS=S!(}2iXL7YX;npr!BK-dnzisLQ{mY(uf}cy z<(4+&y6A{6X0}O0&5|ztnR2;In%-Oed>gedEZ~ot@(vKdQP8I;pFKI$u}o9`?klTg z(Kl8}^*hxmk)MN7483nv6)WIA?jwhFn*u9jbfyTnVeQhV8^aW9vnd*FXYQoT?czFj z7AS1R)r_%48|HcrxkfUt2INdXLm2x_GbUk0P{m$RiFVyy@-K`D*q-3$dp5ARfCJdN zL?s*;q7Tj3fegzX=@Ues9Exl(8}yBN*ob(HL`F$fQA=$(G~1k$y{${C56?e3vT9STjE+NKEvZTup>tvW;$z1(-+53a z z%2$Kd^>C2${zq%SCan@f%!WWdAa4}C9_r&nwRc#PHj^QCCm;`5SBiFYQHjdsCDq}a zk1>>Yke_dll)ggwPEFbv$a6iD?@F-;ldf2TDT|pXPH+6iQkuBCO4F+@S$nC$ATI9V>Guj@uTp@P=8=cV+#X)5K z3zVcye4)IyrhF{wmYJWpDY>yA&5s=SGvyjJubhna^BtV3f`B_}%CE&_yruY?%l&t4 z8S1#8NrUjscwp~tvx*e4G8-ivIm+s(!A^LI4`g$p`Z(IxdmWuU_SmYX!E3T1 zykpYR3gyQ%HLvLSOOG8K z#R40QkXyU7A2o_fOOH3VwdQMZEk95n> z)=7l&I+}8~%saNIPUS|a{OxjJH@I=QpKqQeDPwC}YwAy8%Y|;z{|QA{8Br^w!0({mTeRM z%aDKD?&n)%vLcPGN6mrT!$@yIq1uoil-}c5s!4kb0&?@%X3(I{RHA<|+O%Mjr9vV@ znn9j3an1GAOKCJ4@`{-atRy=5F;%wN8pag1svAXa-SRW#SXZ#8vRKUAr^->n6~Cld zNW}<5F?el@pKs=ie!`fq7OHsD5FW~9$R7g_I96-QWjfo8m2S@%-jULFD?&LcrnKNY zax_NZN(`wr4Ju2&w;m;=Wz|Cc9TLG5$oSf}Ahk;Ji;u}=JYO`7!;AfVvs6&g!6npf zP)R{B?_?qmoSz~Gv)>tFH?c`2ZjBYwG5u>=er?X zS<3|Ng6$xdx@fcuB48iYl*dN-K9s+iI$#$W*2Utytd5*4AY%}!x7j5}Qe@k;LRt<@ zn*1+-OZ)wnmy&<~#T*1I&CwNpzDG|M6Uv)vb65=r$;)HA();R;Lmf4B#dVOa&}fL} zw~iHQfv*yS+xuFRF`N0VRpo{FksACUjemFAwm zR8-{YopNB`*xZp_B32hMV*t>vXP)(@nu z2Were`;*W0Z9;izO?e1N!xuA0>B{sl-7l0cKu3V>ZJdeHH>e;opQ1I^5k{ zy{{!~Mv2cY6RG`6k_>#2#rOVUWey>XP9`4Tkt79;w$z6iS~yPVv120Y2)Io|NqW09 z6P0~9(W<5O?Hb=0vvCTo2stkL&i)f=a?SLsKD2G&FHzCmyU+qZ-_?I9slDPzE2O>P zxq-r@SI8Fm9+Aa6SrPrr8Q8uH$>#63j`p-aDtsgS3*N$rE&RncN=Hqm;HrlNtobtL zVS#D{)I0tDI$B*W^5@=FppyA;|AIFrQ=P&a1dISKM1c5UKFX_9GIlfr{7)lqF}JJLl#$DNYH}DU&xmY>dgKCXemnI~tCqmK{w(Vjm>S!cCSYcbSB@nTQ? zC!DH3QBu?HZIoqz-4fTtj6a@kR8!y&Y(pZ{gwWU{7K^=oU;^ivH-(*{b(&dGTBzJF z`gpTHguI?TbZJbh+%Q%!`DWgZA+&6@0-w~#k3pUrQ5tE+ax}VNWo4ntN^oJwvn)5} ziooj>vp`M}Y7}2CV{!${tI%+jB{go)^8_SdmwT6hgYe3wzr8c>4O$#Sf#HHbRDVr* z;WjtOe^`F$?WIq;|L-xbQ{kWj*Iji9?Rl!v}%QH%Sx0AwX(sO@~a&tyk@?f!ir zuq%-H?3nfFQQEu|5O~|VrAZUMYr|64&$>egHv5WLple1LeQbcformvIhrCMWusxSr zj6cx(UDZ4EWwPq+GDVGmSN?~ z!|&EiV8^i*Uebme`^SMl>EQOcba8H9%hpo62s`Hicm=O(D2;YpaCwRJuFtLc2)LfS z3#D6&6?iYRBKn>Q@rsidDI3Q*@=9)Jkw3yM2YZR=yA^k|#j=tQSw@$i#1fTg%8cA# z8H=js<6_GxrcZ1ELsl~OU3|!et0xv)Mn^8F-Yf^Xxo>2_Me$j7wxjiO8dF5|?xjs} zE8=BG_9?Sad&9XqndSU8D@1PPNL1v27+_R@`ZNAHhi2z{<>Fhk5fsH^Cqr4Yvd~q z=EYbmm~sAcY(2Yx9^}|V`8wpZ+Gb)l>8BMGBxe|M!-;!@Ro*KGZ4WoPU0zay@%@k2gd`k zmir90V}da)mJKV8TYQ5@9eH^avpR;l^<)b<1HRDg>!DU}CQXd#HAufSN2k+F+$N5zAVigB`CaCFdOi6(*nt-8{U?rj`EJrXDP zk$?nHUM$Qdhty_OPw0F!y0~++W25y>_d38fYkXs|*kz_~pn^URsq^aP)(H)kJEc6w zF^o9DtEt_W+f?F9GV7N1gWix@c4+^>#)?!u_eAPbceHh!`IeAd8&=4(al^PVZ7OxS zq=bsWfYF!TdBbPn_mwubA7>;+v$psBZW z9W}51(xp(~RyWt@0{Qc4b7=k&r2gYoej7i?<)}7z3(d%5)A<*ptRvb(YeJV@K-!r+Q^|Ol5>-3=qod*$$g?1vsDGm^bU%qrJv&aY zE@;M{fxwrDeG}VGqWa&xT!~7kDfra`e3 z7!(O<9lZcXQ+eoNs+L-TU8Z;vq~(Lg<~KYt@PSjGNH)44)Uv4*i2g&#dEHkI?eK9#-t#-1(|0;bEx1- z8c|e<1^Sed$_tA*;TSu1uNhQ#o*OK~?t?kVr|%_ZP{j}hE*(GG)@ET?F9fdnn>SOg z!|}vY@9Lm=2t7rs^_$nFEyIsT_<9K*yD)YG7?U2meY4%T9U35p90ka9=xpzYRpkHui1dajid;vqs>No_WItBX z?HFp33(~&Bjnc6A%&NGi&B0aF@s8^KDq(aXDhp_QnPD8yv6`}_RlVc$ZA0S*pWMhi z*r_4eAzNlkSf$Ar!d z%|=?^wG*{QtvH+Wv01swRH~m^#d9#`p2c2c)3QU)O{Fir71)~@OAW@J!igpT`Mp=y zeMj$JA!6}j$O}-M3YOXjE|_=8RN7uo^?t~j5XOXPX|~qI=9-)YJ3S6Hl5{2%AvYMf{E{br!KU$Wq*JOEeDMQT|_a0Da+SJZA>1SA7IVy_AxZ<)6$v3+ahf~Upsy+v9q5M2p zJPy0v8a9u7#<{^lc`mH_iT<)wpGQ-BEAT?Sn6sTPGQ*+o-pL}V?bKAxqOG@EuG=YP zm~y)T2tBv-3=P^F-Z;v;J9_i(2VSKrwt?=o zS2EW^Q^nv3^qx0)E?Kn{*yVzYV924cwJqf~P>Ze#oRo8@*cz6jjm^+1-MmfDJEwB) z|Ix%stH&ORv5RwKXZhNTa@JCr=sY{T^nQl8ksM93l>bmtE44G(rdQS%NCQVLQa^^c_ zU)R_gt~FW+wZn0Qy)}D=)9PN^Y1Op3)=;KgGSMZhDVzXzX*PvZ!S?QHs*uJv&i!pa zP+q21I6bVQz?w3-#Na&y0p`&-^Jw=YWs0~TAW?A!<2BPkEW-}&rPx{HJ0ImeY4P>y z7zW4{d>%9JJY{txa6YXOWLcWD=NNVz_^xqnI(;3d7SMjq6{9eA_T$qi&5P$^=i}H~ zWb70u8_GhzLGSm{M&J0(&qX&|ZMDX{A^d@`mU6*IY4l&IB=n%pkrcZWkoo-0*gbO# znORjah?7EmX{aOz=*t_th3=+PV1T4|G6bQ3cMZ4D z!gLCpm$wwUbIDO$b8^6ax}PDpv+UkNRudhW1g|tbcC@4;Th}YTwWab90p?e3T*g%H z(=w|w_ZEGJF6F1mN$NDL- zi*K&>0*rOA=53vKQqAEC+>p@;W2qsqIv7D0MJe)6v3P8iOP-)c{)ZcLPNPXwugW4} z49Laa&cOTlW~*Ue%rS}nEa(PHL>>z1N(sH}b{M@%sKC&I^p3#T$62Vxo=stt^}|9z zo`(r`7Y7{A-8+(&JlpFC)r)t+auF;;MaFJVMfVgT=koDZ7j3CyVCMo9Y`UV*Q4BWQ!a5FYTSO&FT%|HcUb}C;O^o7UN2B=9 z>wSwh<##v>4<2lN)l%~T-zcQbs>>$Rj5cZ>%7ZB{`5Oh9gRilxjGscc_A79DmWGU- zi|t5Vs8v!k>a*q0>HQvzi8sIp7>!fip~jC>JFy(oSGz$E7}FV!4o0UKv#7>s71xj( z#ah;bwE8GNZYP;d3oa}0ERHQw-G^hI*AQ_xUbAQP?M*^wG5@mG3+c+jm<5%{E!9x( zcGNS4n)Kw>`W^|B?#}l=>=k*x_=cRGDAwXKwU!JuOR@$GPjE?QO(ya-HDbLEf7O$JBgZGdH7}yhGzbzL)SGKAZ z@a&qjLrl5pg~B9v_+{UkKPCxjJfe~`mj3Lk{LSY}}cqEww%_q8izl?Z!0N0qOXVS$f3Y<O%4tHQyvP!jJ&uwaXI<<4B5o%@7q&tyi5Gc$QSEka#tyigga2acv zRw!sT`XC}tIMkPJ2Ok%)6wp%3kBChYfHcVB2VW0}hwI1bEVD9kmy#4MWmT;*>h zO+kJ+ia%_^w`q=b)Y(h9!948-`2ksqYc%pZe^#=ZGjf?5ynz!{WEx^3?^fp}$P2!B)(#WdM9;&A2P-sn%(*E~gdYM4=Ue4wqF8INVDP*8+ z?(ZHpqU9FPXknUxUO!mvVPkj@FsyzoT#!`d5!=T&~T!%A}XskJq^W=o@5Jo@p z(>n7Bi;YD+3Xuj2RC76kn03carceLe5p!@M9}X+r4BF<$SWkCOsoTVev2u)rL?Y45 zZM~kxq&_3Pp#uRIK`6hCiIKlGg?0t2yG$-iJkA~MtcXm>ldPxyR~2&RMD?x-RSTY| zZc`}BV$~bFp+ss^nP{6$%e@T*Pa{p%#>VN>pP%I8Mn8=-tW~I$j$@Iirjf3UF8zY;e;Pd9aOn}bwnt=`mW0NJ6uwU)TV*OUT88Ln@7F!L{Pld0g_9^&gIs} zBqWrT&qL_Zl3(rv7eVUo4t@766hgOasIdds^TZ7Ml2b5AXhBNhs{C8#z>U48)HF&u?}?192{%5VqYSh0 z?lj70sKSJYR^_KW`Xb7gwWoXYR5uLPO4H`$n-ZO z2t85lBXw+_-nnPR2rE!m!D-+iueq_)ya=M#zL%)=_ny`_MlN2mOzJhz_Tgd(U4E}* zE_{KZKT$;rN zzoKp8l%4&?Uvz$fx)1zNORXRni@?}g=HV&US_Od}M=*?uAMpw>O6J)@WpCV~!&7=# z$+Xls>ZqqI&0Dj^QO;w^O9nBm;(~(#4u}E;zi*}PJO?+bBzEs8_JVGPVW`rPRjEdi zF!EZ}-a^EdBL=9dgMi&D#|TQ7a|^XEu-`;4db?G@jQTiFP2Y~@Y!__nCbc#7Fmf%!&<$F$`m3JARfR%Ft6$Ddl}?y(b< zs7_;>)2IdfJK?yC^BKOs04bJGWkGj55y`d-ReF4G48!~@XXfNe$ zqk3!qHF!xlz&Hv|axB|R4Hqi#DHgR%*B-OoL1xO#I}iznu=0YpJd3v(2$k4}J?O;TznxecNtLxq=H*|K}U5-?UJd3s;JHL~$F=G~2xDB()w{#lqb zv6e1?6a!=W)_kQp>l}Y-jdkD;c0!=A>$jnI-TbI&a1TqTZ^J+t`x(ue`n{<8gKe}f zX>#{ukN4097)zk^zK4F}TN*v6W2(MZq;`Yru(hVpOWjM8=w)$&^=9!>PUnY-GfIQYB99&u;4<;PML1P73OhvVC@vfJre za&Kpm8f&dNT4S6A`L+kHtkq#DCAx8&@4xr7&gx22-A01Ur}P<185gVUkBOv0kKS4M z&n!G>90es;E{J3ynWziIy%2V-RpRTn$35YK_{^OUORX#G>0#HG^d(}JH9{-&0QWOC zV47OG`!ouSdz)|<*;~6{B*LN-vRNOy6D9OnFUHhYCyPaZ42t4L_x}35x2$nVCK?Ik zV%5Vpj-k>y6y;z?4p~Po!zj#|v&PWubP7D5eN4Qh9Ewa{AMkhiSitQ+8BH6k`EFD=QHrtwER^NKscmJzI0xrPTCFW2M@zQjq!f1-(F;4e# zjGWXST5QWH2X0Eck7bbT@|<0XvXr1Sem$%{8g>zOz6D8fU%zG)RV17W-Ho(%XmiK| zJI@5tTBX@ellYEi+Sn~b<|4IDa1i(_??)a(zt2!&d8{*0DxmoQN`BAMjH+f0C+piI z>vwoPjvL(1oB{4?J$_B4j-+!oD7(d4smD%=0=yOIE4O9`#T@iS#?Gd*U}L_{oDcdU z<_D1@x4IcKkHfvFG=-N~xZ5trJQkQS854xZ zZFp0C^Lx~{!W6fywP7PQy0aJC4ZN09o%?Doh)wIF(CS!n=n2{Akej%DUW?gQRZn3r zraTP6quAEgkpyUhyGoCtChPZTiixXOe=KEsD3A2VC;~+k1jA#?M`;$$eo2rO8pO)l#Rn(Y8MP z+@5oRRUh#H$4Si0AF+bWz(aGX^WT?ctF)=Xa*a4-mH3ruYOAq=yskE;?J)6k2p*o` z6w-?u6h(FcXw?%unxA|Bah<{cAS$v3jGw?R_iqMBiT?yofG*R(COnb5QcVWbLwu9r z=doSLCHwaRo1VR0;GHZmqY?_Te+5f9x50}e$iIS_G}}lB{rxLgb`z%gPvn20>7JGLhd^V-V^MS+E=(>Bn1Dj;Mh#G;m3^qM4GF24fX(|8UowD_TG%Kx5HBZ6+8;P zPl5b@1@C3NCWiV6`2;PN?<^L(J>Z_bD{HavCM@1{gT@o=qC5`^$mj)=`B(61IQk^7 zUy!?cCj`+&e$}@NT!;G^C;yYNcCd@OT-E+07MI3GG2e#we~xWoSP<}&SX@rD9jfN{ zTKueUPT9(s&O+bmP#oy67h}kH$5!CPQ3tB-3(A|-txAukIp~ijXRT=(91bFRgE_yL zCjC}QJS46&EJuVTySvc4APSrS5q$7jn!PK&bLF(VR)o$aeZbi7tTr+K}Ck%A=$8`Gw=_r?P1%N zc`wrlT6I$`^^Vp{o`0eU-peAk3%)ozEeZR_SQqP5Pd?c&BonnLEbf2N2Y80i^W+^4YD%(D3zp=+>T5Ru4V1sE$0*j(eMptA?Y$w01~)55?K91@Hfzcsfasba|HZng^mfB-sygmZE1oXEL76&UStIB} z7j?e`L0*oy9E_EAmn;n+%BjG7qeGGQ$yL^92mTsoy(7OiMM1$gf&$FYf=wuJQ2|Q=plso9_;;A>yXTvZihTw$x;%VC9op*YaJ zLyI<)t#!YQeS2;{s$Wu-HLL<|J}u3gjfdbyW5T565m zgt9guLEBv#(fiU)>bc~##jDG|B0nALJ|#Jw-kG-j4C{+aWv;;=E}cjbB@a>gX8o-= z+JPHG=C7C%v)Zx=G@g{DdT}QadlRrA;rn*b9O@oZfq5H&qI^HZ_6CNmO>ukb-HrgQ zF$*cI1CUL*(TTcLC`NlSOtrdb#yW$vd3=p|#5tZe?KwgJly53QQ-t~jb!rM`-cD9@ zB+Z$t7A<*&MJDul1&&IY`8VC?4Dh&BNVdQqB;XyRHO^7&AKc-xQCO<5| z4?3gKmb&0(D@SHc8Yol8uzjUy>ZeS!=kiW#qh@Rf>?jimsF!Uo6;J$;rbL8Vf3pJ% z<@ex%*xh8-y0DzSUR5&-1TMiTwg#cvc8TS*b(#V*RDl=4Lkl4+2PIifHAg5g_NDzY zRn#)aEsgZ9f(=7-MCj4CG_G7}W+h^MyDfDlk6`DhI*bN(Jt|_!qwO5~wT&^zz}n7h z4k=|ZWhyes%Ktiy5dN_L2R``GZ+~MT@5RNh8p<1qh?DFSu8AdT)?n>@U2jx6VyC^0w-eX0Ugh0BX0aa z^y+$G43+r&OOg~EUd)H-zkUp*eWk!RSrEdQfWN{0HRS~pjj@_R)HwKMXaOeP9Apgd zFpv6YyFyn_AGG9MQUK4w{B{!?o7wu_Dmp#sorL?EKZW~7xTa`_5tJbMMvxdNdb^Ko zAtUH>BIW+sIghzXn*{>&*tZr}w_@tpBE35Rx&Mm*n!8_>7A4tQBtdVLV9b=etfl{# z%8qwBa3K|&D_||5S&LAv+UdwT8sBG~4tq}#7?Lmw^N(JMoGn80I%ghVYVlK89>;5r z+V;X8vuM8v4bmdo?qaBUd0GIqXr=C8zh>8xvDdTk4c?z* z*c$nl^n0w`+8k=biO#}_hD}^fZ{Pn-UVWNc>sTzZpb||X|64wA6s^swEVVpasw~Ik zKtQ|=`ZRJB!AW)Ris+t@Wp1ROJC@S6JR(u?BXcUfrz2jbKnb9G4}BbJHPEJr&gyuv zY94JZca>H?>0s^BV&N%9fVmE1f0`Lgh4OEwh*?GCzQ34aAw)Po?r)wR)sqs=IU!?z z=1!tezURXAIg8HL$d@J9WL42(DFM8D;7MB&FQ+eE{+35}zc6DG?wf$83?Q_}y9v~M zntB3^zpLQEn-w@gb7NNA!gZ=4ce{*KtO-dqGOx#TKQ5K5xNyt;mcm-lMiw+VW?!H( z#}YYnX8YYLsK<_$3IBUkxho}ot}L|*TfZR3ijuhjN*~?(9K|o4(m6l>0V}7LT1U{h zmP4))`{pLfP{gLp6K7iewZ_(h^3e!XQ!lKd1BKQ4IkE$v=cvdgZp#aHQs!Sxjk+i> zfD+sGK_aq4P`=G3lC}-Wq>y(jC~<5nD+0W zz*;IsW<7p_GtdoX&3cK z7}vQqo5!w!KOp%LV5DY)g*0Tdx=)ma1tIRA7#a8#^KEP7qmK==GHK*9T+_8>9i~uV z@!fJOXQhtkSHbsGxWS?vAvCRoT1T$WauO%v>Y2BvBV}sjS(5LuDr&jN?(ptl>{x=i zwDZ(^DtG*vB}*L;R&QK49LrDxJ6)m&lM_1^RhnU)(Y?=N?C;?2$s?1dyzc8bZ zs|fZ+5(1Ovz#n`DF#C-{6pO)q!pR>W?PeRFDRrL_IfhiDy^boe4T3e?plE12gY=7Y`i_L zEtR+R`C}sNZf0u~EnqGWOGi{pqg`{Z#?ZMj|Rn z$rlU~|sglhkz3Cwlv)u=}p! zBUsB$egKe_HRKR2jTu23Wzh;PSU_-pXf%V^giXFBOG15DI$#bR+6gFQHC+Cd{G(YhRuL@c}YYRxS~+j{WOyK64c zo0X}YcuT?p9eTcDlnR*6HU2G-wtrO$ZKZqXgsMA&KHdA?{fpKLT@xJEvDeo^}+2|2N^H<`XIQZ5+5 zy~UV5AhukC`+N3ot9!?1vDi6LuZ`$CiT3PKD+k@#e5AK~Y+dC>I0idrYir@RBm|hv zfc$jN%Jh6iF3LZBtMy8QJ0dbI2J$Zdtf6vrjF^o>| zl5=cq=9Cu;X~I4QcDn)awu)lLGA39=_aYSdD_emuHW(c{NoQpWd0M>3&PzuM6;IB>s7bUcdF+NU2-hqS#MHTESZ1{KS5M@c=Pk>6DMgTjZuC51xn32< zBDIYc+?esBHqovRU#Qr`ZPrXJHN0ERj6l?Gp6wXDEE(S!e{569L}IC4fYik`mwN_L z?SjfuOEYPr(6`XW5KMYBY3=!)mS;8c<*s5-zthqVT4dGHiK;Oolko7BpM0x1;1s>> zRn_|ECod83^%KKrNtUNt_QfAeYp&^IGLGR9*^%+L>zr z5$7q}gOtv(F-BUiw9NOrOz}qJU`jWM^VroD)-Ek`O(#EvUUfb%{i$a>pa_8^hZXKa zlX3ohsuV}cz~jOa&+%eqJ0oO1bW$(c`B^2Zrz{9jXfhtw zybqb{RMY=ucZ-KsuLGEZ$i4)R_n zB{-K2y?DCaEL(pjT}`RLkeUcJM-?w(c^w8>1GT^cw% zNh_~OD}k{`Ab7|y(amyAT6Ltb-3UFJv_#2DJ9=qW(&Ku>0kc!NVzfMMdb*bJzU8L_ zb^ySU{LM~M|1iZU>h1Ji-OWnIZY_~1Eoz6+C|;5*4xuN}I}WuE8GKWMUqS+N4ecF5 z`{Jr1cnm94Vk+Ltiib~aS9+h3v74kCQD{%*+vG=C3?phu00oy&H@K%UPBDk~sBa_? z>A+Rw!*s_^%^1Hkhqvs(CX$5LqUsy-Q0_7Zt%jPh+pf|gVdIT-B=;x6FU~yVkSk+9 zfP?V!L;*&u&Hj`*tqQqMIZVpf8Q>s1*Y`J`wB1M<^L?V*D`We-(NPh1WsLFYT_zxo zvwA=tXZ(!Qt=BsA9EFkgMej6Arqir-*C}_?d9r@)4);tv&w=~Qpx6b}@|#Pj`w^>O zz?y5&49byFf!A>^5uuhvNJ|dBH*SdKta7D@6KU?0&Lz8HYl1vSL;&T>qb7R^dE<`s zuE-r#5Yke^lE?&YseLgviMht@OYgU>5KFzxDtw1tPmui?Y$y~mjE7yu;DPNVETo?- z)%B$lEMXaU`uE;T0iMcWR|nvCeVGE5<%tHI*2gZkme|?#w+x=uUi+ov9aFVR_ys5d z=2^H(!jS6pC4X)za%!N3wO=`=K;KRnd%IL)sb0iZTGFb8n_>pez-DEn;k;q(=+D@G6!7`8`|S|hU{$h_Y)PFjG~#3~ zXaBT4+y!_wCeX&#cq4MjMEbT&`B+T0Knd9QVP+3Oxkldi)&VOrnlEDo(`a62@(rs2 zzYENKMa%iFLw=cZ^EE!~L;yxWxxaP=c+%BJtEq6N6g{8~rb3}=J;$y&gdm<0cH3Ewe-* zSimiihtJPhO?syvF$@83?b zM?G=>mIiyDzcC8r=iBg}4mC~Vob`N!RaN`9oAQBmrjvO_6~VwQx9;5FuBoR}_*?~^ zuK6zSlA7lGUA`f*A}V~}W^*u7gRuzyCF+K6WN&*Etof(=1fsdj;G;7MmtN z>qxnx)Fii>UJ4olm0(AtnWN7$dVeOPvtELh?v(>S6rytxK~npMQ04||DQK#8q7w*d z_Yr@z%+sX$)BTfhGa&AJOqm_fax`t^yL*sC6cZ%RG7a?NZwJwgC_Ioh#@iIJ-i5iJ`LrJ9-I(>P2 zi?3JDBD*>m$wmax;*{e>q5L~N%1b`M66%0&E%OE^!X5nnHFY3h8%fX%o79}z^vfrY zpSX73wS<|4Lt|y$51{zRmJ9OpIx@M(*2uqpE$Jwyk-Nct@)YAlkxuqA9r`J@_+she zALj(x*zl+z=T0g~et|jgZ*}p8rRZm{u{y_6Q$aHh%;7hX)~jhNx|v$7&MncT@r|+o zOYQf@bWUs6!b+oy!Lcy$R7{E4 zHGd$*$fQziUp;$I!bJpr>(1YPvu7VQzWRZ7+9RyA>^2gaI>1B6!;8PSm`_KdpAXH* zIA!7?WB0{1TBr|SM>_K9!i3*S8DQ|wIPfNyjXkLO%K?;oNF1L(+1R8betXEoYL`7W zR}}eV^>Yrq_qVlCmln7mYM;lIy9({2oZk~T@w$)wnz8JVXL3lkbE-kKWs35W8Eo0& zCEt;J!$82J)$yFgds)s*w<}qNG-)kaIX1sp-Y`b<8;-LVUr5ag46?SezNLh&9mOFH zPrezGUQMB`g}syG1Aa%jE-^KG?cR|(F31!?}M zMuSq#rn6nIQmXDs7JVVG$iwmzrtf3bd)zSc;Q&N+v0h=F<@0-@p1`=11mg$PRg9Gt)VJb&8GZtx#vP2Yb0j+ri@yLwHnQi*PU z%0`1PjJ0O#m^zq;HTku-0Y>f_Q|N8P3EGycm(`FJDmNvrKt8g(o_6dQ$~8b$x%`vt zD)eBrQ*FJ`hK#yph(u$31P7<^Up#rxNQhp3SO{~_ib?gv;YC_VDp>t^!c!REbc=LFGnGJbXo5N3KY$|BJ zDgT+C3#MRK%2-~c=1R_E4A)1Ih%6tCi+C$cTTW<%}N#(c# zLrH+h6rQy_b&JSK2X5}SWMK?&eqt&*hZfAWmp2u>qnnuPVoQsi;>lH%@i)N)O*^-;oALn z8`-rYng-=d({J@M)BG$O-TQjVKqYaZl8B?bXmG$wQAu~Lk^}IPPms;>Q~c`Yg(~!5 zHC9yeJ37`xg4V`_g3Pt-c#H`*dVoG%kYUf@K1MFa^cr}}qq$UI0{ItH`u?Gf$<3}d zCTEEoj`mvL0zMB9J!AEuO2#01{&cs+i?GT71?&rZJa=ao)h-s-S>D%S;pt<6@UK({ z7>Cfr>QvY_I$eqVapQ+Tuc zwKlxfTRm21tjW2GZteX**iuOB{^BL?Fhwqn%EO#KnC5OcF0}(oLNU9lhxA+d;HDWhHBk z_V0SI*;6purw<3x{Gfv(maKfe__r@qg4I$}_ij7;rTa(Kg`F|*v1wcO(dc6-oyR8_ zKCfY7IQVu-w4KMC@rf4H@o}Dwebri|eQXrOA{Umq7fFtk37mLl4-avOkBvs6Isrp2 zmVPV+&r#{UKc5hDNR1^zUS_t9ovS0Ml9|AHyGA!FrLH{MA$?|t)Us5bMlGuCB5WEe zu5IlTstOtV$f)78CY~xKvuGELi>gAvS|!&G*LPRguTdNXB7Z;3)}NYG2o|Zi%pnPi z!66{v*F%OZz>Jw&f3=ng+8lVJ1jh+nsmDe+7sX&nlArmLaRr{#B~KUXxLlb-1~v!L zSZ_E;e}o?Gf|+u9TNSnYcf;6!g8XeUC(`2h{V0C=R6cmBUP!&c!`g#ce`e8GX`Jhl zmUK>^@|T7ChI05rv&}%?j6H{_#y6YxG@fsbWT6UaymOV4FY@fSQS&IyWwr0#wX?Ps z@QMUlmJO$($znNy6u9MNYf#R2;`z1FN#{}eo@%i+h~*^9Zyh1-P&m1!{LcCV?pGkr zgt&XNkc{>(w^LX-Z)f!q@2t$)9I7H#FNZ}=*+!alY4FDqtxvD?){Puwn4;d~ys3oJX^!DDW#LMxr7=njT=@!_``$ z`CEjzjj1?G&0Y%y)lU{mk0z-5f*@RS$u3AFCyImQu_n^680xMf_B;HtDyjATvhYHO zyzvoK?ScQ~f#?ptX-0uP3Q)wSv({uSmLp7*c@d(^Jn%RL#qf4w6G<@*_D3cXM@l~7Vks+ z^*EK}94%NLU$*s4)E?@-=wYltGBPXVkfMZKH~802VoYU0+9SkN&!aL+O+xNph#RvR zm#b!qcV?V>^}Qo#6g>Q+60~MkE(sp_HEGkq({RL=BDeZb`C;mvcguC~@IwNe0|IoS z%5iX?!&mctf;-y0{^xI`PSAlGv?xM(o>g~0gy|1urG}->uhy1oy_iX6#2brquJX{8 zECHjg7tqG`dLS)c zH%#8QmxCE|?^hhhjG1XC&!>SG)eRAdT;#^fHUY+5d<)_wu;Q~6cm!Lj@GWZuX(3sS zd`G?bKCYL$H91GY4KhyrUW}w&;;8OdSuJqQCRBj?~5pJHwEU`6R9j13<&Gb zz1;~FS7I%-H!!o7XmrJe2gC~U*pgxoF&e`k1ngZEOzrO~L(ZuUxg-j`5$LTIIymPf z30z`KOk(sgt>#3^aYDV}nDvi>fp`5uEM4G&FYkuYgHZL7Qnq7I%QIc%5`KEdFn9ho ziO#Q8U?g=}mM98qY0P6Qvj@WHLy#{6(XF|@&}ZfUFy=@6n-c*)!403$#)SQA^6(Wd z!zjm!~FRO@lBEdBU@q9>(uJp0ZD#C-#>p1L55qukCSAjx5jvGq9B~p>; z4|XkagRHXWlSBXRk#Y`PT=d!T8BM zb>XA?;Z)N16AgTM*y5L;sKWuOhgCuwll&Z%fcGyNPp@sY81>ppYge@!#%&glyCeY z9bK$HhRiA|c)Z{)g8VX6{TQ;*)-pPIV|SY4_b402YArbEJc&$fpDMkN zV4lqGa1}df0=3w$z%XPXrY*Pj0_5sl3&&HU-xU~d1{QNj52?LHqfHS{S&r5U6%^9w zrz|Un#q{BusOsP!;EdMy+(F*XN>_6^r_Y&Xq(^)DI9C?;GaFq*Db_@@(To zC4E__?zy1wpsOD2@=W-XP*ZVqH}x*ha&KN)ec`gM<0)LQ>6`cb7V*^1qw5yABl244 zTdpg6e+Ts$qr~D8D%MKvp%7hIu^qIa#4keqf^a_?` z_JE5*-Qb|DL3F8rnrJ4`##9u;;#WOf6KpJT&(Ms;#D}FQxb?01l@%trtG%nxda7ThkC1kFzb`P+w?09R?zz3F&&Y)OWi>6sUgeP?+nrMRt1XzfGB zON5o$XvUYCNF^Mqgk~xIUzL(K;DYylEhU?>N+i{EhRQNQblShMkz=RM!0Qo4I>AHr zMh?us@$yFDJ3b6$223XNlkRloo%;FUg&diL?-P(Y@8xutk}YmLcJQ*5I{eW4B_i?+ ze)MJOo6;2KSZAd|P{2_XLj4OU;@g|(*sV|0sP}fOyH@B)7Lfgn$ur*mzMBeux=jhP zPH^9Jd;}CP0q*&DI>j6w9UZg_rh+cpg8=Pt7M zooJ}hJM(AqPn+I}?aG;0ED{}Zu*{7hP@Xkp2Axi-%psdDOkS{1jS6h+E^~;eGfJk& z())KF#Vic}PTwem@D_ftDwM`wu&FgJ8Oq|@ulrULny{m1;(ad zxl3{pAuX=<85bM;L1IQYOT^|<(%%zexC#^ZSuHhdMWADWRw%#3P^b4LHK=zH2OVtl zkF`wb;;s@?1x0iIS=CbyQacw6X)HeqBgXUsULxQEqsLOaJLx(P(cI`W>2ZKzL{Z)m84xWPZx!@mX4{wBTIpWazA$!P18M!pMiV>s9xvUEB{9e=li*ac-)gC{{z#`+tN+xMrGmyQc#%UDhl&)adi ztCFN9Z9(r~iDfjDIPBJ!LuqJI1?H#elpEZLVlZBy z=t7S5HSVJ#@-+ybmzixN&-~7mXr5YM!_x}lM1KDtdv5_9MH2lB_dsw9Ebb1|f!uB+ z!C`TCheZ~5Uz~*C3GTMLxVyXC;;xI!VvBo#dG}Y9>YhxpyZ`sS^Un8u=S-hNG3nd) z*7cIEu7X-FfHh@MZ2q)TMW~b+rP}=IQk>uQk;-DckU7}V2AuESI+dpNzD)@-?Y8$` z&yN6}1DzLXvW*ITEq9i%$Z#CZ)2woZ%h5& z%kP16vlj?#{xBt2OAM>3b_}bT#lFJ8%nK3lMWRcs%N=`biQZD#n|G$*v*e(7J<}9Q zc$mM_ode3)HI_-7s~)+n$uMvYfTZ%0%B=QTB)B&7!V|T#nyu&~z{H3`n@IcybP! z?w^!-BamfFfIphR)crB6QXu><$#sJ>9e*d8>10vz_+FiLdhu?XOSj3ffsu2Nx##gF6HTS>~BL`4v9-d{5Y zI|7XKy#w?T3M&m{iV1Jt)2Itw-5)|zcadI63A)^eAH2l>Tse55RL;5+seF&sdS>M< z=%G4tL$Eq2XHc_xSE-hxk)Bg^ihg3Si}&>5oseRN(8Y(c9qYv2B0_KoqAxFsJ*(my zC4&lz#Zp-2B^oNOo4e**I5sU9Pbc_vVKDthc?-|RiUZK>d>*MSDGAQScXL%c^dsYp zM_a;g6kHD8A|jGKK~Wm@3CrZ#z&f-{3=nS&F8hl3Luj1BHVVcA&@?NsgoG}T-#1Yip?N^7a$=b52+rL{==^*mz*xcG?AD(*&pQ|8Wu5)IR+2J`% zEx^j4n_{)K=Xx$d?xZM+XB|aLyUXRP===*DKRDA%6m=Jkpw@N6BHOzsyd@#L#q$yz zjmJdNI|%Q7{jBVL6V<+85>`IPR8VpycQ#a;49=vcg5Eud={Eu`E3QRW0M9MqF#am; zG|HOC^zEvqrd9wy@3)l-Zu&;?OYha&DB|idO-uf4KYc&(ReWxQCE_B++HnJ@VMkLf zEK3MAeIPqzJ5`L$LerfeCP^2%lJ;&3CwxVnNkynleDTr2bm5i6w)Vub!OA39md_sM zxuUq&-=VZ{s$9)%b?4rJwZ~9=$kPXrUF zNiDI^wb;^p2!)T9Em2XWRBmd5HG^w+y>6G#C_6X6z~uZ?g^llwwJ+SxYUSIFrHv7- zARp}~(_TSzM9;xxlu{pEuVMFkVzRerolzA1-h(ns9U0k?v9YpaYd914yo5IL!I5uz zIx;CowsDl!;;x+T@vcTZv$bI@de+#FdJJzOns;H6vQ_|BKGu)Eq!)A zoK9WZJ!G2Y;hV`=^O4Gq?xL4q)yZsdF3cwD;bJpAjcN zed70mHTc~>rr)fd<{4fOs4YWVcP>wFy0lS_sM<13!5SZq5~O`b@|e3ikuhg$8DnX{ zLcN(Xs9-=8{u%i5@COgY`x5w?{Z3MV=bh$3?ltLa4mBfcW5?MU*El_-pn<(a4l=fg zk~h%W1y+*dhx%jb>;joQkeI|YRARIfVriXCNB$BP6F+%i5+nryfK`zU8TNR4Ghy1_tGGTY?1>s=(*&B8W^Fv^lhv4nx2jwUtCjx zJp&EtyP>VAOVU~NAkQtmgo?2RT#L3BB#sxqK?66ZGV&&zuluVoXod*=5hOO%SVwOb zT@m|QM6(B4lWU{t!Vu}mdl*T?m{Np29(%I7oBf`s1ek6tX5Wo5^s>tYk=K-5+5s@V z1Zgi$zA;oT0*vi7lqytIW+`@I>%%9gRJ^@CHG7GxEap&c82{(-JBEX zRDrej0+#PkA8+N$M@omz;9Ux@(U;o~b>7oWUdu5yhzH$F-ujr1y3&g&?#P`3@#N}1 zr_=QD@>OkFWzYyB{GW1%gHJ|^Zmiv{Il)olceCd4OWfhr)R@- zUVG(vt(Y^4%w*ds>(Vc})ulRs$d8REsTb!1br_J|z^CaL3yMY(ImW@ABAy{jw~#9A zkS{zTj-WmZl8r``1p6+a$4LBklRQ&YP%k&9NA{@_gx#< z-gm{?cYLfQQqKWafTI*xJDYe2J#fg8BqPhLSb|OM+UFj?kv_vn0l~VWi1usZXYdvu zPB6j(HYX9uL);k8#3Pw(lj@MghYxoGf663Shy6fJEPOy#oCf~XI&5qPG(L+Q9KE0>tFBEPA z#7|#z0p2GbM`>sCzLHCp>H8IU2l~G}WYQcD<1Q!vOt)!NioALTWwDBTLrzQZD;hZ)n+M$n!GH?jnFq?) zuPkH16NaG(PTh@G9hc*87+<`zC=8A83|=I23u?kY4j|k9%&bN77R&^T2<2V3gEe2Y zR;up529^?iMd8RiO~*&vqi=Km^+5WPR5tIJtPst67+jneL)tUJI0eL-3GM@tmvf46 zsZ&6@bscAaP#~BT#q_hIsmF)yRA}}V{f!F$@vL+0v5-3}pGS2vwt^LXr&zOzD?iVBYnOAIvRHK(R6H}_dwZ()ttjo=w?qG+yk&!BlDP%f zQP+=e#rzsJ1G00fz%FvI52dIp&t+=M$`WCa367ErxkV{;rcqD#VO0yx@4_V4kX!yN zFpR>7%V}nuF(8!M3D~^bm_7FY++~vUq2ip!x0)jl+M96!HK_1tC$*^ayZ%{mzM3`S zngzvo%s7Xt-nu}&>I@gFxJBJ-V6k;z?NyI)w7jQ$eWrR;+RAXIy9@!D_xw1@yibCg zv0#F=XB^26{zlyHc_j90VD~&eqgA=ga%k1o_oZR0<&5Y$z9E`eUXq|WxV^S}9`kz6 za2`^ErD3q^6Z|pL*c#NE=X=inv0C?0;8h%Bj#?1&Ud?%Q*ZGLLpLXfH6!lE-RNS59>UPIxdm%&8PQB;>X$1T)Y&_9`r<-N8Ssj zv)~!`Avz*v_ven=k^af8g!=d zi{$nX>)D_pEAm?LVC^@!tBSG8S>`*%vQN<72`~H^>^~pVj6S}~M>{9o&@Fq%0Afup z9H+t$(2g0&jjk&W>3CN!uJgzPpSW=KY;}eTm-$YI;SJg*jDGwPvlh>X{8Mp4rB3f%GYrRt*TW)U&q8n zYp@DwWey@~{D*!NHc;+6w~4hTKO4^Ehl;~LkKi*GH zUN=xnE^uDM8OP{WWOI+ftdHfOS z5+>p8<9WP;!u>kV?%>hORVG3E&8{gYl5CunqqBqj}8;@|du;>8bdjqW=s>qXIDJ5$m!AdJyI1=JX zAI_;GUoWLD3nlm=(-f?ghr$Xo374w7WX9e4EQQW}MLwShMfw3d>5ma{#g>9<$D7m6vUaw4Zo`iTK^-d zM$)Gj5%OI+!5NK^y2telJ`r`_hfHbt8ArzZmuQih(CFBEzixfZz&n0(W5N8p8ZWv?fyKYm z#ksxpb{zhqW6}%Zc1odCs2Uu|Rbf!ka^%Mk!l-q`b^yv7w3}e9Fk8UU^4Dy4DC)SUdTCY{*qY|j}J$=i{`!CvOW|Ve=9XK zv-*`&VZ!}U*33dR- z!eF#?n`w0Xj2w^g)1L02TB63YYuazHE%vmTuZB{NaU+CDvMcPKh>|Q^Sl!slnHt)o zx$(%z9PL4_6{UyMqK0xbhq^tIhpHRcJ`5HiXxClQ7*4J+5*w>qJ+M{k8Ixj|^R=3W zvaz>}t4g^_Fr6<;PzGEe2y&G1wobT<`t_>DX^b`@ytE2amB=lhw?_mHm@!j#VU0edi742AuD ziCd?rAQEq9m}J)S0Td@xu9g_ZS`#|Y$PwxY<9N1SN~~S{)M*Xw`FInT_6qXT>%KI| ze+b2|-BRDA(q3ISDL%7u{QjsH^_jeZ5~iQ1w^SnwUTMzXu0e?*J7!XoDRRROs8qBD zp-kK%J~GL6ZYG5+kYHv=YP^yUx9t2ulS`YGbtbjWE5Sdqos#C1L6*Xi}ra-jBL_cm@$aF2f)Zf+)7Tx4_=)A99B5 zEfBweM49db>H3VpLg(`sY_}zXA=S*qT^~mmpYY@EU+6Xna4~%XtcfJi(v*#A8fq;2Br1 zNrC=lFXa`i`7&$oVUiT^ao#CVvl^;HN9H+~DpxGb8P9=z{c>Ci(ny5JT5COJl761E zqG$~^B40LwQgiiNMw27X2Ua=-PZ3w;T}$aqh@2tAS}Nr*5#UENm(lmXBsh>;1KD>_ z$X2fhug|P);gj-n+AeUeUZ3TcI!ymtfS9@b_ol{v7V8ZKX}2P${4XlohP zZlI7+Z|R4*r*%2>v|;=!X0gq5uzh^v>F+J=#c>^AtT4FZC_ZJkf6>iU^=>^KXQhd2 z2GSme3XXg2yVA+tawJ(%xx-aVflc5H;yR!9ihukj3x%HxI|BU;N3yFqenktu0gH)X zcL#$SP&D4FTyyY@_CH|11@YW?&>h>V8$TSUk+|H!K6oD20(3e)KzMg>V&yFp;R*2} zyi#h?ER7bPO491+<3G2^Zaw-8rTxM{|Xc@#=#_Xs0lG_(Xz3xi*h6k$Xc` zE>fRKa_LsGJhG8wrSi$5=vt@-`s$5e>^>78_#C8F#ryB)`^Qj))^a1k{gFB*$=y39 zD|&5lE>ZRt6+Q>{ZP(9~YjgnpIdz+k-J%5~oz?jM#G91v81j~Sjy|HtP+{^AnMpht ztL#e3oZ<`7n0b0uHFF>!PP797;ZglQB#V44OSX}|UVBM!rra#4 z#|V0HhUqjd;)pQl)TTchN&QKW5p>Ly&Qz99N3e5CTNccv>c(shHaQc0MZ5lr@%qxD zgv&&Peo=iz8?3N#fFL&9@))Lm`FQ}99VxLVMa^qUEtta|qS)Splr|k^Q*+q&25i%_ zsAjG-Qd&otWccTa@}}@Of*?JT(rtcNYo2Njoy#o2;M}Gw zew`^chi>GRV7?(;aV%2LBFL@6AHy}qmKN&Ix5R=*;-YX* zJ?6is9=xl!!(c1yqGrfW2V8PCQ!$2GwMtCfb!%A<`gLe=I?e}W;+PNNifzGk2B>99 zWWoam+Z2aixGkF#tf)CBZ|<; zW60h49fD^ebGpG1HQf6v62mCHGH|DIOEIraaHhUF~cV$)Eyf(4&?# zHby>iGU9EP+ruPv`1`T0nWfxE6>AZd@qg~DS+lV`tHb9TKyLTTYUZkfHC}IJy92ho zWJ%mcvD;zqhs9gc@fmW<%R3CV3|w)GBJaSMD%z z%_?WpsA?&?r6oCLo8rq>oK@?gmg@Ww|btUWRJj3gGb*s&bI3iAcDXaQx^||lhc!l2m;p*4@hyA=r zgrJ2S7v6FvoMXWqe3iAPWoO6&H19v6Aytk%=|f!+p(4I{B?NCNi4j01ULDi;piDiX zN~yf*G{2(8tcq$ZMl>De6lbAE=6y?#>eTs+lOCrYYcJ$zh`hm5`@xRRJEqX7;wQvH ztuLyXTZX*x68)BW@jFtikTpWEp^=(7Y>sbX_v8bg8>-AC>L1G_T)uIJ(SwL1KH1ki zGjg5>A$)#l#HxK2L^k(IE&D<$>lgWZLXYe6rEZjJ&j`Vq&L&BP5fyJ|7SYVb)L;0Y z`%_LV;MJ4B7AFjeU+!Qln)%o!{>U2bY_#CIK8zX*?QFDQtl`kABLt%b#|QQXgkZGb z9Kc@mkJvzV@o1a}9&Uo+8~rEQ_-0kmt&T#uL$!0moyRb}gp1#%@|IL6>Q}Bt`yb_| z8}mBqE0x7~6B7RBo*#B3&J|3WkBqSgJc_j&st+(|vg*M!Ah`smP&&^IPwfHD9Xqc0 zXYV~(n8Z?QPcVS*OQHu?W~iero{1KF7YC6`jAEk&^S6L5t?55v=L1>Me!F8^?l9Mf z;T>U6cd*4dcm7?jA3X(Yz-kma7tS;Rbt_LDS*MkF5hMaQ8;k6y50APYV-W4!B3CRN zQcC612ZCJJF&IsFhd;BrA;ATdQaf6X+`s(=|0;dhEPUCl;V*GT3&yHH*HzdhT5wgy zbcmUQXu(*?=K5!F4aigul}C$xhL!551f{xzEuGI-u^0)APLWXRQn;BEEY^COo-&MZ zbn$pGuI1a4VU1;QWOa0LvRHfUH@RI!3n#q!>KclZgYw(>pT3&4KSZhL7{j1N?#>??ht;vDCUYD9dDNwfGT$`s_ z6gFCa7F)g$5nPEPPR-7=u%5)Osv0V8l6VVn;ffIkJ^oW|XQ;|dqk!2RO4>2DBqcqW zk&}|5MaSeB=q5xBs`YPGcDZw>(m9r{Io<(# zY+xg*INzjd;}N_mqufKV?vxHD!`WJCQ*7j>o9meJ2w1&jo9reK@{L!f%zI-;IC5IYOC)kCF`1 za2$tYZb%P$__8=*{`^nHxv0A}{IPeVg0!aY3}KRJ=8@gO?7N=x$jV~)M0<$pkQp$j zFG59qqTL|(IX%Csn-^;Fhe*tUBku0oRBS{%<3aijPV2~K!P*nB_ANM&#_p88`)KBo zD=!c9zf!P_;QTJLCZ_fUwkQ;93BIpz_E)SmwPtI=S2U+>zWM0>h3Y2yp#q3gRRh`KG-*b{>A3XAua-5%&aIg^~??!$KUU`<&J zQv)3p@n6wp;hnkdsez2S4(c3q@@j=-{0ypF^m;q3i}N>SS+iVUs=&6~cUEtrs{OuF zRHe2+U-6q?0Xoo0zVNg-7ufTl^Ir3_`Y(vSQa9a0u$7?uf(z}+wm|<VM2TB)ae$KPn;hI6#!><-=9XV4FHMGezW z9H#=k;|OP3t*|eFZXP_-;aCA0&}d9#XA+1P@yvl9f&RUG%L?$gNSupj6z4d#t)bGI zfQUk!+SW8Ih5Ze-4UW0DI92!UK$-jA*AFY!p21>qIrTW2jyOe0r^GY5PrPU!>c%Ih z1!bZf)~DP_YCbQn@h#xEo=&OdJ7-1LO}K;K&GVG2Q$oRc zRt2^>zc@B8xqg$wwa8H-o~bb{(Eoi}X%aqq+cUlu=OrpFkB;*{&NZNVlk-vGB-{0? zJWVA?E@WTRI>XOvR9QpAyZ%k_m)_IkE7pEuU(=?dXB5ueiV;Z?7^Ca#(fJLn=T$A_ zJT2)g6PM+R_Cq0h0h+(f!`JxJ65gU5c`Xfx|D&W*u)Hd#u0>mN9C=L%Hu~2`&PP$} z&X;32ReE2Nt~S`DAF%6Gzl!eNK;Jukpp<26>pv>&HK^9bxy8|GWj893aW*v#{a_1L z8`X+E@9z4B)5}`vg~bl2JUs-ae#CQHuAF;I(#a}vU5{n45SaQoCx6GLpg{jkz2tk~ zSKJ}9GxB=GSeh6cDb24RsN{~h`ZDeucq|L(;4_o9caTf#nlnfCgo=<&wcnU_EE`~mO2CVf=a12@%};MD9Odmlxt8aJ-Grmht_f+pTCUPmi9c`AaW`nDJHLz ztWV9)hyQrGCKq+6BRRJ^2LWiiWAIoY5;!SuJSAQ--(q8EY0==i6Yr>qb28>l3Q$qB z#Bn;SYcJ z+Xl~A5a{3iBZ)@xcQ&CN-{Kh+p&vVjMi-&;>yIN>8@VB_4hex&7sH3VzN28^RWYf12C zl^uS9sRyD^N=;a5g40mlSYhwl;p}TA%xbizo0D<|#`NRYrIO?V_)&fgJSI)}PJXWn z8D(3=(T^)^QLQNUEGtF3ZjKjWP+Sn@+uaaE6H)i&%mGw2rAIGUJSG--cbgaJ|6*z$ zp>upUEp#3iF1`w!D79cIC3l?VG;NK-DZw|Y0V$XSza)(+Y=vS|Y(Sg~A1Z(vwar;+r3X$Q+i;Ws5UZDhjzF9mI(Vn&=m@gbUAsj+q`_KSQ`GOu z;~mX?rI1_Yhf$ELHr}b;n)5ov`TTq_`ADBA7D$0 zAb>kJpWw31sg16>rzN?L-mMdk1hgguke=y|-o5rL38VTaF<+wBL@^vi6VC|uO8QYV zxAwe616lGT-aB`4*dayH5A=jI@7X;@croLt3p}8B5n#`c!fki2mG|X8+0Yvzk{i?- zG%&mYqDAeZJ}u;$^bUrV3x4_0F0Le^Q*+mM>)h`_g|bly=m|NX$E2R|1KpQFKW~fd zvXVS8DbHECA}O|bjsxK6@V5~9W$$~`J2|>d$QwiF4Bm82R3YUxD}6FaS>n%sNPJKf zl0kb-3W$zB6bZ@c+FyDU$7rRXEzSzTH$1Jp@WRj1UOf=u)H^0hJ{9<3z5J^4v(vM5r$}1 z(4OsD>Y=JTdm_y!Cy>J)9`}wfZTPwdIHh2+|7L|see}*f$+Bm`{b2ug7Zl?E#je$L zUTD3&&+MKlgnY(T@&u!+Aw5yLxhXfdM9Op0K{*gRLMoMOb;bmgmqKu_P%`TN@@;`w za!-nf%RW7+XM4MbAu;P_q}jAcT+MPpT%p_d;vk|!6VFltA*A=OI!QpBCS`q&n= zo4T>7G+}5`oGIIV3AGqPpUV_*z>3kiLq1x9f zWrMjpd&L}-#>TS*<_W)$)D^?ApDmA z*a&R1x}lvR4bt{{#8ZwlxR&9D0}u)6#GBqSmcaUjiGjnu9T@22cKO2^jgIG_^=7Z& zmwCDK4(*qy8SOAG@`}4WB0B6>g=}QINQ&|M?Yx9hrp-QMu$LADed}Nr6*n4 zaRpm|=_#|+Embpb=Pn9$Zv6S~tD;YrIY!dH^LRCP++9gyl z8i(yw3{8U$nh{d8kH>g@4+E6@TF|R(wCed6W@tr(s}X!J|~;o`s0_X|pfKkC|-wS@EPg z#LzH+*J)5s5h<=vun`+PBOW=?$!fOz+MGDA^~o)Ay6^} z-clDcK3Xey?7ztN$_Z)_dj--ZvzMoSaGxN5@anbDG-pi^UN)J2+U(z#KfQ|PocN`3=vny8mu&N=O4wuOU1pQ>4H z=n}*pshv)@QQH%6ntJ@?KoZ_q7@*qGwek2%~>m5)IV2Gr(NqT{iz%98^HCr|} zCjNafls;L?Z7yJT0zW+td4Jp+l&vayIoM2Kb^C6~=WDCqPQ1Vbwf-UBSVo!sO7VuY z;O?md@ehpi);2{>X^3HE&Dd^w8zDwJqY$J#gtKSc9f#R_e#4xU>V{!**xo9G}KhS=Bs9-birmJ$ZNGYj^iux!dp4j3(`>FoNT@?i-kSQ z86Bq8J~kntat{BJx#zulKqsv{`5Ya>615pz4o5#1-T9?a@+vN5_{7R31vzRxT zc8Ev=25&Jx>=;CRW&UI-+DZsdclJj+6Q~9qWkfzq1a!0ybzNbtf-AsU;L;f0zvYK> zJ)B;qHdR(b?IQ~_WX&RBeA@@fbWl{ z=!qOGR3zOYPk=iZJ^tX0l7{tbNbT#66p-;gWnPjyD~T$2WKOZ;8Jb&b0YrMj!bVmz>NYTwTQFH&UwXzr+=CE zM@ShjS5PlQaDwtK;p5s47}PDDwN_zD@E{Ml6F?Jq@t6mu4R8_?Pe7x_t$%EK8= z(t}8!18Wn`!`V%uiwf5XpXvZz*^|ePw%Ucm!rZb17QrNpy=p(&G9tPv+g}ec^L@v# z+|aRoN9eaPtqe@4_(K1?+kLe?q-5d+-EYBEyk|$K#9S9bF1kwjq7vJ-nNAlm=rm6p z?q~&fi|vaHC^*Z{X$rjMJMjsb?7lwFE28#u3Re2%_0l`yn%fG5<|I)gh5BpmiIja> z1FdJDiXUB&Lr)^WKNrH1(zHAjc{`PpUBII5JmqP;RH7mvCP zLSi6<1B-n)i+P9QrX8^>6K!%t5Yj?)G%POK$A5e43gPXAf_C{=JI62WBgSoh162p_ ziNP?-pR2F2b4<6zU~{V)Vxt;z-Csu);cR@;dyv;E?G!Ed*j&9+$gxk?-MNB~ykyf* zxAGSivvQrbLJoj$7U&OQZ>f?5FY1i>DdBPDkQo`N$*Bu}IAX=#wt_e8Vj&TO*O7}` zqH}yToUQ2mxXcehUsXQOq?I?Zka&S^8WHq~foxpq~uPi8ch*kZ%*kUyYBg#W_7PYJEB_g7%Y%8UF0$vaWZwSAP_0{p$rrnXFy>sQ*)K~} zN%w;;tGrfm66Dc9LQb-D@vrj$@rgX=JP1~A(k}8f@m4Lbk;ASV78@^QJx9&{vi!I2^gf$$D>`vi-BnBxaLSBDpX{hdE(cr@D;IP0Z}=^V zL#n$SA%3=eaIgOUjTid_xUt0mB=#5Y%5=Ee5y3KW=KZiCUF?j_91&ms@jOft^@q2y zq3j7Dt%_{YJvJYkn*A8YA@m3Sr6=vwlTQn&xbl<`i!r@z#4HQ{?YYe=;-tKtJ2Gad z$_QkoukBn_8W#NV=zM?V4eU@e{zZ-$pR=CBr&1Pd^OFq!;IZC$WYx7e>clDKbfA!^ z+6NJ*GihI@U4D9|nNp>0sc-&;^Hdt(|6MK8n~APc;%|*A_*{TQjo_DAS>! zFEcC>dvs;WQNO^6k>ssdh=g7}T}A zu7Adrv>{onkDLT9an1B81hfc;2d#BJx9ksW55J0{Qh;T7z*?OC7@zF`Q3mQo5x|Yp zt3|>pFU+cy=De%AaYMRn>b;J1u;J$3dYtJ`b{rQL-8@-YF+c8BMwfJ^S@~W5cZoVs zQ&_=meLw&(C~OC#r7LVjP%Sh}O=>=LHyFSvUal&k9?@33EGea`IAbyLYF0h{vt{T- zcZrTkfj{B}yjkio1gaI!?mE;aPy~J|zYF}R6-iQ!0JF2h?WfB6yE1oqg%{7F4!ETP zrnK98RU!vS+%_NhB0Lji&G15l;%9dT>emKIcYyV}J0vSD$cg!FM6g2Ti~eHgJ;jCM zN7{74>rqr^M#NHw*o&`i-kooJ&dQb({;6}wv>`afg%D~afee7oEvs@jV94ZAGB>vfiE-=P|P=ae^CMS)qh34=M8D)msl|yW{Sf| ztmh?prxc_!pxRIQ`Ma1T?4pVd^^gPr*D;|ij}DjxO9jp|!g(F0dP$S{UIh^sl@+!p#z9^OxRwf1w>l#tMx z#lC%mx8pG|QurL|;zC8Yu|FCZGG8pq+^Vf_8l*2!P=5;99xWZUH75omM9XqDY;J*f zSmV+nz(&V_3omdaQ~76gVkitfYk2B%-5DNqCW#PL6-pC5-$3vUUX5o&b?~r>%uAn8NO;F!Uq(&lf zeC-#+daHN48}QqutYfDT{T2 z$vogtdm56PWTB ze=5rBd=vlubf=%jtb+U5&+YOH0t(o;QNto2)PjO)-XihuaR_Lu4CQ_F&mpq7u+agxbR{p z@u%>o{hUhv6a1DCs2`j+z4HZS6(3&fYF8%6z-;9b=eQNV^Xk_AC31r44)FwN$_2Fj zXgwzgYtIR$Du9$=Xbt}G1Ao8k?c6s%il{}&qK(hlO`>%p%g+I#b)H`HKfaLv`N2GXQLNskoI<`KFIUwVV?3`j zBYjEUd;m18S-hj4)?+s%KPAlm37mf-dug5Vd-i{lLNG-dkVfbMnM}uR1P+k+KdyUH z&UbvSK6+O^2(!LIX_>G5eq0J9O?b(A=>i?Uay4YU3$W9>cpNUKb%~yan-YNd%n0!w zCwA1Ugqu<3d_oe4@sz=Q3-!azvYk*F83^M7^F!6Q!yqxG_ib5XCx7qPTZcX7yb9#V zihJ3@fj$X|ID>23h9uMi>a-EU4oJ%r_PydLSkw9L)D`Nu+#hz`>6T+buPK5t7%^Ih z`pY54=OxI>2X?3xLUE+cR(LkJ43zair!7N&A3R5SiP7In(Xbf4-RBgoyTY<%&UOTY z*9yvZ72|}o4>;FmyPM6wwOiAe_H4KUo6)FJqAnzBd!^d#?6(X@4q?vu{Rg^%1r@-; z)2nFEmWs}S;LBHeDd2#92Sc6T$KSAwo^<+_#h+L%mP8vHC{lV#a zpMnEQw#81lW;^$o6}B6v`bGGZ(^?x?J37msOGAAAo8mfJVUY1AEqE|D+IFLC9+ z;GR0Jsnias8B<&0Bmm3S(+|8ozPVguqRn@6(6WOE0JUa|@6_=}BsRw2@jA3PPR?A>l(afhxy{o>mOX%u0R4xSTnU=^sbMMW* zBja$i4;~5&hQl{bW%k8h=sfRgAKV)o2XqZz^~v`O*e?nnI}yzij1P=Y@sPUj*-9+C z7JGw%{r;XePikjB=dS{oU&j?SU7nd`5qS&!zJ*uZv#iHxvb^zssZ#CJ_&0l|d)XPm zVs; zc*uBkc){C|Q}Vg+9s+}f&v-9hn|v!(P#%Id4*>7Ul=1QW3b5cO-MvbWE#&5{X1{_t z;u9AOJ-P$yo=oTXz|7o6YszI5A)O=p*3A6yrAJ`Gvtv#$AX{X+#@|>&<_ikuJ1^1s zFpV}GBh7rH+v8?76DG6WOMT_rRkmj8ah+WOvz0}&*UFq<9NeEZ#h)SJk>%T)yYH|O zLocE8+k)kb08AOij+@Z3te7W1F=(nMJkE-ji|YdnDfEHs{^M z9fzx@{0r|J;aS^!;0{#(ntIBRw*qX)Vf-BSu|3`8dJ0CCV+o*imS4fT;bn;BuxwFa zwc^|#wO|ls=n^HiCBI7pZpkrEn=;O)=(E(xe$4LDGE2-{ncCeD z)zrm~tX5vD3N1pyX~DHKWNS#%_4bs|wR@gUV%$&z)Jey5+_$kKoX&#H4R%t(7*i}^LhSz3(L zP;nb~HA>g9N8-|qCKj!njis@U1kcMpR7e32B`vN54Lv`Z7I*E!ZOXdXvFJ95DfYtr zE}^cZvX<3vv^YKzC(5h$tcKHqDqk)gl-s)z0)yfQ1l486qurb$mAAl1B6{|+PY1j- zT8o`j%%lSw?Q0T{Z6M(8pZX3FbK z@?YeN`)IR6wM@}7i|r(r-70GvBd#R`a;X>NK|acBUXc5p43)#EO=hsk(>`KFZ$lAx zuVL3rRhTQw0fX*4IN{xZmlyL>rx>pllAY|dj^aDtIWye2)%tGCY>&*@yrFMvN z_BOax$|8!-6lWQ*JY1ibe0){gDV^T;IUT-{OMa=qKEigNsA8*j6QGJN+_ugFU z`4|}w3ZC9+LsWhnWf#wv@8f#b!@mk8uUPN%e9NM}@zKH_B31_%MNXJJcwYY{{>>|y zkYRu91Rtf==!PKa5fFC?jSLq58+3q%+ll8PT6R4<68%XG+Q zWpgPNv_gSS<6+*(e|Ezd7U|u5@tCpQGHx#8;q}`OgKfn1(>{)%Sij<=UCEz36 zQlgMN++ZM$Lr)t$cI;pFovaD{#nB-ZPGu z2O5>Tg(<_A;D6-$gb(0j$AC!4V_UER6WQsr=Yz^HBTv3t<{vN zi}3g2snF5nGK~3XekplK+YSMhMIEY-)Xe`z290E>r9VK?ytf8;G9gq7W1;6miM&R{ zqK4Kji~h)j+!6&JZhhS&rcKwnzDL5~2JKb98uFd#@yNq@|C*=i;ZF3UqQT8?ESglad0nei zVW0|2wA377@`}mf88=t()}v+$$wb387FjQO(;Fn-DJ6qbnb&-M_aXiCkO`|A_d)d< zg^dRy*ta0Zgas$ti+$N=6^4M@Z`{iwWt_(6isJ2fV=RH;^6Rqb)lALe`(pVoT&NU&NS_x~Qq;6Ugy*hK`l!*-WQ04nTGM{HM}0+F{- zo7^C-j*-9TcLc8BcSRSq#ykJtMceU3Ze(W!kl$0MBY>Z~_}uQHNVcE5F3|spx*_R_ z`@5Cu|EvV=;{W#i|3c>nx}EO+Z|MKG*Z5$_Xdl+hlJ>_3^+Pl2h)6$V6Mo8t|)@&>xbOgs95 zgI6U_qpp5`5Tr19KngSP8VM8^i(#4F^qR)bTP;sYPe*7S`dC!jw>`jNL}R3{kznN% z<1Wf2*^i@-^T&MC6^h2)d;tEA>Iz3dqbgGkb3dn`RiC3pI1yg+y~*)G8m5qP#qfv- z+z^ML+(JWuvp6~&83B~!J4!H^hWh>}e$6B*ETZHHd^-2`CwrI=oBCv!p%~xlE(l$|igNo1lI(qy@Y!1|tLcq*0(EI59^A_e(F%Z%6*RBTobf*8(Cs zv0O0IbQ)WTMi64$2&_<}G-#ZzmU>(8J&K6-ADWrPxE%Et6L3LURC08YCQxS2=0;m! z11{)%3u>(E*R@uGjv&NX5sKtS=^tjjtwJ3B_K3lx5<-n0;-xxju0&ZvP^b1}t?6bm z;<)#eC{uRYMYUOfH{Y1+5gp-<{n;$E@b3N*?um1`mdx6>=zo@eMESdPdSxF8+vwLdHe>#;QA$Cie5 z6?K>AP`;uIo(!+~l&*5aSVL0>FIa<0 z+K>@yYSwf&!r14dpmt`wHbqIzoNle{5gvs<(1cIhWNm7bD{~AxEN;7~lHxOnQ7g=T z=X@4*YzRdysw$v%I;O&0nO>s-)kwSpt#!LTR#xL$te6gpoRD#W(a&dJGT2wKWExoS zdIS|X9PgMM0*?u~Tr8><%^f_0L!snY8~tUiu^&)hw?l2j^wn~K##Tb$In3$(8f8$f zwuv!(PQMaW+dca;6yq+ke*`71<22by{2=>e^Bu*yDu5ksvD>nW&{6d{e|qG|{@8y0 z^-es3tTZY^VNKAH6WbQfD|{lfFk_}pCO`>t>R0hyx;2F@OWQL(6kUknrlMY>Utp2z zDeRtTJiUb?%gkrdvDUD+8-xP!vq9rtkLeNE?_dQ%u?qw*6XAMFQ>nYj4Z zDOgJ&`&8Su5$*QlWc^)4>L4U$`bawX8!{|}U76Od2Rp)tHSdF{@KZH!DKf^H8}C|- zeh|&S3}2Dj5Ly3)=vHh z(t1ok+6Fm1Mb8J9PWXasFrfy5C>-D9;=mHFN4!Brk(2{s{aCBBd6`)f$CX+CuWT8j z2Q^~db+9X#5KOm@UPTpjcRdVajrHk{@@JrBRfLhXnS^kPm$Z=~SuzAgGCObUB9v_9k?~ z3L>K$lGs(SL4n1_3`Fpl(wZ`oEuZ#!+sGg!Cbk4_p)6Q+inh7=a@MHH0)^+KRi8G4 zI+Tq`Uli(ygE$J`9jX2UJ*xnZT39^S4FJ3;sMCo-bYVT92MqsUR9Cox=Y3FtfJTS~ z?%3`IzkkHO4phcQ>qPXpwh=9(`5U-k>kJ7!*gtun1Uq%Sb&x)YePPGT_cH?@;y4i- zcA8b~N809eoTCcVGCqCNz)c$yf~29Nn(T5NqGc71nyn$8TUxoPcFc6)s@5PYCSaU&h0t8?F(Bc?g)Q;Jhi@ zLJPf!99Huerh}x4NS>?=RcycLshlBEEIb7w7+;7}ZkW762oqv1m;gG1SgrTegN2wO z)90(8V`&OvRz0}z5IRz?V-qvXx;t+;P9?h`Lhh@0K{x$3kP$~Q&|>IiU1eNIWsoI% zEgZytrS~pZG%@t5>#~b59wE8w8nw9(?kN1vfS475-}<&vcsuvtuon|iLaJk^X9}BG zsgIg4(~+pg!}j4z>IUx+v;v_miU?s=%jeh|+I{LIifH{4(0{n!`+hl@y>U@IIUiw! zsM`H(JR57UPD!us$787kBM&|~(Z`|h&1jsObvRBVK?k9rioo9IB=m~n;xkFR>Td7v z*WgvYjh#20vdTA={j@Q#^uOR7Rl=6WW#S4Vx0v1%g=z}zFZCSVn6VBo4IA>9Q;>xZ zoqzo?vZxn69&iK>iLuLAO_E3Rf8D%C0GT;rW8B74+j*zZefTx=TeVT$?QIArBSXM2w!^Mh`*Ab4&Yz)QO z1=J}(lIY6~uod3Y8|}~ILj}MzSkUb)z<5fuJ8eW;4Yp#d66S#k1RCT?D-jXoTGlG~ zP!L`Ip|&F6vX=S#`1AUitKT4RcrOdnVX6YwDC6VB;B8+L)>V5)XK^SkJ-&z)Y(@z4 z(9xl^d$WCjVv48+<@6LJNCe8fowq(cxISo%yx$vkl90CAgs8*ga0IZ(_WFD%&p6jTM)yIdR@%py_PqF>A^nzwSfQV3kR|*!| zeUK;=OsLuJ*SNcXjRn^U1}l^Qd*%h&StUr<9f|a=)ICM+&(2H>-@P5-P|A4MT7Ug$ zUXSUio7)V=oH^duqk!g>-8zH#a?`})#A%JZ7-`mK#`p`B*v?(9=m+H z1tUAEt`{kp(>Nf6**GRn6qfkF2bbA|WZTqm*U}%(-G~< zTBEfS*_owpt%ak=O***uRr{1`VZz7Da*?fh{HbNg4xtma29tqbh1MGLr0P$skmG-E z<#;XYd3cYsdvRxN5BYeH^I2S%7{4NDx9U1#P2231@EEkCcozE2;$BxL#U`-2Ht7`q4MIvsYVzptTf@ zW3k&B6WZwPBxowrygc2{`z~<4*_JzCBDn0@L+<-ueuR1zGQB*?%vkCmYpW_*Rd22Igi%8a|w6qf6B4M~*2xbWj*SRoEK?|TvjdjCAiv`b&w*hsJSI7?Mg%JSKiunRA;_TUx%OwV*2aRSUi*9H;ATh1GeL;>w=8IaeU|j|7_hcT~*d8pHak zjvT_k90=vr)>c)4&S&4zdUD@;0h+Oh`-jed?4iL@LIzuYFy^75T5G znwR?(Hxg6E4}g-AxdSOUgGvkkQ0T^y;&E~%+WS!wN%8(qd4Cz??Nj&SD_>2Ka2Li&($pS+2#o2KJX-vs|U}kyCzqdde4>u!Xmk+5< zoq0*M#mtU~Ia@W>&2T2L3IWCP0V+soN%ld7BS!>`F*rqFCkcXTtjXd7b!rWa`va%2 zj+o#eh6Qs_gJTvPyy-V`=V#Av1*RAH3&WbyQMG@D5kC~kBxyq|`bJ00Rs+3SYO2ei4of^bOki*u=>*y#zx!cq%x*IH8FDSccOo zDpPyrCZ>5n)bfvRVOa!6At~s{JB1d0lfW36N8>d734&I(xed^yuwA7n)#c^HNR|Cz zH!&c?=U^~)5wn`5uFv5zwed*Ti1~gj7w<7TF7C6YE%=qO6Fp%LIg81<#{{O)_aO#d zbtwCq`+Pt$3xon7@8tRNxVo*5H2XV{Sw7KCy39NzI-BIZ-cWuWGI$vO$bQ-|#kG1u z#4V&3>oynbP`tzKXMpW2`&~S?@eLEcc|AiLCbNEsNw!AZLx0prpdC-RvLib|N*}4c z;D};mnT%yTGAA1yRNvZAR=*9*!0A_w@1)76(%SW#3T(aa{DwyP+AGg09l-cjd(XB) zzvVD?>yI$Se7=G*J<U6xX8oi&5)+-ACz^Ses@GkDG7TSVRJ zRt773jq2P3!9Rf!Jk8f9Ng6@7cEgdVESVQ*$HdqHZ`b(hXq9PQY3U^uA45IBHCRa@ z`_usgKGk>(SAAtU>eU!Wb4 zLzlNz^_W$5qlUiuNi_SM>pzlVL@D$6dvuzxhCUoruFzrc{)eeg;K4Di8kuNm>D zrj;yBb8JqPsC@G%Oy+-5Nb_+(Wu^#{5#Npk*sY5tj?Bm-q2dmL2#qhU4%5z?^H))Bu zVhB$1ohu8!j@s5~imoP|(zs4cy4B|TRLnh)W_s}2k+EBs?XJfIT@N->rBnXHaJ6_y zCG|RwI9Q)W{8Ml0vx&TaZxT}iFUV=QMfAm2p39(BBr?d)92r z8T5X&3Rfzyej(=?2B&UW$|Ii}ok=Cx%{l|CcN8Eqfzq^V@Hu7rqo;IS;ZInVMRZe0 z5_mQGda^B2XyB4_=@dT7H4k)`9=*A(C%6Du`KvZIeuFkOV(e8SSLX>6NdAU z9%FvsSC8y#j+ArA-Bg;{wp;)&&GjaKb)0NzbOh?$c#cx3U?_SHZc8fi5w)UkJAy1> zWtMG}){)!LoF^N5uvGKJtUI^!$C6u(Ad2~-q0#R(7^M7k-5+Lg$srNotEHYH28S$D zG70f18JBrb&|Goy=STXRt5hcf(t>6T=k@h1ldw zZ1n63Om4ynJxOzuUbyonG+UB~Z^9yO^IG)Bm|N-IA4R8&%g7MR#RDG$t0~s6Rf_@R zSrY5yP5dN)CLIVR_he^WPf5imWxybPG6_ZvoX%Rb(n~zs75swbxnUgIC1~MMmhBte zZ?TNvF`^@?PXLFOgAFtrv}~IB8u;mmM{vhFvju+jFER4rxN@J68V2agx%M$#8<^Z8 zp@z_4b{5hbdW*X@56_E(j5ej_Gx^RD67lVmmGnV@Dz;uY5dvARnoq-Q>O`yTc1fUE zaA%RuC+!CJ>QH@4hi>H!co&1*OPP3axdo9({C217sJwWT($#@1gchwFQ++Id-k0 z5we`i3IfYQ4vzlvWHSMWG}|Yj9RbinTT5WGrc!*BAXY=TeTtLwJx-l?6lP-ETq zgAGu5C3dT!crG*D(dR%hfS5o2?ii|QA~G-tu-YRN~@hQUm#+Dv} zma{%TWRb+6!Z6I^RVSY$i?q{O5WB+39S_zn`21=s_^Lj1rqQiU>Z?SjEU@)tZ?D80 zxF>$g^V`Bs1hECMXmH<+^DCb8d;)Piu+$445Vt6@!4_wUIsV&EL1x{D{FfPtHUn2M zi)TCS@$u&xm5K|!tDO^rCjxtJ`%*uZqYSmwSgQNklpizpUe=w0`m4xo0 zjLd47GeyRnTzu57VvUCex76Fn5YoeE=UM_U!_IoIg)f3Y%cb7nDAVMwz62^`3mKl^ z`nk-ge?vH;p3XG{;D>l!(?_AHstbADnE>iaX31wLu^Fzz6szW=Nrgx+#mp%qsRwXN zVy8`K;OU>4O1jSPpWQ^g~;%D?q1K=*A zmU5v-LUs==#NvwFIGYvH8X^p}gZ(80GzLaNsTMvE3^k5i@;wog0I&w9P(R7^K}&jD zlq*Aa&$?@v1swuq_tCjX8u6_=%Co=N1h?|oNJ;R2XLP9~Bwq4EDHOjPd!JK3|E7Hk zYwux{l?@e`&JJK6(^5|?rUYl_va7&ZGadyg4g*xxP-OT%M-12Hehk`uN;S@A#aUM@CRy4IJpGk$TI)DDspC1)j9=-v9}0=9u3f3e&-Nyi zoCDU1+EfUrPWC*BA-=VFc`^!qj5DZm31kBXd&rdGo-w(PT-UdX1PwMkWqPR8xrct~ z!U-b#J=Y=R@-6jx=6Q`n`$Lcu2%nGfvj(7)x4|i~n9`8q^X@l$QbOpZM%7 z15-*>21fPWadBLZ?rR=PaBY`e#Rvr3nY)v1Mq0WUi$JNNb(LEL)7Bm3e~O!!q~Niq z0tF31KZ~nmWrDT6n<`xM7E@d!r8LRbMMV_$7*LIg^AKc9b0-fh_P>3 zWv@^2mZ14U40aDNCSj?Sm$*SHqsz)KyjB+?VX#WSfDYZdW_rZ^ltTh@&m zt*6}!WBw#wE;_>bcZb=B5%N=F#(FNGWg;)-q7ep_0D%nshu)kJjy7MoIpN z^KBT+sgK$~4GK_9sa>g5utpV;Vd(O=Mf()V(rT#^DD9=@gUlM}b+z28%o>y=qru=TP8jLZHK1b_SH$Lm2A|M$$;_G?n?i~-a9@=8m-^o;bekICicWe$DY`> zF|ln=Y}>XuNyoPB^h|7YtS9Gt&Oh-~{c6AIs{LYrYVW(d>%R82)R$}G>?9V=Ea(8e{i=umE!*j^7)hcx%Ex?^U&N0OVPO1fTt#ZwSSMTCvF6;d>2scN=z7Z`>yP>>Tzz4$l*BwnSt={+Y0Xe&B z`TK`bs*F)?0+mQ5IghL;CRcw5=4omp;j}Q`MxQ((ey#zkgSV6W({E99l^k9B{WEeM zJY5b&Bu4y+HYtZ=i!$QaHgA6mYhs>SLr8Xm^CX@}y+i2Kd!46d@Jg6JL}%EdI$d2d z8BIf<^tDS~T6)qbfmVMuyr#U4XG_JnkCO|jCEqT^ExFP_`TO3HBz5NZt4 z5t=ZnjigAnE=CbU)IIGhIy2`)o_w*=U7Yt?PN7Wo|fGs~c?G zG4#JEew(>Z*7AK)nKR?dNHzCB=T`HssJC;)KL{q0J+E_o9wM(nTTt0^ULcxb;VJ(7 ztF#=9Z~rB($)bZ(x%2pi`Tn=~^GwXt>-D!O!kc($9R9PrN!Gr`6V|mYtFLv3%w2zW zYVFTg*RhCdO8zeL8Gu!@`+q)UUH%J)%L8fJ}vdx>+Rj4d?Ziadkp&C7Y3 zkke|;J@E`+|HfqNhf32~C?j`5u6b*|I_NDb!aNYZfFt?W2PS!;?D4zEg~hp?nB7N|s!lGYYenC^ z5Q;r$jNmCPec8Tjkb{$jhiU)Dv(L>tZTO3^Dh58}AYDJ%s%LSC?d=46>t|6%A0`|< z+atr>;S_XW>q)OQ{8StcN)xb8_H=ZI3y;*Lg&2;Sv~AAz+CW|1ftvpX zGPc@m**$v&D#t-}KV@qcnl>rox95#1d#rsQ`IDO3`wsAEA;EIBC!L9hDjA2bb3F>h z|2|M#O~!L+53|kDgZ+m$kJ?IuYAxarY9MuWr5QVNBf|iDsdmvVr(fAgg`{bK70809 z?ir1sf>JUL-KSQe$1QateL|vFv6dg%^@EvAol!llaD2F9{@sbE~YKeXA%|jZo`eNfz;&!ho*jMo+?? z0!Z4Su=cI7X4)iCuI=F6RR zRa-40eCQPY1}pJEvmC{WOG3C5tgU9!xb8O+3Mr6tzWE&&$a_W+V*Z<-r5EMhEGmBS7H-P8QODM^Oh;Fc00HY-8qVoTEisM=Nz!&KlKUs>$Rr%b;te zz7!2;S_9%QK%oAsutKFfw0&;TcTh-p{&KppKC$nXe1ShDa&~dp^~Q9VpNu^V<#x0S ztB(dEL&@K-pu*Ijm%!b2dtM;4-WOh?d{U3Px>{`x_a9S?6bVW4KI*##c{R#g zjppEt;_r>^!QKAx=$Fmc{lk_|#cshfVdCxWKQbtCP8mkj#>21*Ymr>Lt2Rq~=THN2 zx12PJLJUiPN7$;QSS_o-D&~vN)=H=6R{K>&7D9}>kXrbJYd4UEV+J?$YgITwKi_5R zN+O9VLptD2g@h#uf2f6${aOXI=p|R|j6&BzJu-!bT0!sA<9h$xBYm_Uux%autT{%~ zibRC|^v6P?x|lL*`oV2VJ4V>Dk8)f&{wv#yS`82Hjti%Q^rnzDbi4fPaN~nc04Y1yxPBAE+^+gsT9L`#beXzxv`AN7a;@fnIKy=-mFfhSplLaR z>(zdBDq8CGjI+Kgmw)N0ABzv6**&o*Lki*ySu{sqTlVI}xhJyHdtoZ<*k`d=q^n}^ zo1(d`uBu`{I-Fybof?f%F6P@Q%7igWeCYod994scWa@EALejLIhxf!ovkcUS5K%e+ z@75YnZD^FqHyBx)yS1<0yd^OJ>Rp}tQ3}TF`!G4js8~gd$(P3N;E8L4NdWpu9<(G1bfM5BNx2F3xRUb`8l)&dpdD2BZAE+<75|1l zv39;?9Pk5j1+%oA5NrsU!h}X9aMdKY3yizfV#%I!5b@PtLR|lhw`^%j&kgQ88|PbA<-e{7Zx->(xT+HW7n9J%OKoZ6fNzqww;T%0T^c;la*f!5IvV-Mn<4YlqxpEv4V!PMlfI3eKx1V5P$$BjWMOtaH`-NY=IB2aYQwU>PB-MG zVHAtf?muPxETuFo(tDT&7($B=Ug-fdspII?%PkTk$0QFfEg21$T@1?wrPvd#4HwC3 z``CB>4Yt8rn#hgcZk$h=&U7$kU3{Gf+Wo6;hbcX`tZ`cHx&nDfzQ593J?8uQWqo+K zy|DUlb@zp@k^}1a^UR-czULImNOFqIo>VJEo}o!T=$DRNd@V{&gFIoEkF&Qgeew1b zwH6WVLAKDFXL(A6xw|=BO6qXYYZh17PgsBCy4|kHqzjdXRCDRPS9A_SbC5V)r|INd zgHHiL0=i`Li;`P#EKf+kuqw5_Bc}oj-+>V4rf*f2T{~(hWXyho-Wt*RX04b8HmjMM z@d)OMX~96QRd}9`HClI9xE11)#7R@HUA7bY{*E^ecLb3~ia6zPP#5IG_=4N$- zp~nWLs`>ER_UeSRPIsx0-g@m8gc3Zn7W2l{lDiVY68ujc`Khzm$@%LMYR$x7I?6l{ zcSB>OSWBK-IJvS3N`7W(8ZjOPpP}D_8Dcu`)TU_$-iFQXr*Pz&BNi8t{X^=acN_WY zwbW&sbH){tIIp?Vm{@nkuKS+jN+FVh``A(pR+IwsZ6w~5^8UPkPXheY6R}@^wC@uf z8ERzmbvzs1hWn5HqKPzQCFlAQ-x-H#@@iQMq_h{m*i;;|CVvSD4wsUwz+x9 zZy>CVt*qv!rdn&lAn&mQMfSV>WbA+0P&q}2%16*9D<~q3ori||dpw4jlKLXOMhH4} z@H%RVOjS6a-IH8P_VW*sX-T^dD?pTKH%*JySyQkQ}nz-^zNZay7>egOC z-OH8i2s3ZG-^##b=ToG8-l+ndBR^)Uk40!4>6~Z>DI^RZS4*0ISmcgTKDdrD3MP3$ zU|a&4EMqiT&_s^c{6edW5t>Q&J$C$u!F6}dnL(+|HV`r*Q$4rOL~eCGaCGw~ar`;lfw z>g1EpR2dzHMy*S*bYv;t$}9aGl!WutNKV#> z-2Q82Lp$K*BqH$RhHaquHNK`Nj((vgLPR$SKUnUEs#`*B=Kd1g{vztnGnphkC9chC zk^FXW8Xajx%?MO^w@eAb+v}Ea3LBCHrV+Jucz_1vms&|^1iR5JcN?WdCk+hcFgWe1IpoEXy=H<-6ig zA<}{!BsN{8uZus{)#Bfs#0sv4h?g?;ltvXLtQp+J(yo}okUnxB^eeBt#TegwW?!68 z7n6ZLSn!^l-tl~hUfWVi5SMZV2&>BtZbq)W3b{+5Qq6LRu0JMCEv;rte{xA~kb|vm zrJuftx7Hjj($CBLezhopZB`muU9fmi!U__xo!>nV}-`Kw=ZGw zEnV2Cl3m)Hcz0`^+K8=jKD7MV_Mcdb)U@rnXt(32QY}SpWx$CUSp#TmX!iI7PFIrD zi17|V&HrqDz1k*&9B{0!69cQEJep6o&7(a_N;Rsu#23;8&`CQ}OZFRdLkGEa6vj() zdZ372UR0?~rp}BO8OSIKtGTlye;!=|*#nOO9> zx7qDCePyzvS0%HwL>|D*8gB8wA}h6&1q>aw@%3|YDL;vn8v=V%5x2__auPUZJMK36 zTlKR#%~d$`>v(6YU5jm`5T>h5Ah9fh^?9|TaXy_#0~yKb78(DT?$g_7X#QA4eL&Dwlv@Lses<#7 zAE0wuz0Yb~z04LL`%b)*TcYAO@$?xo^su6I)CRxMfx89jfZMbCRX)`Xxv(I!&0^Rs zOJ7Or6=>BOgukP4^fB$IM^!k}d8T@cPKBNBs1xF!WaSY$<$;!=p>n>2k2+mT-HEOr ztP0Ax`um|=j3r^Z-y$v_tQq6}_Wkb0lhl2lUoW9G!dyVfM5t;!zP)r^rb*4#WzQSI zo(0uVH%X+=O{5Z~1gio?Q>=_0wjADh9aov5WKWABklNEHxh|-H3u6FN((P^Lp7LQd zS>Z)o>?Y^e?aKrtC~S7TL#8u)L@15bY&wVr@!C`d>NwNGD`e zW~2;(VE2AR`_o-=%#(_6KD_lt5i)StTfiU0vaI>k%q$(oXdW?KTHjhqIu~7JP)sC0 zcm1j?L3*(=rZ0|3n)Ba@y@&g(vOZw!VL4Z1p5CF%!-XH;%2}W%g&|DO$;Ig65jm^821wVyI#( zu4so{s&C}ihfeRdwjWu&tj{nvZ;&NDyZqc&1im>IOH%_Fz4UD*J-@}kkD=7o&g_#O zA>sKPWJlAgaABIDF7Zhxy4%0@2QGvUD$5a zC&$WMD)yn;OK5pgmfbL77D)APgvC-I*51?#d2ep+#0MxBnRW>R9iHO{n3B3xhR4ao zFhBtv>yOJYgm$Xt!_ov{+4) zy)U_oIqjY&SWBfxfR)tmZ1k-;2HzAZB^5cfzFj>23h|WNouxL=CW(R@B_-mlPc!!< z#k&(#&Uhl7=?A@%7 z%`1a*{9rq+@mY?J&15~})Od)w;Sea4^(J-|%NRDv+>K<3sjUzLVT5Ll4Ja+Sb6yP4 zrbS+L(;>L4#SGGV=fl>Pmlj37yNVHU#U$o1-(;Z3*HSOW(12TfZduXzvvm@9{$*Jy zDFqS{v(#D-i=u3x3uwvx^@YIo zWU3`RKMh~>y2+olxrWAybX&2{f-pIbD8I#kbpxVj$v8L0MpjN%7@Cb!Rbs#*q5d*S zPxpHo3pW0q+7xHv>_$b6o=@XX91ZT7Ib>*NMw-7SWIH~~`|M$|KrY4)>-(eXn$&$1 z+ZD3Kme7R=vZflJrRl341TRBaReOhOvM^~)Q!TUPr;-Gl=a%>&IA4Kcv zmd4~Pg70c}KfA8>b)N)xR9}!W^VW_wQfnI0el`uNC&RJ!y?-%3C&UKt>29B%^^>_h3bACU)lDbQ3VO;xxjb}S%`)_~^xnKcLv!ou& zdO1!ZvDT92Y~4An*8;k6whWmPJgggd0Kw8yhGX0b!j^_4Mr6S{#@4|&Gaua2Ju8iJ zg$om3)8j|)6@hC`(b4$F*4~LcjOQu$z)N-#I$5v=_vsjBh-E{U$5<41dtqa&A-Il? zM?xdFDEw8Sbb#3ebnK6j1N}7f&Z&0yq306&?j?|fbh9AkVOF+iy36G~SA_-*V-8Th z_~^mZ1NUUT?ta)|S7mDh;jw$D)NK0|_r4HVq^NFvU7|EPTTiiUI|%p?nd4qG3r@P~ zab$SPbzMnBR(-0>*pkRK&H5@p%YPtHt8x2qpDbf{a2Rjn1N$^-0L zL|QNY&B@KII43A@m)yYu5~!ZyrcE*LZMX~$NFsZ61p+@L5OwFcXSu?lTwGnnVjPeL z*egD;azq|K?8Od0H!qUV>e8R0^TGemDgAY)HH!>BDxk|rY_f-iu-4-GqjWqgLe!6^ z()q||bpo}eh$qbVbJJv%e%KHWI4iP8RJv=#MxF;|AZxgkq8^LX9ud5`#*zxZeJG_( zxfuAp6P*CT{wl})y%|HX1&joWY_LJP8CEI4cZoSBq(+%awcH}TLuw$B8Nq%AxK@hr zuGY!o%vgMf-*u5UtfVu`gi1$f40%*zzUXS#bHssrExi5~-6d&B*>kZKE+Z zV9}&SJ`Ihj^Edtuk+WXx;-bQbW%pzCN46BL9ah-tO z{ytuZZ0_<}OtaoL9`q<9c9)?7c-_hXwK5Quwbst&vEKHNbEGLGcoOYNVDU$*=}unZ z<+fQSBjK|}t*_h0+dV%?#F3q08@KBdEwB9?>04}uJaoz_OK292JHK6zuS0{~+tTs* zArjTR14ti4^IjzxedJ5OH{~8V=PNw{6%JOlSL7Pcf~Jz;aOMt~v+4$*c!dT>$w6}V)s2@w z|9nPfj21&wdDXR|5bFL~i%pa&;;(2Q9;bxX;i%l!>)NmnCG9!vk@IUi)ZO?qi19X@ zjl52c3>iGbuJrsOT9sUPNVcW5w6WszzF%;3F%e-E|R zpp()1gEG|a??F+8`@3l6-n=L4Ev}giFB2AT0AtnuxAGVC#i0?v{s-&AjNj zbD3?Ud_@ZvtLo)9W!tY(B)Iu?UT(eX0sg1LiLi0Sw_#ar-YoQwPZ8okV!Ba zoFzj@|11CcX2xU2YjFPRO3V@VJ@Ru!;s0ad4O1lypZgqS zVTy1@&R+}Sqy9_uUl6g+6$}1vK1ZYy`Tw92ME*-Z`ah!of{=c$sQ5oyFS+YiCAR{-1HsT-xzjZ5Bk=F{!ZOt<%LK92z+fD(aV zK7-M3c3u+i2vGhXQE+HP`!D293h?iHX5z@@USoNON-N8A zmZe^tGi(@+AE77e(qD)TODf&TAL(+VbUqcnR}>*t{yH1V5#SwZ%2uLp^9#Q`a#a5* zpc@8$zP@H)^|UbGXg&)t`P>!9p9gJ6ko+PadSnRO{1kn~c$u<)$|Yodb_coKWKW)N z+ZOkkeE0_yb01_zX*?V(O9t1g7!~MCCz~(?|OQR3EM*%eF`Bs+B1_mA9}-#IUf)9R&qlaWSgvO*{$`GWO|<_{P$dx zO85`zctRR4$dab_)`ke6$yXe z$x0HYyvl39dh7_J#N zvcq5{uA8)>OJh`iC1?2fgQ_g7Nw2ILbNLs!PXJLFtw>}RsJ$uU*vR1Nw|ku``dJ~PJJQ&x zDFF?j2iXx@Mec12Vf^!3BeDoF%{qCBNwUL(UpF;LY%Mwqi7 z2DOyBd;!{HJHZcoRr(sa3$R~RmVX2BYq${lWRgx4w4`OEU>|T&=_&kg%ZrfO5e^37o#>i64 zpZ=v8Q%jq)Zs0q(+B_yU*R<($^K#L)+37;v)7~qki3i_Lb-L*RzMaGP{{#zp zwnEgT_W`O+EI%S6;J*$tV=*(L@BaB)`FqTI+*f5>)dgYk z3zXj@i(kg>Lv=#%b9n9-zRcvS^rU)}Z<_)1^8F*(!4mRcJ10I+J#vDlTHyBAj>&Td;-54mRW01 zh!0oZU}HSJLOFxq-;_G;jjOC@J^O>uj2|dlf$~~Iy$(^Y2ulb2R9}`nrVNjG_9>`_ zU7c2Xa6{9YZOmJ@ttYMJqdlECj}Qk&)-gG_z>41Xy^^0UtIA8z5pdW(i5}Y#%<$36 zQRx9e?qyZhyyMHzhxOLZHG?Re>I!UW`2B;oenD0$nUWq`BTthc=v>@`@>s`f#B)FX zmy(0u2K}99k=@^`5VgbU{odYcrYdPxGBt9p>`6A&9%Cy0A!JwrFyexHay$HGJbz!+ zFut%|r=sht{UhQT5~F!&2bu-W`63mi=Y%Id&STE~-s=-oI6?>385WXn+aiUZ=9OC= z_!v#a$oJDkPawulSWdEvO(vT?k-`)5DtKoQU%63%%(X%^em-GlZo|nV^@5!jf-6lK zWp@29-Nf*cb%i=)9^ToN=pJbtyXdrKI!c|re7sqm7ZNiMzEK&Hf6-6wQG_fc#V(Z= zO>eG)0*9sYB3-(=-iZY&rE^2S`FdB9U$}@RDYdE#AO1)9@%x{;h6_rKZ?3`V7c~y9 zaQy7xj$-V$0W=1NKBmUXUJ}&c*G=pO zpMw1%G#R}Fu3031uEx!WY4eEAEPgo`H!%g0PHI1(Mu(jUtIZ1L0VS0o4924^y#`Yv z6RndM*SHiHK<xP2krjvF`Fz5_ZMWpg@nOHkg$XolCS6i77Hke1fN+rKNoiUgl!rU^FB?T+PM_m z3%Rr*l`aFGJ!R-i-np8OG~0bQGNUEK8*!vJEr3yh9AJl*_z_l+dTMzuJ(F#rIIMdR z92d#aqcW_@fF;^5A%;9~JWb%Wu*Z@8DLHjOJD_qQpy=^A1e@4M|0pTG-gYRA%R2B+ z^Ps+TkC4g6dA4W&QAC$Va3G;xA?9VYE4Bt~tM!2zs-brc5z&#s=C;9(xwbnP9Q}Ry zjV!D+I%S3URqocC(#Z;L-iRi8JB24 z8EviMe_|!7Cn`z>S6qHXAU=z27;g6+bu5|KQdF%GF<|nMjLd5|!cltn_F?u=o>RVk zTwqZ0zNm0Ie4gM&E%7y$&*WI(d0- z-dSvdh4>Dn1Ho`HG?An)7+pd;ar==NkmKruEmbY$F#s}$UG|y>@4u-X&KXq%1CGu2 zyhsgaCyAlgEChG_RMko#J#fpi6c-){*SWv8b8hX%WADqEAY}!7#wA6rixF|wA5qD- zz^;=_Z=MwPrC&s!fjUc{w??5yd>CZ(%k3gp{|+NwLPDkq-%WRCoywH#fxt#1kTyA) zjgjISB6^LkzZlX3->Z8d*UMklK}i-2)ce+HHxA}mYWn?n4+hMC`GbX0$QAJDQ}k~X zWJ*`xESQO<{c$%-muE3_Qi%dcKOXV1aj81o1tNkPT$Ikm#au&ilprsaW$nG=5;MvA zAx{jibiKqN9{utVr=I2wGUq)talW9zh%=g2nlOV$6-Io6EY1F7$+&&QCaKBF=eJpUre$jAYVkwM717sG1}b!eTVN9M;d{6z?$N^z~G}p>0p6I zMQ)X9XRfx)L>GCP0N+sG$1QS=WDUCJv^oDK&UjS}$BMs_X`Gz37zrS;t|&#cW!{~} zo9XiWUANohm*Z!ca4$D-!r+RoQ8z_h0$m7msEKaCagtC!pn0~lW72%)j(J_=CW}7x z5Y^`iV+r9o9TDbRjWv$*?X(jD!N3-nP6AHw0~5v3LJfam|1#3-_vry&auM;T-|5<9 z>a#}r7BY2d9er{SY)TETB?5PKI8q0UgZDxzqHFY1yqxvo$>ROYa`Jnb1vkY8+MrCKNlwxPJ z);FWn3@(QPDQC_?fAjN9!|~eOxE)DSB1~?S@6+NFOzzatcC$3auc2IfM5sz{J^51! zRqfMLF9?d63)aMp{9KWF0KX}mh1W9p(zK(31F$wc{Wc>(y)lnRXb&F52VQx#)ctJm? zg}9+Sc>~AN`R8WOX+zbi|LN?$t@<@Sy%$~|P$fuB8U9qyk(9Aq5mXH{7O>!h#zTS} z?7rO{Z9qOhrz!jGkgmkx@Yxvl17QIv4!PZHH-FT=8D08=$|HYqMwZZ~HKJ|Z;P$s{ zjO>B_7X@vhW{nr?`tLB6-_)W?WZdjRTV;NveUG3_NWQ6ZpNO~c426Vdcn*!4D7PL> zW;~Ck!(C|bsZB(8RX3BIS8t0G$c4Hy znE5&NS~yQ^sWj_Fo((1`e+re(@GsLXD&@2L*qGL8t;HM!ppSFJmmEWVL^WY!AYZsY zN=3sQImxAYhdh*WA1=jG&E#alFQ;q~xSTyiP7p#_{tzFS%7epC>-+W|?4Jax0pb)pWjoYW(dNM%bTRQf_AQ z5wj@g{q3?92M$|FNR)BdXy3Ocs_FGN2pkpc(YJ2i6DX}|Jw&_k4&*e7tLV{qWt!;= zzV^+hO)Gy17#;%uDDeA}X=zs0EVH!|V-Y<$%y+pylr#l~eh@`uyM?gg+SmLr_&{b! zPKk9N#uhhDWV%cUIHmrg?V9G{=UE^abMALL#2t05zr#TlD>!Qex-S+PY zu6UdBGA!Rd(5bW>8H4}mau{`qCwaF#Q9E!or(rmb^9#RTobhDa;vC8tfMc_yL17`e zxc2Z<5gAnUhzla|I@w9!n6BRD7<}FBoT6l^j4(1bP^s-2_t_2pfHfp9!}d_TBgiWF z{QXR*gu3*>F+xUU#2*ebj-?+8r}A{x($C9&Uk(gvk8I0S7q&sM=uuRn39( zTzl0w(X(!R)8c9iR?R^E?KCp3$FUX}l6Lsq62lRXXp%*Y-vFm#N+;YI_KZEM z+g&P-P0O*{b8UT(%l@GohW%D#!s+b%nfx4GWF@YV17P=FvBxnSH{T=@^Qwy972$)K zyU`OV%Xpqft$|3paJo@3C!iH~E4VTLtE%-JpPfsa)vtm%#Hf%zWs|(=l)0Uj#P`F4 zH^TN=7EpJae7VofzRIw;^aIwxRZ4_abxq#s!LZ(Z_ICks8iB@$vI@WihOgi2 zXOBIG=IcQ+D;3nX$df#rj2IKzPk!2F4(9U;$Oyhha**$5#wMCp(BJ%_NUOIXI`ol{ z@ea*Mtbn=C?2bxZVKofed879S$)j?MDH7Ut=#cD@^gu6CA5%~*vyPM*Kp8Kl-kxix z%KdK7sMX)#%j(+#x=CiHgZJo-QPK539c-!7#HSeb>!vk(`oEayt7$KBX zN;UdE1}KW`6%xan2kFwgOyzGPM;y9}CtN}`4jwy>^U;=1`P$pG$8Ax5Wq*}}S7Dk~ zCmr$TQa2?tn%)3BDy>=0kBp}%Vgp#>28OW>(Q8A7;f0?^(?t7Jcz>0y7DblHpcR}z zjSBr)7JixBdi1w09s0y0&+I&xgC6To1LO>c=bR{PIk|+k9N||dlLqn2lq8`mME(h7Tom2L&d_ru0a`^P39V0EBb zfA!X~f)8xJau7pCQV9Cu!cMR3*bd2!PemT$kZH)(JSCfCWfvClX*cm{vfA09B(8xG zd5zZj<6jwFCGGgVvuAsQj@2Ci%J|@$KC5XH!2g#?jKzR0oOU<3WfqnS4zcjUe(ov8QU9Nr>RG;h3F-6 z7ts?_IBCdnOt2S?X80gELB zkI5Q2sEemYaK*`-a!+gz5C9)OnUjJF4s{OykCG)Xmj86C=__3TSC21|Sq)18MaEd8YzfOn#>hx76-w z=}j73R)B2-7XldBST89P4!A)h?2(Y9i};-9Cz*Hug~A|tyFS99z|ok=_c;e zM;|U`owV?(Siw9CYn~AMC1pxM&*}XCBr+@Hi$uS%yrMfHVD2Ip%)e(+uvj234@fXq zjfAUuWT=#Rui;Edp~NdZUE-8XcdEu@D0Prak6q=8{+spu4b;*szZ!!4OW6ht&_9uW z-p-3y^NUY1sE{Yo>k@-mnt!MdrVJlLuB~#)!5*?Hg7^vOQ|;@9rT9ID|Fo^Q*rM|L zMw6U?z4CVjd0+`r_#S!oWey0962X8$8HS!{42EQG#&VGy%tRDJ_TYMcc5$GCt$JkE zVL8k1uwe?UC=EtL@gfdIhGcP%@6tSV30|@aCGNAwNuU!ZL^f{BiKqptkg!5fR&MA@ z(mzqo_$x<>zz=BY*b~GkQfL_Bq#+`>pYJCGnh>KVCCkwB{JB0qkWvDiCtoY?JrtT} zKsB~~r+hV!oQ6aH%B94%X7Wp(i>)?a55|?B>PJ^JLs3*Tb|wBPY7yZ8y~^}T z9dKt7TW7i43Env<>)O!9)mk~fp1YiUe)g%iN`@IpancXq{>F~n;;neoCiG$TM>5tz zic~e`LiukRhUiZX@{L;-KN^&Pt6O^4scK#Mk?{&EA?3wbK0#bbC7V_&sS8ri(cDO+ zxVy<;9vs$+7g0Glb&*S2a##?kul*f0Jz!p;89n$m+ywGwUbRAwsq{$V z$hK>*k@#E1n?{)_^V!vWpB_}()d6G_QsTNu0R~o(M$L@Qy4e#-B^Cbw9=Dyq5|OXc zDI16`_hVZ)3H0BRsK_5L$2u6>8V8d-9kN<)EVic~)8d?DQ^V<1sJ|@EJY@9&6k@+T z$0;Bj#?(^nIhjH%EsTm;AE7gC4dvFvhqHJ4{-VkEyHf76 z`3ucKkuB2M?;HFab)+3yT+OI^PytL&qppwFOS6lU(}op18eG(%m2Y6=GaMjx7AI(! zFNVP8mF#C!&%7}~jc*vbxAIqCCKZOt5W3e$!K@mrAst;jF=XBlV(KV34>EgLQ|fuj z3cIqZWc^ml3>1N`#ri10IUJIH*h1tvGzN~kFcY|Wt&llaO+AR81~&Sjw{$~QA|9oG z`b{50ylky=INsfxR2XAdjC}J0Uo~xHrFpvGeyysE_Ja;4bL4p~0`_Ro*S5QP6Na>; zm2sw7C-P>I%-=t-K&-3hE+HyeyaDcC5QIaMDCms*Q!<#?aqmGw8PPrrpn$?_#Y{f7 z_=SRfp}=n%kNQg@TLuph$mx7;VRH}SYe6zvG96Lxfw(jgNDWYGb0`f2X z`g^C6S;cBvIfhOWnncguBI952n+Ij`3a?7Et#v(dzJFIPT z|BW+bhp0NTCNO+MN&x{zB=oGx)J2gwSx`YfdMA6q8X$Lr42`is(YlfZTp@YUiU9n~ z5c;CO9`wfMxT5z3hfv&=#|2e5;*#Ayro!yPIYA2^sE1<6YkM`bShRfS&Dzj@C z(PJPmvUgf9IHk)_(ue_TZ#==hBA3#6Br-?eA)lG72Wxq#^Mh?Fb2`dwt#OC)YK@iX zyPrV1ZS2!rff6CeT`6s#o=19y85cJG`~r8|TP+eF%MyW`ZLmMd-v4nDVss7#J0o#A zpfEbgiLz->d|+Chd%l;O@Sc2l4c=f5(^Lpb3lGt!b;;mf5Nn|imMQ%2R$K=93FisB z{+wdJzay?%K-w6m6#B^$ys$d(Mz4*yt9e(9}7x0y5)jb$5f!sz#_^&Zix*ehyRhXeF_GwrmzjU;l93y<=hhzw_ z$n`Dsj54ja%CD#+{>gX`!$;9SR5XQkDOHVAvl3}}EKgzhy6K#iJzHgKwuNoVpGnQj z=vX$#k$MRZ$3~vXq>@c~88zpdXClwUm0SK6RZpgwT^-v*C&R2|d5^&?c$g)-U4zd= zRw{GgR|D*a7t-HVk;5@0=BehCgL0@*9lB#k75SZlhDo)1imP$bv@_W%Ed`DH3GRIL z>q-1y1H)5?`hj6Kp%r}nYQIjqNXvmDBr%(f`6eKld$W);!l1znqQ9WAKa z3J8O$Hl&8xi5+6ybDPHqee}W0T8t&8W+I#O~NRV3G7Ruv~p=H8O!`W_F;@pBU zn|Fg&$|~Gb9We)&ZXEa_c`vLhs9UY<$pT3-5XU=jz!Qq|@klM>)(vWoX1LqM zw@#Uzfae3kxi7Xi=QoPPKu|#Bk0nw3#ggVBxF?S_k9%t;aKuUcq)sFp<#D@8NW-l&`UFG6jp@RP;{B{{VlX1Ot zFUPkP&FD-ulEUka4>N5lbQ}LBOLJMe#W;;*Zb%gw&vDF(b4k|%559dt5D%0={;pL%GW;FT*a=6%84xJ=El zKJyL4vx>Z-x+q8NI09$RL8M($gjH(Pz6LjNSFinR`Wf`)7P7u?h3glb4zg-%q>Iz9 zf?z*y$_KmGHC3cH&nCDanCC z+y03|jZp`nHOnRMmqg5jm05Yt_6X}ndWO91$txmeTF5~`;5wn9-ldTRN^$$kjlE_v z3MjMeUPIFbeMZ1t9poJ(f}f!tj1o2)XYMfNxeTOL{)QP!8XDP^QJeT6zEHvH87^H8 zbynIlZ7%5IxX3EMnRQg@9UrezzH0p*Pp2Iy*f^$mroacmE9ZI$U0ucMVXi1j@4wbe zYnFD~6@=QIfs+8Z%*dE&)wA{6M;?)Ux~0G*fT^AXd^CDtpxOqfb>oWgDfkSCW9Rt$ zlFq={=}`*-Pv$)06oyRjq|$%?8Q|A(f@H5%%i$dBHglB8~5ee(p^fvEM=g; zu1y@z+#0YP6a=!%kx+Hs4~57+j;b(LxJ5`glg-gM(b(9|64rEdY#URyf#(#VngB4Z z5Ia%Swjt6X_Tn2KX2nK{~qp%0~w|zNqc0~briccRLCUg5oyxGt#rhR z<4#pdTO>A%nNT>7bo3}8&h3OP`ZOV-PZblx#qJ*IYGQMqu}yk5XiHFE{}cpvWzf5W zK>C=T7j634=*i~k^BV{H*W>adLFN$N?(^vvA8Y%9n~be`=3}81gDv7zPPtCv6zP{! z@8_zD#Y36&q`mWmYw&&H&iajoN=^^i%jZpEByvEB6iKVpO{zM1BnQA-&+8me`Ds^c z@uH!!ou6AF>ej?zcr7~rj*$8j_h!+Y!)30&C>>Z4|3tEcb~3>+j{wFgfVdS05y>$3@(%+fwL zv}y4_n4XicE6{OFz|ZrhyDmJDu4?3zZ4`5!4@EhAmIzo~YI%mMtU)=OLisyW3}wyo zB1IRApH~d^?iMO!k&C*rI$PGF>tLv9d&#Ks>ZJ}+&!E^HM8dF_k2vSRkmRqXm)%yJ zC!I?^zj#7XxWAumZ?7M{X!xwH^?PZCs+@TaJz%Q@7bvKDD#l}`9! z4yXYPi29ZvJ3mR9@CuAdDA`m=vv5jv=BhTD_PSn*e8zv?<-~7lr*sv8{3{v6oGr6C zTaQ^_ct4hs{{6s&jC_f;4#S^>+|(L*Om2A?A<6f+XVQO%9GM$O)Q+2M*d-Q7dn59Xp~N+FTv4#g)EL? zK}XdXvV4Lei;|{w_ipBxv_z>M#s?K)=yO!Z( zVqH(w=1M}1nnEYLG5hG=gTLfq?G(usOMEDh1dd@-*W^0z+KP6Qi(+E@w+OGUvNLjD zh)^UWS-+9%q`}IfW8n3XlfIrnW~rECJ&uKIoi?d^qZAQW-In{ZQ*6Kn%mCMw zyJ(W^{Yk!+$;S1wtk97OWI1?}Yx2vOUID`M9*@t^*DmnG3PJ;T9TrFAk=|yzyKAvm z8gKFrq+}^fiIMZtl=wlHW_dk1OhAAVRr>0kck?0Un zrDIsiF?=oz_1OoDVn6N_TPBZzHwP6Zw!xm;N&8i?C6be^i5gj)mawsFv+fkU3*p(# z$|8+UT>)VT&x|2Oz*aYW?|Y6)G0ed)=6P%i2?Mj7IO~ykh~ux_X;@U_y*9O!ZFEWl zEQ$Tt*O!?Z#WvSK^)lXw_u5#^+AR(?Qvkyog{aLSVVG$azz$Q^Eb}S%li&YV_G?ldKwRKos3yh&j=GdGuam&~6I-chJ%V?j$_LSbwDbFM2V5H!3%nCjX!T&OY) z96bvTH0-SURJ2!zfLR#PDbR9MD;yf?MF-FnHt_PERJdC46TSRAzIWm8!wf4ib;Q1u zVGdm7XAGEpIp*PFc}yd)=Fl01Uh&Q-Z_}b&+)!=o=J8fZ6%PLs96smtLkD0*dBOPAQVlwgld8PRAaaB|EF^>S2sq{+dh#2dJjn^xR!?vgH7s;DlPfmz^sS>9gE@Vmm0 zMP1&dKjeyT#{;Z@A#;beUz}&EI%SI(@|Fp26ohlwsECgM$Q$vdpGa6tcPoTttYV#a zOJB24+I673yM2$Sxx5{mr0OnI(S(lIgFI%~M9lYzzD7=OJHw5e&`F@1*}<|9lvw#^ zqKmXtk(XHOv_EvQXcITDY$ClF)W!kxY8}f}TSW}jd0hy+0#Pw>UfC8fl3Qdup}il9 za`?+*0OmIv4ZnaQq}4GiR?RI*uKGOlsY7Rbw$L`z507y zljS2&|Bt=%fVZQl{`lMwkS-tyQXdcnDS4MJolvD0Dbh;_5Df{0&`TZ&QUygoX&O3$ z2-5sZZ&E}A>C&Ysz1K+g|NEZ3XLj%Id*S5)0c7^`$>zS@otZOdPMb4l&V=@L|CR4qN1ZW1=4*QQ)Ge70SKR&xu zlMObfdzbIf!^R=~RrE*w`)LE<%*_MUWdr_X7-LxBCMJ;{incfv`ETJ>rzk(=-fr5zU*U zh0F}NP1$N>^6))m)EqW4l7;Ipa&YrSb_G7RclEM?7jWe*wq&lCQ}4IOs_!rAb<|%Xp&Y%>(aeYN1Xdnta2XRD z7eyL$g}-ZL0^1;vqHIkyks{A*2-`U6>33Me?RgTc1Y68^d;Tv@83x>{X9wO^kF*x2ZMkzUYWX)dbKoD3YLs|vW&+QIf1)k)DkkKL%*e0tsHgBpgn`1%F3o?aEJ?RoWj)la{&>4bpzB>ou6D4wft@&@%I zzB-3jCzPMWSHGl7>-E<=zerO)*#Q4*%CePmf}>X(gS#5{K*Am&iI2>#Uou`2;M$}j z4NKG=69i+Tqa&h-bnZNRl;w6dUfwez%Gu#GC!5)&i5hF5j)+Vn#dKVhyb?;#?kYtm zZMlI->}Uk8EnqUjAZ- zLv?rMBr2*4Pm4erq!7d+V!TY(J;T`lMg$T^S#R=@R_fl-nKF2f=80V&sY27{{vbqc zewhos<;6caHF(}RQU@3qHw#gDGsyV)4&S1ApMW>QE-LiNNdE|Yd`Ai<@UHSsK)^(TB8aZ{SK@@x;U|E})C{X=Ehn}JMIgW% z=O=}1`M!9?QYKR1B=6TM5gXnw$^0A}PiiQ`%mCkS@U#|42HFgDPYCWzE01{sOlARh z2xcR;qAmZmykIWodiK@0j z%G~lIFLf;hvk4zwaoieBlA`=wh_X>W9}>0QIz-*$7+>3`?fZk?GxWZ3)PXA)f5jQ% zG8jvz!LwiOsl9lJw2vuRcN$Su;qRH=bLlNPQ#gDhq2PHx%7xo0MO7Yz(1?2=j;y zRgv3=*TJhlg=+~TA($MQXQR0Y!0iAr5)X*!1Ixb+H_ikd?ym}S*3^?6DE+ud|3ZIm zei6(t*#P}D4QgZ4o)A ze85nijveLw5;k%v`Zb1f9>5?y=ldVM*?G2uAxC{z_-naeO4XEKvTa6(CAl%L1e6$x zQ$UCr!d8e`+<-X}68xrG*1U4KWsn=?vo<0PIGbR!C9%O3%#KQv06&CNPKJ}%yvEAo z9IB^~06X*EF~5i_UuFAIpbNz4Va8jCI1D)QUpMeRWIG?RzJ>n&(bXtx0olEL#g5E1 zrd+Xky#qf09DM_9DZi+N;`~aKXG-cLl+e#nX*OZDW-`{y+Y$< z3UTeR5*hPCr+Be&1Uc+sBWPm-&`YG)V{Z&=zOP}(6(Y6T<^5W~f&UONMq@FNet{69 z1>Npd5`ZlG7R7MQ5_PY?b8MKFTmTz@1U4*>@vXIRBKO;Q#YSHC!~9mAG!i`uOK&ff zvx_f%nlr2wwHs@`L{?Q5v0l#p(G<4W3hXAnwgq^FTy|MWzZugi<$>}8fDOO?BoggG zW!oV!{$2h*dQ#g4J5O;LwV#@4D1Dc<;2jJ`7^ zh36<==5}I3zSwmD1+>y-dk{=7Pv)0Vah1PfO)A@s3b2+jzxQ$4cmo;q zUA<|rB*EUcE2M6W05T9Y4w@%jJR1#&FfozR08!KzSggjg?ssfF z!~jo?1W?pR=jIACG6w}!D5|`rQ;2ED+KVdtak~5sgu^St*Rz9W{^2;Z#$N$Z#8a>x zVok^4A`rkz*pD1d!*x?a?4s_kH8 z?|(t0Q|}1ZDJ=dr`h!UAl{P2FH`Fgjv=!Bw{lZ?ukMUh?TT@6a1IIM!&ptS1Me+&x$Xv!FA zf1xkz%ME)23l>o+O%uZO`qwyIIOLCb*}x1%rzp8xSA6^rb=lZqA*yBpG|o6;)W?%( zn$@^@HsiQ%z7pu20`#&?hQ*BGj@}!f-uLJ?vuue51Zqh0AhDy6v0kDUiRukI7M48b+pRbopN5~#A2@| zgLcTx^2Fk^HrcIYPbUROH*>?HBzWRHuW&53=JMR|Mw5)Z}&0|c2MsLy6Mf5$SgR&Tnk%LMFVLX8R7MO7*X$Y~?aZmfXaFu%zOf3&0b zMZoCd<~AEU=k1c^kcE|0Nle@{ty8ZerSmNVrX7gy=@jc3^}fY)X+<#9z%@mdyQItzJ-AjfOQ zIG7Efkln7vOq42LHcVp>PcKo*FFArn8xxJ9z%exF#x1hfsP`BOjG{qwC06AHJyY)+ zj>CiK?Zwmz<-WYcnw`IQ{@NJYx)b8!tTqBd=GJ${Ujsp}EYl#>mY3Suh|u933eDg3 z`tnUufxHe$aLEuhf9Gh-?khjJWkZN;s8Srdy1_{J6GQT~|^r4~5FpM2#*IaaSs!@jRy;UTD- zwE*&`&#s{~%#Va{_kzux^;UD%+m*JqLvKGkdWTL923UY9xE@n8*(i-e1Ggf({1$M` zk7jf%+YRxXFaCFx<{?6qfpIuvdI&lP=O-~{F@kG->ardZSBWo$`s~3DK&X|s(SZ;W zB7%OZ{+m^K80b3|CUXd5I-31K-$)_AHii&zHJ17AoM>k(n!zOLCTR;J(LVueJF6U*H z%`O7jP}Fr-PEqK}tLW`_P>7v>nB4*zS+BG%>+{y-;>)t@@a!6BdPPt|w6nQ`y~}?Q z0F4_#3DH|n?=t>sG!pw=m1?j>hP|ARyNtRFI{P}71=@iPqB^-J2Se+TN>Ra$t^DL^ zRV+>QT6x>fCfjn3b51EfAhd&}0x)CZt9VuZWdtw?F#e5<@=J-UavR`Ac=Z(35p96z zb=(Dbti0l}ZHxV)4#r8qeGt4%-f!ly=Q+bF6>L}IR2uX}$${RlJ5(T(uVr*N=E_y< zB$T)@Yrk?%c^G0liBTqGm5o-n+lg!pD_b)Q2Y?9|De8W%^?pjtdjT&8IWoIhN&PZ9d>su+Kwn(E z6ZPfJnFBz?d@5v{Ua^Q)Ya=#`Yj$j0>2jX$!(-?#QWePy)A?-yZ;HcS$=Rm7j@nl- z;+&yI*oF^Hx4Xh=`ha+V=9&N8u6eL1%K9ZGq|kY=icAnh1R1CN4)pFrtzK$IFSQkzT^=w==o)fm!qeV%r zXD;@n9lG%ci4-%;o}{fUsDlVDLVq@pf-w@Eo4O9Ct-oFRY$qk>g}b??RNP?W7z7nF zsmI=3iOER*>RsVE|3$_`j``81;Qab75ZF(`r~h^CfaY>e0G3|dUg4>bzHA<%kBw{; zh$#;zIDTmLtt|}lepiTt5QV1SI8BaNtOs3GDxH%S7ZBS77bse{`HDWZ3Lj*s{zJdZ zqinRXFg4%VUpH(3_ek3u_9KM#y4?KAyW)Sf{Xd>pj|+^+2I%WMqOs)WfoLNqwxuM4 zavU-(BY0ADl+OYV7Tk^DkT3yjEK5La*7%OPXJb%+OesjZP3AHi%Gtoio7`M(9O{E& zMeXLhKeYIgUpkniHD2tWVgss&~cDyL6!Ssb=65tf8 zaet70GXOIvL~_R^b<+AZP8{3%kgwySiDo4sTMLx>qKPWVwfTkAtn9dj6je1jo4>=W zOXvzQOC-;yT`CUmfC$B)jm}u^jZ37Gy|KIZ*;DFp7GdQq>_=DiO94yB}t4c7Z>`%J17={ z{#bnCFcDL}cSpIw;vHrWOD(3P6j?nwyG0Gwms$p(vZtXfqN>>o<8&P!gN-}6@tA(8 z_aAHaJO(>FT{e5R5_(N6+r~z@%?kedvumP@Vcnr{iZ8a3Fvt2e6$AV$hI*G9H*SvF zWZmW&k^t7PJHVmhj0&?yu7vzric+s4+1Qdx4&QHzvhCf{AnHbnvgM6U<->hY{;JMr zF9{T-`JSsu$Y5N^_<*vn@(M#5*?XO{Lx09&CmM7OyRcW71H;|fA)d@zykaL8+r#Em zmrcNaTR03q1wzuc;l!BNmG3J;R9ID{7l#s>w^C~g_{Ng(7i)-E=Z=hE;A~HYm{|N{ zI%5R9u;9qZk!*Gpi(CZAnV0u|M8df} zcpYODoX%xe3JY#EHM%&2`#eLlnyGt6HJ1bcAv>je>j@t1-S zv~0s;7kV1NTL&c5NInEB8TsSnX=hYN3frEX#idP6dmV}kEubmo{^-OSC$OWn$6 z9P=Y8cL72IUGY_-E3FY>MLQ*79L~FAGBUNxsK3HL+9jb>5UmtU`Zg!*M?j`ra5`C3 zE-|*`5M^qFy%b%(4=`NAUg&g~_lY}F4e9n%$NXLJ*AO7YWr|}w=2~0~COQ=yl1%BN zOBZM!?b4>GkZqpiBDg^iR@lL@At-W3P*I9VmY16Ebm~CRoQbvovpPMpE3Wul<`6E- zk7@KT^SH0=eY}2-(!^A?gCp6Q8K-87`x+fyf{Czunc>{$(loTzVV85Iy?R5v z%syOp9b0XGr00I=$r=q$>I0E!- zQEE$uYG>;+&vnHLFJZXnESK6=ADc=14i%ox&1W}J=&g+HVimdgI?HKZXD*D+I{^a` zjiHlMb0)F^ZKd#$*#m9pXjORex!W|~-fXGn3jX!CfO`@s?)vAR?_^8qnhYM-@A~WZ zfc|CdHG?)fe3Y@E8uJ4@B#L$Z9hdW4>s59iv_nn|Jm5dpC>uK*1Sc%a?e>+nFguD6 z2ovUNOIs9mYmlb`58azS%JO1a!ut?;S%2r$yCD!VQ&)6EMfT31k!#Ee!I;H9 zyHvP|!=$8vxoua)Z;*KGKyr-0o+4(z|hl_$x2PIgc2E z>$OSjY2dHBUK-jYt7eS)n4PhI3;rT#9bYy%(`- z$ppbRlpX5W09oM}aLg_V{A`jGdB0|c4m&`k3nG1*Gwer4<3>@iDo2~}=dnj{Y!BMA&oVM}j2=x64_bu z5T~_pHWYP&aGb$*M4865wodVTP?B{ykQM$g`&<9&oZGqbA51GA;>KQB5^f`VtzR=T z%GNm!F2hqS36OK;%M6vh@1N(##AHfKS_Xu3HYqt&xe4YRA4kd-Km; z^D>c%AW=e^hj@klNeBaG9nd7^hHycn`QcIwfecT!v5`oEtDWYLM|CX*dNF&*#5L#mM*_TKj)mftqc@UG@d~Fn5faf9nN0l({{fL;9+uGbJ|C|SVTX=L@Tz15QF$>b zeqUh5ypFJgiIg{>Gi%V*&1-HSejPfqS0n?37SF6(Dfpwv!!>_fmnTJyvhc*gTgy74 zR%9sU$JNP(OYfjGamzGM@KWqe3eQRBgnpavWV>2))Iu&&mcUs02Se?M5=^;pmE()U2oBB~qKCNl5H^e*q%VdSX^r}%-W5_o-FJ# zRwn0*SLI(el#c=Tj%AT@fW)#*mj(bKHbR_A>vs;u+ej1n4`rsdbjp$<05e6FXCcFm zw{{%Hop*{M8y#+etbDpO7x>}&2Vm&zot&^)d5j`uR=CNsiQ@|9WQ}MMT_C4bI3GPg zU>7Mfc2YeCh7tlxsKKzL0U?}e8y^&F&~7**rRe^_96_*wqV22s}i~0dy_;#*c4)9Ih|;h zJN3#8Gju_?0G@Ekwww#1VU*e({pM?kvxz9}(wt{>I1c@WfMpGF1*iElRdcwWqGT4r z4=~V4bQ?Hj5JgELXc6NsH(K@TKV$}`<8}JjXuf#mazjn!LT?bjZGkrk{|4a#4tuyB z;Lb&)@QQz=4696UUA67%#eRg0I)t0&xgF>IdW|2COO!Uqr8mQ`U+s*G$g@&hX~(jR zh!6Dg&R*fqP`*>pZ+6jg$Va&+DOa}FK&9ioM_V@FA%ceM>k;aEmFA>O`8wki&<0zm%0_tVagyio89T{yX z5L3&h08egb(5vJr)r<5nglZGuZESv%I@nkVFj%nWBo7tJrOgT&s_X`8bz)O(xptTj z;E(23+lBN`M)}_Y(=h7o7k?b93M1+-ccX&%gz#fvaU0-SH|XC*+MEb19;bJZi)F7p zkEJkQBBQ``9|H~Ooq zu*qb8hXxC~SDr9ia}LM+){wgnP#K*a^T*dZ*~{^$1Z-ux#A*dPj3Yg4C^^ulaTaoe zP#i4td7ap%#OE+2e{qBhCv08q=J)YHpk%hA0~@_bAtaY_?!}rFHEH0MhtiCtnHj}1 zxe>}lXgFhKK3F>s@wYNRyrcHN4dqQpt{ntM99TSN#wp6w*A=EiqPy(HwlQLpdqiua z=xvs{al$hRHPv=BB)Az|>#{d`%=V;9+7GMj7OlJoF(|YEjH9&SFKvIyuhWFXFe9o> zTxq!@rW9ow7&{^lUltJsHXE|PVo?X9sL<)~{~Yr_U{LHm@{k;(@A@cT6w%B1x~%N8 zfxVDXR*LPboT0LlkYFULD3q=+AH3{{>hFm97wG*byv$x$jKHrugg>Sfr>R6G^_)XE z2`g~Q8E>|zncp8cgvYDz*~uUhB%xu6?lWxs0nA?l7;JZAalx&FaN$_hbw12NNVuSE zZX@7ryj~JFHMBph8x8vbQusl^8@Fp+qCZUCXn8T{Ty~Xmb#sdY&#UZxXvf`{{r#03 zB3WZYx`H5Ie4Eg`#$Uaq-e%_k;VmbwWye|_;OuauDh!4jHm{NW>?(;$17lfi8*TH< zqRaFI7Y^^y z=DqvbfZaj`Ze*0Dvm_x}7rpV{mH#87Ri5k5-)m4N8_@RLDN5bSC3f+aWCK_!f7GCu zv{Bq(SNIKN<)YHEVN&91C}syEMung=Fmb&4QD6hz8iaS^%4AK>u6yCZ_jSuy*V}N& zqRpdxP!znCh0WDXv*%&I--(nBhkt-9bc*}vjFZEaZMCUQ!TF`2eVHP<=?KWln#6Bh zq6$rl{sMJ#5Y&C1QZV7Zzg*a`ep%Ve)Va3VjSb4TsBo(Og0Qym^lvI$nOpfX>}$i6 zL?ySQ?GX#>|(1q4jwHkh@brk!z){ z=&7MsX(2UV=H{0+tmZn!AA~3>MM61O7OxilX4VN|Bg~DWidQae{^=C81id|

(%c z+(Tz1ItUWQH_x8pnbj{7mFr?dEAhyaD)3x3&>yB1m$l#1!qu!O6_~DR(-dU_?6txHB-azuP z2N6#oAh)XY{|JI&*^j(@HeNa)rbTQH#yw7=-;cybG7nD7^^pvZ1IE(AXoFWz+^17) zy~2@vbS+(Bgwe8ifQ{Ie1&Y)4Pe=UZ2UJBRH3P2{F`X%k>@nJj{B!fc+PGMlpMAL4 z^}n=0O}9kCaOkj$+cGPk*yOcpD0TT{I!8LX9iGl}+!ayWJJY!pesD~4%IA-3lDeeA z=5Djy>jWSRRhxN5m66S#^r8F>1hAwCz?R|u_`+1p10$yBmtESBXGbKk!cw^quAEd# z$VxZtK)Bnfs=dMvu9pQxj$UQBlFwWa+8Iw|;Q>+B+yj7WGG&o}&uyEA=!p@)+v;Fr zQK;7?+vYAeHj#kMzKL+~9T^Wh&D%or-$1sa?wk9Bt*tHV*J*m1+csbS&W?6sV;p6z zNNcYPh$7VkseOMdtQH#9%6nTn`ZK?N0k0}zI!~8@6dfpvG6^t5Uo?h702pS|ys`~D zp7IK(WPqoZ(cT~imZ-bcd{7pkOIkF^GQePC$egOsFn>HvzR4u)?TrT8p2ufI*oOI4 zVXbdC@LtMTFNf2L&ihjt?I#w&Ld7c>=sRzoSa9W1s+omd-044U{%FXF&6hQTHYocs zUejZPdwJaQ2I2bj)?y(&G_gb7DQM$V`t2%U1;?RPGfWNIi3W(~m=j}ZFuOccGm=uW zZJX0qt*zT=OW7iWJdR)t}`t^Quhx@fUg63ahyu4M-CaMy^N0|_G z8|9lcUO8Df@UJ-VE5y3ozp;~muaGF5!ZH$EsX;GaFwmhVY=RoiAzUoB<^58T9KyR| zTlkfUXdRIO~LD4D>=a;P7x^ z!*HmhMzhAz7=bRn;)G7AeY5a7{bRLV#657zcTq=vZ6NfTFJ)aY zE6cPP6iC$A{4xsYH2BeGM=_~W_j^(|(1tUx){wu2#; zSBbDMN2EAmQ=t*S{M2=y#xr_*^fQ<94^!b5+z1JS*ccSAy!Ahp9;^=VMjOlrgEplV zU8XG)DGLIbQ3G@cMIEG4CL9*RrE?E$IrUPZshgrff(tm(Vp^v|d-awYj83QL-Ew#f z$#yXSvkQs9zqt8&8pdlI*a1%u%W&lTvOzfv({Mpl1Z&y22=0?IGeqr=4gG**FEO`P z;T9@Yfe>CMW$|NFEY;r32oUqTGj| zWz=in=z87aGB;s|24R6H{}oHwgK5!3tV!`NmRgaaIv5pGD|I7n?GBwf+32&JM5lqU zCo7M##x++rZ&Bbwin5-=VY_AhNm$He-UED=Hb^Jj6EeyvfH5oe9Nr*-0mV}a%g@DRo`H1IRAboFs1>F z^#pt4PN6NL_ZkVAw*cb;Mp6F@L@ho4W9QkMS9^u_)NZv3i&y@OL_k)dKPdX88FKaV zeu>!f>${?##ySio5vI~%%~>724-gPSFy&ClqHckX9i6sD!00RjSqG@+9JCZ3Fed~Ex)vfr9~UK({Uf&=kqT)F)5zg(#E9d$;hD1 zK@>YA2<3M?(SGZC?aa}3&?m76KG3aKs8Mq1EF~$L>q;*aJ<>7d$l~i29BO*A)Hj;-+u` z*uWQsRis+-S-|%sO~R%W)qyOQfBq=dUa_&g_0`&J0DJ1fTrlT~<4u5*c~Hy()Ksq8 zbZFG*uny~A#A1=__C}FJA6Mjv36kqg_{S zfrdSaYF$Px;}dX2&uUK===Ck7@n|pu!)_#%Av5UbqYceCn5RFS5;Qhf|qv^@P>KXBJo9mLn}&XCy9qX zblawA%ORx8l_|{pH*DK{`jJhVzfhNpwydb*@F4H0UK)?I+=5`gJMSaafKrcQU z_Hit#E}I>7C0)uP)S4b=27o7fA`O~lzo9~gkvL99*=TAsb?v~7$pJ_FETT~^NVE;Y z0~|toYBz^)fJ0ck!ij;?e=(=SXCbD=U|;BvUC%8bKJ4Eb6jflz@8m zM@}}!z~*Puud>~1m>&UOY)moCR5EL8T_(r;r1W-jZhkG&Gn=y}SCHtRz_ByzHcs|tBbu~{CiUUs-PElADI(xb1=N|;{WKy(o9^dv-Hcsh$>(m zz?hbPm9bIGZvM=8F=Hdw;!{FY!Cz~rXq-IC=&ni|lm=`r8=ypo2A&V)R^4}*gutAw zQ|U691#y5LQ}437BgWL(oKp=kVlH-dQy^%mJD0S%gS=tYi=C~Q;H$d5v8i-r<8`dc zVT)~R_C_o;Eu(z*SkbA!dRW*91N8JXRGa281$yBW6U#56e8*ySvfmM89p#8pBD>LH zQf9_3U~Lhw9Y&vx#>G~$Q{u){!Z&SQS$6j~J3n+4a16Zq8hROj6sOl5+@=DKN5gul z9}4q@;ux-?wwagX5=F^X$wu54%Se%;3MieT?q}Cj`^AZJBsp9_=$#qM{GUKz-)QpP zIm4MJb>a@U2fy(j!C&Pc>ZM}-vM?5%Q+qYCzur%Z&Z)h6l~U`$4z*VcQ0h|Hq4sK0 zd_ZS+TZ%%NW@90iVz1o`%=OlxuJyS2P~Oh;pG&JSIwy;pZz;XdF|WsF9Z`ozVtfCiky?2?xj@v{X~wSF zD+R!1*~8$t_G%rKXxI#~_DWfbLe~AOfxx{QjnzuMDMU5a1X2Df;_#Hn4*m*7Z-_b? zvM}J?7CqJ-3&(@m^C31rCMmA?42L>@2*oiqV>kEOqQiZpO{S>QsBR z%U(( z0fwVj_2mv^klFYTZTXH}>_Y?NgZ|>L716~`hle?OueMRtD@&?O0^-LULThVBXy=ek z|J%9#eE;l>j=KU3|0VjW`q>=w@o+$`)+=}t685;1#k?&AGmH-S;2RuUL~Ket{>fT+ z0We4#fK}OzpN*Yxq`&!>z%Vza!xbU{?CZA84sn*vIqIYzjlm2*ilUbD=!f1qe{(sv zV3dqK%QjT?wz$BIG=iZ~RK_uGfHBL#4|VRTv8aIInE#PicseRsnf!`rpco*(2AfI- zB_y$7f^eR{D*A;VKuWQKsO_+A*lB{n6d|-yW56MKIJbKH!Oq1U!bd5fqrbZ%ZS3X{ zewza80|r?;6b0A$fWIQ&X_$keWZwb8*&VV6;IC&WO7gJ7?j6|N*MUDsD9i@J6Td#_ zl}?88La%TU6>mHX`f*nCIs$(ob-k*aB@umKnuj|Nap0}Zfq>!YjgE!qkZku-)aS4# zdRwzaHfIV$RB+4#vmkX@t)z)V)?ehM9-yv;c+ZrRGiV}&R^Wc#gI);WXKfJLdx!9c zjtzTiH_yf&_hc2XSkhVTZ_jo9#ld(9%ug;hZ)gT!j`4ZCLS@KD7Yd9Mr(decsi=SS zQbmO%5obq1JMi`%>IJ<&sRQr4e0IG;{3tNerv6GkD`lS+qQa4@E^Q%vvpIz0ByFIOnb@9`4^A<&Vm|6w^DteL-vHo`FIkdS6pd@Sgkcgu=4!U<@5 zj>Ki7fmU;>7p_@V*oeDgV>wK4aIsr%J`4ts99oi}tk5@p7&c;x>FelfL6}Sm0sx773G9WvOb^0Y7QALG#ZY@`p zzuFdTd>eU4FgcL~N-LjVe-n>|+b*GRcBD=S&GQY?~Nverf&{vLBW!9OYpAi+()|N@}HSIsIo?_R3}fLj^1(oHNqj>59%}sYNhNcX@Puf*_K>F?~xRBVvGCQtd!kwmM|lo z+R3JP#X9U&D=yev(&b_C3fa5E)88ogt9UiyoEJ>?{#L_K@Rz+G52whEGF!C2KD2Y_ zUW~R43G?uaiW_o!ejiD{Y&c!^3f1@AbUaeO8pqRRW{9k|>(h*}4>Lu~vcieodxdl5 z)(qAD+#FGHvCXi$(OA)jBT7px`B0q6*e`~0d)QrDH05||{?!dL>#f}uo$bC(6GT2d zBOvUb(-y0oqn~}FFc0h#3;aVy9d_3vohLdDwe+kF1hnj3j%ngX1Dp=!$O5r8L{_tQ zW8Q-)0Pb9LsrT!Yx(m+F3m}K2iLWtnCdJU4aur@a6{*k}8ga(oC42A_1FC3bq_ki`IwIP5r~$`ek5nq%hH+ zs<3*YiICdu{j#szN+CqH!YK~MLUk}$Qs>{cPKQN{1Wl09c!o9zPXhBttoR&3*Ud5h z>NrRca5FZrBhc4(;CF|xhjL@19Lu!b0FMp8peSo=&gQStjKSiA(qDsO)7$A8MSDeC z@H2zLu>_@y@j}sSFGEgj7sd+R;M~c9-y~MZUQTTK$go!9gHXXj%gtcRI)8S;wpYx# zGj=@V-&jLJrlOZwk!BWfhPPFK$lfJ(#79K!1%!uzNSwz^rz4_{0>b^lA#o|Uuk=VK ziNtM`kAeh;P|6XdCUWZC3lcn)QbfXIIue>_5FSN=UAQ@Iob3?qMS)*)^Hi}4i>h89 z^t-L|cQ@V9si@%U#X+Cg*_wA(FXGHOxjFW$_yDfVo`1CS+XB7^>Wyb27o~iHpnR~^ zi@_&Hkl7{eFVTAB{ET4Chjy3(Y`{cQU;fX|?>l<`iJX5AY`9}Vv{v$OERI!4x-23j zaW$A@;VP`JD}|f5Ky#l%xH{I?H?eR59>KRj8xAi47VS509z5S%%|E$Q?7d}BTtU+? znuI`r;1V2yy9c)fcXwOd-F-s>!6CT2J1nk?y99R+5?I_}VZVLe=hm(J^ZRbq{deos z?9TLb_4MhQbGm2dboY{A-P^j~c5xem4nCaq0l3neI1{V^mKLto*Z3S)XBJNRX?Mz} zHOCGr{XPgGO7j!#@cmo)&y zYntJpZ*2r-3GM|hIL5+CmC&!@w(hD0?$UzkA+@wypin}k(#>D~jKyeW*>N!<`P^P7 z+R07~mOownoG59h1_P09mi<|w7P&5<2a-q;oj<5T_Zk8Oij$LZ^Ou{5e zy6?)|5)ld$EsMygNEfO2=srMrV`j`QmA-D9_OSdlA|HOU=HL0GQRS*lAG5|2^?BqD ziR9IendlU0CyMylg=X1>qj7@{JXJuj#ML&CBBNFfab#37w5fV`@{RWzdcv0t7WctW z?d{-rXN~<1gJ_39c! zY(ltlyno}{sf$A!=n*v$a>@ORzr>B)Z#w1k?S#JCjVP24w~1$E>HK^Xj24qrM2uid z?s7w(1^TDB)i{#=)xLd(Mp&s07D?tHB0<4hRA-MrpRsV*dfr7X z3|4lk^X_Xz3ePSs(x?UaR=ReUXc#;$Kl{Youz}ea;~mHI=Gz?>vhq1wz971>d!3!^ zo_r~a;3~2MS9wiC0@ti2e|CzKsT1{$wGW~;S&D!U3KU*E$2lYx+w5|t)Qt1hS-pAA zkgiDuSX1EPF0(Ml#PM|BPk3YIFT@n75n9myRA-r4Wws(M0}6Edw3K@%Mq4kbP{yjUBE@*_cH{D?HsIyNlmJ(!@JQ#Y z|MtApHQ7HLFCM%XQ!MIkV?*<$R$kyc(6ZbD(}YhMt-ru*aP9}C>)%ITGTb-bsQmtT zA}JWuirU#vjJ=N;$q{6AGc-QPe0~*(0d4je-Fz0d#P&9*3foOXt&i@1k83Yf%CLe$ zxsIHefVO;3x$0UocVcVqDy#WEPmw3=Q>P+M8AO7KhBp~P?`_-Zpz2iAV==-(Pm=y5 za65%e&oUSjL+NOn3n-Zv4ZW$cF59dD#ZF3)ay-o$h}k7%Ur;jCb!bEYV!x* z3F{OQCz%DwrU7gXf6Fr2;`WU9`A zBlcm9a)c_Rg!7*>%x3(j2p$C8GXQ&4G&S#^g<)-v3^KG$$ov_(B!v^@pe#vSljy=8 zgd38o!Xhfd6ti}*m~4@s7`?y0ZcQ>)B(@(Q&?>*7U+kiCDt0k54=q5Jr;^>fC$=ms zd+FKXl0{~3s{8vZ*^dmFhD_qK9g^xUJQK^E$7_CB$S z9pZaD#3xRMpi|~H2k=tSyin3{%j0!+h8G5nX=>LKZgEKg-gQzokk|Rz7rFSwj5FM5 z+BJ1xu21)YnBVFw6k-GdR*qDBexiB(tVUBW>1ua}?1+vud^V^Lcrp96?CkyBe9Gv2 z$@R^>b>tJz?DIb6k9YZ7pYfN!k~OO%k~hSs-!(YCWT2Al8iWvU^-6y} z&f&oTWoWXk3y}7aJvR|7r+wUZ4df^Uj6Zwgu{Cp6Z zLTp=|a@k*`Y6pH$vh=A77;G*}_99&O2%{gcBV0>1khdL7XUs+9FdJiI=ulDI|8nHl z#HZXwvI_HD+K;a4R|o#O7|vNK+2 z>*iguh&xWk5W)f`Gru-)Y!T+sdj<8{ifbMePhF^M{3#X~$1B!6eDsWEatIjkdBUGO zXXS+F5D|Wftc8BNgtcS*63LK|APWw2K=bkU%y|<%cy_J+@$Hbg<@WiN`aQysm*sY$ zMbzy8#nu%n4AqnE-Jk%k)jeuzQBB9VTR(b%i*WIo^sh&Jtr%0q4%sMX;#2N_dV7Z9 zGqt*uYU{5YFfbVA8{T6BY^kGaB^(R-8T@SP#FOtW=+Y3kUWw8X%He+B72a zeu*ilL5^vsl9VLNJWT{e&+N`5mZc(yh&MJ*0lteK9^6|AuAH~AbWG|2q7kNz)Tw<} z>mNd{bIq;8wpEwdU&BLF{5LXa^^ecjdelEy>I#^$5*c)ZF)7$mhytTq?H*^*>zw5q9$ z;{GhF+H4cj1)umc+QfO$kk6jG$VjASh*wCzft%-96DAieABkNu&9TAiclIbAd`l-O?BN41BK zja^|zO_?NB^4OEHz0#ce!>{KPm6tk3OmTO0Ez_(k+&>*Pcrrh4@EbYq&S@KV6h%2GP$7@woPeQ*csKW=MF zLXIWjBAy&(bP_P_j`{tbhm-EL)d-#B{J$)odGn?`0zg*G_rqQvhw3ZpIYDT@pxSN1 zq=h;wI~N2|w$s(u^Iyr{Wdi8M-#nY$ZO>b(UEM8a&H_KcQ^INX$tP)cE#phl-4JpZ z2K02kb@lZ((gcmiNsxNs_7gjjzI9RHB(YRW&|9P4Toird9j0`$KS!P9fz<1Ov+aR( zY461UgU!bqeDF>@DPpYmvl)RCPX?G&u;aUW(97m&jHjl1Gh?x#X3m+R?baDQRLeVj zj${&i_kz@p&uWd2VsXM1qsN&<_$r0`bULBwsgW{DzI$9QMG=4F>|SSR4K5?Af0K5F z`C4=*ePf74ctb9UZ2&(lyj66-K=f%&v?D5S%S)WZ$0IBkJYLq#d^aqP7-aN!S@*m8 z8V6Twj8S$SMbUhEG}>W*0)iTm^OZeAG22^O$Q6AY&3Fl~F}PqJDKCUfcJ=yCrK;(LHPG^P&kxa5g+z!5DDD_z{2*SVmGuEJBR+j)4@;WsQqUIJ*Yz#@+VYzbpl7-WX+k09RrwT$2@G7wZ>QT`LLMmikNLGZPa!QVvQY*Nyxf&YU;Xm zcT^e3^a0_MbgK2S%@kd4yQ{yyaB?aPvaus#BpY-w_u*m}PmBtd$}|$Z^)EtKyG}td zaCV~tqaPbqLkMEBKwsv4dHhyt&EexxD)YWNUc!6`?>s*gKCA+7?MJA2AeT0pW1p1|&rma)UUrA#P*% zHg4Qr+-2QQv}0*V< ziU%&G=jRQ)4U{r-_*9-PddGhbxZm}6>gtp!?9fbv5f}XWUdA(KVza|KJXHSqcpC2( z<>A^hLEn4~|I{WKfQ*)D>HO6w(?#f_&ospgq84mwjD1wT4{=rE^XJ#0 z14~^zp6q^4qk!X|pzNP&JxYGIcblXP9871TUJoyp{|ezBp`K+i=7W%iCcn1w@PTvO zjzu!xipralmER-eFNg}IUp}Q+sT0{oF4tWKYn<~iESdi<2>H5e3!W(V)=D~IC^}MV z@zhJHYbE>}6ZYiei0UHWK8Fw1Tf_EzyXVTwCo>Nq>w9q3^=Z+XIQ%n7Ox5cH;Xkzk zqB3G5U$jpKi+#HPqcM@XwRMa2(YjBUYjN)FdVYPBljpXzDFdw5;XcUR;bRzI55`)) zJLyC9`XmSkaVXCn3*&uzba|AT+wpF&ujG4GJtMOVl%GC>l$wMIIGD30F>j1DE*-6AaI~47?n@$^k}S)75(`V1;fQhr`X4+ zEHH0D53&=@Bz5zZBmJ9NI^8l722pWcp3<}ac~9n$^J3nMZD#B(u})KT z>?z4iOfbgfenr>2z@U5VpwGVItN%1jEiO$Z>Yi2F7U)4=Fzi*L((&W3oy!%{g9M;Xf zO`tJ}P9_nL$c|5zAeb(luf~C0|0X2FXhYf|?v3zbIp~|L**F?KbCvR9`IyfR z-j?5tm3u?8x^@!7yY;k>Ey;cf&Dt-wyPqPy4Hf+`JuG2O5nKK9%w5On-GbKf;V2L^ zQMbC9Sh(7ZpJgJ|M49oqzn`>A;@bd6q+02>$LVJ8~n}+K@xeUB1@k z4L?XHO?d6atMovh>brcX@v**jSmDu7!jTgq0qm!RRU4 zk|9eZUQXe5&1^>n#46a&^B52q0T$Fmy+uHf&c7Y(OSI7Zq`lw&qOCiNVXf2G0i~Ml zFlA(l(ZEw2V$?j~KA%^$8h$Gr!K>H1Aw6If>spL-gi5ba`ej7wqOvK)zGlxT2b-vo zTk79g0GPjM#p9a?vHZ~|e8)P`X-4+*jz4SIAU$O%Zh0)x$zUS<34id^T{Ef!hq0u# z%#GcNlTNGZU)59+q2LuINmqeQ&Cz4FdY$6=+x2C#DzeM$%ZWUD2A=TD*UkP5Y$i0EK5qHX`l0{z!CPlGAF@S#(Y8?XlYgnG0@^y~S)M+OL{yih ze5L%mV>1k$#JZ#WQ!epPW6&f>sh|EOZw|LKs3eiQK_IRWt4^EwDe8Jimd8e-+%h$7)tD2L9c1|C$E1Do*BdS%FQQj0lt-VvgY0Ie`Eb%) z4ql_#nGB`n!!P_a9eRtTUa9b%annVe7+?5wT_YR9UA}pn!;EK3#4IMP`DuxyH6Cg>I=YfLhFN#>7!82Pu0@Dq&eV686xs<>>r)J5ij0V=Uf)imoChOw!}E$oA>qeJHB zb9-Upt#<}IuNj8nBd?Pq4+~23T_jn|0+Y`z@~Q>pH;AU2R(uBXMC|YVWXODmKH8su zQp4L@I7ei#l5yfewzeu$-aA;DH@9~2`Ok_#6gN;IVY%hTbd&;X4zNg?N3{xh^I>#BEs4?y)*^2?FBF- zEG!B<#B48vfh|@v&)2g%%?D8sxLhNDyyIb?{`Fc-1+ah^F#%N|Yl8a}IuQA=2(9WLcQ zIGY}DV#WovCk7UNKoOryb~hij1v@%TWj{`zqJ{Bx1#0y0EJ}eE7$O;JAzU<>08)Y@ zumLQW|0P#Y<#jX$!JM~xw+rWuZccGOS@bTx6|&WIFg%I_r$AwvXH?le(V#Su8a7(7 z%@OK1sh?pXgMZ3TS)0GBj{`cJ$()sT?<4oWbI1Jj!1UrM$*Xx?hc9JSOhRC8*C#VM zjK^8+16F+N<+b1?-&_)WW2L$yYBO*XjlNVZMjwZ1P&TkS+@{SVPlIl|NW*s-#RSkU zj3hY7Iuv~)>)E#3D)AflH@Ko*QBw;{baWcmJ_xP6xhvv2Q1Keh{;Bcc_-j!LRie+m|0584?o` zLqj_D<#%0tT|7&eEJ6es71Is1Df~kDzgh_{424wx1#qF$IKZ!Q%Gs}GVd1DRvdi$R z@4u}`IGM8l0`e6R{xi_1TlkmX%ajG;VmQ1wya_J1&4oByA^{|}^hiNX?A9@=%^z>@hJ__jlJLhuB zKGo;W7lYcRr#sFqzb@Q&63;F3JqrkET}PSyYbGpqI{sbQn@-@suQwN8Mb~{r5;c2p z()1RM3q`XU@3bDuUbXn*+RN=D{fssOZcV$MUb`5V33Zs4k4oZV^o=&~tC|@)160e6 z;YgKt;cB+S6|WV$3UTdkZ^6l*wA7mX@T-DXu?&{) zm@mYty=ViK2ITSmp-7D4wiBe*N^rTtFG`HlLg$XsThyyIzx{Sn)o|cv?-5Ph&irYs zhT7r)AC>O?vFlpZnE%FACc(BjS_eq2#o8MUb@*!LxN`t&!zWH8%o%+0Csu38sLQ;T zlKP&I$=oBpzjs46)&q0qA60cUtslGIj=hM97M!r89IhVuo zU4K~gvODH^!NkI;HyM?N2PU@?-)k@33A(V?=6=xJ%$pe07Tw}&-#+5S0R zqTKn&MT^U4;9^GtDeidL{5-!9>AX*Z+p7yx2o=&Rf#d}>8TlQHexH7*!I5LMwf-#v`gDe?3=UZPj)1d=nW@y@+1Nlhxz`<=42fh zWWsI(eTeFhb?b#vj062wx1bDPIhgT0nBXSPn|F%jILvNKOG2bhbO1qUkyO-YIY$U% zrOHAh-({`b#@7YT2X`NbWz%vohGc~g`r7;W}` zUUtvGSK(3EX8um7O!hwe2tx`bwJ*mAB^5^`f(9^sR+|UP&G8j(#5xO&ujz zmkqjYlnyTclg%M}BI2J^U3R9L1Wh#wXMSW)gmFExpD?dpNN2>AKJt6~KG?Gr-IRWB zE1Gk#7ymgSF#SJ(95bYPyop$vuU2!5&i+R&ZtI7!6!&jk8+aHEYE19ro=yG^2iEC$ zQ`9wmY?!A0*>Ov!DR%57S{-@yZ$Im){@{r$(}}}oVNtZ{a?o0R!c69|R|_8Gf4=jv z^pUKw_!|RF2XzuV$OZFo!0{0qpD6{kG6 zgd_BRxLr=m5GhS8F%INrNAcX%y4@{|8d*l_v@|W5+@s)Bi*KB$ekCv zu>9MvPzEpjxYa=mR!5f?l{2{#^OCOze=ytLGqsL*i#5o#qvI!47$2BrDye8GV2UEH z*_`gDE$-k#ybMbh6taHhT=#po#GM0~_5w@Cc&+9qjY33dg&t^P{@^#% zQT2=FIe<1xPP`oIj*!SI6Z{k~ceRRzmk}UIxNII#(smqoCfpqh;3q+Qr@D*dlPd7H zVIlqOT zVeR|f$Urx?@<=MU8vI`~qdZ37&cRC>U-oE!U zva=$2(I<&(ekiE|bhvC1vwKvbPV^XZas4#7G=*~k(78lrlvI?|tG7Qx$WIT7hQ&mP z<^D@@MN(W66p{B!MRZBtuX9ifB~>m?h%w;TYi-dm*=Vkz7p)d|l->V#<7*YLk_HhiD`i^9nRPk-vq&bO9V zS^J&pooZ96k@XBz8uHjnUNV6{*GhJdvFkcV={yb|&eW2xeMy?6<`=cjw<0;nX0PEJpa~$^*G{rMO{5_r)LH zsM#$4cXsX5@mO!`-J@2ah>}ueO{Ff*u3?ufL#ZYn&l&_x;t2Mw5=&9_OQOY<@VV0; zxG$sedk>Z=zVK~ap-vL%K2nPdirhgjN2jqgpsOJ7x5KHysVRs_@~M+Xix9J8kOLL{ zNAkx%olj95N_r97WzG~xfS@~UGY7dOtAyY>+vcjCpl4)E&m zI%KEsr0EyhA$zT|=?Sm)%p`PF^qCer!3m@o?M_wv^5zV}G`tb8D53Ooqj}~&9^yYr z9!zu|713LWTNvXMX4)wNt5UcLqC$v0uHWu0G%{R z1QMZA4a)Gq0AX&#%uvWZw1I8L+v&`IR1#r(s>T9W8HSJb`+sT_AvdaJGN zoR*$cUS2W!G9Av=^1}_f&VS%CpmW;i#o7o?Q8)`IB)Q!?c73Zy&$4%27F$m|oI}=T zjhv=?b9{zMx+MQ|8xR99-Oxio`Wi!KYOa=8g(F9TS2EznZ%sbVK)#)Jr+aQKwbH`3 zK`-q0#~VkT$DeJtR-F_c0qY+&7QZIr3PqJ>Kv}L$y%aPWQECU6XIv$vUJK8YRO#k0 zw0MoVPE%0De9;5Ly3c8Q*Q{yYmHTyikh&U{?6K=XzQvI#vJ=9ZmA<3UqR_h50;qmX zhZ2f18>|V=wZE{VId1VBr&iKP@Ny2>oBi1surW8=K5lT@8<)xt47&qHG>h41r0KRk z0ggV*;lI8N&kCKg%y(4)pyRpgw|q4%Iu5Wii)Uitu-`!Mu~9>c#=s@ypI_oxQb|+0 zSU)j{*@m10lO(oPzx1(IG(nY^lI!B<%nM?;X#cas;0Mq!>L1ms6lnahQN`D<#x7av zQZ(NK#j{QxZrywwUTj<#fF4Y>1-E{01L*EXFilYG=MDtjeoUNyTF7+vo6~mgCi+QK zRoS!SwO7Fb^GG@7dw%KYa2_k1V`qVi-)3$ulUP^JIXRc@Qv^(Acq88qXPFmvd{`X; zm1;kg#qbiFO6=RS^yBuqHw8@^)dQbG37EGmR(zA`DXY}#3qg?3l!f}~I5g>{Fd;rGXjKN1bgTBrB8p$=3XssJSB+no}{C;!}Rau1*JuVuw&oEw-)wsH-QsM~Ya$U_T?53Oo2>K~3RSvnEjs3G z?gu1HxBZoUV*WQLE>9DfabruqmvJLCOF;fD<3K1hWCB#gj_N=Y7=JSeOX#)E7ybI6pM z<(2G0|6n~9*zBL#v3I}MWVK{$_aH%`a~w5%zGmZD-bEl3^x)csY`QR7QCt&mIGNja zxMlAtm-%E|(%yaCTFW&FOn!c~UEz&ee0bTS7;mG57{dvPHqVz1pXV;NItv+S*>zn{ z49itxy;kwKrdqb~vH2RIOuf zuMPGJ)v(>Uh!1JdZQE5As^0am)*r4bD=IPeQ35DC0i4Q2B$PLmlec$ef!B(sqcCX^ zl1anCAqqQ@>;h1O=zEk*RPC)w$Xi(FCDiuTo<9BHbpGmb_b_UqR(e_8P4T@s|8X^d zYJ4jB%xTzegV{|F{rWb2{##ReQos0V4{l!xk6+DH^HV5bsjP*aJdS0}9TH-W5Pm&w z>J;kSl;d^2Cdbb*r;?IQM*@Nt)m|OW?bfO&kOv7~{0&%*%@qs(Fy6Kffa7)7um!{ZJVJqA)fYzo{mb+^S)2#P0n{5qHN=7+=C?h%^=8( ze)+uiibAT(|JfscX*(-DZuw{Ruu&^*$$3^dOBaU0{j$$y z+De;dcj0dzFTo!yu!=+VKzrt7rfGL@)iT5MBz+CVwqV=Ycr{<&31-WjT}ri|?G#XX zhmyr3E+tG~X9|&I9Rd^zRpBzL@)d^D{d>kBaO|d>{!-X^u$yVeq6Mz=KOu1z8Glv3 zHmVElSdYRwR^Ls@(6MNjXY5sv_&bX+rEjoR8IWjs-Dr1b9CGm$@jx1$su#^PCD02^7+8R@ym zd;1CK5m-VsS$~JrgB;}UbUPg6J~^a&A9JT#T&G?pvMj$Nu{B;{)0tE3R3sVoR=Z22 z`PiHnV-eRh9`jd?#a$jWJT%QXO3u7TzhaKan;^2trjsb5T>{5QZc+pdqpr%riLS$c z(lru9c!QMTV-ShK@$2Ft^P-mbP*h$hBR|8{lq1g%jBdMrcVwXjyP9ct=b8nm31XkLEXQG*B@0f@ zS~=ScT+bOw)3&LpiYH84GGdDtz;#_)lI$H4Z_P7qf|1Vuj+Xnsb>$`a8;QY)IUpy3 zukYOMP%1$8*~(;}^e4+&>#m$r$TM@$9*aR4!4|YR#mGMnRhZJS$dsX#8V0HxnzbN^bj`{)iXR7;sEfLM?mb|(NuS%wOM^Hoya+N z0|XnXcBzekFWt+pAGD0>o*W-_-+FJ(A!wHcafeFE`Ga|(I=7=Lc2B!rJ2hSNNIa(; zkd9dI;cM~8;7e-(Vb8$&4e{5|R4SZ_-2LK&ZU!HYkj;8g_<-n(A(w_U9-o z8FcDL{gbiVLB@0Kdi^B=v5MqlojEQ*K;Wg+UeqMggKX;=`OU*{+@R=Ic?uQRVs4-w zq^$Nzcf#`G)~wyM1`5|F~q;3w2xfv+B(NLVqS~ft@n>;2}R`4 zYA%P8Ef8c=o%5~9uQ|y&uS@esX`QmfL&46W4vE(Ai5=~PBSo*s2Q9GP)6+2@SyQ4X zploKyohOo5=khv?qkzglT=qtzB$s{F$4K`&j`m4zFWJ89?2$}lBpJ9@%0{39u{=ou z_EVhV*w!;vsysDEww(N0@OwJgcnLhcIe;IUHQ%3f>#O*m&^px~Hbs8Qc`%M&eADbO zW6FbB3OHs^!U8kz$4*G;(HHhzzADF?nj*ru{} z%?Nq>$1@au!skn##>3p%cx(|oEAb{bu7CN*NbjyR-iQtj)v33#ikWj*6b!iX;WBfWUNA6XQ=yXW$2|a zsV-J~r9?fk7HP{D4BD5ct1<>uNfU4T8In5rComwp8mwA9!*9b6!h{~WB5Yy0z`YOhB zX0ld=^s=hG!M-yHGBlPbohDICN~J#yn!OwP6m#q4v3erVt?rJzb%S{W+?-pvtwb|L z_SP~>l1MApircHhE~&ZsGX825l_k{nD_6llE$2=Ay@yQD@m0ois5?`&^~#Ur=!KX% z2gEQvFZX>p>UC9v=aY#{kpJdAVBvFBtyE6AbEgT$br#&t_h6S>trsl$Q7=hy`XJY+ z<|Evl)p)ri{~Cp5W$PO0?wvqlDVOjU32wJj(v2o4GZq0rd}HcVGtwQ|za3_>1QS>@ zATgjj#p@&xl3ZiF4R!7wJxn^CJpowwCx>$0mU=XXhR-hnZu{dn-bj*+TIbjl(yz+8 z!50JtF^!Ab&BFc-7@2>i6G-HoXxcdQ-3s}dk5G~W4D_0-K|?M6s~S-075A%!h%NZa z;h+_7O=Gc-@r-#!+9|QfbNL6(EOB7RK0N4qkp`-roGW0vApBYWKWzLHY@+ zB$cTANFzx5$VaE?B+MPC?0RN^VJeZWwbIS&>PkEH&Ht47Q18ye&(L2)CV;wW-$$8W zv2mw#hW?dFfi(yi)L5@ye9LZa9KX4&Ca059Uh|w*4E;F$+*o{t-6VDieh_OhXkKge zNf9G*-x*FVP!yWjr*9~^Q$_F2iB)5< z{t47Yu(Zf!>9|3RTH6MA2!sQzni_PxZDK&F$7~4GuRK0Cd0ao9m$n6(kfw8Ze9mfn z6E8G;$QmFNP23RYs+gsLqTE2c3zxnccTMGKxG8-^f0z=IO9Moef8ZK9qydiYX)OUz z#P)_&X3yb21-T))0h>vX!@e{0ow*DE&8s~0R_=j$2HvA0F4k2KB(FSeBs10vMm05i z0#4QRUQZkz!{iN~iuASP5e-zJLPkcB)d^~*dY%osHP+aZ+e2`_?7x68q zIc_4@uOTQNlFKEswDf_VF367?_QW)&(lCq6ANzO*uLqUO4~(;i&sI@;rCs1_-*AK@d(~ zA&<|iendCM&W$#iu?q6@VveEOKYwJt@mj4jDP)aBeK8HkrvAd7MxT8`{|equ!1AUV zj%#_a^q@ZJwa(IUThpDz%qj!@liW?>oM}OBRxzDoY;v2>CQCQJYo4?8c8!XW)igC=-A$L$0%xJj1kR(c z|EekSSQZ*s{?&55(7b33NjpOhCB-bGX`dL)3;AvmZ*+*vZC{Py@FV)sT&|%6C?k9+ zufjP8d4YC0Q2eS>hE-7HkpuWRmp=G61PQ|bp1T0IqhEth`Jr3~*n$BuS&6NO?v>Pck_Dt$=X|Ud|Qfae|u&RpiOnZy1(&tW|JRV)xdJt zh3upluiT`Vmr?a?xt+=xd`3MiGsSG^F)wJIoW96EFe-pUDB`1QvT|@=lImwjUfW9! z4#n!=?ltMwKS9j$sr=-A0ALBF zd1Zo&>FI?8M7w$#GR-%2{phT-^BAzl##=dPqzGx9yPH{2Kv;jJ84Skzl55Er+ukGX z?K&R;9(yn~D#^}-Q@=v=Z^G_=c*etH&>`xDKPPL`qoOR={u`o-*HK(SvrxFgIy*ho zp>=&oRTz1(hV~P~R?i{$<0=i18nA2E#>SlIqH#IY5qS%?6jWi}G&bjbxOLo`$*TuG zwH*)k9({FGkvPKmwafSvWBk@R#VAk2!ZJq34Yj#@lvDN9sxRllb5q-x4ScvPST|3q zBK}*Uao0dZS!LCLy-mm<=_L;BH{&qs!-u`QOLFet9{gxrXfO@q}+x^EQY()sy zWIXaJHkXf!fx%uiB5y)>j86OOXH<9&_z;sGJO*smFL~nOlHW|!5hXeCk$wj*OR2rb zRa3w%il*r;=5WA=?^GaRMVSH+EpYV?ieZN*K^uWj-F){O-sge$oA>@&FJ~eE_R{Gk znDf8d=bIRFeXR?DeYj3)d&{ft^gSkt#Dx0)eRHF42wM;|0n?j*`a#z33C~&+M6cn& z+;F>Vl^z9Hi>|CxB$onS@iODC5>bflKKoYJADUq~^|S=L)C|6~_=%F&2m(<`$5%p@#D^V!sN z5dFo}^n=pU&XSac;Q9Q?W4IQyJxOeWPTh$kQ??D;f`0j%H%9OGNz=;{{g_mn2~&Rp zfw!J{QwMDWCuV3x(0%k>PdfU_SV1$>+Y$n`UBX~nS|N99$9Es2LI>fy{hzv`W{kq5 zmhw-YYEQnBl_7ZQ>atYHy{&|~o(Z(*z+l549K{u_s{E>!0p1>a0XBC_z9scwxZA4^ zeVM`w=c1{G(~T2ZO13g%?l+?`bk$Tp$topDC$z)W_n&x^oji=jVmAM^pF(&?{c&YJ z`A4KH4b&4-96Dx~*;Zm$<3f(3!*|IzYkxr3JH6X6=7R;JN|axN_Hn14^wOP9Y0pvs z!;!j?n|ZX7b3QR~*PD`K6mSWU{6_-sSH3OPSIz}Ieh+CQ6X@-0p2aCJH63s9yf{&B zG=Vc_Vrm{8eotp%R9|<+>T?fHPQgO|XrRCBGWc<&#rbAY3xWzg>Kbj_8WEdpxvNi|)I-Lzb8avgHkdeL&#zVI5<>(${2dYs87 z+6|b2w(+v%csNdHj^v`hXxL0Rbc+5X+fcQtYH#tG)%o(oE&BRYFinDAN(Jojnf=$v zjQ6CW^#NaHEUhHXT5-<%QZRAOjjr2(QmBx!^qfuk=Edx?sDZh3zXLzBm0aFjDw~72 z{hm!E>G|U{k2aaQFk}0YkHuoe%}ZS=BajZ39Q>UVQqGUv795wfTbfm9szMFaf9(3{ ztU@$rV_I23<}c_ygy)~J`eWtRKVIXnrm<5cH)mRIY))2zzl^hDyvEQx6@EWqf38pv zyIW)yRp}0atofHJ1A9lYx;#0Ym7qFZE#V-VMV0Y|$Sneub_;lwXwD-@kl0+mz#Eqj zmUJPV-J@?1{YGbD``q0`QRAi=_nZvMDRp0BE=b(&o!KWN*!ZWbaEJQTSoq&z6g^oC z=czVY?(+80B%D$&(VWxDTyGq8@}`OPHN1jurXn*fBd%O3RPvL(G@8*|u=APpAXY?5 zIeu>RugAq9*G9sB(7c!rI3C>7ow_QQl_*BTnxSh+_IUImqy(s~Dh95vOFt5@0@BZE zXevFuU4M<5x^8#K6t%OwYSkH-_zRX;SB3goX z&pt+S!E{wIm>INYre=pC?X-HW%`;=Je*6f7zhN^Q=4Rz6br<`{=8lr`K>P|O{ zwc{!Z4_VhIZm8Cr#T~@I_E_j7R4yKYh7;fD#In_SCZjTiN+Cg=;lHY1#(H{tVs!X6 zEz2tGa~!5doaAEhc*#W#CK9yqF8jwfh!S?3v`;Q}Ax(!8!4WfURjo^5V0dzXkZG|r(c+a7Jb1g)**HYkCbJeVDYre5qyMyO z-&cz0CeC%_;peMdo7yqOU*>%kMgXQ0*^9 z6gmW(H$S^bPR|;Kr2xw}$My{%Ed|l&xRwLuyb={*Z6h5>6Z+%Rml`zD{n|t}{oEF2 z$fEgRcYQI2LVm$Q0oh)dE5d8h`WrDuzTdS;Bu8-l|Nkrvm3(Yl<4*-JtIMU0DmmY) z=90L^-77lUMU>MC_RiYGL~*a$ExgxdWvOb%4Lkd81cgJ1a}bi@>FVk zJc@V|5rO&bfTNtjVL-B{$N)5Be`3J-lhV-yGDjC^VArRyf$S#JH^ zrFj3ruY<$>Qy|atco4TS3V1<>ml&rN%S8?K8W0?{FxvdyDUgV?BzRN-1itnu?-I5lU;Sswd`ITSHWeqA`RRs;Idws)}f-DKXDtR#Q=- z<{?t^5Ml};5q_TU`o6zE-u13`eb!m`-m~}GXP>jq`kcG=J@@REmBG^lB@&ASOXbMa z{XFJ5T$bNGNsG)@hfMWAC(wDoM76JSl7Aa+Z<;cdYqc$_bO?y!Py$FE5;V*+Tk7>d zs7IszaRo>FIa$}bs{EyqwdcG8zMKd&Ro78Noz-z~1vl1DK)b58P1GrF@sxXAz6m7) zJkDQ3&3dS|T~%oXpAt9fMYp4T9tME-PNTF9H!a*YmsUSDBhK7F`J?-3*IW)|s>z!h z$DSn%sjySR!jzgClmnP8awE{VVK}{MaUxHB^A)$Ln!%F_)T z>yEfb_*u_7la7!h&9E%0zN#ah+(`_oTY&ylAw&ok=wL8LK*yH*rxeA_c?55s}50aAH>hvHt$VR}8^}vLI#q#a-dJI41 z-1S8bx4k(v%3!@Bq1NVcu!fGd=BhWGZ({UP?Q*B7B%#r?C*`SaRmzpG*=!uMuZDB0czRdrieeTru_g6xQqMrAD) zjIr_3JO`6kTIwj+p5R=N-*H~_cGJq9i;_|bKkBey&&W`~-YZ(?CG+BWno?Y;_D-+2;zX%y++g{wfCPffmcXFMIukdQ{6 zZhDqXm)LwxfR~eL0T9hG^@pxW3t03>9Q3mwmrJ5V-%z6Wv@_HHpH<(Ay85*FYj0)g>pxk2hwegURoS(cdxRX8KILqMWm8fjqlV7@xb9LKt<~<>jSjSNJs=nYf zg0DkGA$W8YsXAegLG??L@*xUZb+iRuT|IG5gV-bUV^TTVtjxe%kz7j|>mf=~h zIW@gsv2udWLgu)dw+la~zZrI6L{EtJX1vDLANxc;1#+-(RW_v&<)+zcKVu}VXfg9_ z?AwzaIdYdEcKfojY`aC*`KJ!taf;yj!RSDzMCnRP&qs^?$AZQEQ7ACv?uG0v9)Gdz zClgZMaTMjUma7LIrLKy~b4IHYU-b%}HVu;Wr^ineYU)1sU-rKzXzV}TlWOiL=jS47 z9ujBcla8>_%*-t;?y}PX+2BIa01`K0=RM^Grc7arC@~t`f%SzcK^YN|-Ueb;U)XYd zMkC~VKH0c`G*na{l&egm{gYaiuE#A278j!L?U9sLzsk;ci(;< zDo$YXVyF)5x&eN6$(n~B_@lz?j|sJ~m>m+WpE4InXhi%oS`YY61qz2hOk3~oe2mkx zgH#G7jh9@^Do4mwe9X16UapTrZ5_&FYoo_?ikrjtM6zqKsgnA6fNc0hfWws2{^v2Xggv=88-=A~s^(IEyWY?uV! z3vg{>GxjO{skr#$fuov!BzT7fZZXaL>Or-NnEwx@$5QGMU?VRb3mdm~&8jT+##rGR zfMjX);j$SW!l;`+z;(^-cl7!{de^{}4;kx!Otp6{K6jAV_)AbGN8rJkGLOlr8U&Ns z@Xye^W6>)o}`NlMU3#jbZJml-%YD;Va*W!#sDf)ov zYkDoqpEMd@wvIZi1GN(T*a_D+{{9r&*Mfmvy|sUxXp@Yyf95rQ5E1puEs$Wwi-&b&`)S6yP$Fo|BS!y~jnGZZ%#oDN|j$+0nYY-ITT1f-26E zm*Fub09)pMX^?LCdn~7*a2r`>6D7;rz|z0`ci#prG&Bi?i`J zDTUJGP@K6gFt$Jl{d9sYsAvINZA7gdZ{j7q^LcVeNOs&*LDaFqPTz+;cv5yq zx6hsz3VfCS~DjiIk<>YRW#9b zuC!mV3Qno^DK6z_owR6RHiy@|l-(6}`4e-I@S<9=7O9wWVB$zR=DTGVEl~<)KKFrC8Rt(ZEcW=2(ZVP5}63%!WVNL4HjfQuB`(a5UPZ)5o)|9j>%=+U!_1H`L}3#BHS zI18pP0#uSW!au~4ctV^VX6Dy4&Y?4&g(n;x8p2c7tTjrghnjK%( z;NqZVIXz7u4?R#!f+F%nA9P?ldib003}+uj;n4YYtq9k3Rm>j><`i#Jpg@B0M(trL4)6(;d3dZx|0mmfc1l4$pH z@Yf8blSalk~FkE%k_4bh(7iX0yA!${0E zs6iu{+nzu09zRtrt8&f#jS`yI-rk%V7sUG3u|y+tEB8Ay)_iJf21pOm9rJhp+x)u~k&OwKl4p7maQ0 zZJnfFmaKKodsFiqo;UvH;O%yjJtLB}CSHmDz{NQAT1^3HQ2ON3T8v1l_|>!PqTX6e zqdI(%H<;Mh(1ppvbM4*Fl5X-@O|BXIWtg8ZxHZJ$b}{%I2DDX7t{mO83oM@)7g!eP zzP5|NpUdiGYQ1j*w}Brhe&c!Z==pVc)H9sJXOprC*GCntACxayRstW}gqyVLg0t{! zt%FeQ+CgZ1=-`N=yOpAyV{zf}cRAzw3J&9^sQxIB+Js9Ndx`X@Qgvy4LSuo3P7O|P zBGqD*PHE%tC%;nd{zE0lq_CFa?{P8Gw)|!pk0D}s|6S!twKR4-in4W^q(d5q2E09D zu1EdRiw)D*Jj(MaGoy#9<;3Pxx8>=>>nO4tm>U3*11n={fG&K_zQ~fVfwSK|)qJ$x z0oAy*Y-snf=5=g=Y-thfab8{HU0zW(gd0T5LwhDnQkd=u6aPq>`yDajw}N-{ah8`J zqBmwu!X)pcZb#FZeu`1p6V3c<9HTsv#27AlM=7A{<}1Nkz>K)$P!rDe=9(li<<6b4 z#N%{Z-wJV+KU9>L{m0;|2Gkt!WSsn1v9ftfiPRcMNd_4Tz#`l6D(>2MtuCFjg zKJPaRYuH-OXP2LZOOCr<#8MvoS4`xD^cD*n0?MOq$My2u=y)qqc<5-UigYpmtbP1A z6W?L2g|+MA&i_pD{ZZ?U6P5DXD;#YQ{|HMc@XP{*t{16|jfRcsRVu#UDCRxxYyBw( z-$3~JrIywg)YEuf;agz1u5B@(%GxS$6_HvCl@z(r%GUaNW!0@l)&B0L+0hsJtfu^E zcWM5@G9ONOXm0Mba>7q40u9oI_nMvsyhVN`kpr!eQV@T-L0s;@FX%lOWSon4N z>*Z%ZV^9|;k3m-F^!JLS_j6~#*G(YIMJmyVJTo(5G%t6e^xL@r`<8KsMu5EPA>!4` zw-?paHKi3oRwvU^!$KZteiL+EozNU=U2`PLk-4dHryi>lIpy4w%$p2{bV1?GZ4Q$L zP?;elGqq7Q5)pI?!i|W9m z3F^?&x}faE%SRp=C0q4j6+M7!MiHI|KCQ_-OJIP5I!?1OH8&^7Tj^Txm2CvP36l*e(e#3WYf zPv!mtFY{FV8f<3K#Xk|{=lU99k{2W}ufNLH zo%KOcE&89MU?k&KEXfgSknIaU(=S^QtDdoKr9bV!eS4YLb3RryfKTt#TjK15xO*9V zhTTu&uW`Fa@Dq>uL zB%2-N(?1&&OkmO=(fNCTcMCBj$}9)GlzhYYAfjah53aNWnUR39{w`T%s65%J8OpP;!Vxt$^z%nE`+6@h(9-ukBj(@II9(T*jeKk#dkH)zU~Gow3Eq)WRHz<`j@6 z$*g&iyI)|fXw_xZIB)}_upy5??#9cU^w}2)@-=c60RlGo6gHd?Xf0j%w5ceD$T@$! z2UZ)yElOC5Cw5vSs@_=SVN*$6o|xEV}iT;eZ@0@Qr>s>ZgYz-%!;;l06GC? zqe%fm>@Vy1niF>}Vjn+3H%P1N_E&UNO43SNJ+Bfej_JjH0LGl^);ZsLmSBE=@eayI zvd^cC>q4xu)(b0cF7cH7RyEZg^N_K#2Gi@HH$tlaeCE3FSY#^wf%+XcUHD~=PWq{= zt|#xP;aFIl3h87|y7-QTl^>&Y{5994Hw_E=ce^DSbL0~lO}A<}JX0p~1gqD=6ZtBO zi~E#FcZj;@ojcT5^UeqmuRaR_Ub+x2^cn^ZV}ShndI=)(C!0DkEp#WTwn|ZWY(B8K zTp1yKt|GZI6#nQ(9>;rq#@~g;&qXFUawK*U@72YEl)S(6O=Ug3fJJ(^ODD)G^>u~fu6{QgZ?WEg0ZmRheB)4luhKODWO#u9*Ro8d= zF8Cxn_uq%_$^jpWC7b^a(%<`?EB!R+nPd9v4|?~5>Qu$$4o76y5xa0D5P1KUC)RPD zNtvIw3GwnSt1ocmy7$Gpgp@70i7zo~B(;Q#{zxgDH;w<^+QnJ8=jJQ3tj2l)ad1S*ekAk%o<J6xYvm0Q>T@%xsc=T7Q)jqr-p>B(^#u2{2r zb6b^*KTbnKK&gFWt}3v73lnd4!vBzIR$il*WX?++(c4Mg{SR_woX--cXvT*V01^Hc zjQ?x+{Wcp;UfSrw&!Y$bEkcfiAw(3G&&C6b8OJBU5W;0Qim`_Wq z-^7FB7?(=wPIQb}#Y1_NyJZqIzlle40ODtM5;AfYQH?5T*)GAe5nyBit# zMmaY8Fb?@xpxoN)8<(VoIj{;Y3w|`suaO}J|5-2Zxt>X@e!kAJ<rd zMl^zdA0pY?|0Vaz54rWqDN0NyI5TuQfJfj1^AcUdGl$-$f4l&f8Gix+L#n62Y2*9& z$e;$jKc-psj?uFu@~liS;XYw{?!Ae9v%J}aH|`)%>vQAmDq`6eLA2`fZxc z;=p7(wGvgL)Di+)Nyus;tjpk|X7gn5bIW+dpGwbO;P2Iy+4`OdV37+p2u}DVvrTEj z0Ff@|S%Z*~2F?DM2plf6O@pe`hO8OC!QYKe%&A!=1>>yrwMU%4WI0^u}(3>xE&7_i$urjEm zNj7mb6L3!9)7DdMhH2?XrmBLFa$QrZnGJ9s*T$owII9N~o{G2pR2ER2a zA~aSNLeh3P1~GAu&ng4xj?ovHU?Ude+9FvplF1u z9i=<>;&1!`%{cvAT^5HKx&r=Oxt{y7C~bY^64RZuNn^-(3@PhY27K_}E_W*3dT611 zkUo8N-1A@+2`_G89W|WA+w@%??I7+9huBG zZ!O^B0ZyYmkJ;5a-E|LI-|);vULHIjxnyVlzkiFev4IIAWD^CZqzNmShrvGNOfS#tin%13%I*XpprbOSzX11D1Uh4Zm zL6DKixfNfG--K(jnZI&&W1xxhitK&^H~N$N{jwkw2H3NT$ga8JBj;xA-%u7*ivh}^ z_bc7Zsqwkk# zd%7LlS*mJmHz!b5Ws*!v%L5#H)jIxZ&rg#;>$2`2xJs@vLu)xA}bbDd+)J z(ufTHF*gzuO*TeJ$Ns@%TT`JP_C>|R&^#yW#cP2JOu@7qQFKeRfe-Wsl_+fL%`(k` z^?4k0zru?*n#iLoqa!mSzY;WbcgElLq*9!ONx@zoK7gA65JR{pA&CLE!kL{xVQLS$ zU*ytH3X&69T7gD*aRejPEg^~v9SfgHS6YmAg7?sS3TCA8&ro~UEK2%q(isB{4b9nE z0PUNUR%1>OThHoui5~CQBE7%7WN-1x+>Cn4oHpaXvvjEU*?#90;#y23EnmVdU8g6T z>aVKHBlwkd)do*faz0O>U9*GkaIUp_hBl@= zwJ>@4&Ty0vrqto>%1uKlgT^#JpzTIq@RDrUJ-*jNKd3fePZPGTzc66XM~*5kunoht z8f3PG?fTCcW|F`4f%bv6+hngceu~Rx*C2w-mE)^)9{pnGAB*3s$r~h}+-M6_veGh_9cN9g&xw?R)*C+T=Yo>CXriFK~aU-*&H_Kxk>V$Y^ixg z3$~+_e+zwjax?LON?Rs+yLUBv|KJs;#LGIaCxU!2 zr8_v;ThGq^2dx%#p8x;= diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg deleted file mode 100755 index fb6216a..0000000 --- a/cpld/db/GR8RAM.fit.qmsg +++ /dev/null @@ -1,38 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1631597728526 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1631597728536 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1631597728586 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1631597728586 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1631597728726 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1631597728736 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1631597728876 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1631597729026 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1631597729036 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1631597729036 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1631597729046 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1631597729046 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1631597729046 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 418 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 94 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1631597729086 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597729186 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1631597729306 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597729566 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1631597729576 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1631597730096 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730096 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1631597730126 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1631597730346 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1631597730346 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730656 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1631597730666 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730666 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1631597730716 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1631597730776 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "544 " "Peak virtual memory: 544 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:30 2021 " "Processing ended: Tue Sep 14 01:35:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1631597730806 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info deleted file mode 100755 index 554e4da..0000000 --- a/cpld/db/GR8RAM.hier_info +++ /dev/null @@ -1,220 +0,0 @@ -|GR8RAM -C25M => SA[0]~reg0.CLK -C25M => SA[1]~reg0.CLK -C25M => SA[2]~reg0.CLK -C25M => SA[3]~reg0.CLK -C25M => SA[4]~reg0.CLK -C25M => SA[5]~reg0.CLK -C25M => SA[6]~reg0.CLK -C25M => SA[7]~reg0.CLK -C25M => SA[8]~reg0.CLK -C25M => SA[9]~reg0.CLK -C25M => SA[10]~reg0.CLK -C25M => SA[11]~reg0.CLK -C25M => SA[12]~reg0.CLK -C25M => SBA[0]~reg0.CLK -C25M => SBA[1]~reg0.CLK -C25M => DQMH~reg0.CLK -C25M => DQML~reg0.CLK -C25M => SDOE.CLK -C25M => nSWE~reg0.CLK -C25M => nCAS~reg0.CLK -C25M => nRAS~reg0.CLK -C25M => nRCS~reg0.CLK -C25M => RCKE~reg0.CLK -C25M => WRD[0].CLK -C25M => WRD[1].CLK -C25M => WRD[2].CLK -C25M => WRD[3].CLK -C25M => WRD[4].CLK -C25M => WRD[5].CLK -C25M => WRD[6].CLK -C25M => WRD[7].CLK -C25M => MOSIout.CLK -C25M => FCKOE.CLK -C25M => MOSIOE.CLK -C25M => FCS.CLK -C25M => FCKout.CLK -C25M => Bank.CLK -C25M => AddrIncH.CLK -C25M => AddrIncM.CLK -C25M => AddrIncL.CLK -C25M => Addr[0].CLK -C25M => Addr[1].CLK -C25M => Addr[2].CLK -C25M => Addr[3].CLK -C25M => Addr[4].CLK -C25M => Addr[5].CLK -C25M => Addr[6].CLK -C25M => Addr[7].CLK -C25M => Addr[8].CLK -C25M => Addr[9].CLK -C25M => Addr[10].CLK -C25M => Addr[11].CLK -C25M => Addr[12].CLK -C25M => Addr[13].CLK -C25M => Addr[14].CLK -C25M => Addr[15].CLK -C25M => Addr[16].CLK -C25M => Addr[17].CLK -C25M => Addr[18].CLK -C25M => Addr[19].CLK -C25M => Addr[20].CLK -C25M => Addr[21].CLK -C25M => Addr[22].CLK -C25M => Addr[23].CLK -C25M => IOROMEN.CLK -C25M => nIOSTRBr.CLK -C25M => REGEN.CLK -C25M => nRESout~reg0.CLK -C25M => LS[0].CLK -C25M => LS[1].CLK -C25M => LS[2].CLK -C25M => LS[3].CLK -C25M => LS[4].CLK -C25M => LS[5].CLK -C25M => LS[6].CLK -C25M => LS[7].CLK -C25M => LS[8].CLK -C25M => LS[9].CLK -C25M => LS[10].CLK -C25M => LS[11].CLK -C25M => LS[12].CLK -C25M => LS[13].CLK -C25M => PS[0].CLK -C25M => PS[1].CLK -C25M => PS[2].CLK -C25M => PS[3].CLK -C25M => SetFWr[0].CLK -C25M => SetFWr[1].CLK -C25M => SetFWLoaded.CLK -C25M => nRESr.CLK -C25M => nRESf[0].CLK -C25M => nRESf[1].CLK -C25M => nRESf[2].CLK -C25M => nRESf[3].CLK -C25M => PHI0r2.CLK -C25M => PHI0r1.CLK -C25M => IS~7.DATAIN -C25M => RDD[0].CLK -C25M => RDD[1].CLK -C25M => RDD[2].CLK -C25M => RDD[3].CLK -C25M => RDD[4].CLK -C25M => RDD[5].CLK -C25M => RDD[6].CLK -C25M => RDD[7].CLK -PHI0 => comb.IN1 -PHI0 => nWEr.CLK -PHI0 => RAr[0].CLK -PHI0 => RAr[1].CLK -PHI0 => RAr[2].CLK -PHI0 => RAr[3].CLK -PHI0 => RAr[4].CLK -PHI0 => RAr[5].CLK -PHI0 => RAr[6].CLK -PHI0 => RAr[7].CLK -PHI0 => RAr[8].CLK -PHI0 => RAr[9].CLK -PHI0 => RAr[10].CLK -PHI0 => RAr[11].CLK -PHI0 => CXXXr.CLK -PHI0 => PHI0r1.DATAIN -nRES => nRESf[0].DATAIN -nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => SetFWr[0].DATAIN -SetFW[1] => SetFWr[1].DATAIN -INTin => INTout.DATAIN -INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE -DMAin => DMAout.DATAIN -DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE -nNMIout <= -nIRQout <= -nRDYout <= -nINHout <= -RWout <= -nDMAout <= -RA[0] => RAr[0].DATAIN -RA[0] => Equal16.IN10 -RA[1] => RAr[1].DATAIN -RA[1] => Equal16.IN9 -RA[2] => RAr[2].DATAIN -RA[2] => Equal16.IN8 -RA[3] => RAr[3].DATAIN -RA[3] => Equal16.IN7 -RA[4] => RAr[4].DATAIN -RA[4] => Equal16.IN6 -RA[5] => RAr[5].DATAIN -RA[5] => Equal16.IN5 -RA[6] => RAr[6].DATAIN -RA[6] => Equal16.IN4 -RA[7] => RAr[7].DATAIN -RA[7] => Equal16.IN3 -RA[8] => RAr[8].DATAIN -RA[8] => Equal16.IN2 -RA[9] => RAr[9].DATAIN -RA[9] => Equal16.IN1 -RA[10] => RAr[10].DATAIN -RA[10] => Equal16.IN0 -RA[11] => RAr[11].DATAIN -RA[12] => Equal8.IN1 -RA[13] => Equal8.IN0 -RA[14] => Equal8.IN3 -RA[15] => Equal8.IN2 -nWE => comb.IN1 -nWE => nWEr.DATAIN -RD[0] <> RD[0] -RD[1] <> RD[1] -RD[2] <> RD[2] -RD[3] <> RD[3] -RD[4] <> RD[4] -RD[5] <> RD[5] -RD[6] <> RD[6] -RD[7] <> RD[7] -RAdir <= -RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE -nIOSEL => comb.IN0 -nIOSEL => always7.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMSEL.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMRegSEL.IN1 -nIOSTRB => nIOSTRBr.DATAIN -nIOSTRB => comb.IN1 -nIOSTRB => comb.IN1 -SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[1] <= SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[2] <= SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[3] <= SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[4] <= SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[5] <= SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[6] <= SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[7] <= SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[8] <= SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[9] <= SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[10] <= SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[11] <= SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[12] <= SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nSWE <= nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE -RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE -SD[0] <> SD[0] -SD[1] <> SD[1] -SD[2] <> SD[2] -SD[3] <> SD[3] -SD[4] <> SD[4] -SD[5] <> SD[5] -SD[6] <> SD[6] -SD[7] <> SD[7] -nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE -FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE -MISO => WRD.DATAB -MOSI <> MOSI - - diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif deleted file mode 100755 index 6af5f23ab6032b8a57cba665218728f39b59e0e8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 438 zcmV;n0ZIO>4*>uG0001ZoNZCTZlf?1yj$9Tu++=$0cs#1a-=CCUJ={{LXQ?PFNw7T zx`srm+Wq%6kZmg^0%VwZkC`{`*Qr$fsl$|FZ)QBm9u=TE**4g6Ok!I2;Uwz{+m4Ni zcW>GEHnAL>fjUb8_^A}Xv4yjr_!+i1tYoh{kvl`a=h=uYm!D5E-ui7>@ZpHz47H8O zewnofcY182Q9hhw=_rFzw3{h`B#F)(3M-NdJkV)YnbXbE3iu8BJgO)vXb z6s3L|to3)-x2oI&sOwsIaAa}TK_fqioWf&a4prUD9pqjG&6xM@rI_L#4Uj_-=R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE diff --git a/cpld/db/GR8RAM.lpc.html b/cpld/db/GR8RAM.lpc.html deleted file mode 100755 index fbc5ab5..0000000 --- a/cpld/db/GR8RAM.lpc.html +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - - - - - - - - - - - - -
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb deleted file mode 100755 index adf85893697a16a10def32b9c3988ffe5b83d41d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 413 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRZULDF1nN+FA%x2?7s{_-oYQ}n>yUv! z%k1u^2k$Qi&pX*v5C_(z-&?;e{JRCBOZ~qyC(4bOf$Z@WJ*wL(5hQbe(Ma^ z?wfI9Uadl`^rm}KWwCj`bXgaO-I(X`GyP%J$vKXE_gsbZzb{3l295mHHVzKcchv{|xP3o44np$iBiiJ2W02bG#Um6nAmm9)8y+v|~z5lFA6 zdGp3o)Gen|5=QXP-Z{xt4>Lvv_q z%5m~hEAjgLQU(h%!8T2ev&X5;4v>JBiy{@s&<9goC zg{6_VWB{!62P)?nLP_*pYf275ZJJ$k^;B8lHYwO8s)11%Znab3}#FsRU9s7r) zU4;cA3nsGnlr3BL-A9!}@$@_W`R?9lblcV$t0^P+19Jjz9l8Iybw0`nwn^>TzgKmw zi(9!>{FT*Sc{8*it8^q?q4BG@X|_p~lD~=0;u&d{pQ(%0 z7TX#dI_I!*e*I}qE16)ZS~%0EA!PlAX_ct
<{)*o!M)t5tBaDREyU!9~FXJ}WxZ z=yEfN`U7%PGB9Tg6~DV`@M(%#{4u05nf100yV7Ep8H7W2_i@VO*MWehWu38!RDUwB z>koj>P9s1Bu^DA$oHh%z#C_9?~adG7n6-WmnB-ST+lTE`kV*J zR%>8w!mS|Dkb+ySy$JM@CH~ z&y@cQqfuQ@;l49GYUNk(XN=r80pqPQQF|$ODIW=GD+L5)(^hnl=uX)lHL2g3^e;@V zYiRsfR8G0uLc(d3=Xu7QaDG|~I1dZI+YhNI<1313a`nr-3`?YWw;E#Uy#EG|p%Ikt zV9bhFR#C^q|CUXxO3oLF_#7pf3L#S|2zjXI9Y(hKKW#Q6v2VW@EI$z9cp<(E2Jq9d z4^Dhx&gR5`e=Wu5>Q^ZtBltLRnn}G#jln>%&Rv}Q$tW!jLzKcP4A^9r=X$CJ!K%}G z#&T{e+L)Ypw-iExSiH?CASox=;l!!G*)f>s^{F_PkIbt}m?j1pGXV-pI#TiU}0hW?uiiWRWYp)c1!7_4 ze528Lp%I&)MVKg`%STFo(CB=UZpP?N??2lT6(Z&b*5-+6k2rmmh$XY3Xk{sq zj|IOVY*JN{G~??I5z7ai!aUsjR%1Ty+=EvmvnwBeI~&D&KiCW877{nVIWX(bj>c2q zBvO|N8bF;(9aWQx`PX0_gOZvyaLt2EcZE08sk0N=GK^Q}{RxlQ*gPiY8zqY%o+Xvi zAuZxmKEx?Ef|gNzTX(0{l7!=Oxx}_--4+%k5i-n)ACuCp#W@Cxh;M$!M8$izizy1;6W;Pql081eiUt=Q6vd1#$#Li8#hhvsy2E)UnaalMeI zzY#e9n8wC4F(2m}`eh;YuN6uXb~Fa7<^j3>Z>E8l&cS<`xQ>cHBXT-Ra#Yng!M-$~ zugzn=9~BDIfc6n+el|sZ?U+Cp;V5t{R?b_v!?eP#QV)ul@XG&I&PW19GDkpYy+B}m zH}g{cUbCY|aqi*`-qP?kY!6XlF>uBg3AH4`dgs)y9TJQjSxw+Bn#tFI4G6e7xZM#3 zv}De&zNRinr9~(_&8${e#I7`{RPMWmbVu>m2i*zcPr-imQX%gCe~f>k|K1lOrNFZ! zQN()n@Mb7Fy4o*ZyhHQPx3TrvDMX*e?19XQNECIgAM0&{0$nVn%}@hf!cg9NGbu5A z7o`{bZdirNx?zk|{L)j40C;2D`L{YOR6ya(9zhhKt#4J3iu>+DUtp@$QF}Q0pi~(z zMPIDJ**awSpJJ(@6!~z*_kzjNYe#MUg2i=iB%1+G+O!(|o(YcckV?V9co^*R|}LW@PF zMQH^x#z%_56vWMqD7F+oW+`5#6Dh#{0m>=z(VysDqcW6>S1sc8q9IvO^Q$?)N1@W~68or=e#bdh`IfvO(S~xT@fV!`knzvI-oby% zzz}4czf;b$Lv_`5A9YQ>xsqRh6HhCD;K%lKtU+)Y<2Q2ng%a?10S>*2DXml=5!LI| zhOnzjMOPaWsYXZKHTJG40w?`#cZ&>>C3p5HZLYS_72Z|9*X7I;m#8Xb%tE7QpFi;K zOTO$diM-vGX16Y6h{XJyWTmy+YLU%GY73}Mr9IeK)*VMn2SYY1>T&Q+!h>_TO%n-$ zDF$*#knVP0qG6wD;#dyB_HC}ndXK0rELV6tpR8A(3EPtL$T2e@`5g?aZ}9Rx1}*cA z5DvHwVe^XzE6JJ<1-`vP;&iUkJG2{>v$#2^&l!Ip-wsPDGs7P^o!`syAeOMnQ0X=r z-&YmwETiXINIZ&cC9E~{*K_&h50V}ZtY1v@z%flH^UoQx%eV2V97Xd-?oNLDTu}QC zao6;jIx*d<7WTJa8xG%lP!|Ukg0W4_8lP2I`J09we)Xg5LYv9qh0mSA|?3}WRi>pK*uaqqrbYDB>hz9q?=Vf8&myfe7P8LH^P~0tKxs= z@bbQAPH_PLp?K^EuRh7V%vU~T3EG>;?0a~RQOH%d;mi&#zohZhXuaFF!3S-Tnf=`J zIORyu870ME3aj3$($u#$Qh02pYBrFSq_Hew2-+WFPbrP~UH#3gcjq+tk`QnHYZ(3S z{jbQ9h;;6D?J@gn9dOHyqP6HReFyvHCUxw*ev7fugm}>CiiN0BqV{DfTyi@S;O$l{ zBj2Hgn~wM>#-ba_QFwQYv&rrYnZ})6SS4~-DrUmYAd2bO{a?#la74PtPy3Wi%M;E|S5`f#RUyC98*iVtL~b9)EKt)Z~6Z&g&TfR4NJ>9F8E?)76J z$zBoYC}~66<{vrD=bJhD?|$Lf=@jYcks01E4=a4-fVQi!do!VCHn^168fd*y+GMl> zfhFD=7xOo71eL@YNVAV-xNQ1v;l+GIxM;=Z#WI7Nz7Y7ZJ!%W?03hph?B${dkWSj$ zRBfB&=giO)h;aXO;asI7{BB43`A=)BHw4jk@AV#HtbP04f9XH(BNls=i}ZdBF@Z|y zkXmK9P^v1XprpuY2M~T2I$--ObTBe-p^$1D5nn_WVO#)HZ6+;ZnvXJ+fzw@ zh=_;{LK5%i?+Y3EQagGqG08|LXUx)FH~NKl z6wDZgBzd>?3A^o6{sA@XXD-O){`eMflt9KR?prT^?i-9D|1O)ibYy;cV7!9dP3(h= zzyATHO%d;dx_<4X{dRA>c~s8AWysA$nhLsS?4-;anHW-{gt{go4M85EVvq^s3|!!Q zFoa^+5Nn`sEEkdD!$186%Z_0b%-=`mW9=HkmlB#RM+`@E7$n6XlU$l*M3M{&P;@IM z#qUQ^CbgPK5TXMm5)v?%Vr!m{eP$>&l~fzc75BG|;P-RH6Hheadb9Vw>03YQ?s+@g z!>7H@ceXOC-jd#i_RFGTyuzwd_Q$4u{9Gup8&A@)7<0cEg-zTnllcW{%}UUn(q7U} zvPA*t(|64KJoyM8+?!IuBC^@isJ)y)TY)5ycjGh(>$BcSTyHcut4#a;7g+x}j6uMb zVwQPDt2gym(hQ`A%FHYvB!;p$tRG#eIi$KAleW%e0o{O-1jI|Dn2!1_k!tnWX2EIK z*tP2$!O0UZH3ycDD#GlkHTp8A8;ezgoHbkSZF!4=J1-);DLz*yHb&$L&ZTvAkCY7IOP=4=(U(>n1- z>gO7O51R5iy-sq{ssq`G=R)at?45+}T5t-3n0$iMN~|4D0bRycnrobAfRS>JN;!3) zEMH{dTz$#deRe#ie}3HUPdqFPaxK9s0t-%y+89_*pwTMPpj~KYdkS9+aj7)!2r<4b zpCDz;B48^Fd5%hon1uMX32k%^YrO=-qHSXD^~%##tzuSANG#2Y{Lv{8F{mcoojUd) zi=fEucN2hOGVur{)gGF=hiWuN{BFr*?PEqrQHzOKcC#s94zJ~ngQb4)@GwbjPiZnf zM51%KSAAVo5hmVw9|{&4`wj~V>cZpYugW??15U=DjRG8dfV{7(YV_$YC>M*u2b}M?P+ki5$Xa`gK!N$G?LT|k1ZVEycf~U%Sp<`a@FzLC{j$^o`Hs%W4+pL15 zuiTaE1evVU#X-_3iQ@pZF~p^y0z~1}VG(ODY4*^O2^eUr>?$R)Ktp*odSUsYjXFP`2LbhB z_qLulPOw&%V&ykg{<#&N191av|MVG{%a@H`C9(Kbf79+4gmnJ)7xD)!dq#Y)KxTo=4k8`Um9@B{DClFnSmRV5lLzj147C_5GFRuEbbpH#9~E^d^>q^y3NR<&Ygy7n8#cX)@X6`1kuEvV#YX-d6`O_b=e{U=&CRf zvYQWcjR(cwyj2UVi{(0vdhJF7ISz_z8mUcZz7czR@IyOj@jZ-6#o~_I0meCBld+XW zSutFRV&$~!b$p_(D2)4hTO@$O=%U3ekM`i*=4)MK3--aEUQbt*H2tqK5|z|Y@1L`U_~PSgoG@X#q{N+I z4|^HjVpwR#HZSW7(T@Vbn_985VU1jLWP{2h1l@Is#(Tgs0C8Taut`V{-wLxuKT7Zp zM%w(15AiK$CCnnMFwTYR-2+FQ`GJljF&D(8l5!L#=Tu02aNn~@%;D%H%=h?@oQ^rb zXQBr?J|ud*!ufBEM&Y#}#CS;3JC`9NfYt#!JQ&Pr7n_hV+TNL}wmA4h*86OXY}0}G zzeZTs4S$dv$IkWXwTr6#I`LRb2phLO_wm4Oe z{Mf=7W38%9>sy*ppFA=UaNp~*IN}IfRu=v$!F#RJ^vQ0`I!qhcE$D`tamWC2#RFnt zqA~ycmxVxXcoG<^nG8YZ?a+S>Gx=-7OoC|5UT~&Fi-@0)bb_55vEWB98);JKh7n97 z${h341CqVoHATDKt_*vF_f-VX)~~}f1ik4!UT*Er;yoV!v;A1ScXhj*&=j!cz_w&H z9~Bdu=s$ooGxj^@Ccno>@Xv?*x)Q17J1!=ETabz6KpUMn;dm(mem4myfr@jH9s`z> z=A6JsR%M6R5|(Ss^` z=hT~zb=^;T2(aQxGD##%Pq;a|1fmkB2d{t-<1l2IUt@X@tCku)H+=BP8+}lYz1;B8 zF%OCW@#xYb0hj~9ySxFC?4)Zg&_@k=6#Q6`UyX%^_d`xDp;jC_oYn|@E8MqLyL2#x zL;4;=A)X?!_TGZ;JHGOomsD@yR;m0efeJs%DgxYl*Qzhoosi|Of2U&?TOinv;qY=~C|Weide zURh|O4ME^Hh*zAe+?!-i180SH%rY+2h}#y+i1Zd6iSS0*--^f!bCg4(;@YILB73qf zzomW(E0X?itph5G?Ed)92z`B(*vrDN@4(F@tCQ*?13#F<@{90|=RpqlA!BI9be@hYd8} z0(Rsf=y4bcyjbAYp*Y!oK+gWFfcP<{%-6M#rq{FQHL)=9E_)=Th$CLr3-O9?c*pADAdtgb~ehTF>Nr; zmo{>uLH$qo|EHBG*K_Dmpx88V3>rNa#!J@Ki95&BYhxv*LM&r2HSi80fodgbTADuy z@8Qv6X^ymb6Om9MT2s?FHErSN2j-sOedW`q`R7T>Y+;T3=`G$8fX4o&c8zT95~Q;e zjH)HNiTBlvL&AbN36)4a?7w*>Zt>wA3oSL9X2;1?G>&g%)Nx*>Y*F+%M@8HveAnCK zdDWP?iGQ%=UO6u^DY2A=lnYN1BtFPs9l-y506pGtNEI1jBL2BP8yBm^d|jz?8?aXi z?{wVU!j2o>Q`E7+wRy03y%PWmoi{+HA@ea>qi3jyCh)bN2vU5+A$ox;7 z4V>8*rn5{i{`W26yRdjR5&l~YlNBJASuIA40uBXEjPX)?#_zF+dm3Bom9;?=EDn0% zj!MA9`I1v@oF!VcTZ8>*;6*ai53pCSe>=8skT-(2>;6AcfJdzWt~UuCu^;|lN$UU3 zyO@AXmTE3paK{azq`^(WHQ30q3lx{$G3DNOYWMCp2H}j z*_>HB-1WD1cbG2T0ooRiOg?-`DwYaoaX3tqoH+AZUprk-pH#o+cjfX>LT!`~FseLm ztJPaqpH67EKB%B;zW-_7`FU8X8GohqP(R_z%gBhIt=7IP9W0}I{AE1N+evG`ogOw| zkU3;AwtG@EiERH;Wq!7i>TTaNF4@L8l{gM<=ZqgoyKAT(x1&=t0+#u9U(bZsTZncr z!B~^-+N;NLEyw(1wD#8r%0D|c!;n@u0pQf~3!-Fi_oi_>9`O8U1;Lux7>y&Y)p1o1 zC667awUpW#nedq7`{ZBz%|DuRFfx}cnq6(Q_M?A2@VhhV3!Qjv>ZRE;Bn~6g8EnYN zQmn=#x6+ZRtrd;p4Cg?fmn<~qXkjudoV36cYb$?BgLNo8WIW5V8J5ACM`Wb7VOME9 za^d|skEm!gz{T(0l)R523ugs1uFOS>{8_b2v7=Wn2SR?XSUhpmRCD?hWMo-PjGs6% z4`SDNHtQM>|F-Yh-o&-wOO0S6{lw`x%YW~$RbuT}JQ{G8?rk(8?AyzEPMax~T|4gk zt)vrGQ;(1=!k&4xIeA=zAgxuOGl0t^Q%_+Zz`zWfO!Dptv4H&G3=lKP^eGd17{VBP z6dD0FFeMjX_p0B;KkXb=EV%yFV>!AlMTCOmJz3hUt^s<-nwzKc=4N+~nM;!s{^d-` z3X!@!kJZ1#X2rXp0SStM$6WeCt=tz9h`mww7GG{@;vEJ@8rpxq=PR#Ay7bSCyt8H& zCE#r3zQop}T_xZgvMu#*1E~ft3+L&7QRS9jICP4b>eu~2vt(Fe$Ww&k&XmThM7^Wx zVN&rp^z0v?vF5=VOH?u%aGHLUc$T5y0Ozh0G@|N!+V4iQ| z)o+(-^V3eru<4X>Fp6?z2Fx7^CSBzGF(fD}zniZ}7(*5KY`&Ao{XqPJ-Od32QVmN?0OFBa{NuUmwa{ z?W>*#DVewA($XfTX~V&>g0yEkkek+D#adtdJKLh)(~I^@zoiDu#pa2f4o>L|w87ej zt=tnm=dbrI40fYfFS%y@9~^}uQ&?vv!{zpi4Kndp;znwc$1xFS2k!WoxhXQWquR&J8y`pM*o2ZGMdF9ZG& z`2;u;HDzk3u+FfR74-(wZsp&EaLl{ML4UjV-bFd*9)5ypx>J+2p92;b1G+}^xjsK? zP#*hS&aC?fYAe_SL$z#Hw#gDwsMWN z28#xoQ63$n-*e`ng%RVx`qdFK26B5*X>*9)ubQLkSwLMaAAQmG9i9MUjkUzXhvU34 zqPGJD8XpJHP>OtECe*c-M+Oql%*zxNBf0Yn&*oO`bN;;&`S70nVS{?|(Tb>I=%$cN;i)MYB3wlhL{_iV1~z)X z35!PXwKejv9m8+@bm zOR!$n(?M+Z;s|uM+X7AcL0!FZB=OggVwj}4@=5cGoI~)BIDHIB2sMs zG?D<~1kOYrzOV)_0HkR(DR*%#UzeSe@2a@r zcJ*ZT5){(-B$L!^l&;bdb7~=P;;xxJCF^csyPXMd`*z{Y)i?+Fg*l$!u(s#A!Jn6S zVp;&l4Ti=|skJwq6j(rLmSp1(!Owm6t4AYfo|!LFS`R4B(D-xPC8r#~K~Y9mGT7T? zDfv20c~!D0`nB>l=Xj>CGqsPucrM-O2}YB_;!j3FjVEyb)erZT4(;QVOAG!#)(Qg7 zY`cOJ@^F~C{h>>?_7Kj0BXT&5{EZLVHM7^P_4NsC>V~q?H1M1@!j2ldnU?_;pBst% z{&!t(kDINvY&PmEpA1&Oc^eCp$={QY2KQInIP=Ki?|>qG49tS^4h_(IN=yp)L${6Yu=q&UwMl)_e2+lwfOf9)+G{oE;k2%jpp;m zP+6E2vk_ZT*&JLl)4@;Nka#35JEfZ)cN;B^Ed=v6lRw;_tAk0E+)UU4UC z602OTKDe)jOmbQ+tT=?Xn?(-8As64b3n(*VM5l@NGec2Xj0jcB^SGk?RmX^sD!<}) zf7biJ`#UaS$bsnAZO0%QC+{o84*2NUx>A8^MZN#^WFvD~vX<1XFp$MpRB>}7eSX2| zs+T1GcF(VZ(G-h~hvwAj7B&G*2?ZUO`-l7{KfKfygK6c!jBf^k&11ebqwhWjI; zhu7FvlY@CkpeY}}XAZ;u%3LVSPnM#Yvj?BD>4P>D4LvJ#&zO}n<*YQNSvqNu7w{nV3B zrl^-{jwr;)iFYr)%VlLA-!bPOn-tw=vfrgyAHcGwgjSydCJD%PCvj}>e|>?M%LHkX zWT`YP(0})wYqGMoD*FU`DO02rU##44q&zyQ5)BmYH4qodeBLlX$rNm!rOr}$ei1Jx z%fu);w1){u7ro?hN3Ts9^m4tIox3^Xw-%%I2kySG$$YK9UvFfZd)bNj`50z?wjwQ) zA*M7OZbdD|wrkcVr?d-&JW^8Q9@5Z)Rq!tpb%xbK{Ok!Y)g+?AF#?7G ztvYFlsC;z_Ep$HGtxhz`8a}m?y%sUxQd&)5)sy?PGJ3Je^BG6P$Yn zNsKBlh}4z9bj#6pixSYwSc+$~qIbH*=qk4wWH0m5kzzNKw)h?%MFm)8=PP-XRyL$Q zS+n%W{x-t3hJ$p;{cSRrMMv_PL8KA#fIZxo-jz%pFMujU!A}*>B&R7Z3H^J&j=Jlt zysT=Q{U&wAB9)&kd z^HVpA$6`NKYewzpQURG;SQ%2@8zN$|_&7#@LhO1833B4ETh_(~x{JA7x%v(BOdkhc z@pq=uQ)$hjQ$~h4jyC?hetPf;=}a{=_-u-IT)iEg0P?RotLV=4`9*&LWuh9a%a1dK z-Rj0p0WNP!m$0*XyCixc->BkQ-$+S+dfNh?N{;=f@V;>}1HusEvCb)cp}4}41R*nE ziT%|s_xKb&64=RR+skVUOCLx>zgHi zVElR;N|nI)1V+5LA8`3dgAgjhIb)Kr)H>SWrvh|rgaet^=5pAygU8_WPCO^LfPLEd9FIX&*f`j3vrHAscTeSxX-?-JRe4_P5P0? zg<;5=tmuoxHZb-OBx-l?j*ac(W+-3{q?w%o`Sx!cnnB1$X&`9`5$MJ|kDz2Wyp4OK zuM(7w_AS>R3`*m}FpQA=#J0!A@Q0dhSzdksn-m}kYyG45(Z%yNm241&%yD|GX&E8$$OZ6&(D)9Xz9 zZG}r8kA*Tp%U)bhi~(El#KR5hE?vlMR+7~{v&!AVNijhHZ$M6n(O%3LWz#9Kr+&TT zrALuhtE>XxtrbWocl$gd4f5>*emFgu@{%)GZ>In3PbDN;3DrV^9Y5*%4DFq76u}&S zX*FZJF!WFY2R)sJ)gE)$fSwDD#Xuw4fxL-iqs4aE@Z7kgw7!P^4oCQ* zFXD&W_W@mi5i;AnBjpiah0UFDgbqKW^F*)*d3UDhnA(lMRDDFbB>dW+0T^SXH&k+} z;XTl-dMZT5=(|Cs(-3Q9Ky9TwoJpkWK}PT01`ue^xuRt&CKB?ea0^yg>AAOU#uB^)pz0y~s;VD0b+=D!pSyi6mNfW7;HPpA>ty5!F0Tp~; z;hcTk952840o+o8kUnb%Xj-E=R9azruA~~e)cs_^s-depo?GaUg(n*I_u;`-Yv8W@ zMquUIB(I}^W602Le>s|Y@e>f*2VtQ*&q3lpeInX|@cz^z@NL!NGG}{MTx)zdDt{ip z+3zI}IsXgq%ZGq7kDD33Es}tr{lDgp)PZUOTpu$xOoXH^_UP7;q%21b{RZ5UN>97B z%EBoxsk29vwi33~Mj;Ejr0-O`tq}a=A+fz}1Lh^C126W<=gC99EXIJnPMz@Auy`4p zv@nUwXIc1L)QIriclhP2K*%|te!_LSnDg3Bz6R`q75tt< znt-UgB8Jz#!I&N=qPAB82Jks58%#tY`D3jg)*lp^KqDLu9D z-w};4&?@p0WCJfiilroTj3h88MDEDuQwk? zqv>ny3LAB(x2)p)J9XIPw?KOu{AIrKQ+P2)-&Nr&1Zy`_Aw(v2rcfbK6b+H;E|K$<39pxCJ*ImSMvv4 zrzucV;S_X;5Pskc!KL^bbrTi*m(9@#t$yb$g4ZkNR!dRynY%gl*1Dh zA*uN}9NtMkNE{E_^q%!Hl`k`d&3nTAr2scLfnDkkijZ(ru$A+G+t5`-wNK&Iy|-Xk zA+R5_n*5yfs$@HLLJJK?zl%D#iBWXXTd}6%zRUg;s=d*I1cQ*dgoeLi|ZdgOJnjhKDOKJ3HLeAT0rg&|S0J*&5YD{x+(H8k*k4 zndp~1?hW+*i&^k@78!_MKj0Q&6q-*PW#47=P9F5mAuBxvMuD5N9=p$Iiyuc9C(dzi zicY(UZeNf}x^U5C#4t1yhn$9cans}=Il+`g+l<=&3a7(?H!BYiJ*?Z*frHKm(!<@3 zAhRN^DOWooRet~+yEZlDGQGs3A>N>?ya_A;(qTsFP{$e#C!_;=R=2dvJM8*I$q)E9 zwW54os1v=m*l9ZF%{RI;q;Yuw%L=jNmVn`aeBdivP=)#`mUU5WZb{kAcvOg1+*b}v zAR)#1M|b48x|DVC@9N3p>oIBknVNw+hLm>_fUUf)&+!L(QJxSe*Ua9)in3;oiwEgl7f%|KMGGajnl#o%!4cvt?|@Ebm3TXjspDoygU0>!GQyIOh!e73uJxFBtOAag` zifOnW!#nZ{Z{b0?H-U3re;~9%Zc{b02W8}&)*C9Jt6j1bH<#nbvfzJcyTzxWL@oPm z@AStFKWL3L*gQ_v7^(7~nOx$mCcHQsT@iuL@UBYsL$yME+Fz2QUU#ArLYv_l{c7CR zK;6q0!sXSY*a03r+${2)!A7?5n;99^FV#j*!h?mZ$eL_4R=` zd9?y~Z?YqQnS5cq`WqLEaiQ}s;#pkMO88&jOQ+o4A4AuZL=AsFT2r2^!`81AD}ZZo z@r@IotBSU`_D$x2-5JqbarU?~;@#ryg_-_KbGT%7ngASUY5@8vUj~A|9HO0UOs;sf0BGqJy}a>&+^j z2RS7;^&@4Wsa-_bQS66$hQHRI;Jcl2a2#sI8=4!y-PJ>QG!wFM@Z9JC4@;^G%kf zNDe25KBzKwA&xaZhokMgnl4E8!$k9e9M84EE$KUGKuFL?`m>hqMsm`J6K(q!Df?px6tAo>v=M5_3eqUL#*F7ImWdekRGK) zi3PR#6FmOjG3)ozwpY~amZ)r+s`GeawtnAg4IK1WmKbV-Rx_)NpZo ze)Vbl{aFyz0S`jnx3-W9N>(EeCkFbMx=FpXRF(6uPO5sz7x`xA>7z{Z?x57WeR6eb&l{k>sbyk zidl&k-hTJeYH#hoYM{NbpCP=k^wMLcFv9if^~bPhQL^hQ*=0i>_O8geFOO(ry5_Ij z{fgm;4nQ9bEtWY;;@7$bhMSLob?>GhJ^T{*%I{)N25aQu(``rClbWY;cd36|dlFRr zLnr#Nd^ZHJ!;#04NE_t`XHcEp!^RJBx9U9^XzAb2~EDmP;z5eJw`bx2UbFk%3OCn%$vwZ|be-LAM=+)MrroIV@f@u=MPa$YxVU;LKLq6^!uDZ@}>$r+u@ z0o{9oXDF>~Ro3AlzbXGfA`jCg?D0-BkRU5y0a7Lwpns{2yCNvGHIT=^4#Gnamf->1W!>^^@bGI{*eZ?3I}>)uLh&xY z0Se6H-W@5?W4=6?beBG+Tw`wJT};KBo)B%b?hK!jFR5(M>980Uz!iAY3?GmEsXL1v z)o(P!zWc3|480W9_=j(2MX}&cbKF0?GS+%rtBZlDbjBAwlB)|pq}PaA*S>C^_poY) z#7?ABrV8f{;a-zopojq-r^Ot*Iwb3@yNcEQ@;8W2JPgu~o7vD^L+&Te;&)146LJXQ zlt;g6;}CcM2q36$D@tRS^QEJNfj-`y;9OnLFEZ9>q^z?9TPHb+Ej|HcBq*c`>gMoa z833cP;o_ZXflqH&tO&7ok@4i(NbS zHs&3qv267<+vFGzU6+hvslX2#zzuV%PJKF^t{;9JLZ<%o701^KabnHqu+qm&QC>mBFoOl6ZXF1({)|W z02ciXU))0xnGCJhC-O#Bm)5IRF76E6aauaB7p6iRZ_|%A&QS%DqXKNzuhotkou&Lt z?23A-BVicR;9p2{7G~6^}pxH|@1%Ac7pKQ7#J0&X0C z#$P@ySzNzaTuse`;=kHOxUcm1pX{rBbO+gN{g&bd-9vs4CO5wPLRk16iZA@`%yzQF ze!m32imi)3EqQ#8Z)jey_+s{s(;sR|eNBcPmgLXT`0_E?Kzw;nu)8n5aJ^-?RDTeM z>g$=F{-r$f` z!xyy;-5**N^bPsxIr2TO-`*JdBju;egKrTwCvMQc_Pdwk`svA%)#4@Ur#Dgi_&%7$ zzm%WKzG^?oJh8|Bq_3XK_mDi(o$_aVm8}m)J@G~3zw-QDsfSs1UdXHSgRC=x@2BR4 zU#V@+lnr+a*P*F?<2mtLGy2Wq%ML;Rxc$a+KW|$qzPw5})}6-(y}HhwVQZxdKYo(K z#rgxDmuq95*(=CPT_+QVz9gLKiOEx4#r4>p;_uS~-@7|hvYxE_*=P0m741VN&oH0f z9BUq_=aP;efPJ@cdjwpneeiOcwnGMR$a}4DkMF^O;XXR|;)eXxt`mmh3*UWvsN~&h zz)w$-?ssJRDc2U(zH$9DvaXB9mw%N`=JVeN{!;Pft*Sp?IQ#vDRKLAIm^;YcKL>d! z+;Y|PUS!|zJJN4QN!~uf5o6?I$@j-i-`57>%g-h2gYxCefHR|_ zt`T3jCuZ#%x8Jx|f}NI9@#XfyU9Ro+ftOS~{cET{HG`Lv#mTAxUbx?Rta!0Flv-!Q zi;qL&^1@ozI3Z8*a+%up9Bqb8@uGgO&db1=9LrPd7sf5y!6BaN`9SpdJT4IiSepwdqsd#!<)t@aK?;j#JCQrrHp`jiODTRBcWU(%``vNf>?K@GJzi7K% zkej-1cB$%*7teNIPwUU<@9S}$z%}9LgS?ntomy#IkW%lTK3uY1r|lNu9Ic~f*jlMx za)w_I_L#zEf%Ih?pNpc4hZhO;5`IkZBn5m|hjv?^;m*jDSz!Y`*%N+6X>{ zqt2%ke|t#I|I=oEkm@(=_^ohuotM)4!O(uqFBSS@IApy`vX~dX6yi|I@1Gs8_v?`- zHFf`fPO^vXXf^j#*I2W`8$xRz?){}b*5;&{6rdFW+*fA=tV^tF%sgGYuwOW|nW5!&n?E4Wnq z{zH0+FRW8j@>E_O9_qo6V!WuhdWB?d<9LbTXy1PXdEXrHKi)C=qc&Tw#pI!vH8Ja^ zt%F?o*$kUc=;b=^&%)g*;8MK2UG>DF4+LCFFXQZa!kL~J4!utkM)xl3?=^u%|JucCAZD?Z*NBf?n`g62BFvv~uLVWqGaE}PMm^}0zA`EK@ zlb6ELAIyVxKO%;seZ2p|{JUS!o0IpYw*I_Wp8ae2ED2KqC7jt?`@t=*{d;A z@jd!g&R(#sR9z!kAJF#Hpf@Iu_AS@O{cJlwyalJ)iP~|sC{1>Xy30S zkNb66A6Dp2wU0J3-dNv(OMMR#+*^hFw+wl|6VB{N*~>bDcO&}dX*H)}-nvA%BYO0L zdxy4H3b$_$4jb?TuFr29aH;maPxW6D4o*lh{#0DI?>0eJAC5TAHB?``qJ6}1zR!s) zQmTEcgu_>Bkami#(ckU)sxX&^wE@_ayn`ig3t{d0GG#Bb8|TB&M%PX7MiiIR1-wxKw5p!C7B&F3jQ;O~Eh+bgs$ zWe4jB;?NMTZAWb0N9U%Jb(*%V2K4@u>VGPnU2moAU_LlYID77rvg1=h-W)l#TqZrv|-!*WC}0?oE2eIkMo8-v_bpN2S`wy!9L5w(gOKEY>4$ z67D?#ml~H`&mNL--E(K*xE3{jQtiW!mkr=(-{)k<&9#}lRQtG2u(1Xow*|dRbrM@E&Hul3E04*;4(`u9T(+DL{7-&Se}~Y{3weDVe~NH>_xQ80 z74zv>P057=Pf1ljyeZWyNr`?-*ggS$k6chhFf8i^)Up0Rht&$B|?6wEC--nYs?; zSr7Ny?K(N7_aMn(EDgo+t%cL`%K7{EF?qC)YX$Dn=ssB8z7#LSgDZr~xt@VLWQV*S z!yLO*Emb}D;jApxK75pG0GmHj?SmJt1I&(?Jn5>hk9fYYOvmVs;b{B0!f+qN?gOX# z4PMZ@caJ=&sj&Bp132`u-k^VJ8!4sN{Ha03?ND9q%W(Ks9fOV83vM@Ixc;#9Mhr*$ zKBw(`;qDUTrTW9x;jE$i^zN>D)QDYWmaK_9Y6srEfxvc9g(=IK=X-Ya>p5U!CH zm#4aleq#Zz^7`R6YM6V)xL$skm`Okb^8zOs|UT-{Kj1-UE)`vgE$N zZUg@EQDL7c-1~$6)b%g-V*Ps=srG$ea=swln+@1|XwZkPq?Ei>(#v~{4JwWMurP0z z%r^(QDS6n-_xEjGm$LUb;XWgr=}pC#rNT*9Ww?}H>|iX}eF2>}!{!rmkawwU*+n^Z zsd2fT>bG+7Ii=UuRi-C}yFl&xZ}r*G`j2%9&m!!4E7iU&)js@XNbkdiIaAv|276QO zgPYTZRS z%KzY*Ys+5;Y|8&WqJ7oUcSo^^7{^>z_4)KnGdD_e3IL06H9Yh;N^9~{Z_odHRq@7u zwd;k>-f?;Ph2;Hj1~30E+?g4?T$;(tV}*OXczM@^c=<22?`0F@<$TFI$vsy~jiZ+e z_nYAJ^joTb@lon6rEom=d$o9BjSn`3GdY&0{N)(6?^P4zFGsocOA2T6n#oMztlshzFI)?HuKUK3bX9hLJa%6|y6R_~n>(9R>$+va zTHDA|c05tM{IC3PmyH@cI9;2zQ}s~ke4aLc{h>L2t_7@GpOf?SuO+L}_SMi%tr^$) z|6sw}36gc8HoG2B%a+8}IjoCM5zg9~;_n^89qMo?{!EVLvFBT~?^cp^mU3%fO5T@* z<9gcUrP_C(WEqA$C2t$`?@k&=yAJd(>#*Nx^Y2lN+rRXO$(uy~vUaljqpAM=iZClO z_+19Zc{Jl)v?UTvh8RGAN z0seO1K=|XH=rI}mu^xJ4CVyWSf9B7`wb=TAxqL5ie0`69-;y0X+c0}m@$X;79pA+; zTx$Lx{(U8bzulyBpWvg&oW%TrZtIU}%^&tVEyhcVKiXy(@;?4@a5oWuH`aFh;L|C2 zUKYoxb-UJ%_3!)Gd)K#D3-wNDJ5?%>>yO_5(>w7q+U7h^ZK>C%zY@;MK?t@0hpg?@ z{+l^mia(QMdFs8TPe|4yw0$@5lDf~jFS=dai1CLV&y}q0wVfKSrBZgV&V6ad{VT5D zd4Bndf%`eg<2~ue_uy#X8EV_c!u=-TQuptlDlFfl|NMZz94wr1OdjJ!#TB}#w-m#H z;a!1)wHYqez8!?!$Kg`){#}?eobFVAaIc-;k9blKe`-_xUfN3W_mBY`_r>{tPuut4 zu$OrHNM}dNUi`qGt-?*rUfTCDZPZ&z;m~au@)!<`y+6x)65vwpTcP^bI+-zfI=y;T z!0_G_@=`ed=L7YYVmOsmZ_&oJ^5cTMRQtg4Y^YD3>S{mTL^%7tP)skl>xB7++SrFf z=W1>LAguLWs(nWZlf&O(lE*!eq3h(AX7cxXjc>-=Q2Xv74EOMd`1`3aJbN2@E_7&S z`;Jokc*kj|eGd}mB^mAerZCI24e@taX8YbGS@)FgYl7}n|KbbG!T19lru<54$7;^$ zPT@4~)z4RN+7qAAY41;*E8XZ#wU0S#U+Mj7khfG=wpOanlT5xlHuU}m_e*{dbRr|A zm-m6}9a?ZHdruH-k-RuwjsZ# zecU7O!_mHf5#~D??PFdrTl?C_yAgMrVEcGhcIS-t5v#POs%RT2#otlF5Ibs64-Wp| zrw>Ox_kdSv+b&>J^FDF>=h}wk?I<}sliD+kkCZ>-^Z1`#SETHHu;lTZmiBv=DIDXL z_icyT$F&ykIQ=Hrn`+;|p?*l-+a-(dr5Y~9-|@nIKX3vzWe4{BNKK~u3JB3>sH!7}`(k$-fM&+resaGJAT@x|8;C-}%SVyS;LKPTKKDVb0EIAANE6APae^{-Awq zU`RTyxBA0qSGO+*_b0V&h`;|200960cmae|O=wd=5T4gIwbrKp_7_A@P-=v#6to8M zCE2`g-S=Ml-llC%i_qTm(1Vyu6a+ykh~QDgOF^gy!IKAj>QRc~K~y|=RYZs&l%mC% zXW=M#MFh3(ZvC)Ok5vM43xUy62@?vfrRNywWqtJR zeFvZe7n>zR3aDj`hcqjg&|GUf6ZkKW{31(pf@ZZ6nh7kpOsk&qELnO`D1%D3r3lH& zz*gwR)!n6^kH`}BV@8U=4$?D)D5OAM4rqZ9T!n9zPLYMW*iaie7<-7P=I{FZHR@fI zMIekPw34A_ApP`l7M`~m6W@D*X-+mBwLkipuJ zCg^S`V$8Q2+qW##1GVUa6Bv~iN?C*4^_8`&yXc406_6u5ladb3Y2GziWjo1gZYill zwkM{h_Q$;w-eG9Dd$vqf_f=@PnA*By=;-GYpRLa&DXs2WC=Ha=#@D#<=VO|v>e8-W zk#!heq4Ul}sfenc#Pc)4>SdCJ+Y_ay$P(QG=i}pZaVZL@r9BLMxLSPi2_I}7P*#B# z+X05)9)`qUmmgDT1k7Y}2LBH7YX4q``SCmnuHPqM9EEy^T`-*42%JRI=FkRTYg9hD zIWcRL=f{sFwkW*T&-qwmJT_l`7k9|tg+lZyuU$L5LMHyk;XLXypPyf&ZPdhS|4hmb Kh4l{r0RR70;2vE7 diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb deleted file mode 100755 index e1ab595154ec907e43fbe4985187c58ba5189fe7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 19896 zcmZ6y1ymeO)GZ1j1cwmZEm&}OhTsyMK!D)E-66=}?jcBUhv4o`aCZiG9h`vyhIxGV zzw6%j-s;u0tE;=JPMxY#x*Hb(0ihBD@zr&Gy(C{z+uX&~+R=fUi-(<)or_x1-P-O4 zH8&48H77p@7dHntCl?R3rn!rUwV64!nu(b$H5av}BDIdWDYeWGYq!^!KGJVqlR-eh zk^5hOfbsr+utoWQ&Zv5OR*!WrA_W01X(&2q`Am`MO;4#4E=ml|_+HzpBf75vUnfYmZ8GERI ztNQ~~s_eEfi;SZgHXVmD+2lda8xxEY2t+_xN_k2g3$(FCk2Ae%!>Ke!|MSa0U)4&P zUQh|aehqEk?H^qtDes%p{7?y7$&dP0aw#8XMVtrb>%5UE+n`r7pXqC3*i0H0OjC;5g)zu#g=ty!8p3)obf`w{!!cOptMa( zznBSYN7lu`m5y67YqN>w!i#~yk!faUIf^z`_mLtt1|muwl|LU7>F;U}Xor4Be`!)k zZ#52O0FRT1D7z*yU;DN$(wXCBfm`Pd^%kb!M8wAR|g;K#Dd9;m07tVpr? z^0gwQT8DAP%T|5cvg{kiLUCWN_QYHHJF6&X9dFPL|BrHh6%TzG^V^P%!2%N+}!R)cPBfH7f5U;4NW$1dRl`C5#Z;T!bJLxw!KiKl=VM9~=_djpsA&zd zBzHOXZ9d$6*!O@6jlKw`YM$~@=SQ>NGfj2W^O{^G;nLT3v4xZqOK*KgRWM)9V6%*G zA;7hGb!C&csEARKZ=$l6SsKPykD!iT3UilH9#{-Zg=SL$uLYYeb*STo;awXYV5(O`2^e0f+laPgDr zvq<``(n@nWG{Yv(9weUb^N*Ev=&)(o;mPPC{usD!_|VSOxax|-D-OThP@asv%e%Bu zw`o44T;6%9l{M+RTU}y=JW|{09t5H-skZ)vnF6DIu#Z)bR>5U#p_V5gZ$GW2^Vv;l z|BP;7e|-?JO#H@rXU(i*m zdNr(hQa_P#B|W^}O{T|oIhSUrOmVemE3DC)AMPvtqUr8Ob3OXh!k`27+T2fz;h8A1h+xRp zgZgFFP3EVJF4&SX|MPELfeP{svDH_xW$`$Ozl<>-ciUT17-eM**}K4y<{ z=8R!=4M|1k3X?!j@0s#H{B zsSx<%wJ_DCUsoM^PHEw2(?&Bnw=D*NyTk4)HgYx`unqyTnZe01c zeOu=*iOMmD(c;#+c6CR5%sxe`mHsZ@Drn4F8ikWyZf?E-A6NBU0BbBye>vj=KKLgD z4=vhR!U12*$agd1Y|DQSR?lM!&X6C&o38ISodoxk;EeTIr)w8B&#LRkH{Y9Kc7OmX z>?iZVLO|h1J|q?1p#U1_KA@~P>-+OPJv$6<8?b?4?lN*1QLiKS$K(Ft*F6d4(?~Cb zPPsjYiU=m}09S*5AHHmKg*{4Q0cjeU5VI3P2@~5u!=9^hYd+BJns{ADgVu*Ue7$H5JCVDa^WJ01 zC#J-ki}hiH$B`+^NO5>=mR#FQU2;hXy~Z+}z9Q`p^CjIj%H55@Z-H8F1=t}7D60&I zUZ8It&!|2@`Mw*$tpQ7i{WcF}@OHk(R*$vq?Gj%~>raZMjD?APSI0cp<=}=Ku-ujt zGzYu}1m+Ej$El)lfUlgI{5z=a0bs37MstoAYd8jd}r7w_9N2WSZm zzKedXdDdFFc{rUmIm8ug=F78kuNzu$si-cg-{)bor!;qp3H%CR?f^WJsEW{Emluma zEgM>~DN-{E#`vGNoIX$8rw{GI#c1H)<6*Z{a2SQ*dzt^$uFl|rf+uHBP(ko2+4a=@ zvK*{~v~_S!C0?U@3cd#*mdknG|dI>K9<@}Cmp2GvX8C|hv8-cPgY3i2k>SBf5hf^Jfy zLN$~>SvRTn%?04{Va>w-rRE9tpI?(DRGiZIM^%=9o+8fW(7ca%NvNUxYo=$eH?FYl zZV2S6KK|JwthzHnFS#daE8>Le9>@I=qfAC&?`BQvDSh?+Mj;P8w4G*sX8E7xBzuv8 zy8cBC0qqp-;a_2emk-H_M)oBaGYvu%REyWiH6N32{q)O7FhO$uTCpF9nZ_j(K`V^)`)7KE?*8k+|IY^G+PVqc7PChz&-|woDm;N&uYr*pnXF%e^pd}bJPvg-9xnYZ23G7dn?#bo8vPrgUaoTw67{OQ z-#0!700>-4tC;mOJ+7k3S9Nai5tSbfWTKfAdi+UJyfB1H6B-zze>Ba(C#CqH5E(lE zpJmW3t3=*%n7Qrjt8$%Exfd=`?I`QXKFxYt?+m4>{K)>Gr^fugplaF z40Dy(f@;;F`ZqIpF=S@Y&G+w1$=Uxlvj&3seEg;Cm$63_E{`$h@mhGH3{di^{fp0n zxCXk-tGqO0(haU~-DYuT`{$y_Zi`1FEb$r|_R+GB$gpn)4*Y!AMH@}iR?5T)e=Je7 z9#tqar!MKu%H5+J`1c2je|*7+O7pa;OK(9K2aA>A;t*i5lap8t3<&Y9)jy8kkU6U_ zI9({fV$)D5&us@xD^6`~D89zr@@hx}<1?pYhDxm$sUGbO9H->zRx#(+Hn=#k;$`NX zq?9}U8VRfcTqZ+LGdyj7Sl1KhbNp<#z~o=#738d|;hM{bBF&L<;fk)YMiKAO*)C2Q zfaDXMe;UD)5??G%(NmY*kuWmqNZgIdD8%y;(;3`w$zsz4iI!vr|5eu!{E7OhT`k`I zP0v~J0G6wLiXM06G{;mf?e>B|MQR}k4xrmWubY|0ep%s8|HSYFBTW^d&(&l4!I zN1dq5i_q51LYnp9LBXoIt#qZ7G_`}z;;G*a8zYaO%DJskwoq|Sf4oME$b~AoFZWPbFLxlZwP_}Qx-;|A zB{(Bj%`Hh4ma}g;fVNpz} z%a4Vrhn*M75fT_&V>J+fp@hYYRC3R+5k*qlWnNFcf>q2+Qok%#kLD$dcX`XObs{3}<8O51qF7Knza))E z#2RbCaT-1-`!qDTk2gtrzr~r-c(&c8X1sdj1=MWX+8!$f=`UbYLgdvAQ}I0{zu;`Wswdky)nO%BUVE8r$z!{G>2+Vd$Wd|d?Y{!s z33g>+4K?#P_kBV(5KWMW$F%|2%Z3IsM|$H=ne=jGPgh{FIzK(;=xaLpEu2vt!*=ACX-ASIK%cN1miZ-UGUkfI65GsTiEQ_%90+xd$XWQ-0c>@P$XR(s zZ}*6tnO977iOAV_#jmar7Y?lA4*8ZQYhO9Cb=W_o)j2)(r(qQ4)^o<`<8zw{pT;_e z)NT@nvmmhq8E({5@Kt&mVTttI`tYW4y~nZ;l?@gW$nVW8nK7;+ap0s9812d6?A`y~ zd12Q8uyR+6w1Z>1`>tvIBR+GJk~y?-;S% zm7)qeU?NipLgL;R8Sn5 z?B}N;T#l#T+ANcgH-1Dgw{TW_{0RZ{$%`nN`y9{hsKy7`LLVVq9iGFRi?4^7opp&n zmU}bKijw7(_3h7&s_F}ZvMJ5bD#cCywbh%}o?a4u!A!Z&y@Y6CCNo?Xl@YU|yf?kd zS7HSTI>=%Q^9lX+(ZK&6y`UpAI$wqo6S_*E=oY`X{IzYO(K&wwY?yk z_2OESCfewXcBT!jMrz8t%zoGBLyA766g!iGv^wt6K~Ky^zAW2!CC>4Nh5YDNs8%_Y zZnPkD%JHM`WnZ+@I~NhvVpq#eCLyJJ+zh7rfs#?XnXFci`V%#xS;>1!6~v{g1BmgYjj8KAv(k8kp#@3R zMz|TKsVDTSHfrpEZz3`>T$*6@8EQD(jA-Jb<6n`IPN6M_XZ_D{Z{ zqO#nz$>h=ImS#0EpuoHto~3^Q6YsHNWgWJd+Z<~c4S9PK^CymQum%kki643{N0bY3 z?z2E|#W{HaA5@w#Ibz?EjqSqv@JQG(O$TSwdaGBZgQ4J5V$On(e)WKNS&(U8AT?<= zyCavA3Yq_QJ~l>#!T@%RU5}9EMNE>Vg5fASNfRWQPSheQ*6yextDV2a0}+=KD2X<9 zg?y@0VN~zCpMIJuS61p2tE{6Fqe_C&LDqnn;wL?xBAOVqw}xs?6!GISC;mI8dgnCh zA9rd+-wlImyLHB}E^m(DEccviawpLu2xuo@7tIIH?6PCCozYo-Xcc9{AQCCglcwW7 zm)xl%eS2MBc*{$5puK&d+3cDT;a0>@W$4DsF=1tPqW$d3AEhI56VY+UvnS($;*#Ni z9;oY*L{xUh=pV*4|R4K!1*`Qk$m#yJI7V(h~0} z!7yfETL`Rqr58kmdGNC`>Tm2q=t)2!plAIil{;**_ajlA? zXe#8VIQ4asExY^i)5G{n)t}l~Np#zejhRgi=0X9IPsF&ZmUKjScw^WtI!ar(kBz0p< z41368?VEviccYTW#QK?joqh`(WcY(#L)uDHLxk70_nuE0J~{XAXN?i$V6k5w!xYwx zN@!u=6?_hZqwDIA%+p~4F2K}H*yFjig{TM5%jC&s9aGHxqfzu!aQbW8rbO_$E*{JE zWE|m2(uyJC^Xkv(Hlk&n4V|=jxwySxaF1uEfb^4IENbV(@W9P{jy&UuCq+G(ggSWM zb+Wk&@RdRiDwvqh?hRSmck)|S16r^F3Rd;8PbefH_wQPE(}KlT}hqnJ!wIVS}HJ~}ApJM`x3|L%eS zUT?=lFW3d!I_k%|w0Dc`;{enRL4E!gKkLH#h_0l9JFcd3=J45PINQ~q-6kPJIP{96 z44)#L67bB>pl1rN@|t&jW#H8;-oleq72Yg0#c-T+C*&2ItsMtT|fP4MpuBN_aL{q&Oi_xr61e z*r6k~l`Kg(iPlaw#uJn8Mm+m>4dwgE(DvUclEI$tzIB>NO|x@cKOG8gq3xXLtKG+_ z009hO&NqB#G5%^}>Gh8zGaHl<-w()JZVj04d#o$^c1aA$#hVAoy!C=x04^mN7~zMF z@v+0w7#2cuat0y$R+#GFJWRZjmoU7N^@(}tZ0k}Ma)Kf1DaY6f(inX)Md3_scPqKPaX%ja zebfHiopG_LAVC$~7=?O~3+{IQY=|QBG1FHJzGq~pU9ehYy|yXW{@?_A%O}Hf7iYX) z_G4Q_6f)I*kQ0O%))|kL`lo*M`Fqcq)8jR-~m#}rDTo1KV2h=r%tgHtuA{n1@#ZHrUo@Nj(fX8{YL1SEU!`;TU6k7VNgsXDGl;=&xvQbtt`*js3rSi(o`2EKQzpZY@;{aW| zcG#jug&w5GSJur3O*^;U*QNgrSc;uW1Kh5|_v@3L*y`LL&$4g~4SUU*xA%Wdh-^F_ zvbB2;p%djlFc2n}mw3iv=3p55-vgA;F6QEwra6Q$|H&|JFp`Dm`EBi|GboMxW{O#w z=7;Z^{kD#G^~tRL{;^B2Wm2!^N1`|7BKf&=eez{FqBsej@_m-IN`P_$ozlphTAJ1R zYFo!Cmtjkk{8`q^)n)9@ky&rr4&088J~*=YV!!9`_^*IH4Bfyuet4?A1Y-S^znaff1J9Np8si1O0uW7 zer(7huVmXY?<(B;#7rX~@Kz>bWoU$$M9dqbJ2A2OGS6e9??Ppt?bdxGNqNwXe-_1i zOi{}vTi*Jq#74uqV}XfT{hmiJQY}4|WitT5TKPp+ks!^c=B*tp1?LDnO3?;*ggp;9 zmI`K};-x?n)c!OuJg#!>Gi!b@h#DwSW&?e|wY`n_rm4=vk_@|P_?Y{4jDKF%wJsbo zdGQ+!O`yTl;MzW7j77sZJNc8PLaZyJ9}|#uN@0YE8Y+o@-cw7|CM6^K5|G}5YyyR|c(Nj{8=2y1yV0RWG-f)( z%eZ$aY9%i|2~;u9jKlFV{$wu2hRNL_*VNax2^=ynRKq&dK)^Lm0vzwUKCzns>OH&} z@vL|oO0iG$rsddj(?J$`!!#c5Ai@6&V!h{?>x4R*A>m8=04?24W#S=@*zQGK#Tio0 zr9yjDO{7X|gp=+gsdZsMqY=8WuW@A9J3MnYLPyt!sbp1*E`^4hbII%~F`V8%InP6n zZrzeOkhi(Fx}%?o_e5xc0@pb-aNcW{gxzX)uF=$j9wv*dA(`AxJmeIeTvoROoxDl^ z%*b86_k_7G1bb6bFKl~ri9jmoTq2y@8|8YFc9RI2lK?=21`m@UX_|;qKuzXT^Ioj? zf`_Z+{NG%c=k1!_$}J8O1-!6K-%mV;{jI$+9Bv^cc$BnCzA@AaxyPKpmxHP7`RFgV zOSEJ1#?8D-=8zx(4l=HN$tpW>l<{l*+f8(*{*`ev{IiJqjCkauYrU}Qo{@AXPdT1y zXLFE8n#>cT6-ayM59Hq=(QwjL8wzAb%pR&u1Q`DC6Kc=x>d(D-zQx^% ztxjZaY+3PHiOCfb52|Iwb&kH?oWyo9vVO}RZ3O}I2JHV5JQLt{eVyKp`vQ%DZ+c65 zn{cQtJ6bc2vcZCzZ|~oqdgN8!a-x`YUq3xn$0!{9jFsxk$>8K=IDdkp85g1t-td z^V93$>QuF^e|FV+aV3n)1Lq6U>3oa<5PZ+RZ{&ydm$ER!aj~8EG{gQGND;JMzLDf# zaFm~8FX(sZbx!p0>Y2kl%S>fYAr*1zYK=pFC;Q7NS8J2CCRO$}8!I1s*@gy#s<_AIJ*?TNCIE4D}n%3CL{z>>>4Hxd(Uyh*BT2&5QrlIdVl#|3twA zM{(;SL~oqbuk){%a#>$2^kv)glpI78RI5;~pLw)Hg(IJBWBlGkoRV|8OhIW5n18B6 z6$(hdb@vs29X-v;e>ev2xEjxKH`D;G+t5>8y&>{&q@?@A8x2Rkl-w8fhRw)Kn3o9l z^^G$5B0Oo|cQev`qt1NNTYg1=R*wJNO*F(Gxo(K%$UNcZ>oByfzp7h~%>J;TD|M^o z?NGT|H_6a_o&VKr1o1|StTRvZ*;-gP&JcR?aX4bKB`tsg1=ho7@3}{`E8(m$Yn?D! zN#x$?`r%H(SENyllO3nx-`e&r(OT}$aa!&m^)e9-r`SqcAodQtNbsR$Um@LY zG}R0lWEgH{^nT;_@iRxm%?$hDOFe$dO{#70=iK%1e$i{mNj{)Z98P|$%OUMhx2QGN z&XHU?$Hhg|yQZs|mEK_>`s4nvHQ-oO9mssW zL^s6tZxOIVEJLDu+AkO(L~s|KK(+|I5}sb!o>7cXWsrfP%v1OaCkW=)r1R;y_T)L$suB6Zp@W=kbAZ&sL(usI@ye z)vmZ;TnxL8aA{Xx?O@kn^hM~Qg=1%T=T$Cj-dXRnNa36M1UepT8dTBd+CIK+9CvY> z?VmmrPK*UFX!DyPkC^21N+hCHpP@>Mgz{!8ur2)7aX{(_g+%%(z*@D}nds<@YAA`< z+6-!ds6UAiAt>}pfq0Uh#vVO_m;UH@&ntdDBi!P+-=*=x2^je|U8+4-H0rv0dzoY( zCE+6E`Sa_j1;=x_P6VRNQ^|ITT`LbaOTD08mklSTH|~Bc*! zgVI3Ty{f8qO`vhM_I~Vul%D1#H%91n!hB%g?fC*v$;5FckJ&=zT)X9D+8mz`=yt_c z+kN6l*l*&DkEb<<+j_rpshdh~9L-BdjeCTs!q6SHqu!dwapS5~>5Ls1^y8Ha!wvb$ z!XXUFuV0dUD=_QKoJV|~?(7+SKgIuve?eA@#k-*iHhg;_qzUtDiCitTZv(5Pf%q@)|7@Ml$>r;FjfcPqji`%&%U9|zWVi&LY)Mo33^7Ms-Kl*J?^(;Z3&W{ zSP(CSi7c*!3;S8ayN;8OcUj^WMx!QOd=@s+AZiu!jp2jElkr_w#S`wrQec`4-=9PM zhh*K72O^of*`T^6?y5bd-LsrezWZE$3ZczwDhjV#l)Bu98k;R4UX1Noi;GZ~3j4C0 z>RgQ1(~Oaaop1{ZKbe2=|CYb{$pZ$4jy5$7`r=v3VB<1g-Z9Cf>5XF(+LEXYYWtEe~4LKc76_1Py-WP;q@V2z; zyBye4pd}9FiBHz}>-WILtA$3t&D(A3_{&rgA>!B4ls3fDtvlF0(fi5NP~d@~2@}Zm z>`s-oL((D)ATATpX>rFtuz{2eoMZ9XO2-QOWB;<&aW|@!Oveh3CMc+@X=&Y_nc?I> z-9X=f>f~V%lwzpUYF1WdU-8J=^;YRXHl{$xv(T?Qy!xvC+-f(jd|mr)rRk8!$Dw%F zpM|QK@w}-42h6{V$U~)((+KNJo8iiN+)p4pK5v@01UH%5FVxOMlvX)1X~1b#)p+2> z+)hHf$i|+|>eZeLJ%;lpHwOnY`;yDl1yPUdMlB=#8}WIuLy)k&fbdf)C`m9!(}6-{ zy=x=IZst&5m`}-~+Q`z9V)I9Xau}OC_p1GbnJ4$4ANP-9v(*VT)jBT$bBN%AWtZ}n zwd<2P_Zj9`rp?)bT*^G(PlNxGo(R9COxL$C&~E`cq`UjNKQrg3X#NVa?8|s_#gt&~C$H)pR%w zBao(>W7;rwwa(X5H<6_C8#*WYxY`2K6$-!(F3(BD)48EsFFzmGn0dj*|Uo6l&Cu#1nE zMcnYIW1`2(1>rjG!`H52P-7wIwTsZPE+C4o6)bk4iU#M^+BL^teDC|Fx(m~vykZ8G zn~hyDLp0WZw=gw_Kdgh}@2}XNPa#uYtFH@-gTtp!SD&MYr;I?dLNwG$Xsfe)G@U5k zqA#%Vj9DPxim+1PhZNsfeLGoYX zIzNa_*-SB6P4ad>Yo!=N7uKVSgnG|T@^~2KGsDn!Yk3Wo9EPN0UWn%%Y+3!QsfHN} z*USj!;uR5*`#M~i2UrEtjb!&@#^FDDlk0~oc%@%6-;0PcX}Hx!?0?CuVmHXKg0!aj^&6OT zw*{t@#Czbr3dP@eeN~y#ZDuGD?SISoP+6%qTfs3vtE`=tQbV4sAl_+*daI#8h(WXm zt5vgpgPFurwu}#h72Iql8xaK8YbXhB0@Xn~YoNT*5RP0}^S@Q(jVav*Xs+L2eikaI zgbnm&y32Z|IM}0JCIcBHzzQOtcBr$OdIv5i1h?j{Tg_ynfbIw@Q5sHJ^*F4IYOGv8 zw-4rH1$s2f=)VOK5Q0so*&D5@-+=iD!34RTpnQWjpmJ7FQLcf*41WMbF}ex2VT=`I zp1W>2kKxt%@vFfywly@rb*$d_n{*tFq~8F5sQMl=L>TBXS<2cdE-A0}YM!UlT!+%H56 z0A6keaP7Z!4J)HR`~BG^W%Q-*OoEVQ;y@PZW!KbOw;x#W3D4gY?~;jm$#lG9RQ47W zfn+y8W&iet=esW3i@SchQ}sj;Q2eXxC4P~rW+mr#JwL|FjjXP0k?X^zp7~pkU~|bL z4uTxk`UE~_R8WDxbo_)sU6NMM9 zAVf1eV*Jy$#`q<6$uqbj7QB|R2k=~^&q=DrMebmMd;fpdAcCf-TJkXUpw)v zq)~|(_-wyu-6|NbEV#5=hlH7JfM%-k3h5lbd#l!54}gdkf7Ws{lAXNpF|v{qe&RnB znEs@9Vu?V{b14Cw?KKzG!mTj<=Y$@pbDEd_=(ytN?c}1Hc(y-n%ay4%>3))&uzzR2 z{8@!CR{pHBf3-euOhjj<4jBzJi`9~5;yD^-J%D2`{m<-ojc+G77iK59Pvf<}k`7&x z1;vFnVeWFpwusdy_k5JfV7}miWYbR(shJV%~tu zut(}8c2)~%`O=}MfrR3`T!Rz+HOh0n3 zb3v4>Ty_8aolL9w@WyQXGB+J#^QUKzVLWAkDJ?736P=y)avU3;nM-U(qZlDXju;p6 z?SY&i8_OgH`q z>{rPpe=41RFf)ZD>VHyU5E~&?PN8Ekt!Lhq9Erru@!Qku6aU@IV3|~#Q4ttZ7UfvM z-Io%^X!31P`Wxv6Bn0fmO5>o`YZxQ`Gfi%KsID~!FI$pu7YYA`(Wh>9a*m)59Nt9L zk}ICF6X0h}Wlz;$mP>&ICbk-q5P1jUy7Y=eJih>yfdiXR^eX?Yt_wCcid~pj8hWoq zVHkEeC@O6xC$(!}2+Zgz@x=S#5aEN(cKjsZ*MpdHxy`nNxof4{6q{YW**r`3LAz*M zUV%=pbSm{>-TzozIqOh9S~VkTFg{=N{l_wmou28V^ixWW51(s3Vq?d!?okME**I+v zBV6n#_{}^AT6@jSE=wo&i;Wd;w;zTkQoE`6(CA@eOfVGHOCJAKYhy`1CK!#$Ok(DE zYZ)TxAj>ph5h7_LTZ)=xZJTb6XR#V0L}+rCes~&4axPY89yznK+=1nxXE44 z;x|@Nb<0-YHrqV@{|aE1iltD(eGjU^#jZ)4+%p{o%S7*AW-dd@)L@5 zE0FpXB>y*fmr5{&ji&vSEDFy3*5uMKfHL^d^{MY9R!ol$Vijn7H%Q4w9kp@U{2;qn zk<+e~t}%`7L*jn=(?|B>CxD-4Q*-umWD9R5jF(r?n2q-|41+8dvb~X*l)T-g`5S^i3(%MqTLZj_ zFw9|`Z^(2$pCzYD`?Qq7CPk`j$bA9F#(_-f5D5iBilwNBL#W$xHV)w9%ZOf4$E z*2Rs-NiC4(j78P?dnDhv<}*xXf&vNK59Z_C;-c!xc}DTp8%^j)EoGFKbe-$+pZRzk zLh?I=MMlf(Z4YhFBU_kaZlvc?C!($gDg;jpM7elAby>(j{blE^RO7D)Vwg6kBBB;Z zoEPPwwy$AR5u|xS>VBDc3q$FzK*=X*&{(%Oj%%*1GjHrEhrE4Tig*&0v_P=`n66H0 zDSKDTVq>EkkDhs6YLbatGD9}~j3#WD9+NHVnRq5pHR%5NG;9al-Wj;<9t6@x*ShvY z*e;T%aLavT2yr`EI~W6=}|3T5t-KX%rCXHqb{y@~J*f30Vl3%vtj;2Ln|VMJ!rw9|a>h zpux-V+ju!*Z%*C6lF5cgUe}ATV7Q;@p{hV_99vyL*GK~}43=en4 z28<>xF+3CCl&Cib3w|7(EY&%zMjS-Qd_*V6;eJ$(nU z(ImJBUGg8tcHGg$)qpM149B6+C&(G*y#WH`jkDGErSViy+(u|{^gF`a7;Jou>}~mw z>V-BU@<2pbJxV@iJI?#?3=F=(^MGvTBRvEc<9E6>PGu>TW2#6W8$_wzVmdk$I?S%M zt>HEus!3cfjaEzX0>fGfu@K z#ZWL+nTZLpI5Gv+cmxGGd8?6{f3Aq={A6z#rz@Yy&g;tF7AFd8*rjuxLSlbUAQo`h zZW{elR`y-Wt!KMyx7qQL)6DLQy8Q*z)wzlrXqt@8zn?J3b%@KXTJO{l}pjCrP=4|rzd@<&f<7iC-tnX!ca^MBnIM>1I!+Dhc64s&jmXU#}&PHUgm_(3zW!-irYZn_=2 zi(Eo)-Mhk5)0K<*F8C6inii5b+NH$Z$R_~cuxE9X`gSzPxyLQh*Zyy;Kj?+>eZ5!$ z*O6|`mezi-#?@p^$7TpkHR8y#MfF9E!dd%_pHRkWx6QXsAIyMnBIEC zE^5THxMAevVdNje$VtP<3B$-K!^mGlNW#bo!pN~uUu+zBc5;4(7LRps{y?LalfY@J zfV}%_cHDFH99IMrV{~Wj6U#FrJXD=I^Y+9J*{U8~zWY27`gwpX0JQ?g5fj~8^ZnI9 z&7bBF8b>Ut4cxE}047v|_xUggLEWn}EX`t=>8&C$sXVond`qVLoo7YWSu=lY)p;!J2nyhDes&8ywsPF(U(w-q~Qn_=#zAK7eXWf#S$06F7#LWw%FXC zK!wnELH@nQKm~)RLV0vdplL+hf@jiCw?Sv4& zl-o-6>wYMJJtFO$bPoqlu;d0g;dKfo_XJS&!XSVgbq+dDsjB!h{0ZeLT-Jw>HQp=i z`Md`C;!0wkXOF|1TH$!eY)FW()Z!iX@QR%+XuHN9|d^Q>AMp{^}&hsPq%g>0fJDQ4oojJ?P#aNPV)o~o*@3Mc64zqhxxbYPK5ISuCzKS;x`zoWyL5%iC6lb#4wlOvP8%+7m7#>DL4&Lm*sMD3^fyn0uM01p65k*V$sCm2{)I@?zLoJNj*h z-%x*lvG{U>^UaZ=cnoN;PSjAe8LK9ieJWe}GL959xF}{$=A@*6&c)b0rkF4SHZ-Y}cXyc|q%%pSMFDohb(nRz z5B~8d70g`-?Xxrs3;q_G=L`G)}s3o_DzPZO@`4Wyr>Y#ap1LQclU0=9&@?Mgp5 zt25+3puMLvjP&wmF#Va={Jl*O&tyG9ThVY^mWeC11$Q(?>D7|VgURw5I%pyu!l`#+ zKM!OCpt3zWqA7Zly|3cQav51&mrPdL*v8HGy^g1hn7x_F%`Weg6yFYHvIT$xnKY~J zB(%cC@xpxhgXet>9?ApebAH3e3gq062yvv{kF@`DL?|OP+(f9?I5>`sX1jAmmwxA7 zApH}k@X4f2@t6l(mufsvA$^EC&#_1wJ>X}43mXq-rmoDfJeZG}tLBc_O_F}QjwTON zdl{sYj-7KvM00=gq~!X$)E)o+2E~{h^2zK4HKa8Xv?)-t+|KnFt(sbQh}g{TOyTm9 zq+?70!nGt0?^PV0lxPWI8qVk3+vD8(Wirf1DX&3%Ln1*=p+R@48=oL4d+KU3eAu7B z@&5#<3|I34!UrZx2l__mFE8%|l(H{{C0z$dD1xt#z}yg4bz_8>uK?rlvFg)TgNUyM z5jO!52)wU{A>Rl?{woZ*ISjca47nAMdcW<9=6b|_LB#$+#05YEJbQUi$g9FaUKfVE zEe!c!81gYdJolVbP<}iL^@%9d)lsNVMxm~WLVYR<^=UsUDO_=_AEg$`qYxv3Fxh~1 z$f|iqXk!p@SrG9$AoxgeZ~$MUFx=RJv`etg}u-~a8kUjSD>48IH_eicOA0R$|rdl%u?VaT09 zJ--Peej7yG6-3+}MBEcZ{4R+2eGu`7AmZL2;*UYZpMr=#0}&YAzXXN+HHi3I5OH7F zko$u|9t#vUik`nA2$fov2QIV1?-!i3z!1YIz9|J2@uB+C~Myg1osd1 zuTfm>mZOZ>$&E+~$a8_9lg*1Mc+`Hvz^L~z`cSU8Q*DIlF+sn#g~Z)kh@U6!C{?BD$g7;}s^9OVGq zYkSWr<~=5BRK4)*wI>UHkM(O_0b@94bPB-v&_SmFyrJz4jj^Tk=0bT5xYlw;6%m#Z zM%bZV)nZ3?72(oioptOWt_rc^wu-PrwTf^VQg&(>VaH%qi#^g+gdJ&BMA(k77F&kn zzR@*S3^^dS9tR{sQ3Pa*DVnP%Im+x2l^4&D>>pmmwC!o~VxQ*fZ4uaVaWWYfn^%5PPONAofgkKwPF+eV!PIJypkMiyiz9 zDG`u}9=QUlxnCy6mI!lg$Of!v`~t z<<4In{fRY`OADf-@*CpmkH}>3kyyeWD|qu*(tNjLJSC3q-!^aFxDRrc5mw3mX>Q7_ zKUuYLGbcFS=WlrF*GRfDq^oQ6f z`5@@mg8qU`CVuHE;oR7gi5s8Gp&$QzFv0JdC-7nGV_5T#K>2gXHT^$5^s5@ssqac9 z7wb*y`KU9;d5r6pId{e6JeLu7XO{14@$($&l7n+tBRxEqy5u~Z(eKN0V2s?@9Ov{B z{+pQ`oRdrRSa~+?PYvwi9s;kR@(sT zYqB;SPn3B9+LEm8OBUannzk3BZC=Lrd5iB{)bW)W(}(Mld2V9=P$xIJjq=K{}mi9g6T^#?NCFS7gq(5V;uz2smV zVherDe);^r5N$64{s!!0UO&M<}-J_NQ&#_{wem{{R30|NnRaq*r@v6h#=H1&S@@Ro>5f zpjHY+3L>Qs3UhmNds}WF?Cv4QAFfJ)f=Pj3V@W_H1_{PQP>2$v!KkGPniv%&QGy8y zB*chHjD|l*)Zhb^nD~bQjDFv@JA1b+&=NM8+1r`l{N{VloXhTGgBW9*e%w~moJ)f>9qpUft!-c3npoHVcrz}eXHJZ10gUx#!jY6% zuB)P<0jY1sR~zGNydj@!>X4>)%E!Y947qEw+-`DcuKKvR74Y3#;5O}sQQ^Hhr;o>Acb;Nzr zSd_!hkPTgP6c&EX=DlTz~Nc#28>4v_ufcpVm1KM|K*-XAle@{2<6Y}NryXm-{yKDwiXfU zp_N8Q}m-?zz@MbAH3v(NGZu}Hpk!6bN6b`czXk`qI_e$5iUy3yeWIzlh zO*09)Xv64hI3f+55?Dw4oT+=tD01@De_lI&4iGZj+&o5Rm{90HWdz}@HTlM!fRn*s zT8_~JSiv#?aMG8LZG-njXlNTl7)jyufQ}^2zq?8fq6#-jX_8!d}vO(F-G3fUvD)x z3SjWT0mp)xoPDZ~tsQd{2Ug zdTNf93q+bu8^ zjdeXEldRYcW+MSx(N*y*nob@hJ(no6D1ORzU8%!Ga{t1a3Id1DJ^?%`$#J1${a{2( zBlJM~8Jl|;?!i++8m_(Y;rl4Ntbc_yghKM!WZ_53-)q64(a_MsRO97&92_%PyQ-;J z{?JiB35$-D8!88Mr7;i(QDgJ3_PpLdG5(H@d0Gy=FuhltyAwRxSyLYRFR+VemkbR@3215(4gu02hHp zs+6=K&q73s5SNbDP#VZ|vAF}4%M*?wC{5b0e1*D1RX5S4uwXQBDfhTX*vr|JpES*Ot6ah ztQ!&GRB9-_6`&cu}X&YZLN5;6EV{N z6nw7Wj+*h8ibC-kN{srd>MzlQQWd%^rjkfN&oK5byw_BAKV1rM=|k=~JWm%(0-+6c zs-ef*HvEf2ID(czI;Dl!hnH{Mgi}MpDTkX{P#1A@DVcp&bsZiBECp?u2T`E8`-!%)zE`=5MfN)V+x}I!ULG&k+e5J_J3IH*PDg00rmB|daor448K;-^?S~!* z)2;i#>7O5Nd!H$!_zR!xeDhn-3~gk%n(v7fo*x|&!QqOU)1NMv)IT%#KvV*7Ne{GA aNrhru>z`y%Olm~w@xnL&hW`fu0RR8C$(&^X diff --git a/cpld/db/GR8RAM.map.logdb b/cpld/db/GR8RAM.map.logdb deleted file mode 100755 index 626799f..0000000 --- a/cpld/db/GR8RAM.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg deleted file mode 100755 index bb3a4cf..0000000 --- a/cpld/db/GR8RAM.map.qmsg +++ /dev/null @@ -1,19 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 14 01:35:25 2021 " "Processing started: Tue Sep 14 01:35:25 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1631597726126 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(110) " "Verilog HDL warning at GR8RAM.v(110): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 110 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1631597726216 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(286) " "Verilog HDL warning at GR8RAM.v(286): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 286 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1631597726216 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1631597726226 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1631597726226 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1631597726256 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(42) " "Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 42 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(47) " "Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 47 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(134) " "Verilog HDL assignment warning at GR8RAM.v(134): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 134 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(142) " "Verilog HDL assignment warning at GR8RAM.v(142): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 142 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(149) " "Verilog HDL assignment warning at GR8RAM.v(149): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 149 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1631597726806 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 563 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 566 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 565 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 564 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 567 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 562 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 561 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1631597726986 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1631597727226 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "337 " "Implemented 337 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_LCELLS" "257 " "Implemented 257 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1631597727256 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1631597727256 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1631597727336 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "422 " "Peak virtual memory: 422 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:27 2021 " "Processing ended: Tue Sep 14 01:35:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb deleted file mode 100755 index 30bc868dc28ca5ffa54f24a893839a0691d4c2d2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1245 zcmV<31S0zp000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G{d&U6gLo_2`CW_;*bOm5r?_}yVC6L^epca!-_>j z44Ld~UMJ+~arc_I?Uo-4%>{lEzl|FLvV3m$%ybgSF_)wz%VoQsUsc(Ca&mI=2S%^` z`u$!4vSig0!z*pv@}N8SzrgAPq~1sAmyo)It+8UVw!+dCn$^l3@j%afSu#_1<(Kps z5RI;P&=bbLwSd_#8z2QWqkl+^Z}|TS}N(kVeNDvO0IqnBf$*TJ}H+XK6f{PN`zX zDKJJI$x6wfkzlGO?Oo*^uC$~H2Or3;GhD+$;{7fW<(X3XUaht#gIYfRbmz9RXUfl_JJEKn%=Ly-Yr?O9b8oW;GO69u*Rc zBI!aKQx`F}R5ImrmN{>L<-A_T5t0rq%~sN45wJxaFb_|RcoDD{))_JPP8+*TG?kpe zpy4?xq{TStgt!W=aWwa)sksGrOh~((^n2f;8xFNYb3`Y(Y|vd_s%W5I^TtH3N~rbO z54d1^U#~PA3*$UA{fXyw;0bTkPx}*^OP&25<%8PJ_xbk-(EHZp6$s3HmZ7$CFKLSo zJ*OG3D7{9*^v>?}JENO48jjMa_&ZELdbE$X^zb3wxVCjqIQp3zi%N~o_^tTx*zK`3WjIs08JEB(PLJ&x5`)8esFN>U_6Oz z7cm{`YD@BCF6q8n)qDP;TphV^9pE4+{DE;Q;sm#xz{9bLZ}SR+!;eVlJ3u^w7x#kHT(hiW>pdnqR&5r;80RR7Z0pez0WDsCrV2Cob zOa@X6+&~=U;uIg`8sHxk0uo_h0%B$c3q}S8yO=;tAjKdF#2`h%p}xM3L6Pwxj!r(V z@%~O;uFeoGtUv{93<5y4y}1d$L0W{MTHGB&-CcvBY8lxX9Dw3x8+YvoQVbG63^Ep~ z#>FwjG2Ye3)z{SzVJa(w0#H@#j)$*6Cc+#7aX@fLkf)zJiWZQO9AJZMPL`AdIdF$S zv_Oq#8q8wr%UIZQHhY&)T+a+qP}nwr%U%`+5JWzoxEq($k&kBt5A~(u45l z&z~wNfZx>N_Y(M}D#rE><~G*&^o+EBY3cC=oXjna@EI5x@c**V(KFC7{H15aS2VVF zHa9fJm(w@2z^BJol)zUtHozA)GI#vdTtox>t>(`kU5@{eKh<#mN6-2GkGcX}dO>93 z2sgb*@9u^Bf&)UrYN|2mFH>9B*qB^PRZk*bXAuX65{yB^LqHhmClT-8hrPeqdeP2o z?R<34pxElJA}aysK!sl@K9>37`-hIE-~7~6pI293SC@A)CImTt72BxhyyqyMWO68L zYj;gHTWvIR`&IcjqBZ*sZ;CjX*&O16HJyr3fEjK8Z5ZzMK!1n|p7FP#>Ck@34Fh>- zVt|1h>>}*q4G-5H<*Zh~gd~}-?$2EiB$85)!)EKai5PSAF#t3kQH+KV;f7W6i|T1H z!IQ&;8bLh8Qs8H0qSLk5_Ou4Xqrv2`an&28=9;QxkK@b(l@=a-?Gy%A9$==2I`W#m z=pS^CKCAAM)t@=F9U}Lcj1l(aU$_Y~zHo@te2it6;9W0y8ov*5k+N7Y+HoG#)i1cn zVtLk)P9HK)MBv)U`cQ5a=TDP)`1~85SJwZZzTU@h?==Tul5@)c zr^t8a_y5;ad%$&@F>XVd#QkQ8s{+1U&F0~mes_wWO<0=QWBsMR8YUd@nkUc|7_&pa z6?OznOLMD6O&=RtxbRx4C&uhug5VUA7^^4y=V)LJAk6dgt|^A(NWR8AiC3H*QMy*5 zk1;j@6Rff1G+a9i=gZ9G%b{HqVb#I*kYrudQK>pq0U-+&D6J?*LGvoo)&MU zw|aK@%pp`v!^c3BD-oUSvE*Qc-I^zF{cV=sUWfPr6(Zdpr~6v_r#2Ru)P@t*WPPr} zTDs|nlYtd}<^L3&-sS>ig;oq5m_>{NoS-O^Sn+|JTDo)+gL)8AZpo=2Kjq+ zj|7|fJsTYo8@l?RO`~m!NfpY!kcu$w=;!Q*Q%H%FeNGR+<0xY!`la^ly^Ns3tmb&61MMf0sXdtLH2_f&bk%7bR*e9PzPfWLSUzmj!$HMXbGY<715^_UozdtvM} zKQoKS@9m6P>uU4D!k15D30soXWU0Mu^h~BgHO)GfpwsuLd_5cq*tYwGd_t1&os;$9 z5lVPZjSzZ=zss_tdGyuj?)?3Jv|oC*LpCegrJG3NHIOBTe5Uxh{jRCfqB3L|(oH7g z`g`_GuVFWTl(AyU|JxQy>G#%97$@S;vhT8C_c4aEclm0b?peG$ccs@fjs~tplIP5- z%$1nt`^xu-QM$K&j;U`%-58P+gF2JEY|@-=9V#VQpTL>}=43U<@T{xc3-fX47(wmu zL=c+h0Tr#!!={~OpFGgEcFU&3rg77RwyufnOP1BkjkIwM3zrG6mrNuA}$MlLCkh)98m3?m<(Xg{zUKT-9Ju6>Fpq zRnlYk&hF@y^HIDa{|h#f8jUGA3)DC=I~fw1)@-Xmt$Ecu1cy5W_5Ff+=x;gmeDAgN zB~{YX3$3(hdSI5LW~*wczXV73Nyh!G{ouEkFHrX z(X_Ey@4y0s(dIjm4wA>%87HVs&2=)T714u!wLOSiBefeg%NRAh$Q|1V%oE=Fv~GQn4Q(05o`Y;tSwT1IQ`H%L}P1>_);BpwP3 zs4h?T&+TVyV}V`t=2!mAs6%rNIANCUIqoY z9EH7H8$nckD^OISmokWgmrd9n~#eJbhOQ z@Ld5V$$P-^L~SEk1f>qL&6hMtL9GnHg)q6(*eEuId-d)l#(a1Vhe21XISSveTV$7uzP<>g|Th6C*|7?nGL}vZ9OgPoP6tkFeFlXAUECVs4Z+e^>PlNZizcr zqcmx5Q#Vzj$qa3|I@7)M#-@0?ho(2oOf|44)=TN=}su zr?4nN+`-fECw{Pz5PHMAz-n!I4Hfmxe938oP`tfXCGtYdyl^&MWB;ECd`$1v;KS*6z zxTT2uFi;z0%iGwpBv7+Q8Y}4m4XCQEQfAHa%C^GQA)px<3mmQ%3kTHRAt?vTg*8)M zwX)1fLv9eOcZB;#7kh3>Y+*;W->1{1g*%O@EElNB?=9idn^vP{nKzV+w>B4eJR+Zh zO+sBk>Vg%TJ{E8G5*tZbN{NMwDkY@qu&JN6^bfS_QF2T|7GJStTb9tuG%Th&omzcy z;VAgqqW#2|6*Vo0tAR!}fjjt#!#9XKI2-sYXjNsOw>+pbMfUrjK&;v zH-5TABc&qhqC#mi*o>j6mKBpKlC=7_FKy5MnNrYTHgoJSj_0 zyY^G9Jf>G73Tf&Hta6zrFxHOGzBzYS+aToANByBU{C(nih?(1bcFfdP>6DR0AeGWe zfTC)G^Vx_y5A_2*0NU#!m6R<^krJhicvun`Y{S?oq?HHIY)U-QZj6-QI65IFkzhNjkgyzg&8pc` z{G^!&tAwMj9EKBD!ptYTS3RoY#e$PnaUIL1GN`n8I_bAx3w{VMz)5eVB*~sOt+Wy) zof1IdW5VOW=qwiWK zrTEjyp+qqysH-!Att^7A3xcgGf~_g7nl5|n_U+3*-1b8&B-8o&W*^{89k5gkCf*~=cdW{@C;4p%Y|6nH;%V7*1-c7tLD$=bz&6V zYIiG23flA9JybKDHTC=G3AFlPiSC1lZO?Mlu6Gnx-`6^e{|B=b@QcM7`1MLH!e)&& zQLAo)$nB@f5w?632iKM@-$R2^-WRm8880Ua$BtBK`YaY2rNMl6@z_dEmUvzf!`py*FoVO@UaY>w_iYLR?t)BV^ky^4d)Q!s%G!}rKlQ9J&3llY zQ$PJ&3yMCL@{J%!jwq6H^|rW&uvmJ==C6fKfm=3~tks?P#lSB*e$nuYieD7`BI6ec z4H0$n_cO-s737V&Sd@*rM3j!+RQH10?tk^~Hf0gqf%SN~iP>~vyfpG@-cKs>WpUWd z_NM_&fSwiU^yUgPBUtuHLyZw@S`;E@Rw%G;PV&^eyAvK`CJ<_}sV6%|^LQwSUOm6g z6oX77!q5sm10`*{jkJ*L;{8ddm7K(%YM7EFu` zf^S^~6yrXqR&r0EO@JkM)oMWxW%TgKmG^>Cd(|?*8r*GG&}pz$kAUHnUmD{q<&G0E zMEJx{FwQ^ciZZ-v3Lm;b6uQm%gx9@#N49I24!wU*aZe}xM0!0=k zD2d5aH`QjfYUW)|-dV!{$$MJni4Ct)z%aBT`d35GzAHnfA*sN_daZOj=BRMNGU#V-9a0q;#2&)upv)a6pK`+TN;T%ae3pz*s9O|4 z-LcM9L0NsSFQty5bY-}zhXhsEFuL@WKN-FSP}y_g>)+8Y88sy@vjq?xGS*>&Vl{6? zEQ-~OOcClG>pOE1dS5_`E=?e}u(bE};GNCH{xBNy&VM*QO02L!s!)(4oMGM8dl9rl zOe0Xb+x>nt#Mn1hZXj%10+#Z7I$1i9y1O))UnMr4OYL=cPdZ)#!EGyl$m(>6Vh7z} zC=f54<`dN}+W0{#2i>EF5ihv43?}QMO(nph*?Zq%Oy+90&X%0%H!Tts%8>y*KtNH{ z>)kCq2kDLtT2mTkrrsW$Z|aE(Na^Q4bFxvn3PVP=~6^b3F|kY#;k2#;-?6LEEcjY%sPit zY;VK?uv9jgFtu6?EIlVn@=o#Uz^{*_Ve>pEmPHxr5-%f)>Uh+GlV98O;nbLVUQ6@g z(({K{sl9rUalG5-!DuviKR*Llxjx4Oe-p?5a*M%mnbzjF59&O6`lUU(twvExPQc=wzWskIpGioDF_ z6wLbT$)*)Rk)~jmLL42QA@pp2zx%psji4^MG#bqH(CWvG9n`zMX6V7Qc9~B(?bwFc zd5mU1gkJ4Hx5s42x>~*{uwg(#(X5+-1hclT3UIEjx>6qp#qWU&|F*yBWAFgyO&F{d zh2DSFsWKu=D~5}Ji83wgk9ULDvm=foXGTcLuaS6vi2OUd*&5inta;@SJeTr@c)#o} zA2C?m=eRK%8oY2A4ug^-<258!?5!KEWR%^B=$nADO9P~h%jiVn_Aba{6}8ktP^CP{ zy9Y{xP$U?l1GafRqwT}C_0r1^@1_ux*NRr9#3IW*ecL@s6Di(hFd{8C8|(3iWH9DT zxr*E)9E}KI#R5vkG+H*C+>=r&_HALlHwuXG)t0_&Z> zaX_(amUW_vnIIfs_^IdMIEN`|F?_`XXgx0l)7Uv>b7IccR{$u9ol79Jd7T=sT!|Y- z35s`EBL(on8JDj_rofc9kr4ziAE@H_g##NamWX*PTEu7EYIDXHh6314abc#8iJ=Us z6#S74d%fPaIMyQib0UW-i8p(7rjRFjvzZI``u0RDfk-nV%Un9Y+eR7U)w|I^2|_vG zwO{QAlFa63E}`nLoX%!vToWB|Mmgf%DpRU(kNobeeZdSF;H8j-c1B{zAuEt2M8zd~ z(k6N`mkb)|&^62eK?1Q=Ea#Y?tpeMaRyQZRYd4o(i$i?nxYe3+3g)v2cfACsF^Bm{csq(EH6n{_P#GegxJk#j z&nG_w;G-k~I~XFLDdmSaad22$ofmnB|DzN;iw}^1R+qo7$NMGZR#Pm{8zEMvLGtQn zotbZsM+`fyAgYxNi&v61^rkKsa)_~N27zl?E=O<-=fAm8dtyw2*QyV948qfsJitAI zoE^-fpD{ittmvJV^AtVCJ;KLvNIKhk;@i*dR8oDDG_si1TpRgbE+5KdT#{De(@HHv zO}_~{B!Z#JM|*?fjpuQ175g}|pBiw2vnm4y(;@T@9!(pkHa@oA9W26)Zd~Co-r$OS z1$nC#$dTwpW#3ewoq%@7Op)U<6U`L-4zjIA=D+Jz2e`DM(M%vj8<@IaH-CE z($kp2z|Oe)=(5_YjE%?~EZfZ(ZhD|qHWTZ;V6F?Iv*jJ7d!IK zDNi!g*YFKegvmQ7&N(=!1LZUmYT0TTl)Ts09>eqj>czdQs;;!0K3nf*u$~@l*^+8) zRKNSydhTcb+Veo~>C7i*9fb%*^Z&P{?RxL(yP|-St;o6ykh4R&0!K&{#!kzjfT8g8 zksgkFtv>FQO0102CfNk0fReD8kV3@^y4Io8^Oc^+KL$riT(QF3YJk+WiDA1noLONJ z4_HkfegNkLMx_KG>-&%YBXk_sLmF*@54lnSi(yOKuwmoGY4Spy`R1{Djr)P)q}gQ# zM|Wv$!R`HZ^KPvH#rbGz#T&dSl!S{*$L zF4d`T%_6##tIwFRhnVoRCuj&RfoOKMkwK;TBKb>W^+mxs(KmRsaLwi5AQzUP&+JN88W)CfABc{&pou?lJc9QjVnn$~K9V|Sn`lU07<8n{y1!ET)ho_vdM&Z@&%Dmq;lNxsF!Hn5!W_FDCJ0EgC6iWT; ztFO%z9lKY%b~RCFd)`W1W9_fE)RWMz0?o*A=W6EPS$Q}ADm z)CH(+p2iqiM2csO2$IH9rM|u0kzov^=&%4jMwEv6kr2TG_y_!l2M%`Z-l%tyY;e=h z>J`R&o;9tLwzyiEHOkzQ-SaCZx@&0${mU_y#w=Kto>j?5RbPWEd24pd|GYTxWP&tX z^Kgbb@f*Lg%}X;z_}e2jl8ih2btk(qnm?vIweUx2p*EVSk#OO^2p-kX(1s&%AM$jg zOJlROQQs@6BSuuXlO=i*z4hTJ4D+$c#_Mvip_)h3V!3NJI$>Ob{V(flZLYD6$$0y` zT=BBEiD3g=S83l5|iuQUZkzI@BV5 zXcr4CDxM%|@x-)lPKVWxnjcESvFwJ|s*0DD$8g!EJWCyD5*1qhWh?z_#PRiNLU+0Dsmh0APyU@`xIuB?F4(o*bhKV(shj-dH?V~#H zwRM^+jBeviu*sU-(__+A8$7Q9&<{4w4PIr{&`MV^ZNW4^_ZeRnEoay zvW?%%uVk3I)pvF-biYC_PToJS-HUeLYxR=ZKA#&gKdIgSn+Vu_+zt{~Z_abR-xW18 zzSj;EseQ5nesEwv8g{DehjJ#q!;(jyn~w2PzUw|Me`J_{gpN8M=_Zz*l$}4?KM(%p zj8W-kuK5_g<&0nU*ts=(Rokb0A<^v2J>8tYcYLzW{hsKK4B=gj#CVX**hH?{Y9FmG zu8V0UC6Dqg5jyEGZA^VB&&7;;Cx+?Y1YYGqq%Hp_CQoJ$M||8L&u?r5>O+_C(T zYTP{9Y4!2`i-NZp`uWO>Ue-NPZ`%pJg8xQmO$YU(DI)CUpA{g%SJuyT2?akG-kKy_ z*>n+#>~}YYtehbbYSVfenpk`S36T!{`2iS5m`8#7q&@>4S3gvx26+dmPUGU2922GJ zE(J_4DG{x7!mD8r4n7{_Pab|K8<+~so%n`SMsl|k$H6aEp1620b%<Owx6QCYmK;PQMle zYFQ8ol_w8m34lNu5XRqqcy&Lbevfqgsod;;WYZx8W7?H}a1q_q7v20iW5#PB+I|jM zA95a4Oxbp>!nqsM^?E60+8Yk`J3uoSuW2j+;RU%82E8K7<$iTVe2F|u z+64$`W;IjAXw;vLW<30YzK>=#Yw=^5$@KzjoWZ&e#=k)adV$*Aw%VNrydD6x0x`p* zH!JabmJevgZj8ZbRP}qd3vi}1%lT_o1AN5|dbO1M)xoa`v+e=2o|fA+lbZ#w{sFUo zmh07<8x`=32Wkb~2#49Ioa;53TLoOTaeGE{d!`L~-PSwx*Q^KFYBKi=%&Y{f@g=~y znBRlWtcJzx2EZzc-viCe0%o0)k8>nf8&)Gme`Kn*U?BJFPa_KGO-P?dKK}=ynNz^C z@hm9xx+=e>^(+tMIu*=186W3R?iZOE8CK&D)Ve{yv-qqC%z6pG1$yI*fAegvHo$r; z{|A>DbHKCHtUJv5Qtp+_>^I>00`$6IF84<67Sy^o=v7VbmBs8ekQH8lGq2eUgP9MI zl}*6&AF~@KGt+=)+JI)z#vaVZFTM=J!C~ppD4Bp~-CS+++i2gGt==#A)-}*8kK8F{ zvmFqtWd09&Ge(&8s@$)kQWu{^j~0H;KaEJx+jxF2Y5~sT8f%? zEKF)p5Y{SPp;9~PH}sxXcQ33s>_@8KJZ2w3kqC$+uoc)LoeV-sV7!SXHRzZSTTgPN z_{n-ySR9kOT)b}FJ=UKqzg$d``=Cf_75w~`*1xKcvwe@$QURlH_T$Ba<6oMZUw|5JSls0;J@ZLTN?Tv^b|TLB}-U>fo=W9nEZi>(c}>Q!f_+bF}w;bZOyVx3G$K^WOoMqDH?Ry z@&9g^Iz|#7Q&T}FP~Sti{Gv{`mar01{ngXSD_{~0u49_|DM3A*`QJ|Oj}JX5;#h;IuHO-l%+<$ z28D^aQKKZ=z(z#{;aVapus$lj01Wk{%|D^j8-s(Lg=!WR1A`*cILFyZC@KKS6Z;Sf zwTMiKg#JUx2#&6zLFlK6lim8ZZHdqn2_rvuY8e@KPV>xZ@6sW34qRCRj&cGM0C+=+ zGBmb<#|`_e^B6j7XiNof{H%7}-ccufV^7D^d@9q}$}P3T_-CCKv&s`!nM`^ABU z&AlyV^1FmB>jjhd#5S*9pa2sNMc(^E5^J9-OP~PE40;5m{2dDa4?G(D-(ocQ{!UQA zd#Ei+kQ_zPDkhhXFi0BU9hDDZ;C$jEA8PoPOo-gFLI+~QQoR>=vb;{ zxpUqjUuX)iJdJfu`7o&|{@PHrZ{!)KZUw_;dOK&H=CG03dG>SblPd#3^@2aQwU-*Y z@T{rPP7L@ANyIGx18cN6>Z%wPQ=7CUv3L8iAJL>Jz##4BMY}wN@>a|r-Bd= z{U{?xpjOIAj7BbE6h4!XZ&ysr4ke_D0g)7-Rz)eov6JA)rt zrBlNyRq!&Hejira1ZS;CLjVy>;WYuR_@0bW{7Aznb*5>OI^Qz+x5y?Tw{j4d7T^2R>MSm;EuoA0cI(WN6uua!XrDTa@rYBX~dE) zG39v+heaJ)saa06BgY^tSjoR_Wo!K?Oo0Y3Ym$=&!|&rhSEil@_duC%_=m&fEl9oxPEK^WBx0A%t{gV>Ty(yS7cW3heE zre-dPwQp&h%}qw!3&T3Hv*;95>YYiF(3=g)APu99EfhOoJI5mTJPi9d z4Es7v8bIh)uIj*FUj;HY8q4W%Kd}_smSGt74VbmvU`yaZ>sb(Mf=}H#XM&XWAgyEM z{u?`^HdLb|T;XAWH8`B%>dOYdQAEU24a%g0Kl(qXx=g8=nObPFX&m;0g9?3ihfcn$H0|>=z&S3?W&SbCCQC?z>-!$F>Y?azeQ3iC@aY@gz`&f6OgO5Wyve3) zp78DUyrBa`QF-Tjnm41f{qK5Cjks{G;euB;K%y!E<@T3&wt~2TgV!eKSEZ)uSoWoX z(9zU$$WlgdK!eO&J%7+?tplwkYAwL5ti7UvfqjxrIfM++)-bFw9JXTJd{?(V3|eAS z{@h!VH|PvMu+$)vgO#GHK{;U0$waK3_UqEm-2gGwVLny~1${@PGd9b=zh(?fd&3v2&qVKk|Ds_6nLHVH}qUT ztUTeu*IGz>ASrHHFC`gfy4nTQdo2^vX@b=kN#%sq0mpB;PEF!0YXgV>K)0udA3Dyf ziCfY@<)x#bc5Ki*MP)Uj!oObIFS6#h0T7acQ^HaL155d5l{mV+m+Vr4WuJm|Z_0XT z&3cH-3j6~wx`g))8_HeZ+!iF~U$Das1pi$A+}xxEJ}NZ>JiK-3At~OxlRq=uBha-nYe-2Ux8H#7Ig4-c zPtWN1{R+*CJ(Qb7HGGrSyAr-u!bORjUiP)0TQQi44cue{*WV9Uz2~RiORz{;XzmT9 zmL9Te_Uu+5-+>&OcvvC!0T$l)*Dd6b*nrL0fUQ`;&Daxwen0Y;`HQzdvzea`r%=9Z zsG*PR@=mQ*bG8opRV~}PHBU{<7eL8=4O3N@dkQI@E4T-!_L*4romlp9N+jO*u()D{ zD`G;QqjLG^U@2?}DQzfk?O66rSoTp^_ElK+Sy=Ym_|MyS(k-zzZ3L{X1gz}@JD`Kr zS1y|Z)_{R%d~<*~7+~kG9@gr-wu0WU;5+QAwI-HtX&EY%d&l2szIKJ$b>;^gSl2u* zQD6s=&1gbSj??Gt>y@H7c>6%^xO~n&ym;UmtX%WUx_FfoXUE@iy}7McnLO+2E6^>m zhMTcyfC5pXDYTU@$1_-_97|~=2wBGmDsgvYYzS9;Y@ZAp6o>XLu`QBf9^dKPAAi`9 z%O9$_uM;0x{s}hi&7JSwcY2KS8<`$`S;O$e0?k=l`w)TUD?2jdKl^r)V&y4209q?Q zgzJ^M+iP~=V)<=O92ER9)gRHO{R~hFx`|@|!*s9;bk@_w{hCv9h<(p47(h#fgmdz^79M|b!`>8(Qw)_ zCm5Yh9OqT_Q2o7E-#`7?%h33+Cx9ZcUU%%w!5YO<~>|37+SP%7C4-r@o?f5RYeF$|!H9+^o4*rJP0Gh58 z1WG9i+H@Zj1NGO@EpbT<+!itxS!p&Hyx~Z z{vo!C;}m23k67`G-A_mo9wB+o>*2{C`yi+rM{jKF=GJr&8=_luyiU( zRAoa5kQs!Bhn<1#ARw|H6<|FiW-SB=ov@SEyWC?suQsd0svlIJ8Iu`QAlpZ7A)FvK z`s>{z8o?arMS_31=6YIZBTuITS#{t0u=l8{v`Zj0_5O5zsW$)Muo-viOD}*J!>Bk^VRs`Mz>p z{*48%>iDB#V41M7!Tq-<;aqL~3=YviC*I6t2S7|j@n4j!X*B$lv3J$*YYa^)9tS$HI;T^il%g#`j?QrDBvNnLDr+<)}u+* zqrW4N@}~~#(YPhHrj?Mj;Et?bRHCS_g$+wm8RReC)>AJl71^(f8 zta-kZk?aDH?1- z`JSUCmbH!G#!-;xIM@?3Hj|mms`8@}?c719l&Vus)jhBJUchS`>M6)m3BF@L;*I=j z(Vg005P>v99#%csG_aWsK{G3q6`)TuT+pdgWK7jQB?kJ0_uHo}F~!H@?Q0s7cUMS@ z0^yD7SXEr;+ksIR1W-`m8)X{?{jrUdUTff@xaqTH#TzcO3*&nv>@@)CP82`4?n*t7 zw~fGs?V@cVtaT#nwE@Yl1<5W3=?;x-Ux#eJMrP1UX7Ed9a3?bq%-6Q@dM8T&*OBmh zPl)SK>`A|stEk)CS;b1E&1|^c z12NA#QayHCe1gW+rX3ihx%20m7B=zx**W4!eWPo{2jKCM^=O#yYR0ZTKATT1uZUfc z;=)cl%zba~(MhMGiEyc*9;a7}G)oYYgi^jPo;%$x|7k z`hWD(947E3L8*2bBB^=lB1RPPj^$YT!+Pzg4Mhv!jPvv0Ex6YjQWzK)BB~hli2(E$ zW4^9RhX8BVC{Gtz*lrqw;|CsM$0Hb;4Qr8Sj@%|vri+H4PN0JWE$Xp_W9r}qG3h%B zk4hx!aGqIW7y?}Qxa%@9Y{qBtOrwHHsQ4IAt*V$_%s>X~nE>=o1cTOcm~qNcn!yOt z>fz|{4Fx8thR=)8Vk*MoR#VaG1cR4l81M}xCVcfu^p@G!p=-*T3q#P~&=k1va1r%@ z<*mA;qG)hV(xWDkW}bcq+n3XA+728X?I)Ah=}Yt-6$Pf-ne48<)rK;k6fWLQkF51% z59{DRZ6({9n%lZK<#4oF5M%q>8t)(=d~c~lIbyi#Y#Mm|M~nC0al`g^iO_FmT^OVO zM``4}C;FI@DKE68%OM9G!DvOg{`xpdP(OO5E$gZe#(ROq?Cp-VB4=ufrH`r^b46IN z!V2#z!4(f+1n#$kN3VSPR;{Y5R*fywh4#tX67W?)_lc4&QZF8_7Vmrnp<&Y{Z)pTrqHqC zqA#oUEt7`Y)=;9L^J%6fs%{!p_geAtZm>L)yr5h)@2NeHbyk|$1P>{f@(|JSZs!h6 z_bK|EVCZ@QW1HlGZk^TlT-{t8M`y;>hBy}qIi=kU zIzX2|!v*WS{-05yd9uRzitghcsu1zx*Ik;7{L4Cx+tAWht;w{okbEFqTk1X zkk1@n3+#`wD7g*Y6HIOBFH|MFsUE|qFPVa`m+ZkvE+LzEMz;>M2_#?+VFFKwX9Mhz zN4&%@qfl+#9+OpwubPF}lTnC{x^AS`HXx7wH*cZBas$K7KbWq{8x5Cc*t+BswyOB@ zENCMqWA$_x#!lEV6AawhqXy<`2s#wM$`)o78 zw32Jb*lC^6T)@T=@;Ub?=5!!c`m?luu56=%o6E6bF<;8J*ox0bzIq?jhVYCc4njz- zU+jN8XVvMBxBB*06KNmmuf`vKz{hn!KA|n$H-2|$5^;*g2(OrCLzVBvIf;N+fta?w zzkCbein1CB)=N9QEhiq@fmeXHT{Eb=BE9=Lf70yV>|3L09@f0q*S`8gI6WN)waEML z{MSQ5(6eG@7&~4axoUKapvNg%=E2hFd!0~*L#Uc3?+2VMJ%u@be13oS{P}SOC{(qu zL=i^Lrh(HOujr(Zqbn856XP#>W16a-h>!)R$g$m9bJ~ zATw=OdaL%~FV-h&ebVi|O}0#qVeW`BDeE6o6Ple_e;nKP=q<1>zhqO`-4$T7(4k%5 z-iDwwgyM22G_tdn&{kQ@2&h+fOw4^J)hUPovQ)4J!M`7uZ9Ag90pb*`6=~uWax?DD z$nQJBSp|wDMWJ=oC$Bmj%eJ%`z^@T0y1$_p8Q@Wuh;~Fg1KiOKmMD#g$^PG?nSgXlL4<{Ce2y(xKs*aJd#9Afbc(b_b7n#uUi3xYOhhg zI zXis%dwQe0`r2#SE1pzpdx*%+9vUck6_!+tj8h63$@~KI^P;JmZ;Aj)&6A@e&zx>NQ z#?iw=&3$?t^HJd$2sa5qWziqdXUEC=)h)uKb^v5V{LR@#==4A)0D8xCIKDx-$OHwE zF#E-zO3)mc?7o-S58)~8q7+3DnHq$BF&#a9u(!g+taI;&p_oI!9{$M&4U;Xtz z%CmJyJ^%q@N*IQyKZ5S}e&1irf{%-XIy2j+C&hL?x}-nd>6)F@0Gy#TD^)-3Uwzzl z;=XemS#AqH~l&Cq8oZh=D1n2BokJL?>mzSMxhyoZ#fe;M9 zlHJLDGpE=jjUT-T6NSJ@9B6;fve6QDh>kWdVjozle;8Sww!^i!pr*>e01w=gXUU{T z#X*(Lw=mW)>k+0Pc&FH0f^~tC@G)Wx2Mn7PRYodppSjcSO`czb;GXxDImHeAvdbFC zq~-D5t_PNg-C~(g?+W(T$v*j;nn3oqs0W%Sgp#*rCD&3NE)3U6(eoJx`3_L(l z2HJ*V;*adcnU_KyGbxI381~{=Dh{BKhD7jplLRlAb4WF`okqavX*aJ!HMEskpb1Ph#sRNHn<(_elqf}8e`#-V=Hr@uO?wHh+Z6J5e=IuL4GDb0*~V=RHva^ERy@&j z+z}S*-r`>~wj*0bsC&ZbbE9YOB_}mVHPCf?xO~2+Ev~{DQZ0CrF-)v(tvnDkIRG@) z>o8Jm=*+w~^Xu?qrBB(spi1qo21A(o6Jn2c5bTo*>}nm>_JR7qPuKU4(Vc;|)Yxj> z2u}eh#p^lE2&`=~;k9b-=Wc~aX!S0bQVSIpneRhbWiTQ5JVmpm?U0S}cikgO(6x)l z8ZgxZcXgfW#t?(~v&&UoPN2N7%yNz55hq5jaW<=`n-HC#v-dAOxJfwH&KV|!&oele z9t8+eVXXf*$#u`Fla@YrcU96@x56@Z-}K49(x2JOFJ*|i#J%>8PiKR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb deleted file mode 100755 index 0278be23eab64382c755cde33ef5029382053bc5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 337 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRt^yhY0tdh(1H%m{9SE`o2r3wV{r~^J z-i?i|tv=wF$b`@0C(@X^9x^Fdepl#LaF3thC-FicErB6%0%wiG!8(O=EJ+ijQfe4G z_X}s_$_mwsP3Yxwc-9Cq>i_==#-zlAG>wFW1OrXqnfyy6QW|dDJgRATOcX~lbwm^W~ zqW)IKCHej*Hoa63j8t%Y@tL3Fc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7&000000089#00000 z000#M00000005>100000004La?3zn!6hRb)GaJE$3q@TB;^+^M?yjoto}G|v1lkwibh%+Hh2)OY_yH&^Pbeh!pFn2NmA0!o+Oy5*>-E&XfS9fK(TrPjc&&K)BXtZ%Z zUT)7%7Sq|m`2F;FfBbg$=<_h1evj@&=|{YI-Osn>@@rJ|INttlzSuqN)$A;$htn^+ zi|KrpY8kHY#Ea40`0IPrxaxDGCPurX$=w#cimW}2-#u%a^TU%*vrL}p4Uw($pN_mI z@wz8(dw!akTZ6f0k-43t@m5R-dtHd;s9lkU2Dj^@_IVVy=BKkv;FVLe68IvD+tY)O zi_G9P8SGr}&Hjf>;PRRTKI&Z1kNf)ZdbZd<-kZ;6F&$ob>c!P~!U-6nhE7SE(XbD~ySZhR~*ygH8r@9xGRJFJZtU)$3IZ$_8OLg1{xC#*_Y z3g$y}x|9n-EV%Ne@XQF+dtD`yp%)@xKoMbhO<#%%_rfCRg;+Vs47k@h$vM~*>cwQ` z8G0dNWr%+*Qh@4TiDi)OcA9d1kjxNj6&nc+U+WHPiLq-+*~(II{@^8WYMtCT3CUVB zFz$tcpuH-@KpRG*lOfh#A~cj1&wznVsU~8+uOyCqE9PStyc1%5Au#Nk0#sR)`m1eT zsYSEYl4_3@x|Gc`3J^sUKC@~d16Qs1h7AL06C$&y8i)=V4F;N%wP2u@VJY-Ejh6Dd zk@STwXe}5k*wAJhsGt|**f3x|V1Nzm0=CRv%7#Hj+CY+LjTGDq6Cm0!Fc~X@=N0B7 zj#RW^AVO_o?U1ZAy0NkiqtIPIu$SumcKTYONZmV6ma1Wr!@93ee5l=Q#+>#WD&k&l ziuQG`lrAXfQjMaTXoJyu=|&oTlYr)gFq9nhgy>{yZCqRJHYeKW05hl~47C@V!k$nk zqxppSz>JJU7|O;iAEi$!IX3NKB0h;&;Dd>7C};-^_$2%adJ#u}0l$Lt0|xGeUbtDK zRRb`f7bT`p>Hq_e4`2hqj!`g)D1%sNf&z>zrX>c{;ej_l(WhMDRhxSPGp??!Ho=wA%ob#oT?R)X^ukzoJj-s7*V%AjCrM zzS3RD-B-$n?UNX_->V?l|J=JT>>!Tb@JfoJ9%JQ`$J7~k0=U*IxP0<>M&)n+xdn0b z&qO^Vuun|v(R`kg1`bl6y}!svNUB&0Cl~oVC8d4Efr9=EnY}5X9Y;d>JSC+a4xGE|Br>f`F`>Iczs7^sMqK?10y=444ZkYW%5VvsEmEl{ diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb deleted file mode 100755 index 3d010368a26171ff7d60ce739ffb72d6924d828a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16542 zcmYLw18^o?&~9wo+Ksib&5do_wr$(oSR326`Np>M#>w69|L?6^Q{6q?(@#%NovE5R zefpq+fPmD%gZ|^r{|@1QQq9!K+0x#Qgo%aW7XuTCu&bqw2?;X`Gs!P@MkZ!P=3h)K zB+8~vZkEQTBnpPc)+9_M%2FiirbZ-UCYCP$kY3ne|H6QPP;mc`00~F_UzsQPztRKr z(ib*|P%QUb+Tc!95CRmEl3;Hxgv;5(pm(b4cit50cJ|MZ2-0x`6hzp=T@*L2KUG~_-zne~pj9BSCa4L!B{bGE_I!7Hj1S3bK2`~7qy@TVw9lUl7$3F}?8Mlm z^HvxG4QydXf*a}I3G|1+A5H5utYaroD>aOks0&xj`cuH^;dO+YdIm8GG1pQ?LLBGu zvjK`Q&~aX{fR!YPd`YA7D1-CjXFnhW(JSRy{o= zJ8W!Pc9X~cVkDb0Zk>kBx1IQ<(jhCJD-Zlyit>Hrc#XQ&uCQkINZDpz=f}bCt%+%{ z#rJ%!+l=1Xjl2SQKw(f0@X@Av3%-lA%Vr_Fk$)?#I??(nj0oa_%OB(HzShZyQ82MX4pY{pg%{13h_E;ew> zRg~t6;r{^_!2f?FgA>nP;KWtxM4k^jGA+b~dM+FLw1*3dJgUkJ;O`yVTBKO;OQCRg zNc;}dR_GA~1O2TA9aBQYpT(DQ14%Z&GE~>Hlmr8LkfXtM&`6(;yM@uD`|{PMiJKCF zND7UTUEHzXvEUoZk3u$oA*wZDV|;}x&QTpzD=(x0f7_>WwrW zTYih7Y`w`?%3*qPxp{3jju!YWQF>UDrVQh)fb>X|@jLh&Nq;6jcM8ikDiPJ`1wY+E^Q=QG$s+oT|KVys~ z+fMpX#hXmeEoY~|W(3E*uqR!7Qo<|Roskav4l=#ZsP>E9!b{YU^h=yx3xogsNaPrp z4la5Pz#t7W2xWqGvbJ>$p?kAf z)dty7DW$goEKe&qQ(3FXc8J z{q>-Tfsg8y82fJj5GE9wvcroza`gj@f|57P#w22*Dyk(Z?I!tTg>!7K;z#?#C{ATg z{UJKv+tM_=yN)p->}CF)hcnx;m$)}ZKB`Dnh&Ln!zZ&5EK@LFw^NlS z@~!vT2jCZs0|MJ`?hw6d5+pY7UY7zby*0isicq=vUyAv9FwfpUUno0#n>sS-H+wq4 zd(Dh1eQ|eMfNbK5dpnVK`nm#0D3vq#Vm9P;xf;*fy<-{h&2uhgI8422pAQhEoLjvj zexYb2PszK;sN}uJ#;5{fpJh4G-Mg!G_Bj4t?N;xca7{|L=|>a9^^_Qp?Yi1 zsZ81XjFad@84lk#HJp3*(-(~- z1eXt3p7M&7Z*pH*oom)7c@L5F%&?Js2r$#<&CB*w;EvXZu&#bcN#WcET324#%tL2$ zHPC==#vPWyZ$RTWz+qfK!NM_9I5mmUMdXFnsOWJLDTaK~!>!vQ!bUd;>(#~T-tvhs1bo>M}w^FI@1S5Ss>A+gU zmTIxFK*>fonhK4C)KM+wK{q#==={lnYfBsD`x@xHBv08@bdL7Y#t2kl9XA^Ez*p2s zhWQF^od8DXHY%HRZzi*?sdv=C+zth?z+&CT0A1tV`gyjLX|iFy?6K$?(F96wKV;j^ zla9%{*A1%ea4{9+6uCEAd=bX4R@(H&RoPy@_eomJ7M@cji)=bGU!!h;aWs(c5I{KFkebU z2CGoZ0u=Pz?Hz9;QlgF`e%6H`+P>u|TBwWZJz=~B|KP!CFT>K|=<}=43>Z5V*EGxb zAdDW(VGv7Kbm1lWz8E4qn;>ylvG)olrWw>JjN5Qx1*OP&m|7%ccHJza3y0OT;gxkf zZFOs#t(y9$w)K}AycEikZ+I_JCrp=!Lz+|Man(7(q{%^iFKOvoE<0i~hiThLYdvY5 zhb8_2ofVEl%`;+8}cE7fbc`ea%+0mGMJUCC!z@X2s`!Lw&a4kYU;4!VH=o4vXvBydb2- zohdk|#w{sLiN3Tf1#Nj|vOoaX?4@I>ff;PsvCwXD_2@5X1!G(Y4Jl%Y$e1tQdYU^r zwDh%auBeh(e#AJ1o^md2>ZxmK(xi2}!@oyVi_YT;P5SJnr_v zNgN5<@2TdHqp2*tJ(@G!G9kL4wpff$DC;=f z>bo<6c6H1uS*aGWzc@KdKnv-7NtdCo$(8G4wx|}%fR_o%kj-jDM^D+edQ#~Po-H!O zz~VK3K{%KY>P;QwqOFXJvx_MPCGO{uEZ~pPPogv*OkMzpvhB$i+)FVbp@C0_Xnml_ zmE2?5Sl44J!=Hl4&_L1@tG9A$5^iZ$bb$iYYz$rbjqp^B1|iJDCSTtJu%awXZz|I& z*(=Az5%iDzkfpNLKF-~i2gNNP71c5fh=?n!NYz9Y+?9*@yzmu@DL-u=duD~XGa zetYw?yBD)3*Pzxa_!8!HWHm_(tUMTI#>2MtmFXDj13F?pY)Nv`UkLr(pbz@)j@LA*k z7NXTp{_2}3&qv+dt~N$}86_uxJ>nriIr8GeaV{5s_xfhO&vSgjuFUSIC)A}c5%qX; zYrTP!ztgtWE-xXf}XBJz~Nh{4sgw@jCSr~X$ehJ)_dAacWdd1wUnecMRcAElf z^2()hP~5SYNyK)C<7JFnzC#(e$+)|*8st@(7Ht7h$B85K%0j0yCQMT^n6DrTR>1IV z;eZ&JZd(u-gD@l#_8C@0JDt7K30uZBsb8<$=6uWfEMwyteIK=S&)2049DV9I@eFfH zra5vrg7!mrFT!0$*jrfR62E`j6iR%iqtM;!pmmss%nE$eEb$-ap@X-=f|n0PdJ$d* zOSpd~D3+lEnn{X0bsw5v%YB)|BX5|Q2oSwOLcj{7PG(%goI2^SnmAOUlU=s*(|V%S zf}0SpT7*+L7!TB zL7#a4XhS#XGwUB|{Uf}8w4w7)&(V#EP4Z`0)D|oJ+)ya|#8WJM&`u=2UkQedKJkm1 z`vLNPM%w>sb-IXVJ1?M*>3iNMgzk>@3;%Dv7icuakxmmThY($|M|Y}`PmkQXhXC^M z{X^~_f1NmwClkYMF{CF&>XDNR`Ft8)ZJ92TqaQj!59AkFl7F}8!|-1D#}jObLlMS- zien%E2~zO?l)t_h%zZ#b$-wpJ!SrRHtba-U-^4z(6bnDI{v`;w^D_=HuG`5tLF1N3 z49A#;_GEW^E3!VZ(QIaLPaI33pCe>nKQ!1Ep|NJqY2FA^)pG8sZ(HB&vNFCVREtt^ zc>R!OD(NO5BiBY$(VANxAk^ij5I?meW_{B5YxQaEQ-5(cl!KfWUFh+!3N3~C5>SGi zHX=lq=lvuGKl=1iAd=c$U5uyV*>?ORLrB6!vF7f#qc2D}##Ls7io?{C(8G$+7C>~5 z=VK8?fc|`Xosduubeanx@7W@cucdVUgjSD=We0ltC{^5+boij%jTosVAz^24dAYrO zQf^TRQIBYU&?ffW!1yu-%oV1yYM>_c3W(RlnR?h=DF&GmrF+5VRY9ZGIAA@dtcrlq zB;3@d&l?GXoIM*K2oARaXj~uoy}#QKCU4_xhtHmsPXl*T6*GYBK|>53vRW>4_hcA@ zm65SF1u640O27HKOBLJZ9uLLyzJC%CKRObKhh7mm*Trsei2*&>X1Z zt>lAq=toIc$=`P5Q(K=_>al&A#=8wHQY*uz9;Cuxm)h*LTp~PHJxm z3q!9tPM{J$e4q+4^dMJMzx7ru-6-oIl+k{#4+|~sxupv%$Bwk6+@Vg6F_iHhYvyZ_ zqs46SbWOaDpLB53Iv`o24pq{qI}9W8iQ7`D`f0NOOwFiU+&J=yh=J)?W0a)?e3Zc8 z6ROc-?fTKG6X%*uidq#eup2BmicXt{oBa~onQ2ox73aDHp;N}$hA@wHXSWO~yJ!8x zT`j`(?i{j%L9gW;L2so}{7Fe7u-mJIJ;|e@HpJW27!r6s3U|4jh`ClpN$ZeUd%4O{ zayUa)dqKCbpDU)-wOBRmc{jV9Q`D+F(0au2mJ@lFww)}`zYaeoEm8lt&xS|i(wRQ_ z!v%HyD%7O4)qDInVW8P^mW@^KK)UVuPXHpV9Uh{M1{*i~`J%#OyavScJw>=ekLksa zObsdE@PY<0ortuL?mRSImcEb5JhbfmK7Ja%K@`+lBbV4kc)tHFD#PxcIz`vsUK##> zjNNC~MLIZt_8t^|`tx&u+`3xtMH0*EHX35vz>lO4da4vbfOJ0?i5^2=`7SJ6qzpM{ zE9~YE;BP>Ls7-hK^2YE_l}%%?m5pgc8*@;?YIACl+NYnU1~rWc;MTb&I2soOB8IO? z0I4I0CkDbn2Sa0`6>iK+5)&9PIEI0EpG8Wl=Y7xM1>`+v>=NRH zmMpwGX|@h~`VkM1Ab1XzL3l@TZ%Dd!W50jq8kiUd1nSAC@Be&P6NEcJLv}SDll%yl zz*1!p@wtxg&JuA5Q*6>@43w)Lyqv`rW`F-H)6X@Ak*d4zZWELGwaxc2{S|dX^Jk`C zd*1w6l@IFxQQd-)hC(l$MNTJx`xTTd6D&^5EZ6f*4?<5*coM_G5pYO&b9aH*{VIrE zYf78?pzs4`OKA+_O zjAJ_C#sI(_6idK{PR9pN!!uzwoZ6F7DGlKGd2b@a%6B8<%-~W)#0(iu$};aN@9JqU z?7c@r_RIVYe=plN#atoJ73v%N_8#tg^`+mc=LHL2GpH`0zysV5j+DC~S{3ebv^@9# z1NYrzJR!{3x4volt^da_p>avL-AIiRl7RrU&ANx2g4GAUZc+H8D9##*1*An!jMVpn z)L`N>pa&eM)X8h;h{^n}g}|DQZqvv@mSbmpKsKu~IKBM?pdC-{w!9Aw+5!f(m8Z-E zu}Y#Ss{j3!R=MvJLn-B4G>XE-yZD!2)?8Fw?=&b{q!Rd7IYv3cGd&?yy>K6g2_e1o zk7B9735T79{w-zcTp#a8{|l4)N1?4e2F#Af8N|1Me0}+%pYu*1V?i{Cc~wqp&|USF zN(IcDlq>J_e}`aYlsnL>=Z7L`y9x3(GtU%Ea#eklA zOXyCaI6x9Fb?88t_LotVyvh;f?lw$YC5h2ibcyWZHLV(@I&~NGBb8bK_nPvBgvoSK zEps5Vz0%_&)lZw_I#`MUcz|643;4Ytw;Jmm>37TXxy%1qfLYVe2o~Sf@A4r^I^vZf zIu6eK0|`Tw;8vpKP|ycwcpQVOo>va(0&fBb`Cc9p77^{2Cn{|vB5+YY<=K)3qAMb$ zQZ?x2VuYIJS!f@GQ7b%ha$zs{S0k4I{CNT|IzD~>kKg;f)lB{UF>5jzvRiD;&N5@->c8lw-2DV_+cyj}> zi!OyPH91ato6?!NSau(Sd;>po>ihY}(z{?bP3yPTPZ$Q> z0{_qpf2kd+7708)LZ>9B;~(wQ2TlM$4S1Pba0NJa+lal5u#65e+GFwWtK&7~jyD;>koewbB-|djbP-&0Vxmj`MG&<-|@E?-v!OaX!!X3FCxr=_l=)-?%9s3c}3lF&S;#=-olM z4%5>)Rqz@w=ni~}CIWMW)k=`Opq0ZHxT$==EQS!Jzf!hiF7B~2miaqOz2?&&i=D@h zQ#U!Q+kEymvgYz9XT}dB$5V=H%N+X8pi5+F;cZPn$?frUS-`hFectKj+SbvgkMNC( ztK!oyt<~}c-ai^wrptNz$(eh9lAXF4-u*a)VP&t0NxkYY{ieP2rsk0n6fs%2?7VZF z15@qerAPW?X0!P&`Ae#uKGy@N@arSaWW|p&5QVM`@RWjk{fa*f5MZ|S2`_so1sClN z>3K!e1p6Ap_&JH}d}|I^jM_(*4HpFwgqY()979+N7{RFXSh z@rUuFZ01u~OHQ_?eU;nB%e_q|T|U5NU3XIF)1229wT02T{9k6{IE)%14VzdewGDp> zJ^gv9lePZR(VZwZ@TT#kX=26sa+m^R|Iz`FwAWvZC9?!iDpuEM&Cr|aKc5HTjq_e~Z2Lr(N%7V7>b#WwJ zeT4mk+GaOwR!e@+u@5SdS~9K7m`n4^p|U&aXuo|+5O#Ds;Ts!TAiwwbxrD&C=;_z+ z=wrM#3U<0;G;dEs%uHg%V`fANGlhzvf&PdnE;>T^zY$4gQXGL0Ax*d=xj%YMb1vUM ziE|*znO?QhlQOIJt+p&^RfapK5xyRynG4mcGDvNop#Sav;ium15i zbh}5tq+IWac$RXShyoaN%C|aC^vE?T14BC^kTH)_OaVR4HW_#wrzhInsuWDCt*^r) z9Jr>*h0(HRnrgiKl*L<=B4%qXx(H8#Bb{T5`+xcG*hS?uTl6VJ=_d=PN+8I*hZ-2q>himzXL=jhJ(&&l1@@B5`^%JFNxMLN&_0}%hs--C85QA)z)?>YEq?dClH z>rGiZ`)mC`na)2r_}dogy>X|;X*hrK>n?2+*nCW!{#E~B^DW2z?Qzuk$T+$Dr0VwG z@o`|4A4#j9weD~Hnm=~g>*(3yTkDkmc|gCj{&aKx*7?D)eSh~hI!t^q8t+X$Yag@b zpnH_Dv>~aJM)Z8Z4A4?}^Oij)dFdBi?BbsV5qFe`W?yGRU{m11R&PT}$@KG_Ut(8Fsb+ z96eNmQjQ=@5sGi>TD1a|nbi)R$_YWa1n~^jo!gh~)ad8eOPIXmwhr z;N<8CHQz{Z20gh%mWJ*BWr2+_pH-BQwv{8(mIRF!;*ABC`HHZucR zM4fX02idK@+JDaRI0MtMLj{uBsGCJUgF9W83hidNPu z)15bNCeFzzhrLnOp$co_qnc&Vodfn!$y9CO|D~IvutFq>IaCWW;LC0+w1^>X)#4hZ z!ck%=I@ZiC{KqPD4}(Sn1wAoF|K=f-f#9I(mo3RR9{F)yw@M$FrX&U;7?G zc$c=b!qD_1uj8ZT;xZ!sd!L7+1eG`k3*h8<6Yu}*Owfy>>{r4^|H5R!$ZR11c2zi< z+-5FQ2Z4;&)GgGxQGgieR!w?Cnc$uy)QQ;i3Uvi2@LfsZfbo>TZ_5XLmIHsr+vT^I zQws!=2D{-|5VKp*3cW`Nd1G1-vssh{0hxkJyxT-Xh7gbmz+ z?$v@^q>Xok4IGPayE$4RU1?49qW}XR{!NwjC<)MQaKZdS|tWM%+j( zK>P;{Qe&~uhpbsG&;{T4g1U+?;F~VsL)iFtFW-VI^SLjGjUK2gzd0b21#$q8E*NM& z2V}QE4g$IbyJ1<}{Ia+Zd`}hvAUCy(+iZrcow8bdBW|cd-Td+ZAZ{WDWE;=*z;Eyd z0}1EM@SDCtZPSE0F`ESNp04A)ZkQ~f1Khg$-$ibTjOYB|Huj*d+~$aW9bSQZ>Ox(6 z_w7BR7ib%=kFCFwmD;uP|ri?VC@!_h7-7}NF z*F~_RTZ#6scyk2$M-NGO2 zrkq{|N&^mI*RJY)WgoU$L$_wHBTS)m>#;MMVTN5q4Q2@cZqq55nLt5WKy8(hg+=(ObxC%RQu+s~ zNb*ZG!Y(!|7G;@+9g$F5n=C||EWhJ(&knma22FA4!Y)4biusP;)w^5t5}~dN7ViQn z1niy?Z**dtgct4A_&I9P+>!~^;#K#qOF)(El`D%_`>8@}tDxKl*UBz4evK)yI*sQ3 zNx_}<0TsQl0zhmhyXAG6fup=S#se_6^KV17i*KJ*yx!ggX7IpmW$n)hrvy=j%LF!# zTH@&!97T^gjCOSDQh@CN*F=|;CQuBONJiWU;}Na+3YNEbTin_kv7RC@18dIrh)R~g z6#eM!__hVjGQmjW3|ew8YiwcnE ze!3X4Q{{#miI9^du@{g`;z=IbBYpx>X@L~K-GDcfDDVv>yAtFH94h02m_TNz|4t0t z<3ML_GERekarAU0DPBt>Us@62Y##s?X}z83tzDk(s@QYu{j|jNQ`+e0iy)(ohm;nkQ%5tZPePGS4M~~fGNX5v9pFv= zwL=n9yH(C1Q~W%Xbst&YjBKY&PYN4G?MsWG{HBIe`dITz=1ki#W1)56SBZV7p;Ufp z`J6E>n6P^FIFwptBbU%Gyo?kL&(Pmi@-jceFar~We_nx;SrWtieixM7fEHfhM@f=} z6y8)3UWTLw7h-ZTwWcP9IeK4!8N_RB&N8zOG|(_^!9uBL`=~W=wsHPumQBsTx|l52 z-qE(LJoToDf47p(ZU$Yp7fHgAQJKZm`Rx8(`!+{Lk8@i6^kg`uco3WbJ|SrgIw9(h z{5$qvyM-wH!kobtj>QUx5uw;s?6#S(RIz=#nm0@Z8@elpm8{_7o~5s|rtI%MkTyR} zSxwm)aZHhP9Qk3ze4``9#!41;Y|-$dzw($-$L2f=3{`7BE9<>Rh2-7HrY<}CZ*vn| z^CHItN)-W8+?Cqh0_0tlD4Q4MNQ^4TdOgVK)=S9@)QgyE@X>kgAUalUVMU!~!l_TwXTduYFbd3Jfch;ngbu$n5=e*YbvAlcOp?-O{ zR)y_)c^MaF-RYFa9>c3Tj62UB&2FvflwMsvI|rCme103=uT`T}&E8#F7Cq^)58XC7 z2d2iY>hP{wrWGvV=~}sZvHvm;+0sK?IwP-IoP2Wbvii@ZOnvnl2$#c9XLfm4#l%tY z3d^mR8I!Zrt1-<{JLDQT;rvZt;E`J(OZ#MWj0_T@4yM?Z5n!w!g**89X_m;H2M?3K zd6TupW^D=RTS!E$NBa}?#Mgs45#O$6CMHy!&KzIIbqvc=ri?{(F_#RfC1?eD%8BVg zloHO9-ooaMjkqEqz9EkH6bf%0+wwNIPyS<~0qiX!*4%MyS{y^O021RD_!L=cRriGG zHT|3>_Y4w!fi;%Pfc9Y?0xe}1noFr@5$z|9WiHF4H`m}G(iypC+Tp3P;1gYWzPap7 zouCl6k%uH(Dp%Y?aY!7~i;bWMcR2&DA~Bu9LeVFe9n&`q%onRJnO&x5xAxfH+;ZVH zs@+(k-I$`?n2g{hR5xB2XbN|~rQt&sL4*se`^9EL<7R@a-I&?Gi@7&g1K*g|*iM<+ zV@GnNA4C#q)3g7aLnA;jeGGZw3)`>41^+gRE;S;c=G5|Cb04s;B3HCNj3g1z`y@R;>MwDFTuCC znIJ-LV&IWkYCz_VLsGl<3fbr2_`^jxNSDXL`NA233<6=LHuw%&N;VZ{%4GyHzBUEDt9!jvq~;dQET!>Tz5!Hi%>mkJ*Wwl^%SAFG4#? zgwexXv`KT?@N6_Z{MI>E$24L)1j@6`9dl~|2Pj!7bR}XXIQV2UyOha|%Vg&yVmkow zH5lJ19^a`BKj<6e@EqA6bcje3uq8~@KYx=43gfNn4e(-sFex<$JFo+Gm;3}+&GFlX z0$oLb<i}kvwRa(LnJUZUuX-k-(EqQ^NBwg5$8RK154r5wkYZ@J>>RHd2WWQi*m_ zmaT;1tpwxE1Y^+teACSO9eR^O{05AK%yMXDHD|EpmTLZna$C(BFC&0aHSDw&+Ljp0 z`xX>Tf4ID<^HrF=SX-fXXr;2x?^Z(R%-{Ty|c*nS(r~B;_F}hdt$pW5xX({ zf6+@4iQV8|@kw+{``C1Am{R=fzhN8*hIlprP}mjzuu%slZ#)W|bt+Ewsr+ytUv%68 zVMY=PeAgKF5GcI#Pd~`nV&YrCMJ6K+qoiX9n(q2#ABJS1kyO=>k5}5l%l_~M4(gw? z2b6vbj7{9H(my-FdrH-!H0!*nkmw{|lzAHDT?cxWLYvtmPc`!XdiO8@KJ{Hf$H*h_ z0nl4}DX+Qm+Q0(_^XZe2M7ajp1(II2Fv1gpHxq)l5=1r=PCy3&sh$@uUP0z^KAcbC z12~}~9yb(S%WcOMoE>XgxAp6un%OSk>A}sjwbyZ#(tTEmuCSb{@ttz;@3ktVJ`M?a z6C|;Ji++qL6yZQ)^Pr}8V!l@5JH_HV)!;kj;yZQY-@6K4b|2u|k?y#N*g1&Uxrp>Y zM(6_UJ3}`iU>F06Ao*F4mhRlQ8hUQRJ}^*voc~&GoldZ{R;vw6f6js&NOoFH9wV}E zeqQ4tkKo!eMx3AKY&oZB#&h!zK|k^aTz>iSqcquBwAJ+TD=05ae-;J^IRZF+QyObC z?MNj$Nf{wR@Z#z8b#A8fIcA(HS+vQxr$=kZ4&vR(HUb@9OyiWtFzra~Qxkw6Y~9Zw zPE;xAtByT3 zD~~bz4W15Ly!hC`+R^umLCg(Dbs0W_RYGqPnX%cA_mC=zqI^+^yJ0%^W>7&~)`&ToNYy7O;?ermtkw`D1bXWj~jJ zbb5Np9KCdxoyxDjr!gCsq$ zIlA#^%(DNMO5?JTU`BgX9;k4=+d#Z0q?oVKXmI5x!wakufir;+4FsLRpnKG3B_jAM z@s3+^P+{1$qH{mfQNS@KTrmC;T%|Fb<7=!l`TN~Nl)(CO1>n{q zr4&THK-oZ%L8(+G>{-l11~|N2SkBu2)uS$D`R?do)Yg_w*J2lLY!kpQ9M^z>NNwIS zt>P)^8i>SkAcG2Y@NgSL#B~$F>LjIXCq)$0iL(YXMlM5j7n9yfFrD#|b0bURK`m>; z)FdSZzkBD?s*b#*1vQ)<5sCMe_PSG2s9~}&TDq&SbF6a`QR^TrYbUJ&+2aPI5L_Q3^WIG|p>d2{<5wN~3*< zwi?2pQ*S_9(fjw$5%%`(LGTCKinx+oKU&gb@<=maZh#4E$qJ175zPFi3kL~9!DLdB3His>S+D@3A}JtSKt8dNbRk1paYENWmwK( zn9fm{&Q+KXC`zZ0z6<t5%+~0R3z?k*nH|Ics>hC#$Ssq4ddf{3DMvf$j;n|r)X;Tnu!4;Q!KEm{zNih@ zz~1^~j~kr`B~`uhs&0k+Ct+U(;(xWj z7ax8po@Sz89LJDxwG@%GBiuq;xgm17Ap!7y?WkbaF7a`7Cv_y`BY`jf(3Et4@7L}b zyqyzK9dguH+GBNT(JyBfeJC&y;V;Z>M4ZQV3MQ4oi_+$g)>S{moNipd1JRF_$QMGC zxiui&z|B?yPwvy^k?7`?=tqBK+h}ClYUE2Xx??{29VO+yALTwM<^HVPP_Q7U)-$6V zDKZzTzbhG$8|^(uSwb%lYCJb;JQr#__}=Y0tVVRByR2VOPHtDRwVSuLv*SH`gd0mX zHoQ-BbMeLS79r!coxL@FHM5+Fqz7|aau zWxS%!S;_+j<-V!l-1vS!#-^3N0`YkFv>!e1RQfhViyxd_v9`{WUcj@e0q@;H=b!mL zW66SuAu7ay>KE6(LQ^tXx}1sAanjs6m5Xsu#`4Zrx@eJ(*DXe9pR`)ednAwA>*eHO zXBqLT3$Q!+?j<;5>rcpbl)6k(8O?&_kSC&p}+v5bZF0!!WN7 zES8SNh-$5v#yH#|OH#AVQdG0@QdG^TpSkDa8B7_q!!~EE12Ijk12y5DSjyrf9rMfL z2&RHlUd$v!n4W@e8e*SbloEMZ_4hACNw1D0D>m%L+`93&i?~l2Lb;%Kx3*Y6EuF9i zPK%FQG5Az}uYl`QDutmSM})VaqswV_{6xH0D1nq50lQWe*Pjl^U^f?((S@nUPZ2j! zJxDVYRZb&=8oDgUJ~pt(+uoF5j^MQ_0T@vlmhAyDS5x4Ib@ciryXVdg${c7B>E;Lbo)aFK!UKas0X z*sTjR(Zk;pcwX|hrhTspehNHlB+=Z|mhLS8p(1uZL?0iFmN*Y?%h6gF*wmoTOClw% z;Q-hC%Zm>A!YgC^I}iHgt~F7?Zb zrNd>gP*Uo9L&3ElL=-uv4UL$p8u1CPGZwg#sj}VN8|_y@F#Gd1Ph-Fh+h;)TBbzck z8qEXS1N+u^6LK{90KNCWhC;`OG+FD_xc30#8U0rK9XAl*RB>&p4w5uzrVqP+vs#mauD-PZjE|5yzUK);YL zv^6LDCckujqjWyarxG;xO}BPpx>lF#XL^pIzvc?RU3W&Hyq0Sh7~4J3p^!#8MhiV3 zUp!%={x)ov&=sz|A#$#Q_`;%wb}ok4QqPNgcLwd=_2xH1UHV&p)eEM#45Z_M8^s=D z-o%tlnI61rZ@7dP*CZS@ZjgzmoY)L$5KQx(-ozdnQc0Sj+p3Tzsk8H#=0?ifCFRvYwblw;%@|t3jUM#KP>r zBD8q5gUtD+u<;weD7NEbw1u}$+Cg?Vwsm}A@|3dcA)h*(G5lhUIsdAx;u@QObFcWv zu`p^J_7Xqu(5a74-uiIaf#)ZUse)X4D*spvXl>Omew}*LG~yQL1KNexZ*UNh?{5## zKmWFrV2WYn(HGDkscvJGW2^j8_*0ogQGnNHx_SEH8rhN}r z9hZ6Sw4J#Ku5q^C`WtSS249m7#g+BIW2ok@51Tw|`bxw1+R^SH>0noFZjL%_z^j3` zmF~%4O3-9;g%Qa zoegS~ZR!Ws-dJYbP~v1^$h#zF%~h^Ve1fxe(2-{9nJo@9u)7$1yKf~lhD(J8w>WK& z0eN-VDCQI%${d%xQ&6+IHsl|-9J2+p^>YU_$@l>*jcN84{YaeM5nIroUoGa(2dknM z5yQIyeT|`+sHK(g*pz3MB-iL8Nnn0)fngq7s?Gzuu*Zd$BvbtEz~{tUBPFEgy zC1)mWs89RB*@cQEr6G+~XCFpFYmHF~@UMXqj*h)y3ZWmJqMH%34)F(c+K@FdB?SV! zb-3WMv0-8GbokxJbtp7(N!EQcA~-zlew6|GHZ-gd`;pi7t~!HeLi`y6aRYVgZmYQ? zVwiIligK-~XC|TR0=}+rWi*2oyE3$~bdVdwc>v2kk7{v&XByclC_!NFz#@$3mLqtC z!4~bCC*PGr*(pFL{&Pd7+*{q&8&N$5PQBbyTKBq2&1T%m4eFTva^nTHi_9N5j>H`lw?eS6ZA1;I=b2G$Y^q1#}%DZoiK_~XYd!aJn$b4vZ;`I&#OPZtr&!m=G| zF&TncjEX7iUNzhB@eK&2u8mflB3QK%7YOHi^yqfTGlqo)z6g%xUW(u-%8CBgAW{*W zI+xtfel!^Ah%n=K?p@6AAk)gY+gqw9Om_@g=(*EH!f+J2;;m`TIP9D*89d4{Nfwy* zGwDS)!E&*lLYDB8WQR4?hS5U*XCGyrC~a^=Hh5q35-pdq{1#@h4CK5!I!J+1RwAyz zi$7v*vSiQ;Z3F$4!Y|CHl!=Sdrs%b`)bp;JTaz8Snz^6XaO;hk4-sga^&GV3H5robLvy~gKO+k-m(v9pL- zBPDgg^~}E75_O6}w*0{*yyV~{K3#23bZ%WeiHi#pbOOZ=^;dOERlexV1dUO*XsYC; zVr?rrG-CYozzYg2d}f4T;CGy}_R3Cxg=Gj@Q+{K6_#P4OpyXil$+kDNX}Tu8o(R>? zdw6B9}@Js);&858nM46CJ-}&m0J*!D5fCV?Xe2eY2q0K zaStP(U8vYWl`_#ue{GTzhw%)nM|6-2KRxahN~=b+aS6MC2#*l`@!DEC)N2*PNf9?< zRwG4(q(5wD8!%u>P+Q#NMgcPu4|wP!Q~?wtJnvzSqi(Ym(ZwzAiUWBc z5ii4Espuy}Gs)Ugsc4pi;@!U@Ept@K&9@?8D61;E(uuwH$^6DI2_#Z(gt}mmDJ!T< zBHqS`o)F?By`iJRG{h2mu2hj-NX6gsgJv-|6`jAFQ2(v550%%|eiHCesTM|SWe6vl z{`7`_V`JmvncSoI9U~0KK2r+Ep&8PgC>-Ts|DctaW0owl7~-#VI-tnGLqaSgu(J}< z8=5D`^mVrdPXkK}bZdoHEO@gcS}=!ah)6QYMbxjI3(77Dz{ho;FCI-d+z0KAw3pf)|>y~n-dLtw$ZGSH#d;tonqy<^}Z>( zUh6os?G%Hc*^O9kt;R0*b%>-2Es9d8Y`L5#!A%3DcSPgauv(#yTs`vC(4}LCJY2B2 z-dy*u@d2GnwOJ6ww!CL(pKsFF$lW|BS2beP70AcD)P!dLF`W9hm<_U2s6$X@qgc){{v#fX7B(2 diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb deleted file mode 100755 index aca72e98dd22ee8d08167076a115af9148b83784..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 30369 zcmX7P2T)VZ`?asAh$x^4C|way=~AUdK|n-An$&=ZfOP3SQE4J2(vcSFogkeMh;-?_ z3!w)H5JG^2)X(4lo1M95p55m;d*;lY-JLskub(@2u8R4;e@~x(P2(TFaq#wW_Vf^x zk(HE|lo8bMb9Q?#_(=AVptORN%p<8s(lWAw#tz>8&h`$1ukGwz1!V+{UkI8xyc5)V z@9g`pvMKHSKbmvr;(Y%P&ebvef6Vj${}}k+UJet2Pb-z~dH4RoV%)mNT*t-a8qBs_ zlHm2b-%Gw|ch?l$dQWEfg1G;1_iO9AWL*B;gGUSp&2cPug&uypfBzdpc=OM-FZo+^ z@|)?M5I7vO+}_W8FNuTznTG9(BbEJJb~cw=y*CF^>lkII`kN-aPskM&;WVzC(afSw zi5M@&oWD=evBjm0zB(LAH1X={E+Q?ZelAG1@74213hoYrGU7X_iWs83iLqhy*nosa z@6OwT;df`O35+C%ci9}}?-mm^Sz9{b$pcun&!OW}3O?wOYwp4VSIaHxTT=?l{O_p> zpBzr_qJ>ZJ_g+Unde`!BYO|jIUwph#ygVg%kUSngqZjrJ;OW6beOU_S3IiCl=s+tj z;V?IQqOuVYG;w*^Gte?3!ltDRYZMQ+E$ieF1byX=<-ZvE_07g@1D=Pra(=tnpVo7g zao-+@MU?bQ?3wUpj{qie>}M$856Y?C^{ljK9`*d0Cnc38d=y=`j4F4^@y%`08ra$8 z8@%{M&-Wt#)xGZr!KOpF0s^R<<mPHMoD-;R+l`3@RU)iFgsJjw5eGc*XY}TYRF-xON*IKny=2x?RyYiK zZw?Hn-2oRiotf!_c?@*Hg(Z(CW>%jbKzY_eEwm0get%qJUN}sz*RFA8V-1~_GC2)i z*nq2=B>zn|LhZ~qBVP_cUjhm5MlU`;Mj_)x}7Gn4!CU7p|% zR$P3*rb4B&hOW_tW;;&NEofEJT}tJ<-`Lp+j4(3{XRB}w76rIwHHJ+FJcqj#j_DNJ z$`m|W3-18jTPmg-jek$Gh4j>%7>Y0K+(CSs5k3S`q%4IC;dSfahZG z-Xv9@aZjKo?s4wHzN+QV-9p%UeG2P(8?p#CT4H_%^K^FOl$fDk*WPY3I(+M=DAFb! z*Ko)xap~v7JE8lR2M?z@@kN=f$!xlAuGfx2vXc-@kejG?d;#M!et#09d42yH_6=Qg zy8G;^rA$jEOLT2&y2}|t^A_W0;T1}N(_PPvprkS{j~gGgpVHQMS)bXZeOpjTX=&)W zR<^0<-$2$fV_eKHihy);I}Re8k4F^S_Vu(5)hFH*oF<;RSkvt69OmCSPffj!j_f~E zSXtEB{)vl)0K5*Q|9p0r6rSCA+kW)73g*L!aLhafqUsAwTH*S2BEFkaH`!P}6-$gi z%_wumH}Wkt&IUxpO>wmD3$?prIh~qcSlzLIadnn=U3bLr4H|dDF&fj{ny{(I`HIhv z;#I=$^T+PlEw^~zFTZI&B)w#R(|t&7Hp*)f{BG6px$2+@=`>8oFUwA}?xZaSC!jOq zRZD@)Bf_r(1FO4>TBjK>{rR7!Z#Xn=14jzS-{#)_8{$~iTWg`FeYy11XUpDqq_XBM zw5JuS>~sj-mB^W9@`|~siK%FBQebmBkaZKtnZKy1z+-pl$yb*cgOczGaaGVLF_`gi zL$zw&T2ACw91M!K{~`D0mS=3s`5%Np%|N@T#kW}})`6nBB?k-12T1{~s<{L?mu5L{ zJV09g;f)sI-Uf5A&1-XC!TN}ye@?&|yTVxF!kaMXYK2*$dJy3gdP>l33p-#wZsa%D$H%g5LG zI>m!{e>EB4@*jrmbg2~b6x%9y9^3JC>Q74DvLlVL>_qKM>SU-FYBoEQx~~_r9(?!6 z07d?=x!w?%&Np@EuN;*=RKI9$k-;~2XGxk0b5wqSD^B;jtf(*GRIE}6UAp7WQ&=V{ zjRk8rwrLl%i!46z!GAUR;5kV5^rG24O#BcT`a*)<`fXP?vFXu5DX%K;+Uk9gYa4K6 zbE}|s!n@krd`qX>&42bDlGauwS(s?*xKVeWb^6Oi=+ksMdc6e#5*Rhu%R{-HxMMT7 z&Vx90BJK3=?r3lDLR*#f z7W~sHM09P= zdz>duRwc=b-l9#QJT%Kjr{5fV4gwwGEHVLlB=QIp7GocE>RX%yk{7EN<9ehk(JV*N!@~l6o=ZX7R!lDah5dIpalX6}2tz}K zyEyiNDYj`gi{h&(16SJk%08o}XO;Nk--R!iw7s1Iy|)a2my5m8rVouA68-Ho@oREa zH{6(qS$ui9-f*X-24tV3e)mxW)7J&P=~ zssaI4*RHXdO0r?UBgReiRJ{-Dcz}GacbC_VpZpjnv$q?NpQ>)4V%Mqwa|{J zUs0894bvM21p#M$T^)4Q5@}0Y>Y)x;TNk5Kx5zje@SdR8@1G8oLkIt?`UcFh_#IU3 z3Y&#^=;fj)FLTgM%|M_k=7bS8i?`{A3ez>QyHdv+)QeSx$XI|NW{g$sXqNNghcpje zOtFiY4O}6ZAFT~*h`WUhej_6kyxhPYJXBDRdAD=cgSuO6aiBc7@GO@QJ3a50HX>G# zSP|0sfRweKfBKr^)&w#ewM*Ky^#dgj;dJ1uiq(DskcRh;3*-<#UKsa`rSX3xH!k+m z>IC3*r z{_%CH58>GBr5d~27(I=WMxIEcHh9sJaLi3MMMC~T;O47 zb~gw@c?a_XjqfxCvZcqy)L_kpD75v?xl8Mck$u&ZJGQNm3u^hSqb2vv9>01we7wy7 zV#k5)HE8tP9awTs#!n!>K`Y9Ba9ipCZ!5KwG(OAIt+bl^t%2*+BEafzK7IEpt33z! zHM;LQMx@@g$8-G;<}?4e9QubfD*@uI7Hl*B+d25FK86b>kQvzdS#n);#CS>YNC!Y9 zMuRs~4L4rQH;`}9F^B9bHb^y;gL(_NlkS6NBn=R+F}L)wBBxUiZSSZ>34pNR?YHQK z-|aJZJ=2HL^~|8a#GQ$CoUBbm)r;s3w;|7{*cYhfB-&Tma?;aId-v_m^)s;5?{zd? z7e{$WtOD?lVweA`$d4k@8$0n84MPB=`QRb(WRQ?r|So_o%vl~BxaM6hY%l1L^iT!$%EGNog!djD)*4szRh7_w; zrQiytv!>kd^wk8YU-!bwI$mW>h7`NO3h0ooUr!1$~pJ;Ah>c{p2yCqvEVhP82q%rtu8a6edVf7$t`NoVCY) zOy^%@3|1S0_GT?>vOLKvTcJGocEd|=>2CjcRH|8TS@`KfdxBHoXAAmB%%&w|xMB#} z2n$Co^t4YMzU?~R2t+v2C_U!UV8 zL2V({c)~dLtjMR5)+REAJ#**djf9RuGU((L0%e!*i&*l%UOxfvN?OUQQWRd6K87uz z)WHJ9Sz@eW6}Pt(TUljaj85_aFpt*9dkc3&9mnb7(_#wm#(D>y00axbMriC5ZZ9r@ zpT$>@-LxAcByCyA!)KF_Eg+tJBqSR{^GigH(h*KkDB{asY8DRa-Ow#YD=8Vk8|C%hE?ccw?`r-DY!XHiWJA_?4Hid#U2Gh& z5&Xg0dRqQC-ft$?{!z7iP7&*#t9p>abL7uy?*UNGlIDpGBz{LTCpY#=@Ug}Ii`{Ix zgI52{e3!6JR_i}7;mSC{w*Iol7+PuM0~<#=J@-l924?sNum8ajPZGSYj9yP_2!gaQ z2JQadp`PPg@W}?YO1b;lAXitcc)h8;s3HN7E0@f97%pH3;H^PD%mTGaslA}%MW$Gh zJ7(7LJ)?@DFV_T!`T#;u?-{z3>7>h()Kbk`LO)ykl{yS78PjJaSoQ8awp86>oU!fA zIbrt7G}3b8F9?1&{&hfQr(2eh5)#vg7ZT!_MDIu|yB1VI!iXJ@9snNISHS+yQhZ~s zcaDmOnwqBS(4y3vT!NIkl_|WcBufNUz@yrjYg18MRdU@a?y}nr(IDO%irTFbXKhe- zwUfXXmDDGC4j@OqZhE=EYn@yp2j8`&ud~7cwt`>C4Gv)|tWEB5Kvh7A3RO58 z%)yG`Qy$lP<+2!mT-U?U4mLel*Fo{Ilh`D8?-E`^PKw4+qWlZbRD;#}9;;2=My~ds zWG5dZL?(UWCN-Co)X*You)$+h)J~p9b}p;1n&+Qmaf9Fw7);3a+4Bi}?@Lx(IP34H zIjb$&4%;nY{)d@8lgN{m^mevr&;C7)5@hc`%&qSM#GWTM_;>DD4>c zb6~94v}h4gZ!r<>aX5!Kwom<$o?9MFlKeD|+*nTo2=i0ohN49`N(@BD>EqWa%cBZX zVPm)^s$1GR;+a(&6j|uAB_^#MyeF0AbTwpF)!xti{(Rf(U;#m@fU%8`;VQGTs5-v6 z>Y328dLk~IJ$Qsp?4}Fcrh_?%XX0GJBcnv5<;pDK&IOu*BZZ5DC~%i{Q#0J)@ef8} z`1B8{W{+K$S(g3-r<{a)><5v8_R4W1N&_bq)sLu;ktO8jTAZG`hiymZS0JfxMy88_ zvsVx9uYmtgh#*x*SU;bG;a_h1g==jY*uJCC!dZt4NgdcOzNVh*EJZms;G()-RHmLo z62jx9-d_!UF!F0q>5*D5-LapWFLM^GS$lTEPcpbM8eT*PC&lfQQ1{SC!=JX6Kf!fR z=x;uQEb>Dr#p@yEVmdEJTePD)fa+lCHNF zJ6QuVfiRufxBoHwtsnD>@slvoy1m3Iq=y8&&y&i58(e>}%$jWlZqsY7LjHE4p75p8 z^9!bI0Y*SGaC|{X_KfY^MfU#nXLNCiy$6wAPNX(|3`{*ZSJdh_eMe?<#5!>H@u$ab$x5x zP3|-B)!z0fKfi6_dUYG^&Df~Cc{+dfytmql9d7_m zQ;{ZN((7Rh>Iw?kZ1Q{Y%X$<_oa~DsIE@&1va~99rbRF8GUlDkskmgn1Ic&7wm+fx3u8R5ISZ=iZ?=g+k_4vlJ zqd&s3CwO-C`p(18qMfURA87{rt-v3zvSe8DIVdBS#Zt|$x2GKc6zXd$8k+Q=+;s$51 zEKSx5C>b#=Tde9BU+~#HnH)Ftg|sXk&EAu?K@0ViwC%hYCY*SN4@=P%GMX>Jmmd7Q z9~1M5YEVY|TznIjc{IINWbk)1MB<9kb>SY#LfAvW93c?Iclkphsc~O>E!Jh|cJhXk zh*HTz`eJ3EtZr4sgrR|m5}sfALlQ{FmF8anmcan|5=_`$0))z|r`P^?D)K!Tcp~t_ zG6pMQSZq3}5%X2wYa!{HFSG1113=Vgm-qTw6MRBGoP!eU!*MM$^CKI3YQ`A$4wFRHHZX6zzsS{^6VnqAeFORaRi=+5q z>y^*Cta#Ton4soPY>}8W&jEmE2E9rK24olXumIoh=<+)O z#e-(gzQdJxRG*DZLartFehav4Sdk@Yr^WGAdDUH&B-i0B6ZAx)@?fvx;&70;6K!<)Ji zXg&!igh@Qsd%53x`ROAVQ-eB4h~}W&7sTwRs?pcwo0479s;uDF_tTh5VWM0ao7f*j z|Ea12Rbl0Ewty-Ydv3<1;g|0^A)ft@AmkN9Z+ zXj3IBM3EHge#>{YNd@2N<^1S{`LtcE88gP?jhcJqH~UKDAMo97)GhWmgq!bthBCr# zxMRGB5Eq!-t=(WO`wjxQ5lDpg9$7Mc&Uvl~^@a zlFr4OiDcNcN}<#I)2^naiJRB>R*NM0Pvkf(>9H>@ag(a+*5r-Ub^5fGPu12|G*52? zen@43-r7QWDXVsRZT?-pG$CK#eR_r5niqObto8pr)J&o2(ZdrzG@sa8$^m4Yt`c79C z*-w_bg@veZTZGKpMnR=u=a)^v4H0mDYeqFaPIa$5&R=6;rB#!&J+GmqZ^O=RJ8k<^ zyYY99@l3J2mpPt$|6#OD<0n>9Btl~BWE|h&XEap60X{4pV3B<@5azm*8|%kb$qZ2k z%_lBc+X>w(el4KM24HiEqJJF;`2arj;B#bS@O)X-{o7I5g#nL_SNQEa&2e79v;taW zh#6-;k4y|Ox_n5U3>LKkY(C!OTR0rw;LQ#B)CDQ5t~Kx6&UZiF`=ZIByt|^A@Iz(g z&9mpnIYE~?wMXuvt@GC7J&UX1uhPUmn}tSs`nGF5j`q7_aEt5@4SGDXTtlPSWBx$ykZ1ePa!zEgM>6VJqEK>`zM zLV?lS37GRoudmYG=E2A~I-KDw6cWmsb5+ahsT}nw6GKOV z=*(r#F;}>n_V$V7i;7jijQ`icH&QRoxcMSj^JCKl>XqbaW_RtTlkO0|v#AQ&7|b-W zKCNkaIgHKuCu0cAoQ^YEOCDp>aIkNv6;qP>c$0dh7Mr3cS;<5P-D=l_hCk*cVj z*A69kH4+}oIU4l6_MgkAtd@+Zut!d8 zlu-e6TnU$QzSAM#)!LcJwed;$f(%c%H&$xqE8b695L)AQ<`ZUB85(inNM~a^tksPV zx`_M*3+ z^h|wed!4srGN|L2gYXS(Aa3WZX}LE$X2CS$>wCWui<^y3x66^@i4h=3m7@+>4kuXd1YU;ZRT*g#3-{vd5hQAN>8;A3OxyxY3z zaQ>aOm;{DRKkfW#b&YgG)N}H~tJfPVSQlq&~%PGH>zl0$pd!^LFIM$-J}(^AM?d6BS2&-F2+MW(ye1@H4O zEiM;hQf2MDvShm%IqcH@G$|1DjXpo64`H43RA^IihA|GAT@;p_@WJ30~{rOA?%xf8g-QZ z(OHj8%2bbcjIb6_8+wXR_L{==<;fWMTqtn;@wkOSMwWUI-?&RN{mMG&g6V#6(fOp@ zwvQ?MuW9|Y^>&7~iV2QC2mPi_e|%?Om}=DgnqnpI_9)BlZLqI_^V0Y4B&_D)(kpYl zJQU94SVAU=gKtKOy34f#$+y90ySD*$4RJ{ClQ;pSWv^{Ra4$sSmQ?(VBNXFo=v1Fx zST_!jiMn$IZ?O}{%2ND@0=6)r;Uht2JaV`J*gd*|^s5;;9J5}0y05<`Rk#t4<1-Eqq+dLsmTW5)~ucJu! z#cd4(-ao^0DP$odyYZ$cWA_g13~4be)i>5Iy+<3POI2?nY_2ekgcgRd&Af(&>|c1; zs>VMbo-#b_I#4v95sRqZ|ptgB* zUrcmAo8=33%ck+w9?_bS_v@1pGdoSzq31Cd;tkj>(Pdys_0N8ivSFEHB>f7f9G+fv z{SQ=in>P;Kq94fdd@DOcxiX{Fi_jX6{L@v`4i z)gC%>zOiyFZH~TNAt)Yy{OM}BMAPVxu{4xs#L^2PZb?76|R%GcYhIBrM1nrvY1BZUzY~w z>tqDKbAgyPQ`2t*+@Yv3iWv`EeWS%F>YILzwyIPAdcWfHYM0P(4+KM~?!j8{y^=>K zh3uO*=rhHlSaM=fnC{Kwi`(t&mZaJg^SSNSzX!_hk;9^qY$=Vxdy84^k?j;YyZ7Wj z54$xKc7Mk2eLkm!dVpv$O`9lP{`>0gnHA}0U~dFJDdYX&>ugE5=ixFlw)uUVjC`I1 z0O&S5kG<;SbpM#IDwq_J7HB(13frL^=+?*q#l0F&y&N$1q+Qys?MKH+tq&=E0t2=z zlab%xq8I+lYfE~Ua$Rujfz*z!P3 z3)PiVRRx?s&!82#%^ZJ3)I3X$++IXzw%p$N)*c{C_=VkGuIA64S0Jd>M+>)qWbj(E zhTgy2gy{5dSPUte$KFwUdG-YPs2wKS>cA+Fq^OY^{n}GUfXBBr1oJ1(38!?anJH1D|t)L64 z?)SlU-c&K~K(2bMfJpt&~w*3BhYh}wBgc+_T3ndqFfZ!1>lqF(xG&4!cf zwQ)9`jHPIGj(HG$H4Um$0-BDg({&rGPoU#M4kc?7omL1bi+zn`10Sb zIq_LD1kOfk?dYwh^IolyIifMT%r*M~7v~a7Xim+>=!0QHsdO3mGlHDhTio3G1)Pt) zY-s0({sQ0(J)ZjTC2?i|%JuZkdF}+OL63eGmO5PLCWY)L-Oyv;6%SIU+2j0{IF?&= zU|ITGd^Xfuua=gYOQQy;9HyFLcfE{rw%3e>`PxGAn+q$dDYHni@5d`iPMh(wgV<%Vb7V2l^2)oZcj* z{k^Gw!rxy9Ok{D|rkF5>v86ZV=sEyeb{s%8~<9!ro1s{eee$XfgP zvfuY%sEYERcI(Tv)DT|;>e;a81q;H#S%rG1NuyxMLPVovy4%;wVMF4^w_njM%vG26 z_NKc=_<+&?qu|}Q2kmE%9%exA`y3B10i|v4rus=EUyHgq9EI3kPPih1TD|hDTIq|_ z`hMvFaJ+ZUt3T98{{x13q9dsYTRvCUTxBg1HKAN2z5?Bj$Ya=?&|pGpvLh`%~kIRCLbh z<;#%n1);0Se20`y(Ea(61Ro5`G8MBTm#=FcjMyiR%QxP7o*CC~$Qx}XJA9g+O?fGC zZ-g;i%&*4Vyw-GMR9A~@Y=xPLxYW6$S)-EDalTWu&i~)R=fivN_}s-*M?ObS=+J`H zo2AU$qtwdJ{r^Vy%dIA5JCfw1q6{4Obt*jEyD3z2&le7Lr)^AC<3eR9?V;ZhrT@mV z*e!hwAH8GQJdd8!Oz0L|easw(OcB25OT1|C@bI)_Fu_XucGYL5OYQBTmUcMmVSZRMINk>M^Iw2K$}H!P?x`FOfvleLR! zID5CjGXgL>T(F)7Di_$0U)aKO1bauBm$e3(UeoRet;Zhq-X0iswc4SiDnl!*71fHH z%-UbKNFKlGa3vj@Bh9v1=9)4oAI;v6=7=D=-_;nVWIZq6l`Uv*=8t4#67pFfIhNL zPTJjUpmXxJ4^c!f!j_Evkhz91sup+1)5T|1PC6Z2${yvR)gu6yf7_cg;}r1gGochr zzFRQkrs(}y&i3FhM-Bs`goW9A^UMp=N6)FkTYSs&Puvkj;U0R!8)I|Lr(gWP4bcp- zmry4xUXA0%jQT{}ido8=QbgXa9HPsukc$lyOcaUk^_^l~fL@4~k_LYo5_(%BPH)&f zD1%#>^bI=!KPv``M4#rgkDXqxhyI~xCeL0qGGkF~oNlkas-LcFhx8x2K4^DEm#3(s zKnoq=RrNtX-NAZ{0{OT>i@-c-zCZK@<8|Apq7r>M?&``^qyvK(JNYR|UHDchj9rw* zorsr|&JpX%?JO{;jY=TrcmvOwg)&^68MaU3$?+`v4cPek(@P$7dDYTX`k_md&Dv^j z(}wV7Djz(+@{GZ`kfpv;yilPZu|@t~E7N57sV?SA9dpE*MP}AZj}P$+s4~a;8N~sS zY@MH`*up>w%RL zla>1TT$z`U)c5*JBSV!mv%504S1P&I*W<-5=hLr(MTtKL`vw5tSXggmN@Px)D{*>) zG;nEc=srSdsobiK^9r?@7nGuWWvyuewDY4as) z>Zo|&=O-nK2gvcZ=oXL2A1z4-TkKiBoL}Vf{pBLY3~g_4$q!%eYLdz{JYK1%{Ip4G zsN-Z}upIaQ$uaZ2Zu{PzoT2TVso+8Rp_e}~%J)o#vYbmNUe+#cW~z_W9?M zNn=*m<@wnYWd(5_grQe3a|FKG%`z_<1ckwjq_oCqF+8=SzR2MsoRj{2Q_tn!%pJ0$f)8N;|c4! zRG_vMs=X%k-Zu~U34L88=j1t z1f)?yv;s>W4_!{hC53=;q84vj_k${a!>DaV16MYX3%*4;Z{GYlisQ${iWbZowQcj* zaGY5bxax8o`FpuW`ctW-J0#?O^nw^s^zXZOGPICATg%}2VhXEO?!%i4^m6P2PG7q> z&i3e_P8(8BCR#S}TWjI%_{oo2o#w}WXDt;KGz-MHu2jIR>*d^6P``1h2Xn${iIB!M zr2+C1CcweAk`g0^dbKT9)ju5u6j+uY7A2l~DIa9L+bH&XuU@ZR1!2TL^9h|XnMEfm z#6`n=<5VclqY3*emPyqwg62*f?CA<6vL)TFpHAV>n&i)QVy3`n;~t{NcBGRG4m_4 z__M7E_CKqRO`CEEb`iqtjB}Vy26)-HPq;u0OSzr8k{2Jh-GpMOkFO@a-n}h~p|-ey zDvBNfSgHN^+8q$Ob46{3WilDm@Qd+KN6UsxV~Y3EHbE4eMA;|9f9+- z;%{qNi|H1SZN$@MFW|hv9IBVKtnaL5zX_+5Bk_OczzUAH1sa4@(Nh837oa5nRrGv6 zf#>EytH=HU03eF)^q6-I5HMD-9fkBQGRN0KCIQWIupG_^HRMH z1}2Y36K&HDktU-at{(G6?%7rz4!vn7T=glPf!KT@FuACYcBC#B{R36&qf%A*ee<^3 zwL*>T<0h4wBRJ5 zQ%^gvXZy6I52ndB(33(|bdzPR#dcWa99$L+($?8t?Bmwtik@oulkf4FKG2+NI}x!ELMLaUyl$2WCFF zv@+O-8*+>z82LDhbostSLLHeFZZG{5bbt##O737^7 z&@pvck$+iG4U(e2jJD-d3pG3^(*O@9PA&ia!oM)p1Pq<<%{^WzFlu#M?($6kM!&86 zu4#te1v)X~^X(mK;qzTqr9EThqY-Xydt!giUe1gx53+<-aU83A4WbJP<5mp?q#n!7 z_1l>cusTC)1&Ip|Mt(J@bgega!YpN~ldpv37%rnrD~XCPHEtL?M2cgz2sGRgSQlGo z5hBq`rH$dq zxh#$M4y_PIJ8U!8b`yhBH6I|?4)=%8+cc^GG6D7~{+IjEFfh*9rB23& z=+tgI@|_OO+`86Pf$(;)wKjk(Z*MXJkYRs%!l6wuc)T(2tlf3#V%y%#--;!O<>Pnd zm27xB-UYIymq$FMyoy+}B*8ta$aqzgR-Bte%dGg{FS%7OrB-229Rg?ET0^bR2nC_m zIU-2#OE14vmJq3Q(61nl4F!}2YOV)8G;AhJf4MvAp$43Vd<+JBM46&FMor+SZI9^| z{j92rIMAX7`p{conT#S&E^a0#LniaQ#s?2tyA`eA*vbOdSL%sO095H%G@UQTR^SPy zNT4lw8@w1nIy0f&BcUGUfz%HNv%9gFW85fGzZ42$1jwwO;HiRR&(-;fm*v7{X6Z%( zhhXI{Pjaa>VAP^RGmF}uZm`ztq+HoK))0*GV$ z->T23QPzrZyD6WVHlpQQC0iBVRPV)N?k#~w zFps!ct*%+U@uIKAD`&V;;Qf6+4JLVuVKZ+QX9;?~^M#=8E!5Z&fbO4XOH^QQZ+i{+ zKH(}r#Usx35IB@hhiwGfGdA#Lbe zF@RY*{tJzf^BCNR3!#QazvrUy@FM%@g1AY@-mg$P$!qnb;%^x=thFb67HPNU1j3W3 zq1z|2Tg3RozksHj$N21XORULpvvi3*?1|_4A(6_Djj0+Wt5K(3?9-=zfLT(&uf9t` z_m0bK#W0H*0izWOqU$hMZeOHrSYsU;hkVuuC|mbY!*}_zShr}y3z}D1fwaZv5+s5|WWUTazfbv#;DL%@hL9N@uAOnIIO*6Wu zj|nbOiI66Uj6dyDf&jA?pdwD>I6UyN?ugFeHsnf!cL)Mh3(pe>%6GyLS z{F6v^8anH@1<$skXlpM{0J9fF7myJD+jY@EfWlHIRqaKg(U5)Er!N!0TkC(p$l;;Y zN~@M$sx^0s(?j)UGY!hC1N0(4_#aclZTib$*-;aK%qU_pK19Cl2xQJq&R)+^6QGCM z_H{6gF6@EHlL=kdfm$#{y$ds@gGjP#rEC+R4`GG{EPeZ6$gsESr6H)3w!JSl2pzaF(}L~ z`{?Xl?(iYa@iv$VT?6!caMO{Wu6Kplw$zUsRUN@rU(favtN5Rf5Gdi{aFjqvR?LV} z*tdj!svCDST*$%k5Vh1MdiZ*^)hKKQiyFA%Ud~x0ApJ;A8*L+vHLRB83-YB&T;m#$ zmG}I(6ip+lBINiTWQqr_SS-gLV7c1*&wBd0R$X{P5*osmm8w3W$PPz=xj_IjffoTa zV|9|qIUx_Nn|+*7Pz5cE*;t{k37{(!U+JymX7KdWNF{&?%?XKPnl|7^H}TWBxDID8 zn`H3Phx#77#@ZcudB`30d(HfRKl{MmcGa3paa2x zYh9$Mdx%1yKOjE2bv(s>UfH>&=G&d4Qj4{@?JxQ^Knn4iONo#0G+VAd=UH@Bb3$pG z5Y+Z(^Owe7%V+IHL^W?=0IXz||7+w!m8Qv)<+B|9Ymio7Sxh7DYj})iVdo;QIlGo+p_0?S0 zTF(5hQ8ej?L5!zBE{u5=j;^-XI%m5{uEtNaWA0!Gz>~KhBL`B$ywuc!?b`%q$ajL@ zwh3%}4z{aC7+VK5Y=Z#5@g9@^5?fvPsGm-O5ZgflaPt4pPGIN?i=15_NEIW$3qPQS z0vVhnCRU)lPEEP;zp!gzcj~s*BSt^hVwDa07NSrnso+*Tc&W7$p}`pPgRu(LWKJL< zjzp^wx%@f5mRT`WHno~%)}trRD5KHl)POWGLOz+S<`dIlm*ekV07kJ<0=8Af+oGfnp`9E?Nyernj>?Axhz`DvU%!`PAKEIG1Pyo;|v(8 zAnR4M6*lF_&sGtMR6jxGU}q=6_GCHV!Kp;yDJO}Tbk(z#1k}) z%*?@a&VIVPFz^t`m8LwuJSV<3%>Dd;P%&e(eJV139~9|LoxdnB8cTmg#dq9fCH6H1 zApL6Fy!hM*QdP`l!M1sAdh}O|7^n`JM(t82hS`SA6rAi|Ywg}m$GoL4w5Q`R67^*JomJXE0HK&`o$Ujjx??-H8|oqL2?nJH^COZ9XD?+{Q~Hg| z_x>I&GEh+);b>+0c9Zd&_GfaGv&Uz6Lo+QYiVb=z{hEm<3eSq1kVE}Shk>V78}3@p$j&^`-r zL%}liw}g&<3C7-x6RyfH4v3n$bT69c%f%iNU zliKmDRxP4HG$9YT{Hl1NDiNzTjf|9+c2*M2x>_sm{1_uO;uZo=3uo|&BU zv~6$Uh2KR78ze~Y9r}&u@D)mHi~f(*mrVoS-9!XVO?mTz^&PndOG7dmd+g^oq6ao^ zW_E#Tw#Ai&@)B5*;js=~YwsRdE7R2>TDb!w|HdZQA+Hp5Vhrz`+lQRrrWv3_)gzIG zxm``@DRSm8DE^RQ!-&UpMRKnW?{JF&7D>g)KX6m3oNmXH42Ais@rCjwgxTrc;f=hk3fm)jG>eX@kKT{~sEx10Hr z0W8^h>*U7!S~LR{cm16BF$GHaA2&wim6PSR@Xf@!CHwu<9G&Bg3wC(N71-ZXdoQrh}<9?yQ7Qbx8hv9^-=VDNL{p*y=!0SsvR> z_DSNagis%1q>t8!Hxup#=YI(L3)XbYD`Rs>;u2YOAF_$PqhvuUK(X}}$Mfh!z5Sk9 zQeo=bVS3-4`hDU~e543`h)u|@K=s29jMuM-P4I;4hO)XM`WLh6tB&YE^;V#i(fLtw zpLvI$pj&usAlGeNuc7%rOgVKu*uirDdJx`wWAIOiK6UN3rEFS7`j4syE)Pw}QpN8jU?1ZY@)x7N(ul}y!PKNb{peOy$g zPH^K~ymkG?|EOf`#)l7QJD?7Y`)=K4{eRZXyByZ1#gnfsU-)wD5B|Lm`OGF% z+T!j^@H>L5*jIdBd?>ww)ic=i_><9jwv!wL^JnDp=iCd=Z!%8VG6l$)2^Kl?ou?GJ z+Qz1=U{Bko0GX&=2LZ`c5lrH$g60nAoPOq#=DdxhtEXI5+p`+qnzm=JTHGdef-4`7 z8aqz1N=VHPTx6GDa^J4FS$#QWsT&lX*GqinA=Um3l*dOSb;kGV37K1fHi~KIq}=ci zuS@jP^g2Box#zxBL5aOvjvJ25j~D)?7awoC9xv!|kE_JXSzWw^wi|z8rkxF6&JO%< zNzUV-_f3NQ1nMO^<&$_6MYy_rI2)HUJ(};%?J*7yOsCyrkCD_rY*puVK2I24%E!W2 z(>X;|%%UN$Qu*NzQroQ?%)WV+Sd&h%~E#6mqs@=-DaGk05{QCqpL9v~1Z{&_v zME7zzQ^^mhPh+zz_t}@L@8Ky0?t9+=k4(lhS%4Sa%op>gCeN>?B3YN@Ev~e{oKC(^ zo%a8=%W`Cm79M#jY+UJ}w@^tnO(#=YJC<(LjQ$+6RPYs#6hW=_8V-?`bRAsNW@;Nz zYN=ce?5W%O4z5cxwbPw~1Toq6N*I@HzJMpSg_@t3eDRn0j;P{4lO&t#Ti4{$sngKy zwZ}@1agA@Y65r;5j065D_mnV9A5GGnIcoIE$GQ{dG!2-mxq7<41`Ef^cVzd-W^vZh zjla)h`4nkw!lykIM$4q2B@}hXJDaG%KHhnY^+v-_pU`4eK05)!$p5ORo>C6XH1pD! zEDirjX7O0wPxD-p8)v>IvdHpm(e-=SkG$K$VyUz#9B!qlv*)bMO>PMD?$%q^?7niz z8CkYy)!RVIIO#ZfXpx|@=VnX8D}4*iJ)R7xfb`I*VUE5D#7*$xB@#WnGH4lW;w8Mo zW$){Rx{vn!vlL>bOr@l>`{i%hP0eQkS6Ku{1L|MNJyXTxeyXC-4P`Z4ZLoHRhS#B_rzkrqU zO%^^_JVnSw5}W4L@DqIfGiJ#UWI|hvwp7%YwVf)eLbYe>KfpfeaZ0QjzX|v`6v<8t>a5TR@fjh3V!zIwiC^J9;0SBdyn#xN}= zPWdxTYR+BL)J11T%@xbQp6MN>cYW+1kXL%0ws-)ta6Uc*6Hn}fO?0(z9qU0)$!!k` z2fek3uajmOj_RuqHeJGA_mjyci#xj_2RL(N2}0*}6%KlW1_N{6P7(i0$Mbfu_8}kr zo#ArXW**jsoo7Q-N{{@WXL_|5K>CMej`9u`0_G83<)M|0U8>N^fhUl8BfoZ~PK%vG zXgYkNMqXeY}2(M!EO<-xttMjZzCe9D< zuj7k&h*l@0>3jY4BecZo0qiT)?k;n#1@^jLo<%fHsBn3I5ZUd0XPTd2P$ z=Iy^U+A*&2umG%PWf>27knXoRw>c*+hWNALAP|qb=DRVCiB<5}%+!}&kcmJJ_!p_u zz%P?O+1_SubR%stmQT#06(ilQ0E4ulC5Ib$;plYdBnV_oLaos0-)c zNrcJK$0Xspn-+)F^kqh(`8^RK*!tjfMUmfp3Ez;A` z(j;z@z z!%FMTB_#$Ckj@5Df1{CstLN*pm&7)H$X<~5DnE5MD+}H3!!Yhw{fCF0D(60&fwRio zXwQ#5G$A}yU+&&?X}vAMarDr@_url8TMZi;#VrgC7WI;bwrW+{Qe$1h$|cej`r1j6 zwVu9__WRWBMQr{(`ACsBB7F%n>c{KKmQ3F`iZjnP*a|I&-ceXCe|F$B)JUo22FZTA z;-#_Vd|l+eV9Iv*T&1T~r|e5`-C_>aEt`h1r_*nKszsHMyO~l&q1F9=IQnC2L^6=$ zZ*5xWzEAyYEtoSpo|1rPJi$%R@Z|Txf86_fgYUQa3#fL8bHdwmcuK9}eHC+uIi&oT zjg*X%6%nud7pW4X!5(tqE4!YLZuZohZVniT5+T>PD7BpyLP%Ic6~&)TL+s#pnOO61ZKs161#>dXX)1CD{PK^M|EbFy~mnrks0EELIo~KO(f8yqf_3^pFTp^y6@O zGu>JoBRi!x4CYn00v!C>XUDMkvo0^mfuxeG{~pevmxO_~vjKLzy>=$Bbdpb|!}Z8+ ziJimTg&W+kAFM%i=87i>8Azco%Gb02IylqrUQml_&W$27Vy&%?UuKW%C*9t@3i3+l zGKrGyUow#$DG`7KohoVaJzDL({z{2D_CwfI;-d7pyw1wlYj7=(;?n+==7f2Kf5u-cH8g?BZXDc1Y4PaxHrC^ z-;jbZ;IO#|(@>IPm#wN!p2>!oe6-EI754pjsr0jAn6I4Lo^%i)q4&e# zE_+<9Zez@Lg?moK(KiY55(wqv=*oKw6*eign!4K3L@~XfRpCxwUaxD`|8j`Z{B2LK z({$WRO_q|s&0Tv7JWmOjcfd90#OR_$L^&ooTo&J%;!LxkIru2{ECB?R8;g{QL=NOg zMb3ov1C`=LnAWzQ z!+GvMqjuB3jtxL3KFb4kzZ4g|lpb00*ei{v1P;Fx-~22N%rXP63_EM$Zs@eFkPEP{ z)V@~LnN2QUa#Ig#gGU&^x_>*Wnt`FbpKQm<{D$9>CjTXz1H5}Ej6Mw_CHXq5nw>_4 zY>aDg53~_KW)=b@V|>MDzE`Lb6BjRBhdPA!cAl0AD=IS7+(A+mhd^R>Ch>~X7!6Qt zLl^fGywR4a)hp8HA{h1$MKTjpZZZH%!(043pC4l!Qq~f-`vZt+2LO3Q`nN5zvP~@! znh@$nbq%yw5!91iOaM@Lw!UB-q?a^uojT#Mv1Qv^>qI2nJ*n!)2CLKNory~9bbg%3Qv@tUNBe{~fag{tVa(XL#cg z`uGfJQN!Z9TaY8$L<}gTzWrdW<1?UXEEFcT2mQ@PY^I?A4g@4VzcwI;yQGfjkwK?x+65oGKHJD@+BEK z|8zb19DvvpYnFzE*QtOk@ESlnqIHP;!p$NZb0IK_QwAMev`74fP(xkGNDfCW5HmLc zEpZ)4xJQ=O-oC2|AGzNCY6$~eE7u6wqsTE$)FO8%tpkvrO|E3VS@u*zj>FuCWW{Vq zA>Y=N_EdDWLJ8syYZ#sNwMU~-RZ`j6C&x{t0HeJu#vP#ZqCxyIC@CWSI)Q3!AK9P4 z@9*t&9Y0n)fpN_+fu;bSjP|b+P{TLY1=0H2RBFsd%fP=^7rElNL;e-7|73 znd!kaG<{RQKXFK;&5A&$bu5UVYO;@bP9vjMtZHdb3ELDxTPk$e4qd2E`Ipr-tlS4kdu2jSxn@JMFpKwg1Rzy5}s zGlqMWB0;kU=%ik1$9^WD*N;HyD~7bW92+(@Lf9ZVB8}iQboDbqKf?eRV%i7BKNE22 z27u(H`C>WiewHb=4i|;9`D0a!=t&XDy zr@E0n4Hg9-)B`svJEsuV{65;i7;OR!skKSUo_=~_J84f`45Pybvap7XXJZ{tnsoHw<&RF{`I@t<`WwD2;wWiU}eSQdPqu z*gR(VxM+AvdoDb_v>Ux!ydgKLukZxo5hk`$b9_7$?#XplGawK)vu_JpB@qpLHXyGM zAMhCmCuw*RBm~EG@JCXU0hQx(F_cUmW#Yo?dk*?)k021Gji(85J1y9o4WHvn@D7Xc*P@e}sC`vu`^aAhr^8?r+lfGkl@S#5O zbT0&aI7kdqC>#trA=p04CnxBX0Sip~a6c6i@HC%%AUF+wK}Uj+gQq!F5Ai`RqaY|Ni&oqS`g(!nZP?+a3^mOL?|S zgBlKgc3cBeuQOuNgW8Mw+lS%qM#Kg*;1~i;Z@k@q(%nlRwF@=xGraAtjs#Ka_b}Vg z2KxA8w~SSQyPi<f-Lc0DbbP=6OfvkO`ADxSVCG@nwx3-+%radZ1XA~bZNxBV(%Fmyg zxsuP#q`Bm`TTDYb$;C$yV@*K+b;#+vP6%x3>}z@3m{62Ds9P#5{EX6g7+%DhTgeGj zKjzXpwlZeInGMPTY@Oex;2jASgo?h+Jq*%Wg(Q9XgKVPkC-m%&n8OKsC2=0Stztg; zFVjBs=rdtTpI{p4ZA?oRyqtEpd_wB5##yXBL17oAc-A|{(76Frmk(n90&b9bk?N%8Tsi+bnLQ##;tptp4h*kS zF>;0a*gTU+7wz(8_L3e{%&^uMXpGj(U|8F^I0NNwhD zdpIxN7C-x`ZAIcjY3ErN(_AUcFa)~z&dY7!$whK+L%u`x7he~=%4eRr+Gi-dA|&i# zGZP#M!gT{X4qB*cPt(wn5W-p4D7}Wz9*Gc5Y<-p73sZLwTb4TYdIX{M3vzOW`nUAi zF6!s*5qE@SU2w^*x!}`fO~{otRzD4~c|-rD6W# zfVg!+_P5!z>1xvvkBt+vo}vT5Rf8RoGelH91T+S-`;bE-8_q`8j>B=#z2h4+MhZ{3 z;5@_{9fD(xL|Anm+z+&0^@tqJz3Sy0m*2Dfb@?|H(-i=)+&qZ&PDpQ<*&*l{Xat97 zUsPHfg4awYAmy(8>_3dEp*7RwEedRA#ZRuVzCGXveiT%UUl%slSPTBS4f7gs?6*&)Wx(UR}H*B{YJ{Z?GoODbMFAAiM_b! zv}(QR6oBUD5@88xjPP{}v-45F{U%_Ryb0lhbCtIGdM}FYDQ=9Nv~2-3?neu}V~Q+s zzlE7boa98XzE!Q?@#jv0GLsO#I03P@2;q#mqbm3EEXG_vSVJ)~SI`Z_h*1!?h8CZe z6(cX#ij(X&ZT%Kr1Z;c;;6*U;+9!MZ#~#}7{+*Tniv=!%Z6Z6cR0N$9C!mfKI(A53 zxdal$KZTY#C6}bhMW`2M{v<&RF?Cjy4J?ThdI^{aN}x_QVITpb013RqKd%Om zz`M3dCkO7MLMOnxI5LYSXl@pV&Ijx@0lmpE_5SVauLjQSF9UO5H6C$pVxdD6#gD%Ll>mvG9;C!br!Ky5%zRwRR>|8S zbgQ(wSLo~^uQzkbo^w~`N;vWW-3zyYf`FyaviORaoZ_3upyRjP095o5UoJ9#9>m}8 z+otFBHI36KG`A`UgH5SsvciVGm_&r8cQq7i6^)IY(P@8%+Ppi^fEe{C{M4s|w9|rZ z5m?6yOz~u=DjjM2gKx-w3xZDqc=BL|3%QyKAhgMIVL$gomxxZQ%TH@bzXtn}`S9(A zPe-y;S!=q5i#o+>mze9{iDcf+b#GtN9Bo?FN&(5I@J<bZBs_pB8YhE zTHd++-`}qnbWJ@!@(@;04#c5I(GF3fI==!2+Iw1)IkoWuYg}SmbQ7#qKC4GX5z^%q zWNdWXeF?h_N|?ebz1IdzE~~+ub2d{TD;cGo4bi5SVq`5V#>zh@L;?1mz@+-8G&beM zNy82+x4O7>Dj@<7BpuZ7kN0@Z8SSQ3mh_vke6Q@;V1<1BSVy$=4?1G0>^9g3khwhEDSWWK)$-9mkLkX7ejRuF!mMS7I3 z3NPN;6%`+^&22}mbdvK#IkLyu~&MD8Uj#@n^^d>vH6I$;6%A^A)JST(KfM_zZi%Yhk!*!*S&i^wI!XL^ zXLY3UM+3Yw=V}^MkMRu5Nz7oglB(7rbo1rz_ftdTm&S1V#5TRm?Tc=;nlh%lE20YM5<_az@|1!CHVva7 zyaz=3!DdtexcaFwhzxoYNB%5<@kMALjw^}^B#w#POwcx`qpgVDbI>EQpl%*;yn*z; zO0M|=zy#NJf;Y~XFzz)nouiaQ5IwXlQiQN$T|TgZ8ccrlv~mL|4wA7A9kJl`PKQ}x z&-9Ij9+7)bUs+1T;3VJ$FK8iWy^yfF3%2R=M)msNq4RYh+>d+(>2>F-pO2cGd~`&K zrlmJ8{<$N(W~%N%MlJC5(!i-A{yc(`kuc?KRPp=^@Cm&vi!@?&Ihko$BB(b99)DRm zz*VRQ2Tvl1p~3%i!n>aV@?{Q|CGr$nuRifeT^x0Qp=Yw&diWrrGy&2M`$a@=O5AFS|n+WxqRj6vmnDLF@L0xo0@*xD$QqI z-r!&Na*vj1-yP)-pRkQW(k+n(R->M4aWUht0oD6N$khDI2+wk5{A=Qx3FT*4MsHr$ z?cwq73(HdY2{z(CUldi%;I>U+uwnq>W=N_J5Cr}gk)%QkKS!E9ZwXKaNF{ZtWYk8~ z(dMjQ)uT4j6A|pfC9?o++e@+1Qc1Tm*sz0k6C=#AT!Q@W6cBE&?;L^KfZ=PbLNDg{ zup5uc)LUV4k)rE8AiX(GKa=>rC(gO`V`YGQFy-gBcXV$Es8of63@p{a3EF-#WuRFw z>a}DBAW1?0 zS$qiLSNv_YA^QxJ+uJEz13G`O3pDP>!OqX>CVOA6os$%ZvV(}+?44!&^zp^%C&~U< zny^&|g7ZdB8rD6RQnf!n{Ri}UGES8XyGd8^q&3%h;;i1&dZw@$Nf7{?}G6y_hG)O(%nNj=tcjqojJO6wEdp+Pe|Kp zAJtpt(ASX$cFMgG-C1htLj~nVJCv0|bJW5&e27n}r-Yx(o4gQvo9ZHRkp4Oy_u14U zUGwet2!v+OqxvO}?aGFC7vV;=4%UBF|AxvMv=pAG`dm^bfa&lAneD{zc#h{~DQu>* zfk|)yc#@$=btn)TKC8Ttw0g*cNO*x&fQAtZcc>NJW z;s$FJNN29Gl)di$d=(#gbDNfBcXU3l2e-MjrFQBU@zhG~A&57ZF7=Q_q1NH!WkV-m zz|v$*OW*m4>V^WE&bsx@`qbea!SW^1`{u2NMnNt&6`I+<`y6^?_<;-^S0nECuyTbF z>-hrNNm;eKbYINWLK^(ff}6~7be8#rGfxthb^G;vMP8L;JpViMHc!*>g<|YZq5tpb z@E0LN9yGAf{p@bC-J_}pQt$Ym)Xq4#bx-yfV=AVD->0|?<097YaO$pmhkyOFLV6ff z_<>@P(J@x}yKvz#@yfMNM(Ou);Ta`^tBHqpFh9iRL^1UVX`rX|Ep;m#s&iqf8|bA+1yWs7GRpvQ(b+fo4%$g+GcQYG zUK6f}V~dq-=c9P{Qs#<$9R0^rN_tk)&+rq4*r#isEa(<`|C91?ku#&>sK%rWAK@0K zZaiR1v<8m zyn=P;DNg>jqlP(YC)0YNB<;r%-amF|K{n6MBHG^1nY!yG65rxM#3*9j(BD?#rb*gX zlXNE2XB%D}%%y7h{I<*kcSY;wn)tRq5Aj#3 zznLnGeEugvxG}qJ+6VP(dsjq{JwK6r`fbiZNl@cQL747(r9h2lVAGc5%**qsnV8yc z+x$uke5plP#@Gf1-BY^iRnM^W;OvEq+RU1rhXYZZS@`6c_u`2!jWt)JQX z6t@}O;!e`F%fu)D?_rHfV=I?c{~K)*%Fe_6#gz#sT<@-#lWrKftqJTx;o9J89yZ&1#Cx@pq>+RrvJEglIagyz%}!ch6A0d&x;u!hQvm zK8lU|e6;O0E^%pm)E!8%PD$T;S)wcUTt{hd&W32<#j0#J+q1Q;PCFKikR6%zwi(Uv z7Gk`2kJEQ;;o(I+<5<74-)|qay!Cr+b9$a9aL14zugXJ8u{e~n)MuH~*}}NrtuWrI zeasc@+`Oq?A}r39R|xuE`B#i7|p8i|GV{}!*-dL6WKZuB%)R0fc{V~Vh z)Z`PHeD?l70@SBr*oRIkZJij{95b)sPm^2wu$LhGF%g!N2)miZk8D%5fFx4UIO9R zEGhlGg%T7sCOhyT2vsdL@~*j^G2|5^vBo>-9gN<630R-|t6Iz+Uq=lI`&sCTmbr2n zI(2UQo2+jp~kt3PKIJsCbo zRx(aHAv`2@yDxSdf75K>&9LhSY1cEyFjh4C`73KWRK%q%+g*V)joIdp+*E6m@U|*<3!l z`+yYi9ul8=2p17*I=2tggF8;;b7e`db+pGi+b~6)p}raEVd?F@nVcrPx(w>|!^Jj| zG$OJE&L1;R6n^h|3cjqD{2oPs>#8?gJuOGw6@@4jy1sJl=uBA9c`ZKUeF?`c)pEAn z&Pg!W{X_-BOkC^rkVR>A(Oe?KOh-Mp&ih!59IyEx;fHU2R8PZmVP-T@L{s+t4;wfR zE@w^nXzv~>xnef+=6LJHnWuABMK%&TGPooe#x5L(86FdjT5Sr5-w@lg;A{if*ul&L zw|~sqbXQ$`kYckA7VPW;QRmE$f_Pm5qQIZ!*1KV648x76+cMEDG&C~4$PDsQjFS90 z;B@7;t=vS4#=zRIfrdp6E|2%9yg=&{(1Se}eg_x2*0b7tc;eq|4s6Gh0ujb%^QMt0 zCkUF5-ep>qbhDRNg$+A0V}i(-2s-Zk-vtrsxN~j3$+;&w!t>r)KVqhMy;@i z5!{+O{YPjWdm%I&IY_=dI&GxQUo2oUdoRaSCYNKbM27!P?B|3j!1mRKCO;_^jY8Ka z?$tOxzg3rNb(UZ3a}Cz-n0$2pyy5=J@kb}+wjByxG2M;_TZ-H+VArGR2d=p1DS}~2 zngv{=Hkr~-ll>4>^ET{Nnv06R$aQ|H?=FVkXh-vPI($@WVxgpO5ELu9!ZFW}n@rIC z-MiCuRpK7+S^d}VE+OTrT{kByF}o`ZM|aD+R`lAOi&%?7Ub-n?8sI&#+hIq%GiNH9 zq>S>)@$X06VPeYr?5-Y#KL?#d=0~y zZoyGS5eGrSzP%@^e^N*;8M%?@-OIz$@(5I!`(%EZmNnk)pFaP^nzN(oLZ%yff%=iy zTFCe;I``$mZo^>X)Rs;1%}|+1mqMRe)qPhl0(+HEPD)eqs31oBo0PHW1NEPs*d?OG z7zo_PMD(m?g#NtBqi>r+e^xr8e>jZKGq?N+lo-*_u&DmqNRRwIO#zE;|GwlTFs~ut zjn@esNsagzotf<4;>=*i=_}NdT>e{_FeTYmYj7#FE_0aO{QmtIR+Q7q-6et&ob1BW zFF^u;tsLbqrY6jTRBr2w&(*#e@(N7VCh@1QeZ95O^1iJ5M(M91LxbsCemtUG&kYS2 zm>V_z{fWE!bva|Y;6;SzbOdAZgCv9T`tec9(+kC;q=UbT9L}td!I+)pkR#ch)sUlB zTqkY?w^|y}^2LAW8xHqyx(=QB82nB70p+%8p#9`vds7Y*=A}{Fs2<(j*RSD{oy9Lw zb7#(oMJESEg%oE$VF+Wjkfky)qm~&lTSXAlF~xgsXNb1^kz@GjO#89c&q8^@;z7;L zoi4EqO(&Bpry%~J42I4664(YkeF(U5__Z|iMWElzjjo`PNDyab4?;> z^ZC;8Z(Lrss_@SE>n9on_V+~SHR+E}7iF)X{dXZiG(Nvu)=cOw{cxvB7#f6N7|&r37qJJ=RcsrYl^>5ci2390Qpf~6<@Vq$L0j&qB19rbB(T7B*pRz(RPqLLmBD%!1^3|u@A ze+SR58Tk1=2Rq?YUMn)(bXg@mX#Bd|Irl`&Q@{;*^&i#5Qsra+#}TyMzSaA5a~ucc zd!f|1kzV&)LL|;RIJMX69L*PM&Wk$ZNxXlpDNe%mITjGR?t9_h!>kW7>P7zTr06P1 zPW;u}knft^8RLj7_IbBj3L@}D`M%!ee!JKu(zk<9fnFJgU9+F}=9Is}nvx*?T`tYH zJtiM6qJMzr3CGA) zrmvU$D^!T$yX7E=`0Qzx`^QZJ3)`FeaVOrpPj_FGc@X2fl%hJ#G;FWMY6^Q<#eXPit`M}n8&)ZHQl%t0`!0~$Luz3- zIQ!25xGC$nuo|O3eeuu(H39J$q>bO)yn-I_ENMF))znykGiu#8=GtEzSA#UpJcgOnc^zOX#=f1% z^NHzcLLFR2FU?+I!AkV}*A4{>)M7{k&LZJRZn1+qN#ZG+JZAi&K~5$Y+7mqqw_!bRMNOSd^xb!76{%rVB4xM=l4|nchy3V*CchPvp%cOH$LesIMmL~=ik+ESNf+q zQ}c*yp_BTO6kBThW2lk@B}*M_xicuob~Eu=c4}^nUEx8lrh~%?OXN70Fjd`-(ki4o zvo{gi(DU0YuD0m0%< zU-K8|(!s1BvR5?~LnbZr?h-q*xR{Wa7ku13#_hU)b?SXT#O-Zb`otYOAID2S`m mv1K*W-R8uwGW!v-wh;{W;|UI-V+nKGkgH>cUtSjr0RIm+t17Ah diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb deleted file mode 100755 index bf4c983dbce6d8f9b2f2cbeb84db2bc3a5c01dfc..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 195 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRiU17(fi?)mU=5`YL+J{}U;qFAuV+(8 zU=j=fiT(dy!I+eikg_2mAz_7C@f^M-5>Fa$+&rpjcjK<%5mUPpS8v|ew@}fzVyR+x Wz+OY;-gQHUC0&i29Uy1_{|^8lH8}JD diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb deleted file mode 100755 index 45977510e271f8c00065bf075f0b31402c0fa9b5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 23228 zcmX7O2T)VZ_clcYrHhEvP*enzNbiIyRuB=8t|GlRX$b)lkQxvHkrH`95kVro6MFA0 z^w2|3=ppsv_y6vlxo37~_UxW>clLSaIm<~yL-UuJ_TuYz@#Ju5EzIx zC|3h!rlyYj`rb+H9T4QZcqX0YH4o2Ydie91GCz;e_&x>%u|O%Bocyd6*D1K16b^ce=qIOn|&jaLKjl;Q+sUu_|Qg%XPk*+jBnr%+WC;9A>-A3JrXycGJ zxK&J0NS#O3ROQ6pMoJ^rPu)E~_-h)&FTd?05R{{II4ezoH9hKKg(6>!N0)iey~<8Q zbxe>pUf})6dqC4@lPSCH&A&#)p z7*4GESCvI3VE>iXZ*LPanp2vu3#r52mgE~b*DfhUDiynBq^H9i7HqfWtx<0LuJh%Z z9M-)Gn*C!ByB?WpoHLiMeYE;uc$4UG`BJ4ZUOe_E%Eo3@VNF@VWNCQjV+&Xq)iL=> z!P-RWy~^rjV_t5q<-o*)ourRG8y~t@pvCp80;ob-#D~C<)^fAElY2=nzJF((nWA%i zb}`W=52yZYcOQv0b^%1)Ocmx0lt@hCWm2XJ2?u=4Zcpx-D`ac7xv86&Ozep^&Pp3R z_LaE&Dwc2cc2X(x?(HA&L^;19!9S3b0w#nXW6)jSMahiSPQ`BjXZt0V6I-H^jDIKRdUEe@#I9x`y4xe)oNE)XGX2yTX3muxa6?J0PRJO&2XlK z@8E1+WW1Bg-|aY6uTpNqZN=Sh_UcOpx%S=vg$eM53DmF78|E~wGU0rjdl(SeZ&yRn ze`-Sv=KpyP#2)Y!hJR_EeMx61V6?7c>py0eBye8 zJCI_FU6W~%GBE}{KMg(2tp{m)1`1&mNx0fG7PIC0>>CPG=ae^g!sRau%@XOQ`MVN> zPo}ahlIj@g?g=FEJ_OzmtN%?QEEpzPxKGWl1REus>}TfR`*-KM)*q>Alb4j{kDaO# zasZdI3W;%xBoC)|MzU^ddHjhKj)n)IE%q{94r{-owOeAEw<4>C>%qz?@4vA23Z|we zfalo^WgBUf#Wpms#lepYk6Lx!aFr#G$4BW(u>klQY+7d~80fx!HgoA$&Z)t&qRI<*dB;wCkWnHBA!gp? zg+ZOcx?s;<$i18dOO49U0_b#_iCRm(b)lzWtZpmy3WoGnZ_$rS^&QWJ;kW~=9v8BbOFq{i#AarsGRsnV0k?9#8gg_2LB@-p3;gq%OvN4#a{)ZP*i z-hY@bdt@m1cZR`1Vm@V?AzIQPKfvrp@MnL{>g^YI9}n`C{`C0U?>=9W$5C_MohOo* z$CdG_@3Mf0h>URc)8&uGb8QFQe$iL=9j>D$u}*yj-w44tK4rL*Gi0)nF!S$ zn$1d9+ZU?8Q>{11h2deBV1VT@<@$}o+MYYcFNOjZU4y}owKaD%{|uQLQPu%g?YNKS z&3gEC9~bAN)KR5{TGllEkOztRZ|zBip>E%e;#u`fYNk-7F7GtH-)CYjTt2>AFa-N@ zOYxrQjmco85Eb-FTw4m#v0KM}ie>jE(SBGs0neF>#|&eesE9#v^Bg zvg3jnn^zf+N*5%*Rb6B{Htg=7R5kXT?+PqI?v-MT8EiDyU6sJsLj~l`(_KtAe9=a2 zZxL>uKHHk}!mfp0RYnn0q~^QN)}WeCS0r65m`Zhx6};rDPfXx6*0kI2LzOK}3ND@I zK=K|a?PpZJzL$~d%x8Sh>A&J-=DqKC10z3(T)vU|*~I(Ha@Hoxz}m_5-!YttsIFmq zj_L^R_TLxm^6E{$qAN07YyBAcny2kqjf#szGW^~9PL>r%UevuF$EP&dZbxXBSJ4{@ z9J(nDBu3Q>NX%*n#bn#-zs&K89OipZ?`7x7KN0@=T=jZX!=RJn=gI3z9Y=ktVw@&` z4Bw`p`<~--i3)WLNhrr_^_tk`X#R-_4z{hAhV475BOE4qCG4R;mU-M~6nJve^ceMWg`u1)1y`#k(zg4-JecFF9 zqr<6UKAWwR32Kogt#7#ymu4*oMQy%~T$A8&_E{{+vtOrn!OXwMO4?j!s^t2nTPw`{ zTV*0Cg09Us1l8>?- z;|Sh}`RzP)x3L**D0Zzv2yZQP=v*y8NmgkgR-|JB?_ayfLK zcaNd@xT}<|>HF87U1?IakjXn3!YNAhuZ)aIz`iAIR52JH)0vsZeCetgP08DnuPqN~ zr)gk_>ty`%^i7)DtNf87+FWLTU!~FXx0nIdL*_P5gnL65Xnuu9k%D z)@cW8gxRl|pJ9zm1_d(>m4TAG4%{n}cH(?DavuH{KtH&WMq9nr=l`zzv*DZAHiTNO zo}+AJ%k^5HsY^T7_I}l?N31#9ni`_{$wM)53%G8eqRb>&rA!UJAb7n%`o>15s6(;G zb9e43b+E<9m(NnV-amk`hqLZ=nwNQGL6A|`zJ&UdQ=Vz5WWR8{Q{-+n9$5B$YRC%N zdP&~p{ZyY7WMy5G-rw_hI__nh$me_JGU=#r&mQY~^Q)R(Q#d)xMs5XedCw`FMVUxu zLOcD=hpFIST&30xqdV%iL{(*BC$}n+J)L#uM?POSXL2fi;q(IYeE_cJ=6RPXGazyE z{$7^FYwr@q(VMj4I)9_x{{fTC5D+dSxe<*P)f`LKI(_|-iX!DNM=Kv<=3}N;5HZ$r zkoFDzzTn5#y|WZpLdvZ6+u@GU*WP>FZR>db5*RF{dtdbPmq5qg>krs=__mebc7pjD zpH2*YV?`xp{kI@db^n>y$h8UAp37<&NYz0H}7z2;mVV9&7qFO-KKG$sXM~NB#=Pb7`f5~QbNO1Ya z;jS=rs@w#N$4yu5ltiJS{2ZS<9{f`I6QflrLmGDR&UezkW?RSDuj4K= zUy%uLTqj$v;*=KW?s8kYx9Ry8Wi+Pwxl3FGap&~VL%F-GE$%!4|GP4Gt41(};#=ys ztyM`(@qh~%RNMS8ei0KjL@3DV`4G3E^k=;1bfX~7T@>OJJ$t`AP<`Wl$zwxL*+uzh z1d(W9odzt4`GC%6UcDPKH3<>%`w zZd9CTE6>(+FW(gs5PAtvA9yH4+>IXl@RBADg|uyDhyMs{-^6*;-}uD2d6Z zeYJBV@;X4LJ*lKofiF=0Y5ufYfanE#87{IKc`)AN5uU9?1`utYl$ela@?I(_6eNWGwEz-Dx9o~CTzrV z7a64mtL~2{@vnG9TXSrLewY2-kZGbNDEx7(+4J{jb*6$#Rt zWedFRJJe{=KB)gpG#7QnKyml3wMmJGD)&j1bW>jPT!)tFtGF0wA+xHCVCR3{<0A<5 zjZaxS*rm9G=Y(RjiLF!dZgJpOMLqRU=kPz8D{smrFlK(ssy`S0%-nu{ zmpQLXS?l{>(5UI>dv#xfOW*1wX9y*I!qZH9HnR@Di>~3qJ?oHYqDE#EAxMY<35(Y$nL4`~MNlHry-A z$4Vz@HU?`W1j%Qj)i>)ZDC9YB!6stpOpTTPtHbl`RM$-wFCdX+GjDO|`tbh2$c!%7 zJ1BG}RKvfNvn+;(56inhqLSOtsCR=vn7^1MhKKMgnyo3|m^oy3vo7bwZqd-ky}bGg zZH9%MtbsoD=f1x0EZA~)CS2J9t8o0b!VOnR4Qjl6azz4=pa|C=n4~ZZm>>jraMP!P z;(aXDAa=TL6L^ex=V1Yzt(m!p4|rD9xf``57gPU50$~QRO5>ZUH z?rRe^U=jbjGPvRsIn?O%j{i*HttqVUNMql2n>e$-$?qlOwQ&pPYy?xg*v7(={0kgI z)_E4~yb2lZ3Za!A0d^&GmhdE=x$i!hKdbnyrF`g^I4YZ43&m>S!0(-wV&@H$tah`|mb#LEp*wY09_k@Q3n=?bf>%AD zG{}^E*O*wWeNIc|`>NmGjxGf}*#8o}8=3d_>7+y`|tb z@RobbZscG7+fLYw_ua>?3MI-41BnGb#gTMhIR4RUWd9gZ?4KwAcgz2> zkg9@TYfECHLDVyv?%_q=!e%j>yQ1c3b4{gvc2WbD%_`sy;3y*;Yimsa!t` zq$pyfMY6*~Z)|84r_nqAss<}kzVsvjSXq+@Z=5*_qq!&EJ9DNVK3+uVHARG6u2Pre z@^*iMU%&H(=hn_FBNx-$a=?LukSPzmS?e)K8jm4*78N7h!^J4a0LRszje$1bxI)WW z`_v^<-F?OORZZjvoV)WyR_}V&=4>OBF7s`WW6gfGz0#hID1BZ465m8F9sJZBQlNla zsOJ3mcv@UCSS`WV z)RM`e#8<2p1mX~&0FZTpoxs7(eFTM*a>I8N%Wa<$Dqx=9B+!2NYfkdg{o}zj2Ot`X zGYmFdJgv;NYV?AV5L4lpW$f9Q0OKT|!>&_`|FO5I^NE{{@Tk2I64F{X&V0yc7iO1I zK5r|Q>r8wz>nyAc>nm6{0PqSQ{(ja? zBYncC-tjN?7*ghCcKN%7!qVAl35)F=w1w4n*@6!C# zYoa(Xj1D-0hjVb79b>*?B1PienCN)BWH>W~vrf-~?;*Q^)?(}jhd(lTPhz8k`}^CY z!d(DI9j{JPOWe!<3!OeP>m@f|dk}+|+xBh1TLqmL0XO2-T6J0SdpN(}Ua?PdrCA=8 z<)pqkMm2gS=8GJ~)h_epiX8;xKxb;+Pz5=?HEcaKAImzNVl*GOX3+VaVhjEuKXdIA zJB+U*s)~R${parsTEH*2q(Ize=5{AZ}LFUE+%NB)4FD_$|CGDNGbNI$F^E1e5W`J{L`%Qd&BSv zq<)ZM^W(ynJcsaP4ID#8`q|5_Qi>26FE7{U7|y&&;rtJHMnC>2qemHxE^({&rf9^^`bTZA8*QoJ59EgJnnvx zZsT|FZjbOwNu9F?RI(P+{MwCjJbVN{RF8Dxsr9PFFH+nszkdd${s-J4{p_K+24{>@ zxBLYYqg3LcH=_+4R3DyTpW~{Umi_dio4#G8od0Np8)-m`4rA5@1n2AmatnKS9?jTg zOa*C{H~t5caq#ZTcMv5bCT}8%5|F2Bz-fc#Tmu5V;f?WUH@%eH;JvhfP@BJosqrL? z7RQ}Fdu9r3`g!|n%FplAkz6l425GtzN(?4AUogOHt7`?X?PU8Xa;>0)6m{LqKT~MW z1G?_hVAS}*q{y7v4CC-aMzqUYc>EnX;%DWuX6@GBIPRa=%72)c`^QbzH=o_12(L^` zp7lRL7yZCq4+A|*qf*Uo9v3z9--R5wl{kLCL9j((2&Kix5O%`Qv<^CpV2xHtYv%fa zSVWtiHZDzFg=jl|>G0!Ztc%q#+1iqPf{q;tR7E3e)6+Bob-Fk8j>XfOzlqJfGDkOj zZT8Z&lizrYfjf{JUPd)F2A3Z5RR82|E~9b zLMoadyVJJPACs3R6i$Ixatzm6pRWqf^5+?+R{*YvLrAI!?GyutG2)JG@2vb~>-aX3 z^}f_&s#mfTuaOS_^a!oM|oII4z9fKTXh6f2?s*c{m+9iF2ged8jWRi1ME^sBWDO`XCnufg8(P=3BH{ugdWB) zFO;11=K(J(1)RM)4Sl9{!#8ii^GWWWFflVZ_Q#*|bHDAd3R*OJ7U8tgTp%yFl=qc- ztpm>5r0%;A%O4xwfxL07WWMuLMtWF*lJ*1I2(`6vfO}|gj&&O)f0NRHfQ&eNBPLxX zn{${#Juz@2(-V}QK2_`_%#*Y#*Y<{Ap9seh)z|7n3cE}E@4Repy?{$F z1KU`MGLT@if$oF!gs> zND<@{+g`gpnE8CYIidD-sM1a_=?@;Ke;af_-92ckjoKA<0PJc!z+X=i`P~OHGCqcw zWcg?;r^2|&+RM{bH-d!>2qQ&H?0j}<{FRtFU44R8#Al<2SHG-=(lHQtLymlI%cs90 zY@Z_w^Ly%U_z|Oj|07y?o>Ia?J$`*uce_id<+*w6=WhX*7@9=fmXnXG&1#N+W6 z<=WZ&Z6y3VnT$7vZuTqaU&n(YY1thA+xE9788{A5MS0l&o(Mhv*}Ekv6qsv;F@$sQa=!z{&JxD&NNvZ-vEb;Gs6rnOW!y1~) zB3obp!J1QGe(WC@&Wl&6n30VS2(L7apMqQYFqWaXmVVmNEim>Y$-rUOV#Yv>c76;%xjKtEKTr_li*z!9){YK7XE_(X zkw&1O;<&{t@t{zB0mnG7Ja@>L5^ zq(=%NhS@?hzjP%8i`bwzg!xl!w zbQn?os01k{!V$&z-jh$|J4FK^?V*lC|8{iJD?+s!wPqsZbNcg)7=94eRV<8B(1l;P zcl4XH3==FF=BoB@-j%dsvAvYy(NP?gPd;~`$bI;9(kVyaKq%@rY7E(!@Y9zaQb@*8 z2xDcciwj^N=gdQ_nLM4ytE*{xz#97Mf60tdwu!}n7aYehtWYOv88XFSX{6Q7V%Dk=cz2V<3H@VZ~KL5!3(3*nMqq!_P!~ z_4~vF%H%V#{E4maAZ+QbO6l{^?Gw@a7vj|^>de4FneQQZA5D{B(62`_YB>!8WND7x zbRPYPr`XPOR1J}5?9J}2TKk*9>_dmHel zgmc(#{?VGwX#pRW_A?!_AGJ*&3U7d>5%%3`*zQsBpZ3$^3Ys+*lO!hvj)UGu+J~O= zb~pp=M=(mH(Tgm^@=_fVJzUH6**~fO9))3qd*?;;54!)lQxlH6RjhSi=a7Tk>0b$@ zJq96(zzzCocBehNDcsP<#MZ9Kdq!5^%7t+^t<5V$JeW!Fl7m*^fBHhJXiVnk9U zcp^wgA)9I$tE0ayfj$oOoxc0AM}CkF4fgh$@Mw^YR9gMQg}Q0QPPn^?q( zQW48`u>T<7QGD#7I@=66TbDFrnohb+X;j|#_v^FV>6L0f{b;8n%P2~Q@lzWAu}@jB zu!@AG$;GMWLGYT&r-6JQcRFG)Bgl`4M`<@6Bhd%uuXbvD7hq{oxr)YU^lAtyiMpBr zVC6gd?EpQbk^CR{0+hhWj6r$1p()8+P%PzeI6-RlJpC$EaGgEi6rM4Uysp>KraNsu zkBu=AN^|kMJ)DuIZptbTA&BFGDxZCIYFYm7*khSH=++ad5S;ahicQ8?K%3fCOx{v9 zmQM*fp+np6eW!0j6*Tu5E|Y~0u03NM!{6oC3Z6cp-SDAbm29ND>Sr2w5~#P9T&U;>9RMy*k~0n%sTn&dhs?yF_$nv>0Mw7d4$ZdK-m^ zJ)r!YB#&nPV?AYLDHkO2blsaa7?rp4t(N&@y2susJmz90(u(L(1n?EiUbe9i7%Lw+St|#JD2i_p>vu59r^t+c`fOP#p%G zkQ%osUy)H;sVQ`RzbCng@W1;;3XpUw4OkFw^|4z=70Kg>k5awLv=dq}b|!&gj;;@> z<_)AX)zRN4*Gf`T?sRnDR8q#gMNu=&Z?E`4POihStERMMn2-*MykPrEHyLK$tk}Qt zk^I!3h7=6?iOuTM%=PH9ku%6VU5gNf^&Jc9 z+ld)d%udx=PI=J}!LPM?O}GIYz4EeU>I<)ts``mM&*AH;2g|~&Vc_}rc9 zxk(^?^nVr_^TX;-*VmJ8$T+lC1 z8+B(2lQbf9XM$h-(zp&uyyV?~PzxZjqR~q3=1!W8;a>{3Luxje=8itUP3Yc}xzQjv zd{#P=p}5x-G(jXc$KtP)M^_e;oRnAHZYLlD$tR^lc*{rU^t+ZJO+=R{$R>Q@->{+Z z>fYN@-iU*rXZ*>qTXASt&2xSZ|VDK@4Vt7q(!gN(XNyIEk0BO0QRq0?JiXX z5lv-r0(;DF;M;0=SV)Nm>nA%SoYljx9pHATf9wtpA758(q=sl>E$fkQ=6u0>bkuWm z{#7^LDoyJEvZd#zz2KGyU8wO|G#rt5aFSG!n5SR7k;-`Ceom6AV!Wqx(QY zA|`YOwVoB0kQnq}K;CWEGwhY-mQ?|_5JgTjk4M*zd2!GXero8%7>dq1?%#kLIK{26 z(T13p!gi!WbFJ-3VN?9KtJynU9N@?c{PUGr&_vQF{yOrb?#^!8T&y?o9|RZn;Tvel zLaYBgO$nChML!hdOTKgRWq5PFP@}9%$6Dw<*MZSXvgza-(_`kH&q$uG_+2HOJM&dk^ja)9aKQ?)+1aC(foBpg_g6pKf<`}|i3 ztA5UP5DeyFMox$Jm7Xe{v2rqF*l*KoCO;*$etI# zk-E{^=V6?vnv|=@CzM{JaEitvNMo6f-0+puXqUTmu(OM@FokDN0SE-KN${Pn7VD0~@0^F8pX?ivY*&Ql zj=pt5*`=b9+Zb9Iut+86O~1VMMixp)C8&s-azQR7Dlbu=avvJmwVIPOe~n9nH>Ag* zZrXu~Nqaxnzm^YiHCH?=Z||9XJ1cHI|04%;AVcG)DAxR_7QAZnX5b2NCrkF*($4A2 zeGN@z(G=`u<#d)KbDr5NfR?u@6M#0E82sy2^2uY1ykO+`LzVa09H&$%k`p)K-IaGQ zc5#l1_76;G!qiF!&6k^9oSDpg{zuOjXHqXzHqs;meV%~zvL4QtFp1B*W8gF^UhX54 zm4%jXzS>k}3)C%i@E%AYqQgd?dgg3pdHt~a2h%K6DtSvbYc}95SzSJ8)WH#1HIHk@ z6F$5FThWn&?yxNa&)?(N8qZ;8TT73d=em#4*?H9HOjCtPZC8=6d(sensL z!)GyI)U^_Kmptrsg_HHOJlj@<#N(K8RUC}MZE`b_8u3PI z?w{?jk~~FA7^cFx`=GU-s>6^|zGGa1l?;YN+57|@HFSXy3j64jG`98w4C4HU+8?zv3OtJ>XqxA?8Z-Xofn!%1 zfRT;jy9P~Re@HKO6s9pD2Z34B=FcnrtLtk?|f2M6=5m9r&PR(AkJxDnZF*UiMynv(UQiG8{y6!35B&G7jHBin>D>2>$>uTD>Mk;j!}Z2HALieQ|cuf&mN zio+cZTTMoM)$3srJ;A(Nsd2~o^HbGYgS7w2_P#-E0oqZv{q#d#vJkez?vRDm<1&8S3vr0h9;yy#uR-Tg7gxQHwU3QbJXuvZ1GAZV$ z{-yO%sKBZgG_V+OS1g4>t9)lg`Em?HJ?J#&BPe31o-^Cy=BiNd(SuL+&>9Ha9Wukq z57ZTlfysNnBdOJ13O{l2<;F#iw)1DV*w0$wtdRAP&AIOY3H7Gjc8I19bucf1@~#C_F{10!qk8}R zs`Qa61$T26-}Jk_XjEKLR7L9&`|GMDOW>f%P8G-TN<*Y)&Y$cswGjPKT`?88(94GB zI-dZNe%^n1?Ek)TX~NBkN0#iEHytl>{6#fFN;9Cqp%0l;Zb_P`_+d~V$-7z;^>lIc zhi5&~ao?}$xY_hCip3T|-@t)!k!!T~{6>6VuynZJ(Vo}65WqVz@Lw{OE67YvytI{2 zHwJqVb2hqHCFjlH4qC6_3ls77Y86;!wN_Igu`B_TcJPo|M{^AWVr9sFZ&i9zIP^NM zI5%_~qh}P#>jKaKWU=8Gd~lg@xiFW|sX9X!u&Lsogm)v#9~nz5y%&JaE|loVutK5( z9m$>t1iWe;A*V(%s&&El{%&AlJo~Q`2W?d>u&c5A2kcuhKZzgkYw2#h6ii_RcX{2X z@+VWLubl%gH&ZYBIXOqfvV@>l4G9?JDeS*sVe=(lSo zLVcDD?RrGfy^}(^S@5u#`N!S>In(M@5A|`+aM|C3$4*T4?+VCpZ0Objk&o1k?9WY& z*%6mA?*Tc)l8CyLq7m_|e4B-XQ0^eV#aDr((#x}zS?N#%0_e1RKVmv>FZkL}Y=6PB zv)jD~ACGv44qwCG*`$#GERXvWE{pgv{v$eWJikzlX&Hv&qR78b8>rdXot>p9+o7@% zT{}ip6l--PnYg8TcjwI}0}3@8cqbG-_!>w9pCi@;KUp$rWrIf}JMl;KfrHn; zwmcbkBd9n3sez#qmX2&KWka6V6ZEBq8)?~MQ|>B-Njc+W6L`)V;#!Rdt_o!wOpAt+ zm?!F5QZi=3Cmm&gVv5Q9bl-Y-&@EN|UMFDd=k4IjDETR(U+(}m9ICpKKm+z%YS%V1 zb%LfkbY4>prTyR#yAXu@_vnfywq34)f$akc$bpj!wcYQ=)_Ab=?bbzgYiN{5T7g*o zf4*>}pW+P;J3zF|&2XW=^3JPIclYX&kilxu@vi1)xpi+N6T_kY&a0bmq+QpDoHtf( zUEMJ;Mt`FP8n9&e^$KQ|cqwE%Xu^oM-xDIzlu}c7-j#sHPI;f$3LP#m*RtgbT!SCh7yucv2-nDjM zh-SR%sRjtxR#4~3GsTTCC!?&)pY3!m+b8d-4GW3%99cL`#Pg12x!bt{%b4$_m(c=R zP~629VIgT+`gsgBbdjQ8&FyAD8pRIu&?4y2(= zPYGb#w)3@dvoI~|^22*HHg@H>JOS{BmIdt6+5z_R{=KDq)WIT-ltjz!q13G8uZ*)l zhv^ed@(|$EXKOR`zMhPHAq#W?jZQM6*=rN=!=uX3q%DCfs^XDJwlQLY=f5IGaCJ?k zWOf3D@j#mx*-@4+*0lf8R$LqLjdIo!iBuzcT}VY_?je<$cLJu3e%L&w?2zTT6i4c{ zrrO0Y?^xSLVDhPC4Vna}Y5K)@|1ZkNR&&BdHJQa7&!Ts#f(m%xFzU?^6}n*P zuO`9ybI>ralWc8qr=6zUVeKas)ehpdBth>brH{tgO`295B-tbqA`9Nvc65K&)CK4D z7?I9+1VA=9O9CmmMqtHgK6s%{<` z9GtwMYkgxea!w8H^l*HVQvDB8bA#}VIM**EefFS@Cl6H;CnkhKY3I89Fi}qmLU)oe ze1;Y{R$1NezA*7)q*WddT*-quM_#b<3ZcAdD&F^6^~(ZOI41N)u1ndXxLS_J_!fl<=(n2-uJIDcpk5 zYGN|WY`b-HyIV8dxcJ~9K1r0uj}=J8)(Gs&Iigr1G_`Hqd(ptgctQpIG`ZFi*!Or^ zGR2lLI8>8&>x6m4vC)D5@z*1R7P~8Ff33wilzsh}ap%uYY}NL|x4z`SSI<5SIDHi= zh`ev}!Km9m*`PaOTnl4i-HrT?@lZ|*!#Jejb(_!6smbe42r)rnR#yBDhyR9RyO3-E z1~~v*5;uhX;e3^MHhWH(`_{k2=jm90Fgy8ac!v|TuIbe$x|J_YHMP9*I1djo@O?-& zHrfq~$PelyhmE}+f1Aa!zb2k=_TBl-osHRpg_!8zo5uiC?-c`-i--%dvqs5`Q?yqTfw_X&KS0?_Hc}6((wvm?g^wMql9S9zt!0^=XO$NYi+cQua_NjliWP%)SQYR zb}T|grPzXjZsQlNozsb(l1B)MA1K8UH`X<>ug%oXfAjDbCmpGG%@eL;dz9m{XzXg9 zfq$dDWZP~kYaGnfI*ys@afU{`Nr5(!i6#x&L z;?D>Q&AVB%lIX6n<;CN__XYmil>19x@upQH(4i=}#xF)hdW=1I12q%!wCl_isDyy4 zmR@GD>RB6ZZ_m8)6D-1r3W3z?00}B+KkMK50g)~9FA#;gaQ4UghC+}W?oW4NI9(66 z3jrYC2$0DT;=zDiIb(Sc^YwjtjC!Exul+cIAkh~|Y)kK){luIHnJv{sZXnfeEk#@K z`UCX^w|33~_b=_bDfj$yg`X1JxP!Vwtyf@OZ9H5QK7w#R)ixrMLY+ z2d`h9(=INU3C(3uvA~+FYu>HqjoiU^-T>trL6;hy$G85{`BXda)qU0gF!7-|F*DRe zr3YS{j>g9?y$SyFC~RtvW)@@BoJsn`1$v@5)R=`lz1FE7b&6V227O80k(f{ICX?dQ z7mSLBembA&_GrY?Nc7A7fG`}oT!&0pK_rGNZ?HYPwK5#F3Oj$@DcOLI$Hw=niC+?p zf&fAPj^v#f+v;pPnzH8GMfM*P=HVS!^Jgx5najY>^%xNt(FXNQs0&jR$tnx(dW-A5 zJ%&*;LM>0Ljs+S<3wMdU_@mp8(pQ{r!&?acH#<5Q5ncYxP$%AFlllXLF&- zdeQ$kt*lEaho)-f9?kX~s-7!;fHET^nnOD>R#QT=l1}!fZ%EX5Jt1B}iq&g4<7SJx zIWbCEc}Y7Tl6AjWFngEk%Nn*A(OF*|sDcmBH!^F-V5?h=vhzl5S64&cUop1ZzpqN) zJ#@oh?P0XW8uYuyg+g z_nhg&M3YBJG~Ljw-LRq12fwHhuS-^Y+~G%!Q?b{iV4GGBQZe}ZbU2#5y?(8QL6NCq1um9ZckHRRgRw8 z3GE>xBaf@dyu&I^B8^Gp8k*8n%}{ir>H^LAR!u{G$vS}j9B}OMXAQySr9`t}r2!H2 zGBQ4NhAwHIu4***#Lv6OMyeWBQkGorf-tf>%b!=L9e0b$c0- zc0pG={$g>%^fo{%Pg}CUlBm%}wI^_|GTEutXIEeZL)80fFc;yIpvgJ+7PPd;Iwwal zouTz6)%21${Hc+Ojh@>+9l@j0n^I$_)z3c9@Kd}7jgD~(1<029Z2I$IeL*ymM;SL@ z%P>L zBmNzD=vR(HxE;{kj3($%xfoRD-gY}&f?@wV3X*88f|Z}j(Vm1`JQD3@xz z+J>xj7){-_0MR~Wh>u)w>>xzYJF5S`bKbT2s}MYkvqJ_@9Mc%?^>$fzY(U_XBD7lZ zM)LU6DTM6r`)LraByLBVn9ddenH1Fw^cJKBH!We87cT|-lX$E*Qmsg+of-oPNR=%* z#KqK(|eB+uae}XaNVX$a=EFLd!OrOb3Mub zjn*=+>deJ_C|I~*aBm#nQij7k_k#+1lLuBkTwuS~XW1BJStMBu^f5iJd-{0rV+&|}`7mfHi-1odciDQs3h+I=`syitBV7B#K zzzVV^!g+@whnK))se2TJ(n8Z8ZAxE@aBqkpJ~UA<%{4cr33GfY8L9vC6Jf9Laaeo` zUC2=?AMG+7i(0K1s516cU%kEd`A#Gi26(gNW;d zOZTLa>YTFW#Uf!NC^0@{BHz>3ND7`XCG*Cn6Dv+T&uzFFnV+BkNgH5^+RhV3(Y-TG zSX>1|lcHszGyCXn(q~0w)wS*U;-{I0Fb z_^2ORTYtlaw-nlstMQg;X~SJqHO+R+PAq9f;>@oN%6<(b(FS%^5{t3RT|Dg|Otg=GWO zkQ{QIKY_9WdP{mzukH!h4N>f1R$HZiR7i2PWe}NeSAyykIQf|w$r?&Jm?Lz`z zispu%+o5yoKLh}{Ya2yLjY{BtW}|!hhe2o08s_ebO~pNqQk1V@rz(&oWM`bV`K1-V z-KGs0zR|xLDqA3kMB5LLq~I@z8|U+;4pZF(oCS9yItvrFbmR~MGiNgbJ(nJ32p!4a5b#ieN2qG*-Ycn$^4VlPgwU4d}N)A{t7NrvKUJpL( zYVCuPu9L%HG?jFNOK?}=Kee{mM;ZJKL{$Bmm8(XoFF}gT$3l6!WJkTV@9@^IrE?G&%2Y)<`NvocRf}Js+rs zn((t*?ea52{q4dpu4|-4mSJQ@l0!Rxlk;nUG}}eN9wTN(^!-4}TGgYh)PvilX2AqW zP$wBsO(i#GL(}q8DN)AQ`%h&vsAjrNd6e5mHk+T{qdXEeb2FKA*Q;i|6%B*&QFDt3 zYlkNNtVXEF6(UVkx0cxjE!6|pH_e?hrrU*EA-4yR&secJFoYeiaI^Q??KUrYr&eBn zcVMLrpf`~El3gsP72!h)Au+FY=29RUZ8?!wBH|wXxj@}&QKq}rdoO163NcKk3}V?_ zq;PmW<)(D%SXCsDB1zQ1bR*JM<>px|%?be_#MFlK2pVVZNpB_#BBrvK*=;(IzrUps zWG}cERf-uM=DK~*+R zp!*$zo<7J7X@gXRdz^UD%MajQq$c;W1u5vBQv!0dR8||*xT6EvbFxxh8esL~q=0(| zVynw5eXGk0|2OS41taxI@^23B^0L9eK~cU5=+2Gxa+R+#BW1qh2{Pt&5q89!Y3B=C zkEga0x<{7m?0zkS^|00~L#n6$a!owBSfDQhU-6oRTWVRZB!rVIB0Jtzt(HWso`h_}?C&O9>y6h(@d5Uqa}v*#K?=-5YZ{$w8;lKD`}8CtyOvoh^@eD_3)^267rY&HT(=U`vEM{h_G4!f}SAuB6XW=kBR9O^pwD`q|h#U}ThZ|@tO5Frb zZ=A9RQZ#!iNM&OvT7A+cR@j_wWe;%Xtf>#sm9CAlNj3UwDiI`=`zcU2Wj2ykN?CH6 z!6Qcq>XQ#mYKKm|G2kF{gO-gBQ5F=SCUSapTLua3xm)ltWLh(ZNA(4k(jeqq7iBOWrm_Ye)uqbLFG@OyDOx z?c`P;lJQB5{i7rXA1x^SitxF0Ru-xtEoct7$%h=*KS)M>%k&Df0SwDH~4f-hI)Cv2e{^<5g z`+nCq^?uX<7!rGM0nBIrQM(zo*%e^Qbs8!TQKJ>*L#0)6J^;j({a|Wdc~_OOqE8yx zLye7<%H3E8{!Oezc@5P;~WDc=;3u?PswC zWRpVUEcfH}@<;w&K+Ywx!Ipa{@cu3+GwO`8Xv=H-1Ax1!K=UD?WAu0Gv!Ov;KAaWf z0sml4K=IXFTCap(bU%TmLJvP&V=aOd;qC8sB){ejK9S2+jc&RwObxGG6H;DUG3(WK z|Dp6Atbl%9h_V^_I13tODwB~I9)F}}5sJ4=^LCG(Khm}>H{b41;09WQi*-+?q~ZY2 z9D<`P{pWt-EvuPCtr+69E==Q)8FG^DD(j~A9l$zrFW`ujY)e=p80fVx28W4JdpeMw zbV$7|YY_h`#k{0~xzDc&2+x2OGV0oqSgVwLAuUIonHHd{%4Y)jwEGbpnTd|yH_fSj z7N^PEoE9%TZ~p!tiYX)=M0bs zJ}&|**{D_ui{|Cqk1ZGZj*r*+oriuZG_{64*k&GG*s2~1kzX7R8!&tI=9mRb*J`pe_q2TET3SP?9x)+n1nVFmFMaXmrO-Bgl}oTN^Q0x z&q!fB{I5`tK!kgvO0uz|st^JDCB;Ge8la<9_kvf964RDJZ0}y0J`tHOd`qYtzY1Fh z=c>jXUn9Vle=fC1wi9-i>SC;E*J-AwQ1U8ck*!OCM`UYSAeuX%NpJUYKWKd`aN9fi67$V`^*$t8XbC@U?k86oMfiC!$zoMAP=?>c(p>4_bfJN}R7WwGT%WiRV z`$m^HDY$=XMp<_KIPq(gnvZnOU}h~K57QEhH|>_C;cmlxI*hT{9`G#CS?-}O9^a&5 zA_KZ-_1Ll%_NM|P1)o&6#{PDI&0B^xuN)9r(lnn8J!#FwK9G-|B`dQIuL|!$XHfui zWYEyt*RiV4q!G4UZYSP2-ep^P-mMvBK}3Dnb7S&$Y&kCJb|*?j6T1t%bp5(Fy6ge> zu*(mCydd;5ri^rW1I=fN9r~6&J{Eau)j^I{F_BBj)>~3wI%6-HBT0s=Z&;n*G#Ec@ zvX|?AUdg@L$h>Y#AO##%evgC+LSNFd=LV(%q{H~JvO!EYYK5F#<6k^|Q9#ofl@TCm zVB@<54s_c2AS)C%d$*S%-_h_KrzE5Ir9XcIUGM(7zJ6O{vFiOt6toX?=xzPr*nZTi z4kp4Dw=Kot`}vU&59>q+@N9=q1e_HxiM_?Tv~bJmUbCWs*a{vd3Bz`CeFr?o$UNA0|G+FIZx@PR(2Hue@4=8W-l2nA{w@{O zeUgfog(Z`_pv<=ZO0=Q=&&OqCU+?)yHRChV<%tl{UCcBL%`g`!nq5ZgzyPR4;LHC~BLVEoTEqPFXeA@|i3oRzJ>WbIReX6iE(9i&WE-J* zI$3in>RGigxjr(kD&EgKr?IEhxQ7>!XYvAWK;7DZW*M%n$>&c3uwftZVd4RSfTeJykjh{0sH9;Xr9h{N#xSL&BjABYnAyMM#Jez@rUipGYrsw_-03F{+raJW?f85`cs zZ253qQvmP#+4);Nxna7k} z*!wwFECtdf2C*QRXL-T38ezYM@UTwl0Tj5SRqHotLLSIN%_`eh9a}-*$?Fxj$^;Bl z+D*q=VbM*(AgME7YuRJ1Sh3oLSqq<+a|I}iA;Chd)8FS6ZR8U3Oonlc*nql@9F6(9|@LG@wYATM9lf0X_)Sf?( zmq#6&+B?n%=Lqg|XY1EBjQPoUp(gcW*t$GKJus2Sl9@;95~=sge&QY`o_9j zqa;4Y_tEyx$x6VQTWswl(0E5%$QUEjPPv#slCA6(FwNyv?+Hmit?+#OdUue! zo_s7hI&k|K;pR8ns&f6!n`hOhPj|gMrg8JzD)XmJ)hCLsebxD{hGT@6OXvSP@W0CW zujTxI^o}5R%mubE2M3!C;*7t2Q9h^aP+S@txSdZVl8z(;m+!|&Nm+IU59p?ed}y} zQ?r_&Iz%YZdmd~wSCUHQR~*|*H1$~KANll!hwIv4NsjC>`k~}}{mt+?Z;>;1#mOA< zguIKSRh}c(7REAO!9w}cwRwLodfgh!xK!S2IQ9#YGJ4uO+Rak@CfE4crcX|r*NaMi zNv4_;yi-qf zo)q5Zu)TV2J;0vvGhM7y&e7_|l%Vxk+EwWv?FI2Qt;5lJqv>Mb9=6RnC{~_(_@owD zX3{-v;PU46$?=IdUIGfRy;tU|{Sc=U!6lMD;}amY2l2%h+f$w6d9_}C=+`~bLH>jx zZhNVG;~L@XQ-IhX9na;|sO)iI*IEmt`)u`>tzJ+W;a^S|_{|l&=nC!?Z0*iHjwq-MLE?}|cSgxnq{#B1$v%iF}D*Jw$1)PdLrFU>dY?=Z*N>Twqpeyi@1OME&FtrS^dt>vBJh{NFM1_YJ-!A1 z$BHes`rfziwZt`vSL%JnY6s!xkwP}(gos(;$1t1OtuFkkrP=ycY^c)jOxprbGbz|p z_DEti@sCs-@2Zb=^g`Pg3Dih)=2)QO_I;ZiOZONuK}38h%8hV(Jxej}fT4(G}L6 z$6Kv^50|_0Q1&?cIsD+At?@%|k?eOqa<9&*|4!aX{}D!6+_~swZdYV@dkC6jezl=% ZspglVQM_vDQ6sXNBti4wCuuhOe*kEOIh6na diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb deleted file mode 100755 index e983e2fe38999f153fe45024100bdb02d6198747..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18290 zcmZU4V{|4@&~I$pw#|)g+qP|OY?~X~)@GADv2ELSZvO9k@27iaep5BoRW*I;%ydsz z%^(8-0oA|){~TR@HsK$tZszP_D= z(B1fAxmQw#NSI)v37fcJXc9XNT4D_fSA0@&8{|1I$w;JOwvuccf*4}6WP5j}58Njw z6I}PW+jQ5HJ_-v;8~Cees;a|oy5azQEipV*cb07py+u7ey-hW@sh$q#)2~gJt~Z12 zfSLd`4GoX{CZFf4&G>zlf9^>9(K`1!kBK6R-f#;uh$VO-)4(skV7_P@0?5(`)O?T+ zX%N)->)X`4kTM_#E1VO{|$scSqZ)ug>ODXX3i4FxWT-e0blZT|LpB0T^84 zr?7YUrSAWBbsECBG?>|K?ssyV7gN?~N8`$m{I1f*&%Bj_+HOf`RLjE}{Xc6O5H+w$DAoUQ zXZRi0zuD4tQw)?(I|TE0Fe{pKf{DOqNCm8A*?#NJ`eLw1=uNO%pEMt*W;5szjDh|} zlaASNTCVJtc{#)SBVTCYQdu~R4>W}lLzL`pc2k95bnK5Ft94(RGg+2li+8{ftFx1qeQN1CGdO{HmcEr_tW>lm2 z??{*Xsm-oBM(c1-c}*rt6qd@)y#~|iHaoLuNokWQtt|xefO0}klk_u0b3I?YV_&V@ zRRqp`yE&3fi^z1I%g+-Xa$k$Io6Vxz%+?eB47c0&5_cVc##*n7-gEvFC*(4GAwz1u zfuN??-p6o2vQ6!4mg1egzH(I$I(SC&JADzu7o*W0&P&)i_PwTsxQo0;&2YNkq-JqL z-J<8G{Su%PE8cT`{X8{yC3>ao6}1nhW?4cBo{YJH<)JZ z*eeCXKh>C!_V=xBRIIw35s(u$GeC)<>6N0I6L$1>9MW75er4CksQEDemOTbKqsx$t zJfg2%%wt46j{3Z3{mecd*Wpjj6F{A6Cwf`rX5X5oJLCjFo+0jDtAFS1EW3&hwBgRb zG<=S)g&W`$-LvwaRSE5V0%QA+kFhUu0Mp2)VXY?d#+xrKH@ZZr@&44Nap2%3KfEZ^5YRdSFOF>HYEz zRa?<=T#elAId$Q3P2z0KH-O|t@o0NXby=&rr2%NFT<&2KSNctQf!LtBe@mkX#m{Wt zz9QF*C6w7+XKXl6nODX%sxsKA$i(mPn6&(2O5py(@etIvmYp%#Mu;&UzuHgX!XPj6 z{PvAU50)gL5xjO^wcDCqq(+M@agaN;`9!=GS!PwUM1rnF!c;20l4nrFZgbcyy{66; z`&>9Pjv0&}z16NzmdS|rpz2K2Ry5(IZr!(GxCvv?J(o^RmvY$WC#=Xm-knov=9nY$ zK1JG>^{3Vb;~j@>96Fx%N;bIJq2p(gcu?Oqqkb{Y-*UO9Zz3y?aWi!9q0~*)SAt5| z&y>mW0;Gi>oabXNV-J^dZYsM5nG>Tod}+jA5_LMd>yLD3tKQd`c0+$mqcx*+)Mpzz z+Uu5^mL~_LD6V3)wmqBopEd>9Nr4(&;EZ+i%6*Y3hJ0%&W7UtS^Qo@AqD1k}5Zw6MBz%_`^p)ZyC==SnKGN@w<0x9b z#!M%Q2^z#c`pO;v`>x{a1dD{*_nG{k^Opf729wwskH*n20?Ej$f23-*xA(t4832S| z9~raSLYMPrNdo>ytjY93Z_M}!F6u^5-{8034KiO&g{>~{U60?L442nS--q)T-^XRE z-wh0(-y3Y}0ru%n`?mnA%YaLU%kKrY^}cGEcLC!n3Zk zfceR3hvyUXFDLo*4}&une_=n&`}J$trZ4;TKArC}oo`nI0ok_i_pYy(&$y}M>&>HY z*W>Lc2EerZM*wWbUUziI;H;{wKwL4l$KdHx}dA9$`8jzkSg+u1X^;pu}OKD20IlN4u?F`iNKzgk0XnV${-IA_|5?2Ap4% z5#fcFad93b#jCi3qK;LyO%wgGGPWNW7!;CagkzGe z5#)TED_}Kmm0R~J#@ZwqI)ecp!agm`xPKRq|CY+A*!tPqakPF-tw8XYWN%`x<8k5! zylIIk4+}7nB+)Vv{ea*HcuC`=INmZcwH;Sj+U-YfgY12zwcz%(o`p$85nFgIp}dO%oCMdU@bhG1{{dR_N~$-!9Aqci5D4y1Ay$GnMj< zK9*{ft9;Fs$rl9Li&E~0HD_d9;p$$d&ml+cENEFyBnle8^RzN;FE1)+^`8QQWQ&SY znr1USM==^qDO9`u%%ivSaO)+~Gx>R?k!DMLef_m$ZtRf2kP-als@2YOLR()S(hE|H z@CNhW$%0eSbFfeaeHN9Rkyh%TV?f%y#bHs zP|Lxwr?uf4VfHZ_Sfn4LMnmv2HF9^7J+MtO8y0adB{~@5I?%(#n>D%FmuHfs{UM!H z4%8VMN!bJSVE63&OUplD;ROiHG(D%6)ZJ<$= z3o!AE_I@Bu!M?umR{a%qQZFfBsKpZMHY&gLr<}^OMPefJypYwdGV8YuZ zgvZX|6jghM_*^KqtZncAyRO``;`?@rL_b1YJ@~>upq`Ik94p3;kMB}iUtNmOD7ObI zv#0o+i+^<1J>CalxUtgcCT2@fuF{L1mgPadm9$T!IeA@f72BB1;b~D6vt(^6^^q> zEv*J9yBD)5b3x4@HOO#^Tf3o&ca)dfhDB+lHQMUk=P|E#-mB#eoNGU87ib{W? zphaGE_-UzezwQvwj-(>0US1(Z=PxliSEsl;BSiezd21=ggHa)g`SH6Hj@cpZNqL*1 zcNia_CVh#vFyTG9=78=4?*8o$^2-Ge1mz4uP1cQ+Rd-MD^mbuE8|r~YVPXY7sL;T^fq#w zBX&?`a8KdbwwOkLqQKyI6ZqP(=v!{NDr(_1NAdffm z@k`B8KmvVjS|OCd9ktZ_Vi+CLJ_h};HtuNAFlMX4>>sU9wC2N=w9AMxo_Kcf*1Zh+ z3
d#d(amkSJ7r>f8tXg%0($$}7cu-{NHc*JvjNG@7ib_~``e8L~INKQ7L3;w-0 zQ?v@uS`a zFMC2`Bm~QdL3_~>?9raOb6`=71Q7IlPN`}Xc^bYn%!~b6Im2)0nX+JgLPAd!z0M|d zR=T#)LmGgH0+$;c)d$UZ8^t@PKY*Rvdrg`^z~MOVX^~7(f1O_mNL`hsk^fncfxk4k ze)>ijNZ%JZx~E<~F3iU;WJUkE@s{EaXRj2vzQ)&2+pQLjBLnIUfRkktD}8;)tJ1?{ z_B4n=o(H#+E5!C>&$YfVdVML3!Jg_zaK_~b8j9IQL71v; zH%$z4?l@$q*9!LD(hc^`{)3jTANqrq&L8@NmX06F-Gzl)@}gVX7A5@NQYie+UL=g! zM$*?Jr9eTK_l`Xf0d!#z4u+Xss36lU_HC^4Pyq@lx1rT}tI0xrTol_5t;`a+ ztV2D7xo!%07o$owz$5#_c`<&+RtdF;j^xbs{Vn6zV7iF{&43tf zB<>_jmozRxEaLo1Wg7Uyfa>zYVDH>1jR2*`3&TwG6859GrI0|EZeb{32v?py%k(Lu>fQ$o{T1Ynwm2e4ILy^RIbWd@bEpa~bCjoiRphC*4X#5mHBTXOxMA@>{K#DtEV(+p`_3ADP!a`xPZ=Yb49hy^#5AaJ+&^Y> zi-HU|Ee$J(IS>sGWW*%F0al9L5Q>Y%laX$u{H3fBlFW6?WGx$2erzgfU>SStOU0$0 zEoBdLHZijk2)}?+ITEZDqT-V`u78WoOSBpsxjCB<7)Kp)wj>7kOj!-yv7_gkqk&S@ zmw4C^F|w-UTfR=pQRl1(?mo`lO1SN7dh#i9=`%dQ!)|LrH{m(hd%;3-NOI}--$^@$ zg{~;>>gVdi80^vKd|Fuk>zq92w7oq;Wtr@O;EH_22_jpvkxbOAOD-Ku%fnS2kgi^~ zq-)svM?Rc0cPqQv&kU*A_m>X4^}T0}A=D>Uc`SvfUc-1M0UdYQ0qcIe1MSL88s?cL zOs}-Z2sMvw`@#YtyO;04LtWDD_6)p}UcdJYPJgRX{EsK>j6Bgr$f_P39l3HZ|) z0On#b5q+hKlGagD)G)Mh@<#zw(i!UTypcaCvc%MYF8A>-OUvLg*;}C4eYAtbgOEz|3yU-%lNexI=rjbQ zhA<#Lg!9)|CzONd2R_`^%?mH9?+%u z4m$ud0+HuQ(U(4o^A`b+-_8YxZ$~#AY{W{0U8_fmJ2N!;b4DQjxq0BKB%y{~S`g(A^Ojoiz6K0o&!)C9$@xRu^blV)w%S953WR3X@ zS$#WG7BBX+(St?nAHjX5tp26tkDz>1mB-8UpEGM_b@GTFNY+=f-n>lEp!Q)Y%6<~p zdm*lmSq6v>>-fa3NFh*Dea-rk?RvfU<*=Q44&i2WdXAoF}gk{~6v6hDkZ=8}~4lc}KVI zcvC1BB_S%J2ZIruv;01cle`J6lRSR{;g#+H)9IwnkGh_;6A#atXx{G z5B0bc`dOzz*Op}a%YbP+!;o6J%2%Z)~|8TA6n zc>K4EKZQ25h4*!Trfg_ddvRTBbF8rHVLOZ)HQz>+XSgMcC(NVf8Wbw@^~xwm5?!da zt3#4w_z80+4EyRjm8ja9II(`k|LXZQHY<$~k*!NMqFFbzDn;ZK$LeNX*r65C*pa3) z$XqaO!c01hqF{6(@kw3$v^2mfr4CP(jHB>Q90{9HY7H1;7Ewm#$|Uo-6Iafybhv66 zhmRUtUXaOXUI;&sHMm$c0vJTqaCra6876#et|@f1UPK<5JA>TQN@%&X$?=?7Bz|dU zVM8*VQ3LF3^59g-C_xvJn$?AFCtZUM5&`f3e|Z^$8`<_Z-cEld-B9G_bC^v0G7RZj zK|SK8Zq;-fey?5{(%8u znKQiSR%m~N#$#Ga@ECyx<1R^&cYPTvns_`G;!MTXS0Qm=RWHKQC4vWYDn3T6LD|@n zAbDvRZW<2dMAeMQyp}{sbvQV|w?fRljp#&mFd*ELaNanuBy7xsf02;$JDdxRWnBZI zav`vB{g7L}mbA;QD;Q;ng!7#=_!LY_j!581ME#zyh z^N4EjXj0>A$1ry4)c#@mXbM+J&W;N1PqO@$g&fA17w7x%xlzJFP|H8nQT0*`P_u`G zXsCvlN96QE{=s#axXByfYDm8a+9-z0Oj(wSJPqwLo^eB1|7p5XCeCg~p}Rh6k#~TB zA33mP+%%35^EZ)SOHqDiT4e#4Df*c>UzcCw(dP*-WFgW&u=megqzqnc3F&8(58&O~ z2l7_Xu5+{(J#nCWuuc5x;Q3F6-<9eC<*}bN!e4B0%dRgh4yADF_tk_+e!8|3Wi(+k zjTgRb6SeN=c+aN3y>K1p-jh?*T?`#DMAf*81UQ+|z)XsOi*0ys)ijm$v;9>Td4NA^I2N4PqT^G@%a}{~I1u zQUk_mymAB*CHq@X=*er8EO2I)(q+V(@~q8cNcc{0QX={dnO?si&xLKzk$R8#cytz5 zGG&p%f)`rqdwFum^%;XF442sPYvQn~@n4ru!+Y0R92twLO92r3S>4!XXRQtWKf7S@ z(sWLNVMwRH_}I_O32^0NzT&A4Q2Y}YID{uQ^HRm* zyzGsdbzZZMM^^Ip^C#g+uE)k?Y5kNv=9Y6ZA+);xr=4;N8^Uw(vmh@@WpF|UKD~t+ zXGmVS!HnogRCCQN4(rSQE4RQjnvBD(3t+#1m73JXBm312NLxUY!Nt@r&SR7cHLMY1 zJPha%tL*f)z3R+`2hWEG&m2yssA-;+j(`NVkQ{U)QL4*w88 z`>fncCn*5xA@8&D`f`W(0BCt(t^4;FH}n0=|LTVtKde&H$L=H)^{L0dArE0qUqPw* zl+(Up4xzPrVGb`FeaXih5)Nq<{hR0v;_hV3UQwyq%wDZi3>e10Bkmxy`~}9cO<(z_ z98wQG3O>BG{9(r&k`6hGKHRnZ;l~0P#;5&myrNy+|$F_FafASIk=b0JA>qbWTyzt;d~h@)o%Gpljm3Uts9f zK48sdqj%Rz{K9DgA$PNlzK~;-BX^8S{8DKF!FMiZui;dEf@yux&iQl!poifl_>i;u zQpcA|A!e^aDbq=ZcKzK1gy{DjNpZRL&uj~R_=LlW$NplBYfbo?fT!I&Uop+xDHo)P zwNDD)wEcTzJQry5@ZkXJxV}h}C$+5(C7(PYH?6|MDx&i$MSj?K4*uQ`Oqq*BD0Z>s00Ye7Rz|Ahhp2!c7peu2=# zFJP&FMxpQAVnXYg>$C?__&2*G{~V$3isEUOlL@l~YnnE#qvQ3Y+F^K?>5_h-$WI@U zqj9op0o;c_f&x&@Wr5GmH~I`FgEl0sh?LbhAnfp(5pkQDXKI-(&SZ9sToY?TR_q#lzA9Y)s z-fz%ZQ2YL`^cZn0sfPCy3w4uaVAsu|cxY|#zlF{JN|P~AH$1f*sQG_x@iwL#Z7`uz zGUk&=)ri#kS}K34t}VJ{W6Fz(UFrhq)U}=p`n54;6=x%QRRWC#?R$J)-wBBmY8>{u z^wL}UaqYF%`n*t@AB=lh4OISy?#*G~!5!sg_8^m$lU$aiNYa0;&xMSh`rkTwVBat% zwAywCf;wC<9;UBz`2zlResO5df|6<~P+EUQ&KT{4Oz7I-o zb5r)Sp^@&%r!oza$oB*~#8%%>@ju)Hj8~1^w0gX@M$5mEKaME%d8ghdIcTiQ>>ua< zG`0`Ae`ZV~{kUvy{l&hFk)mbl!0Be@KFs}WmxcMC*mt50pSmHyLer0G9`pU#tupdO z!CqVU?yb?Tg`KzJciS|vIzZ;TkL&s zcGL6myA3tWHqw0Rk+EX*>gaQ?lp2LY`}(CJlWqE?UgYJ~PpYbOhT|7s(Jja}S$>7Y zV_KYmQ#CEU%-+$fZCmy;+PTw8h4qy!^@jXz#|Z%ClGiqY&A0shQTX15(X&J2`aksP zB6ku4CH(ap$eW;iZM#W0JPD!-`mv>B7jB(z!P=h2$Gz8QNoc@U!nY5kK)%-4n#6W5 zqwV*@pSR}$r1YiPD3adFxW9=y=&Xq{YVzg3`+LVCX(%%gkiSQ3{)dA}oPboSoodSw z3C-u-^QzCSoA31O#bjX3gABiSv$h!07+S*4kqhC&hk*BH$em@l!~v?4&V2AcC~=~!<=0>Wy0`ovRJ@$y(-wR zv3qOjwIiB+66=#Tjnh@Ryj!Ge4QrG-I8@T!8_}FSQEcZ!waSX&%7soeYNkJ)Hg;Cj zH}Pifg*HgS2d~)d8@^!8<{&-Z$riy!?3wFIKh zr&@;TYMTVAuG6fhOZ=kiv``do#Vv*Ma?N8ca=M;6VtMOu@kn4ERsji`6n(t((;7<% zPWtO+!@dqMQLuca^bLF-$HxD>&te|)QoA6g?gFTf71F+uX#;2iMwIfW*QdqEl%pC;2UskqR zWnV9^u}dE}&#q(o)<2wMlt!zepmYS6s49GN$#?mna4r6wW~ zY7g@R5~_|!Z;WVh$4?|>66heec7eLZXW${RI4uzlrT`VlC2AG5j1%*#u?QY{-Q%DO z_pDGI*DFp{IZnafB$`S{LY;rz*WW-1hAAY9qIqQ$k`2Qj`?PPq@mO2Lr~rA6EZI9# zSrT<-bcYu9%0^0Yffn-Rh0H)1xK&mZ9%+z;7J5x8=L4)GfRILFbcu#FrYuORjt0#C z9;t9cwR9w_!RW$+tw=0S`gV@6hhmj0+)LC!0{vY(R~_B#tPepuj?CR+pH?Au5N7yT z^Osu&H8^8&UgegEmSVE$Y?z zC3LXhE~t1r0$uVXnMLFd4E4O)3@YP7*Ri@ZUfl813E{#vXp%CsG?j8gA$c+uf2WhO zt-l6`(fwlz-F#u`Bfznq3tz8NZRw;}Uo)ivbQ0a?8nNT!$dGj(TaSkkh+ikPZ3oDN z8HsOq!+!(%?6!bgPPPf;YRVu#3;g~SJO({2LtK)~e9aZ~z%-jdTw2fc+RvDWxK|0` z0$lpVUG)Fq>Z?E@=zs*({fXI4oys!R z_`|LtLtJteeD)XcAvRy*G-C$37YO;FHT%GC=K*hDFZzjZPJ`R2&4RMFD+-9+~2!4_aS%O_tgSbSQ z*)p4H4Scc-afh%pV6}7+>{>0z1z+=lU!$1mwV266#Od^LX6TN|CDai{vKUte2{6dk z8A!^eja*%z&oXbY4BpP3hU5NlI-}e5P7chkl52*_eYxDG8|Og1^{e(f1=WEU=*vyL zl~NgQk{!THK2%qsVmEDWhxW*%laUpk>S)V~$fO`XmSHG;al5E4LCoGdS~Dvg9Vk}X zI$}V5b-CI=8I-zpk_M_;K^a7*APz^eDG(&54MaCrtEnwC9vkI7^a4Rjs!C@ujLN1=C*bQx1tCRHN;CXZOfS&T!nM$Zo8 z5Y{A4%IG9Lvd3(Tfgx6AAUeB>P`hM#WpgF*TvOHox4Wz4&PKWRK@s(BU{zOLMc z??VAtV83&fn7~Km9KdIO<{sO`-9UD+C|p}9mX+d~f{_#myfEO9l+w*RToB!km6h+{Y zK0M<(waFN=~j0I4Z@I1K`xV!ou8ph2YqdI$|jF9eGZMCWD zI}OOYHrO!2%iY9UknXs4-_r!UjsZ9GpHfEY3bl#`z{v{C>88i5Q|0slAn^8Z08YoS zJ^*1$H$J{&kC#n(n=8lxbX5*$9rpL+dRt*aJ>1VXIij^6Fvwg4>W^qGmN$5Al97|M zx3Q@4mMB6@WN~ckCb~{4;;}I;*}6k20GV_)pWEV+`bZL;N41{gbMfGrWgmWPFxW|o z5=M#BX(b5flvVDroZlp=Wx?dcskG1)no^P_(!%m5x+>$pl9{&2=$N3+Iqhe0OW8f; zMTSHz@r74ZWh8@l$7X2$r537%(TY^TX@sd`*TU7X=wfS|wX+ms(_Cl<{hoohX$nd` zwUtMTfuJB~*EechLQRe+BtdeHs16V`{RAaGCxp`a794ql6mG2$l+2dS=wv!3q6(|A zrAG%f`cNb=@-%5xU$>=4f^(Qy0wH+}+ooOFs<)eknM_GZTN|&={`rnY?FoNkzi{}@ z-9+cjlUUF0F_#)|8U4yd; z#)nE>wXCg}6yZhAl4?;$7QBiKYPMZ3NhVx8IO`0TFAhrPFKHYS8q;zFER^%;NJW7> z)~((F(xPg_?aNAj`sLi7ul!rGtZrKOw`Wbbi)Fn9)eE(&u)d~`&u;~9N8W|q)%lwm z6w#4m7-#2?taS4U(oE0Znvfrg`bUVKp~n4H9gyA17D0rSs-(Pd|Fm(Sz-jD=z-cCh zz;P7mjb*&SY2eF2yu6Ol%lYg4ncEg<*yARiMN)C{NA4Q^d($6byLqOA=fc$8>8b2a z!4Azd@-@gHr02!0a*h}Ej$cRbqLy|0Fz%wxeCx`m7%%Ij$UYip7*X`;*`{ex?mw!Z zqXu>BKC+I{-?{Z`_||DrT)K?~0JIv%FIv~=b^pl#RiPN3ukHQgfDV2BsVD2gFJ-N> ziCr`GJ`EE@Px4*cI*7C9q{VCWw+?-rWAE3M>%|reAM&X{4c4jKdCiQO4;UW(%$WQx z%Ze-qU)Ain4pvm|0H&-XyP$?u>Ra5=r* z7M`y!?V8XmM!&Y8s2Hu~6_Qp&{kkaev`LExGCW$RHYbT8EyoDD$u^Z=hIod`688h1i2Tlh+=o<5)?mjLp(-2|NxK0@?7i&WC>v_jO!F}4!_(cuTdlmNB_nQgefhpn7q4vZUz;|$1E!CSR z6>UD+u|wlw=NU&Bd4u|3VHPEDGCC&FKR+oX9-ZtF&^>15RqkVk$ZRy?)Wst#>WD@5KAb{Wf@U%I<^{VChDWItbRkeKg9vg|!IAjIF~Ndf*m1F)F~%WCnqvESQ`J$BQm z7^YST#|Zh4bw48d+`kqiXN#aNo)aeIGs-b-vCv!(X{?@Nqr9b%XDNvIquUX=9k1t6 z&3>c`m|9_5PJVF6o*h-5SZ7oCWg__B{-r!$9q<5qu2;<<+>%c0L`EsTE(Ba3P2zkJ z@&ptt;O{c9WYzl=Rn9^;LBgGQJ04WLSGoB%Zt+^u&@?9m?B*^;=REu>c!W=@XQ<8$ zFA(xP=pw}9?ry3!9Lv!9=G~Q}$d#+em9xl|yU3N3i2r`r$B(0lwMz_P=s5ppRl$`i zZy$O*W(?HumXz*!8@3Lbdf%wQecC(sa=F_l5OJ%T1Mzi8*m%( z5>yg3G8(f`%k$7DZ^`*+aUNZ~(~RX#9?VAd*qXNO+;O4}l3m)HHX}VLk>YN5_2h{I zu0(YY)$i>&5BTgy-Zv5u+ljw-!akaCgAI;dhMwXBcj`=caRuIwQSOL{bj|wN_5QGH z`!>WIpreI&8aPakpgblQn6E7oK)@WQYVX#}NdWa5L{7d?3#L!TbBFFcfA5vdiw(5} zdVq>d10(B9VT%byz3<$-2kWK=+X0L*acnw*jLFK?SRtSL;|u zglq`koQH$QmZr%dLJY8X3}2J;Fe}{l|C$61+17PE^X`N^QbdIFAD^u_cQo{MhU)V= z4dop`4c{JrNb(?ae4!mD`G6?ptH;fv1t2d@&%!w<}a zrOzRszqjC4sWalTnIHiE8za6>cMXp&k2CLz?HDfdgp;sMS$=uSmBc&9anP(*Wkk)M z_&P0NYmdF>8Ppj>_E^tngBshGT^#4n{^RZtX$XSVAs|s&~{&BOpz6#UMwMvV%AP0xG+hzq_cn(N4QC?wgU$;w6m@ zlTnGy<(r7k9O&&0a)QR~L*8s!&N$gyG zAADM7FMX@!t=N-V8bK=^bl}~?x83OWW<~hd$DDmk{C=915q}S=BggK5cUMrZ+dEOY z#Lj$ed_*{fcpALPsOzEOZN-&!0X=-7)OP~vtr6uOsr+Cc&~C&ky#2_}WQGVt?eP+B zPb}af;(ryk0SzU0O55$*IUd=rQ+cYejR;QO^ocAHH^aXZ_UmV?xCr|NA?fu%$cRyt zCd4(zg}0a8@6IPZ0>}P<0clS`g!k?|`NtG*2Lz8QRr3mk23vt4Ot57IfjUsMk$|`N zVp3%;TAWkCcIF72$SP#RC2()lm79PIhd`$rM=DuQI7X4WO(pQqvdwpN=H{#y9JY~? zO727ksQEXKaHCE{;CC2*J62*aQ^{C7szeyYafFn)rH-JQ#k12B==yDc=N6cvBPvil}pJ2!T{fY6zlAh)?J5X;njMpG#@qLunsEd6nAlgbX^KIwY@qKy6FvScJM$ z+GhQ8>(C%hRPiyr6w&KgL@E2FuOP3TI97-jZzCo-14`&om=kZX4b<*%o_l_lI8?#ylYJ;CQGbfSWvoK%ygu8b9*fZxrX8FMe`9XCeyu_XX>Vrvp zVh0bAuL1a13C@FmfoRp-R#LCXj0=69h5TosZyA_pIE)K`9>shraWN|@eyx}}h_Pd0 z2|TMt(8mO|JG4Q0O5=3YTX!N)8K>gNWvW-{tFwmLJ-~Ne(p{7T<9Dpe{x%OXVRFPC z`n?TV(Mw0>G)NF};S0<)1>Bo%GM3$r+r0Sgx=kNYZ8x_6sp!X8WIH^RrtTBnK;34- zdan1CBgvI3$(1w7l{?9m6N&%6=*GF|$5LebbmU7e>aBtN;HMy$_Ve@4ob5>Rbt3v} zhvPt~EFnBMLLw(ZA~ymM=s0*`TRoKOA?FXy&Fi(@kKMy}S+crLv^Ht0Pj|Dp)Ek63 zb>*{rv6YvX=xyUDrLdiY`S9>uy4?;TX}*8H5qigd;GWXqh`X(%@3%WFhudns*zT1? z@QEW9r@Jau<9^kSpX$o{xu%O}+6uc!9@o)~RZ?GvsoP&1v9@YI!X2oYCD`;tL&Oz8 z6%e!c$DKQiS)q}%2<^_82+Q==5XQcR(KZfq%e)T(`AEhAWZf6r{!ez{obC&5Afk%l zuUnS(>cs&QNom$5Hf6{a-ko}uCOL+j;gf6;9)pS{PRL&~?)O?4;jZ^SeRwzXZvT|r z`(f?s@+tF7ShQP^7zlk>cAl}ej}Do_{KU8M9JgF&{elKZpm29l~`%UvcPAJyceG6o+O=6og}plGYvTt&*08jHg0t#Ff!LB*lqn+Gn8Va z#o0MLLJT{&U=rj>br*QSEEfGBAIHL1boW4z^m-VR`)YgSsvn;@kHKfItV2Z5&?3~x zu#O~7$lfP2JD*ZbFv!!S_1jbMS9NZVYvXE?+sMBZ1fn$Xjd*OoMG$7cRzX=EC~6O? z`1%T`ai+#m^9W#O-pV2TrZR0J4lym8$vA6d<0n?Xq$71V>p3_*k>EBn2Qow|7aD$O`RO*zk@*vd?ykW$l~eo9pZMJtsjdTmaK16 z;9gI9GDm_#4T3}K!mVm_`Pz%O@Z{WL7{>d+MDW>Q1(?`039Vlm9fju!47fK6T&t-U zFc#NGOK=f}N-rE@mM{@S9&Wg+ZoxxiXN7j#X192fX}X>~m}*n@JD|HM)9OFL^KVfF z?hjy;Ag)2KL7il~3^~d9Z+%Xm*mYX%wmY3nmLc(6zS@1Br$`$tus8X5IJTl$;^XJe zR!Gs~+6XkopI5vh=uGtNDJ3B0w9Lyi%)D#Hbd!|K5cugx$=&ZN;}2B`S9|U&8e=%I z|0J7bKIH`8rM~Fiom}PiizmMp`aUW-FE-fKF%kQujHR|$~J0xSPV!nNRR%k3B=q@juci|lwQ`j$~}I43-o4hBc~m#eyQqzYo7693{dbv zz_P!329jx$#S35-*l>7$!F#qaTIX|TF@*jl6MOm1ekS!ScY2cO&D=A2($C7i_o6QM zOjPd)B0d;&tH`p@8|VFN4TV0Hg-|fl??@A`s<-Irg0;M|)$bQbH(e)EM{qtx>`fIU zGUXDX_`xvX!H@YFF@w5b85d^>O`*e^-3*Wi? z-`jIj{3{sKv!neN_Qop}aXnN3u4!(bLP`g^TVnNl_usa{;w#?;`ZV8s_7{e~%=+c1 z{JJ&^xqna?r0+iW;+e#ItYF=>cu@!&1phiaLrmc@OEn1;5g5fn_Q)5fat*E zhB1K=3;?3k2IIk(=S&6W{govK{}{$_hCljH0GwML(3h(3Lu+BRTsf~+ve+7U0dmzr z@{=^|mFkS^HzH&lbBh05_<_j!<9;Jcos6U0PAp?`$uhIN=kYd8I%D|xN~LZ5{1yQw z|HiHf>Cw+(4ReA2*Wih-Z{F%q*}m8R37Q6F`TZ(oP)_x!VqnbyuB$LVT)Ab|pE$kw zmDv2>00030|9AnUS8Hq)MHHSb4_nHsyvw6rP?18Bf=cOw!rZ-c_inlSaCa|Kez+99~yp;s6hplnE1mKjh=IMXSaI` zw1iD&_U_C#-<;Q+GuLMKu)&P6jlXXB5?-tpS}v3JHDUU~EEs+^&$TAiuzlC#Ucsfo zn#~=X)~@MztSz;+u711V zCs@U%Lc`rSZRXpaubU8OCU{df>^#f{&;4u#{O~tTr_V9a<0_LIfHSj}Z)m{|IK7ZP z4E=$Y7Y^Xp1ZarG;DErhg_#kistXq<>8T^`lg8o#evXEQc->WV!r%$!!R!#szIguk z5%6MC3hruVQVP^_5nBrVZ^O>hsghE}Sl4rWFCA-G!zKa#^3}Jy;Ke3FBfCW0h|h43 z<1AYVt%RkZKQgxw1C5P>h6^kkz2+|$DvpwCz|MmhJGE|#fM0A1Gz8*_?rU{i!y1P& zR>_XRuh)0ioWVt9&}dOkx0PvRg;_s;a~h}0!>Qm*EA=b|+8>$IVZeB-Mj!Vo4a^2W z_P@e&2t?g+1BhVVYxVPb+Tl*CZ*x8NYa^i^TDkR2Tro0Sq2?`hnXg*0B}>^^m|MSV z!>90y{Mjo<;4m8kt&DX;|4P?_UwUg2$bc9M*d`Km@%pj<;D`*5jKDMO7fjt##*nM0 z{r%dBbAXVpc3Uwj!eGWoRYnocTHV@s32@TIrsWt#zzUWFfRnv){2h2#LPOga!bl6J z2s)ZL|LPiY2vxYjaUuy8Ls&vI9N*s#uQ(JeQ+0%gN2#~CBUvecMxALtj9?k*idhyH_$4Q(iH6*SZ=^i7m%(Vc$U%Cy3K z@Qh`}>)^#6 z9Fl4#O|gWMW?A!IqL-daV5m+KDRvVm2VMPm+vnTiYce#{Q**3BATnjRAj2r*$=mau zK2>`e#F4t8F=B^=(52*Uwr?ZJF)pNyElR5m=;3X$#I-h?qr)%g1VZh69B> zE;e@{b9us1(sWEZdcHZ_{1 zLJvw+=%N;;P-Gdw-i3a3Rrk(PcuOC0$KkDXsY47E;wJ33b*drq>aTflL?%Hd9Rt2?wL3hMxuuL5G=-997i}3p=Xn xIkk_8PCTr-R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci deleted file mode 100755 index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/cpld/db/GR8RAM.smart_action.txt b/cpld/db/GR8RAM.smart_action.txt deleted file mode 100755 index c8e8a13..0000000 --- a/cpld/db/GR8RAM.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/cpld/db/GR8RAM.smp_dump.txt b/cpld/db/GR8RAM.smp_dump.txt deleted file mode 100755 index 8062576..0000000 --- a/cpld/db/GR8RAM.smp_dump.txt +++ /dev/null @@ -1,9 +0,0 @@ - -State Machine - |GR8RAM|IS -Name IS.state_bit_2 IS.state_bit_1 IS.state_bit_0 -IS.000 0 0 0 -IS.001 0 0 1 -IS.100 1 0 0 -IS.101 1 0 1 -IS.110 0 1 0 -IS.111 0 1 1 diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg deleted file mode 100755 index 9811367..0000000 --- a/cpld/db/GR8RAM.sta.qmsg +++ /dev/null @@ -1,20 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 14 01:35:32 2021 " "Processing started: Tue Sep 14 01:35:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1631597733306 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1631597733426 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1631597733476 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1631597733476 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1631597733536 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1631597733876 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1631597733926 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1631597733926 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 12.419 " "Worst-case setup slack is 12.419" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.419 0.000 C25M " " 12.419 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.393 " "Worst-case hold slack is 1.393" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.393 0.000 C25M " " 1.393 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.300 " "Worst-case recovery slack is 33.300" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.300 0.000 C25M " " 33.300 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.146 " "Worst-case removal slack is 6.146" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.146 0.000 C25M " " 6.146 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1631597733996 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1631597734016 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1631597734016 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "374 " "Peak virtual memory: 374 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:34 2021 " "Processing ended: Tue Sep 14 01:35:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb deleted file mode 100755 index a3f53ba949eae29697121a2931c6836e45ca017b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15877 zcmZv@19T)&*Df3<6K7&$V%s(*w(WFm8xz~s#F^Nf*tX4yoyqOY``!C}_rL$DwYs0P zyK0}kpN&)1RfPfq0#XGB3jA~eZo_Wm892OJh?a1w&(NA|@hbX(Dw~BO);qOK0Gb-L_yrH6S24y#KyH6#p{> zf#mNZEQmLuk|W_4!V(e+0q%-F6Ek^u4+`?mHaf3OAxt2lAOr-~M-TGfUL;=wMpp>V zTAMFYdLEn)7lob$a`$}vvvMWWw?8wY_L zGoknR=avkjc|U<3pVXvV81#sRXI4z&$g#a=J&znJZ&96boj^7zrdze1tX%uv2{N;E z)Gf|_U`qi{M{<W@oQy3^^}fN!hkxg_aTdfH@DUPP-8)av z7MIn%l1mU|O}r+hzv>BUb1avrt@7++&jC`HN%0MYHyc!hlxlLVI$4#%hhIvrt$)R^ znIk*8H+9J_9$(|cp9C>my-RQl45^KUa-`*h>(0lI8UL|ns-*C`D2Y@Z+7c5jW=_N) z{N~zYOcanodAwso%jp&;*Z$sHNtzP^|f_DfYATLYY*+I zRv-lGFD(1jd&I~i^v)ZXg);635)R4V5Ofe7G>EakdXl;qG3`M@-2UR8j;pD7gYW`2 zxo7E4BNOxuGk+mG?Cps`{fw%}hc<96!2kxU0t2Ubv7Um)SI)DYposnB#rro3anKpX z^A?4|2!h3vd8WS#xAVTHg!>9QJXj;#xlKr_*blV18LDt|3{~=2AFRv)Hr}pn3zZ^qV(yX={~W2E!qVsl zu5c4F9WYT*GPU6(i0j6_2|pM=cI?L)T;0!a_FD8>zNXUDJFyf)EC-Vc$~7IEXySFW zTPhm)-L7a)JK~B)R1rnWWXb{bhQMVA8hMriZ8X0d+fGhyPVb`@DPK^}B_rmw5IhPJ z3{53E6xluAj|F}aD^IR{ZcoY)wJlQxvSI~`^9KragY2sacAL<*X==!}J+^{8tO=yS zcia=?Z^JshYT=9U05#-*ddoTPKDrtCYj?q(bJY>dnTqezqd=A?0tE9Dq4fi z7Z3$ksU#>>AU{q=B>#%EC*@{e?`2H_1zXpYw-odgjX9>L5Df~ou{oB3r(w+LzKn+- zXog2C`;w@Hk7dTu|1Oe;E2gtZs$U=Bj!T~?1iEs@KIEhct!fc+&V61qw^AkpfcT5` zmaYfFYYWSoPGE4<%7wDDO1#&|hdYTjjiBUY2%lssN@wFKZ`hqaBje-j@Emikq{fSD zb1~bADqRaVj(ove7`zrK6aM>aYQ0iho^|RSenAmMAuglBB;_$#Zs2G|wj=X!W8`zT zLQM8wWbtx}k%biyC;^5Cq&zNjwCD5zF@CPj5t?ogEi~ObN4WG1G2Qcms>z3UqAnPb z$df~inCNeC5AmPekjY^P0=V~%}M=vLPV?A6*VIKuQpoD=u|6JDok`aiHtL zk0}%M{MIw?OQ*B<_MzK_kA>!W;YhfhHyO+NzhSKn9HaMFsP1lbmMX^n)g&X3*WIY^}Ck=1-E#%UBU^mALsi%u^z7s2rb!ZvNM3FZ0UM_#$QK^S7D}Z>Et^{F> zqo(ztsSgxuyNqytEL(yoTYz{qKoJ`r607vYs_5^K+gdxOn{=XO^WEsk{;~EBva@m} z&%6CiZF7V=Fm`=eHvm0E0vzC@Ov=te zCPFqaOc=v1Ot6(qW5J7%GAdZ4`^_75qj3s#f7(8Fe|-^3hL~ zpt?3668IbX`zhQqhl zSiv1gaiE)mLv_s4s zm-SlQ&dhvFj`Tl!v2OORcoC=a|PyHwmFe;!tZ(0`^xY&Q?7;ts$ z)=j}~ACN*4RqRUq>Z-Z<`+f7vW!?C4NW?GQ^M>DiwH}4CyuQ_x;19e^LFWO5gaw2@ zi3ePXOKWG4W{~E|uReyuKDXzC&SiR|_V9~c1Zzx2$Wixs9zQF$`!X8S2g(g>*^A&D zvJ%&{j|Umf##fj(bUDd5KnS*x{|6QuL;YE!}x{V<=6BPra_l?F>);d^Id2 z0hpZAXSn-kHdm&=(DR?#yRv#rjOLfRk+OTlRye=vj7_^8_((!bxlDWs!*4omubS46_A4qx z29Bu>B&sEgOSQQ38jK7D=g)UtEb! zXX|x*ImEeNzSoOe0m`Xia}K~$ub|6&=Qsk=SUlIAcEk}&H_taoW*kIYvJE{uR$RHn z{u#~_1o6&Pj?zNec**aZQnUC3r2R80I;|d^JfO5^&Qz^1nh6fv=?Ep5AWqz8h@zXK zdGZN~msR_o8Svs9a}LaWmx#o-Cl~mI^nR&H|<%=&IFjVQ53loGixg}{OF;VR_=DlfrQsUAr5s9$jl-LNH5ecvY1Fx$7ay>F{Qv5?Bibb!Pz~p3EB>}2dE$N=aVB};1DZu2>8+%D(W82(gCg0C=E7&{Q zr!hX%fWc7Sw(ES@J12iKEf8G5bTsnGaCm|aZ%8LZkL?2xt$IJtBx_R?J8|YD30qsZZfI0eDlSv0Pp5ItXjeX%yCY zci5CoKhHe2@P^|jU#d@nVxou`US%C)ab|z?e&v=N-6kFqTb1aG-zW7z>u|>1gNVXR z0Q~X|`7KFMh=Uh{I6JZz>Ew(izNFPA-IgfzVd0+8_Z4d=f2!%1V?6AVchYbs-u8-`Ryup8vw` z&ile>AaXI)1j4QIW$pPF=f}8i#O%{1HHCC!KIuZO7K*~+mlNVIvqL{n#5Nl$ z*H6^QkHmvGKgwQ&vFWUl2HLLb23Vyl(Jay%akr+uAeq|A)v#!bIxu(z{K0vc#45AT zRobZ{r{3OxL0fpk_=CV{UIoSFb|gqEDtwzvi|Q6p$s=4-HXOt~e=%}e*n&fk!DJoF z@4KdWKZp+D24!T!(QJ`d->+-f0B>iT{pOIYinr6e_gjUI3oE;a{MQ#-gq-dSsQg)D z$8U(-(^0MVT&pK$(*X)OkJ{mrfPkR|u|s>I5&o3e`WE*Q@=b%v-Dn=g(Z7r+`u6@e z`KTjC@Vd}XreOjl%zXi(c+<`0Y0XxeOZ4>7^x}^T=SI%@E*!v7?XRHiefy?)Zyh0nOmXmNZQ_?bNNLh6>nx z;X##i{kgS~t7-!MQR0N#Li;sr4EMXg={H`WssnI~Ff%?ebP*0=` zHLac(%tJrp>)J=2h3Lc)8gncqUc#uYc&D_9#SmJpFE`OGM!tb^W@UiQtq85CiwF zEQLq;;`_usaAaO9<<2futs}+%1xfo+;zuJlg_%WXJPF2Nc~kD?(P^gt3cP< zW@pPc({a}?b)d_xk3|A4WJr5XSv;5mkdR5t{6CB+=9-X*)p5L6SH2@A@2bbp53@i}&OuYuS9F<3WGpV3>7dkybVJL^x3@ z&-V#$8a+sPCTV!u->TQ}g-H$)9}k>9rYVSC7?|4 zC#H`W!b?1Z1* zP#41AcIIGc!)+;c4~PKQ--&VJo2W>F(%A3bvg?Cs2C}v`c~aU7gOePMcce6`ojTOY zaAGbC;$>Oqy!Tx3KHw9z%y|#xaa&RM^!!DEA2qq2?_(a68b6o5vJ$rfzPG*1Cp)G{ zS@@MM9I~rQA6Qk(x{Gyg^wL~5DO!j+;v%HpH~7r@s7gC#!xKDQM22BaMDWfE@MLJg z1K6drLw{kd9O98^NxB^E`&}`pwOFX!!2H-{BBU}Z)(;(6s(5miaJ*RI^GFLKmZDxz z>YR-?$47*m_D4=us#4~mfwT~=QY%;N=fp+LD3^3`DFdy5KcSExWuKm$ zhz1~cM?nNgLh{|gRB}wok%9E_LCVWWe<57xWX39zxWYjdB47Ao(45bzCFN~}iBWm7 z;_7MEe3c=<>o$?Rb7BMg8&7^A1dHZ$)SV}KD%#%VP-!Nh>}(7Exm-oydyj3>x1X5i zt*Z+?f)?s0MaQdL_sJM0VYv$Of%bg-y7W^catJxi9vD#gH*v=h;)|eowY+m71MkL~ zgv_|EI#c0Cgq0Yvnr=HyUl4z8GkL3alb@e=W^E>=?){S4uvCwc-DhgP(4UJxKz&le zy55@!lqm^{_h7-%t5B(GPH%Em<$A|B%|>M&gct#iFQY4V|d~+^r*fd01%dl|AX!i zi7D=~%ot&FsEl4yBPD$~#VaTbMkLsMR3S=ZZ`mW1Tms1>5{YQ|{O*4*l} zaXznR(>L`_m6Dk~25Ufj(f6sbdS|Z%?Zic)U_eTfUr}Qj)E8<_hL(neCM(&2iP8qK zUM;sml~T>fx+>`#7Ok}@YnoOzotwHUxu!VwAG6(6E)X^wE~kb`OkC6%-4E7Rr4-TFUTt_fQi-}-x&X)5y}PU7rO9Usu{Cty;HskRS+ z0_NRvrDB!Md^ju$|4uYq8r*_tV7k6=R9(PwIey!gBvxOW(^}h_Ki_8?AnW!VtEXF7 z1rx8EbDePR3$&Tp^g^sT%^vv6uUe#NoikTu40ML1D>M}H1 z7=Cm-6e$t@ZX#b=BnQY_06ti=02f?0sip3533hd+vvR*9^t38%- zSD6)8s6rwGu_3))kPEs2MV1%8@2^SqaWZ;YHjNkY!i6gN%SMNGqm9IzD+c#wmak+J zqHOs*$^bL+H~bymbnCT`*(RTDdJC5Lvv>2-szo)S6JWGr4|c4!*?+3!8xK3@v}xKw4Zc~|v4fVV9; z%2d3@`=8$VkQnOL&;Kl6c2TZ)bpl;bJyp8`JL7h4zZS3{qweze+#GHR9?;z%w<;5R zln!yJQVLN+iDua1l(s<>{qr zRT|B9LT=lS&hMIl^i1FG^5mZ>piqvGIyWljTZW)6(LV|%)rW&{x2n;dK7~sPzttyg z*w9eAD;GLh(%N8=w=%Q?Tr$c^E`5o9a$7IMpRS^*cI8)jNeH^owY^qmtq_-FxIUJO zloz^^&-V@rD?PdZvOj{&6;;Z5JoDN1%9TL>QTFw=8(L?pycg zkg1Es=WJmtvT?ZH0DwfxD;>~O?*&Z}=!WWYoIyM5%+2Een5C{fp`}B+o{|i zM5W1jmR!-L{Q zMhia3BjZJWPaRi`Kf~Ra59dZJl^b^-5?;bQ1#xPVDl8(win3z&uS)9K7{C2gE1p=i zXlm5xP5rg&b-q+T4p8bg471MRG|b*#6zJv@$wcRvX@zWl;0arGc*>U|jk#gLEYqj8u*!e#91icV88C#c^6Dv8!AQE3VsH6N zcR?wAnCRkkTIphI_WQ?>7LCMFLTt5kP~!ojY!`pA%ln3Xn}uIeU1Saov-Z&@ra~%7 z>+FKUuch_z+49AG@kSL(CR=BV?YGy}upMVr%dh^fpsJ(gHLN&&*R|3#6VmF+C)}v* z7BEyUs>h3CTLR#Oi|3uz3O+?+>xWF6`*c5KG*n8RoPS$U$z!IWyTixToSnG|VFJW? zQFR-@bsU16I|oz}<*spd6V!cL+f>}l*qN+Vt%stubgS0lb=8(E8-~%EgJpbOx!RJR z0xMulVkXH1bg7pUbfuTJc;Ex%>52%y(M2!hv`-I zpMtCZ#Y68BLQo#@<*Z5h zk+uGsX_BKeeN{nZsJ&UenV>FRqkrYO)lVjWY}4R*(}{`vE{hh6CQ69;g{HyI6nd6H z_Ch>85uKZI2K7{>X!(XQeM#MpuJDv^N{xnQM(bbcpH~K6(N^8>g0z-O$>5!!{C%S)+X*Gy3_tNbo#Ok_Ob;MMEcwx969KWztogFo}0M%5P zr0#aCgcqt-;d`Aq9|d~MMrlTTj*p-raKzuE;0BHH0k-o(fjA1jkz%Ni^)S`v$6$~`dCx?dVuWjB93vz)tt z^F0t4LK#dKl2Ll*Ig2NBd?8Nm)DGwC`)HgALqF7Giu-r)s+52G$bK)gC~3_( zuf@fk>h0X)dgkK|r%qk7VEd+qRetHMfxOBLwUc99=SJFZaN@A}Mp8 z(Hb>xfKn*e!l@yq-#M7<%lM|YqswDMS1h)%(mf4$_Oqx0mVTs5uUF^^Iq(8kmY$9O z?GI2hk?iT|^wZVes#CtVM$oIL7093C*+HAEPWtsdQa|&WNLD~rzHWoat=nW#mqzzG zvF>4)o+KeRuu2+Penn11aNn)>r_W>Z2^Vn6*Yq*+nFta#RpII(*GVolv5!|W-~Rkf zMq7>wf{(IVR~XrZW~FL|^e1Bv!JVLL+{iABppg?-cRj4ZYh#?I|G8FL`(S1V`Qb#f z+^>x5gA70d^yhhAJ-I23T9>uy`R5`!@V?f)X)K_Je%93J5B5o$`eWTLNy7W?VX8|b zlm{AE1zOIR#;q0uYo6Iv6&A2`)NmP%)j$ej42##;3@VU@ZaDj-wy>(P^rmi(H!Gax-W z5ieKf%<>g@7Rg}Q`44AW0R&ty8`3$SjopOSzOOwjT?RGJU5Kt> z?DxJyI@|zH$JPG?0z|4Nc;S9)W2G)y+tpYB%nH8_9nE^Kj+a0=X{YZwS@Hpz8vuUK z^z&T*xOB{Re|SA?ZID@ChOQLBCJ9Z~nQj&nNu-4|;vVqJPli69)f-ZlBQP zA9VSIqW_@NCnWs`9X=t%KWO&}_su@_0zTnyGfUmYj>8MaobKh!$?b|5;63FMtClB3 z&NjHM`HR?{vFJsItgdwV>jJ$qnxGbLTG1!hTSZu~H~UZu0bLqV;x+x+-?z8=R^_v< zm2SN!r7sdgz`N5132kJ(e}<$rXj7keYdoqb`WW&rv*X^epNwvmR!zQKZILxrnc?}e zEB#GTaTCBY&sp*TiEghhJweKI(D#Xp249;OZ-K5oEN~#n8kJLdQ8YW4<|m3xe}F9E7JZZW{%(YP_&)hLc6En^3L$FS@fcl1&3^yVWf7+S-$HEPRXYJThKDgK9v@7Ii9H4^kd#m%R5LPP!l)me!sO zrSH>nd8Y%KE0V=zZ8Z$N_W1c$GChd^=}js zIE$cMnbV1p3s7tN5HY)Z4xIs>;5}Ixt>7`6$zGB7^VMeb{B{vg_u>>w5-j(DAzW}r zh{4PrJ_{A;?`$nA^*QZ-DzpaGUXjxyH^xOw=;gWyNSp}kR-+GhsS6e+ay88x^l-JR zzWr##wNCxTGe1n7;1LmrvUbS?pS~UDrgozScUde%>J2x%F4l*bKWCK*z8qS4`!o|& zQFzU|av`TI*4Gw;sU7@2hb?idV+yJi@dlrx z{lt)4E{v~2g-87%C$SuP_#8Wqy)l!`V;1Eo(xCdc6VKFz?ubZLFU4&ogRO=U3N?no z<7}zGof3gfy$Yc5Kx*7NLaeq$l^k^4k)B?q@|_q#=B~GDe|J$D@B+q?vh>x*z5=r4 zg(l#udL(~KBbd&EP-Vt5e+rUqCrGniO`2y#a>H@Z8fDMLGGSHFO#%>)4>X21f=y|) zwg^@tTH9#RQZx9bSu$kXc56&CpBBUV?7_*{M2HPzMScCEJI}coOm9V4m9daxZLh5# zAyz!N%-iAooamLB8-Vu4SRWw^{8On&!1^FutOtuSR6U2Lrz$mr?H<vj9bo16}N0(3kpXg3*J;Q_F7^pG7Wo6h>KM$-rw zYi?>iB9u_j=5Wi2lm%=5da1kKiBfD*D?u1af_w1d zhmC5n7k5V-%Vf9EokWA#YCuItbf_dKFg5EL&B+ux3tV})3XrNdXm!?8-plHkr-fYP z_*-1-?H$JM=#(_|fX1v&^bJ(4O|Yd0`Cdw8kFB9=%m6G0wZx{ia zGWC8t2cBhWY9d_fInDi1zpiyQ-}JXt4EHTLTxIC{#MBR~L14C}%PtyR=rBR|8lSB} z%7>HdaD4u`u^3&5rqpiC(+Ud?Ne1*;c0;H-33xT}3nPPe1$xEy1kn+lU&rd3_NUL& zNAV}9O`|-f2|jTbu9rkLBM9x?E^)n{899pSQV&%18(ZAN3TC^3j2PEeq6=1NHLP1XI4-gC%Of)Ipy`%n=6ywx9R*nawVh4jA&(`3uQf^|FYR9xK>WlGtf5CtsW z3vnZI(Y|8;`Pw1ol=C1Pj;}$qk;^}|BmEdDC}yCSY)R;CTl;zQ#RzrU1MGFOKr||t zftha2ffOV|;96pb{z>7XVcbkVI`I0Qt?yIi;Lh%1x!Q!Pv=*>v^q6{Xw4!@aeC+F& z;Lwm=N@6sED=6+)Dj>^Gt(?L8lRNY3*YGjwbgY!>okSV73(S^^*r*`C7hu{ewp%yR zH3fi@dV(HtbU2pHHK`5J&A@TCA4sj?z7Z?YVSr**qEaGWnKJ0usED+ZEOQtYZ_nQH z$b&9zhmq}}CQDbSSZkvSYXcKXC-Mk{<5mv;1=>CX!Ft|wOswVj;i9T^NB_wbbBVyw z&_RkmaKK3n4%c59V9#OUfpU4dIF~BsP(P-f?`1Vgrv=Qx%}YJ*1BF0ttJZERv4@rS&$XPLPSS!troVypBlh)!xzKf#~9zcVE)WKeDR~W zGg&!fVBFRm=7pNxPG2^lR}hO39V_N-9qlZDxf)Y+JIv~-Y-5`Uj7O~DVH}UDwJi8* zAuy~LisN{Wk=L!Nvp`jRG3g?ixe<#a^PbbQKoqabv%Sl(}33! zEKaR4z3G9f+QLw%Q9{#%&OEpbVpa?_tIwP?I_GPpTwgecLL4T=B$iPJcNXdZUz&|J z-i02~n})1zlBUX|YlXpSPws;x$P-zKz}KP&8x$sO%@jyWJG&ldSzuzsIEj$QmX@@)r zVV`MuHyDX@fE&{;yUvjYMih(R0a9B);z#rReOm>XiVEQ(&M z25>J&$;pQ=Ts>Efpo{#p*a2{uLvW8w%`z8FjfSJZ{H^~+kpUjdH(y27O!l&EHY6LN zebn-q$}t&Vn?=BBB|c%gie97GC@`zxNXRP-W-%Cq*nk~#GA6AqTsGs16WHa2IvO}D zq${~}gQG(14=c==Py)*B;o8lr8rGP>j_4w45XQB$sZ?~ryNYdn0^UB*e(S2=qCx&V}c1e+eB`3EgF?XXR(b0fosMgtsACHWbSke6< zJPRD22W4%P!H}l${DK7gwB=Gw1^d1)61W0jI@2;X7KLC6ldnK?wHqr+dhQH-hOQ06 zdPj_SC6E1m=~Z~Vt%3~l+E({C(BGV{14c>PQPBxyM&OSo9;k`znl3QCZwEut z24gJLjn)J_3yEH|;TZa+QqK2|0*|}R5HLjjfC`f}8OEQITL1kB`-zD#|H+BZLefc0 zcrh`J_7!|ua50Vi5gnz|>XCyes_s!FMA@&uGDik5WoW}rEE%%t;L;J;Ze2go8?iRo~QNAeTUho>M-FvEA8xLhf?N%5bZJT2w3QJ{ctIV9}cxys( zN++3y%Z}ZseQMU)%S6QyD@+OoJ7dVnFW3a~%jjT4oD{N;gr=D){ojPx{Xs;`q0mAm z@JNBfSOgI9OnAta^_^u%i3J(?yen+|SOj1*rtazN8EFaWNk%P`g`<+rCDJ}YV~j@c)RIAuOMTkQLAhU+!@1`Nr3(L zQKT6|cMws>z>2M;@lWk)Jn%>g;$b_W$oQdW?Ye_%iAri`|HMn5hIkOS!Q0L7^8nR^ z!@w_js9={-2XhWxdHM)tmTj+1sQ@_(+u{CXA%0;X9I+pqh#MZsU((ivj=3oV$nIJ! zP?iLoG}`qr9q2iA;bfvNa6ay4Ag2t!xkBf!j*kA2Vk;;^HOV2s>kM+E7*l z#loTn|EM-IW9g7Wio(H5R5G0hrV7y6PkP^gUPB8yV-bM-qvex^veS_>m&TRx`LNHp zo~;_XI{`#fckn$0CxMLBM)lZ#@(Y_ha8>SM8VGd4urV2!Lrm+V|L6tA1BS%d9a;3h z19nY(D#jv9Bk!tZb@F7uazomThwAf;p(TU_3X^c4TzrPjukF~ z{s=yf>aThf{}1WDsVeNiWXt|cdBXpT%>QDSz4O;J&}GF5g`D#?SFA365_8Twox4C- zU^VnH3j;&1(4^m)E_RL65h%y!V&wl_It_3z5@3SYT!%}PcoS?wiUdBQK>(F7!wXNk z=Yb_Den;I=VbE)WXnS+Q%f&XCW^2lEZ=+N&SjD>%mvve@FJ~9WB1j&B%t*B4@%x+2 z!`W$l3nIf_S()207HQ(wfrguXL*_c|XM_Z)XCvk&=spu?q~MV!0+>V;eq?fE-VXNi zLUyS13??d732u44PL|QI-u`iZL|L?HG z@ux`=tWvLg1e!WEDW?tEy+r9rlqJa&NQvvP>9ot4K$V5HZ-gLjU}B(7 zv;6``?bKq;rHK%`ziPdN|GPATH^zVUJ!sKYP8(@6B^B|}L;#zyI}EUDJojpWoq;O! z3|QOqLgp;IJs4`RwxbfjGE)o{A*Bcw0oGoR)qmPgO*eYlkbN5b^q2=coUHV-*3rm* z;h24=!}4|-8c83T=#gT$3i=8>QfXA}F+QP6^<%}RW;u9nJ#pN+j58z>$Y?vnlE^aV1mLl6vQQau9w_>f4w(XNDAD-ehyI_+FQ;4}03&LH*Y~2i!s}lWcgC&; zGN70FuTx{m0o%u?>Hp7RpMtVe)l5x4n%c3edlcQ!v*`ZNCGujd>RZatxqYI@9igV$ z|9f5d-~GYfdargTN-9Fl;9$fIDSl+)vwut^Mhs)y0xbqE2w1iUis24UzuE~1O!nyi zyQdI0f!zXElX#YOAmQ!+rNA9Ef&t*t=bp0z%6|FTe*dec@0-Ee;q?jQS@1loTUs^f z^rtq`>K=BCl&6+eC&a1MTv5M$m%gi2%fXWrccN(rWu}ss9K2mw{8|hw zL9ELtwL3i%a1$lpe^pDsfw_j~wr&aNn^=P%JGMRGkR&H7A0AB%8+rOSIEWm9A%sa} z;vW|`;AlCU7#=iARBAfo<@&py)y$5FOPVM=-qMPdF)E`jxdgf~Ap8wxs0>+AroXjXEnIe+G zCdu}IjgtHzVCdNf$Uh}H*^|vd>l$I4upgQaHZK3E$e#lCkijK|BcGiwQ|P{^?TY1- zTM7)qXfg^R`i=hT598gzr7&MRb3W8uRfp(a>e6y|f~97_?r$G^`p4GQeiwzKd`&D6 zm;C+%kC=(dGx&EAOhQB}Nh;-!;2zOYOh3hDs4371zPG6I+tuPc^Xq2k9lr59zGE^< zq_mJEeU$pR8=6%;$!ByXII8Y~3!vy%)_=)mj7Z$V#I|Y&?8eIN`d)sq6mBsRTA?v@ zDOt^OXh#w~tJ-$=PN{)7{oQGK4jrix{@#vqU0-3m8cm5-vJoz9_&Kb>Q0-vX+6#LWkq_YD{qyK!T)*k_D|8S1@Z-tj0^vn``T z1X;@r5!|Pg{3DX@UO!p&Cv8R<`u@43p8rNNu1eVrvGTifR9x$LX&gSy-SX8ep%`!py{ znW96nfsY`Ybk{ci_y@=5Q*G>yvFDfM>cBVkB1Y=@+)UiO=#hB(ygcZA(0_CI@f75K z3}*Ax_+cyO1`2qbA6-r}b&Sq)8g@Kyq!CteogL$#eA64!OXcEVFIqfCz1rYA zOZ*c$nvnIxwhgh6_5RVR78xn?_5pk)`QyVKbl2R#7{rbp)f#vBE;r20u6ZXPItw+GJqzN_n_gd6q81b zYkVwaE4T3!^IPXH_^nxM?=|bS_iMlQ-mk~&ae3}d zt5&V5)L0E{qk-RXV1Fs-c62B_a+jIKk)ubV1A=z_?H>@f%WRj&*g;AHT4jinnj8ko?r58EHBwnWanOe`EZZdfh}<($cLle z1lWs_rRF+jLjMNQ$PMxadU!o3=-tF4+1(4vLtpGC8t0R@Z-XsJubX+H`>#h&H=i@z zHcE_n%o#p~{#{ROLhZqSLGAPk7h(A$whEo(f#pT!j8Uh_5|~gL4J%dHy3@X&atLi! zPTiiy=7!CaV+Sgg{Y!Oq+@_b3iZY_TLl3fGxGcN0sn&-cH!xMs4uwX0<0^e=o`ga= z$^#i2S3s>KHpP_{G@8(UMn=S1E%M?JzB!OeJkB?^rG8dfb|fPA`KT$ZbkXWrYXRP) zbz%Bv8L{L8{mayN6f<_po_3@V^F0X;C5+JDai?eA+MAY2YG;~a3Z@maw}+Wf6Iv&J zWHC#WTOU8itwlw4MV2-#LhHOdnx`evejd{4X%D}g*2V!PVa79rmgvTv?mX|?+&EAg zR~`n_cc&-Y4OG0MgB5NDn2b3Jsjer>Xvr&IG9n%&)gs+SxczlAKYk;g)Jx&HVGhKL zxrdZSQ8CFxTSuj&as8+k$b=uR3>6uR6V=g0bqna^*(IcJf&ArkYe9_C6pj}4 z(;&E**~RGQLT?8gmX7jKy3;F0mA~R7?y^jd3OL>^j7O!_4ba{KudhULv)p}_S_z5Z z1rc&^tqLq|)Nhz*UpRg9V6#4q_Esbrp7zbfjrO3!X614ZDT6_)tFs^^5xBG8>uAzp zZmZAea4Zpbj?+?aPb&?B^SHGT6WS#ayv}7-Mh^mG@L?Zd-o9>eipnGrg{CYUMR%QZ zL%o&D=)i;efw~H#-;S7-c{dFYmsKj2^>ffgeEn=#g|w7iQ7H%hlOmv5?h#UH>8zp* z$@@=RbTd&=I@=;wE=jNwm`C%=X(gf4w*aP$P%sVPu?ku}voQiY9X&CEymihiU)o#i zYmcjic=asj44>&LE2w334NQGf;+WIJjiWJ6gr%DwV7~H^r5wrB1o|eEE^V@R@Pmy? zu=N!^3?mdYz~TS;_qMVObj0z0f^M#AV(3OH9W z)$)QK_nr1TS`yRj>2+yD<`mOSe))BdH-ZcM;9Z7op8cDiaE605>YU}uCR8=jn0=2V z+tfWxp5+ps;6J@KS34M8^#5=EKcB&vved3>1QD$;4|`qy_ZYQ)8;T9D`x%5(O|vgA zKe(+!2465(45+?5ezBW8j;4D?{GQZEbI*FX0n1FRZde}o%sMLX2jO>t|F?|dTi-sye#s}k#qelFDx`)J-Ru4zLnETjCN3(mg>z&ixHC*ip% z9itX(53j}gBObzT*4Nldrb?JUXD@D5@A|maJ!{JKxt(CmBVy3%tyf~1FKtQlcfnP;Qh z!KZ)&v64UpXIw}N#kVAVtl4TryqYpg+Nl51+d}$==)w<)lG8*0>-44>9o;VvWxH=%eLd&RGa`T%l&-Mpc;|yW;1bNbfi3pTewEXg7%qph|legG#PUTDbr)!*b(;?XZop{OWtJ44%qu`?9pva7at> zLLjNDs&SI^l_;GChc-dJid+0!^vB4V+`+$cF5K)=8fH-gXIu0U_o7Oo&nV-ieMfE> zn9I3sSYMX*4(2X#jh^i8C&<6O_a`FRv+c`4@nU4BbNWJ8A^$bVA(@;JD2DKxH!I@V z)0v8M{otz*;#T#HkMahuLgg!pU9B$MM$T@dzFrgMzJz|+egB>LTltkptJdp00co53 zHAsH)s?{s->o?@Fw?PpFW6UT>s7uCBCr#79`F$`gsC_Z%@h*eFg@{%9(gd|Pyif^yu(wC8E&1(I zg?gIz7zDg+UOb3GHcX+l1XuEikk_lb&mx=TVaDK_NoE|;BW9QQ+Zbn&AK9rJ4+T!C z>^)lWU}utgM#gfSZGh8fAuWA5&Z0>rE0?o1?(|2bPb|41xM=pe#J;UZe^TT&`*H62 zkP(@5$27!;mH=Vw{w1=;ag8}ASjoXNJ5$E&^RY0hw6s9l%Zrq(B`HEf_6kDt?<-X% z<1JbxhZns&tW=XQWXA47=IVGWdGE%Ij2q1^(?)THcbW@8ZQ0q%2sct-RsX%P{QEwX z-Wk({z%3K{Zm=aC>z6@NSCZa!iZnt;(z<1^Qy6f96j0r7AHdnyK+oGT(_1^(E;j)dx&&Rcs(}NDNZLU!hjV$9sW}q3UhnaGxUS@uu`=89i z#pq4MGC>3ETdImd2IlzAn5>q^Q?zwa;(t(H-9qV(C7aJe=~_fF|9 z24S}6ejCiwf;o~&8K&1AB}x^<>r91_IK>!$aLbYL7vE|Re(l`nZ(u+k$!7|U?j;W9 z6lvBjjC%MxC{9Zg-I!kqhD+3A?hD@&e^I%E*98}|>lR(nPEqr-id_o`+BZj9dS!rQ zJ0YWw_o|O}?5&!&rL-#wZ-A&5%rA9N)5eHU#@6wVe?KI>M3FXT)V&DcnPV?VHLh_u zuB1g4G*}X`UD9eLtayQhOK`85#gn23uB3;pS z7`9gNOmN$A{nrnCQ;&16yfTtssTr{gu1gHb3Dh=hzq!J)z2{dZRQnCnsu;FjUJ616 zh~NHjmqEb}MjioUR&=Pqo%R>CY9Oa#DW&nJ9G z36!U1!e3U`7)Wf) z>?jD~9yE^?#wOF_7>_YmpI*%Yg-Jf={;Bjm&0O2};v&rRKumhG(rAs6L{>Vxp+os- zBOxYGHUd)IN{4p{7C;WD!czuUjAxJk-retYo`>)<*AAYUS&QC9j?ZA`vXa{~N?r-9 zHR$m-2Z?Tc|9_@(wdn-CxPK28kS=KmcJJ+Ic7^t_Q{{$z$&T9UlDtW{4YGyF1j{Qy zP}4CPYBQ%&@t&p?$7mzuyD4#t-ld+#Zzq%pzpa#Q4}GiAIa5)ucXCo$HgDzESx3cI zokKnovjh4N+W}zpb4W`#w_f0rRkXnzS-g>&Q^gicZSPEbn~*jI7AAaG{vAGdX5Y{g zQ%lo#0iS(>ffa}Hq|tIQN1_;pisWnc?fAs?w<`3Dm`zX~{e8l?T^f>O*BR!i|JRZ;r`*r`GHk*OKjS1jFjcUL=w zk7_*ncOd?qz@Cb$#!YQ{irhVI$jk z4nGcp?i8J+wMwHhi$1S0-Uhv^e?#IRZ_>edcwsxeU<+O^xJw@&u+K@Ws#cDboH(fVwvC*8qL)6f1;C(nd z9S--2_Dgza7C5{ej?wO+JP9orywdU@Ic1Dgd$mMlvW@g6!lmD#wESpxyY+p2fq?(p z7=Inw9tvf;oiy@(>6O2UY~n`Rv254!cY}T_#e>Gc(Kw6w`3l3IIG($suj%ikj$n&^ z>)GHF>?Tf(!ai>iP)e_x`1=u~nrJ;@Tso9e-_H@= zJVd|xl(Dbo`BWD$q;={L2Cp6U;Qsh@3C#W*VQLWO7@#zqdH-^_l!;+g1j=Na92PC& zAje3zJJ0C~33;ga`OjykEX)tRRIHvZaNk|iBG}F;n#4o+x=$gfH5`=6DZp zKhzm^yVMpl)Tfx0KtKNg1nW`jkUl@0iH@vHgCU<_{|k@uiGy!1F5 zj9smW7snp9hwPw*s7KFO{M@Jvz0ty4d!sa;xI2tM+WQiom1E^ZH9OFtB&a&fE5rK1 z8)q#4v@oelZJl6wWKy_Gr}wm+bU+@0?sFQt+>cqOAhh+o_yLIU({cgCd)9u zBE-GDMVbn|%E(Us$a9m(9UPM1fPux`2JzWvaO$2P#iLPAKBY;DJ~A`&P?4QkaD-3a z>@dyUD30w6Nne=1%g@g;-PF^i)&~DJIJK4pA#10>@>v;Vd=KAn%qvLzn19GZc0)YT znKwTnuhm8Tc^d5~8_!gn6sLjY<)3~THb*8FY9Y{2kbJp>X}(iQe+~9e3iU;+OBP(g ziOE8;g`~e1o6~2*Ht$C##qQolomMjxd+jJ)SVmH__MR1X2=YR`piZTTub z;opo^g&I~DOVds?5Ej{~7#ER-8($Cuz*XAbIA~{8ZHO|HC9u0L#GxhRWIg2ree$v@ zKgT@oNDB55EB7O&i@%!hw_e#SmzxVIFAUXK#T6o!bLho>2T_@IzwVluiA@)z(WR@nnM8x%w6u z^w{fXQONz{(k>7FwoV(0DaV~mI5%^Hg!Ar3$u%Pg=18H^ZYKQkU|VJTngk1WX+Cz` zwB4qAl6eOofZD5Cadx6Y#H?@2EU`SIOaI(^*1 zgVOWRO-P;ou5|j9&1j+(nlT@B9=aYG(2ob^D+qCl?X}hcOZGZ?u09-nFfF~4x*jY2W>W^^E|xNQEqrDs*J%q!HKA<= zu`|I}3@$0H_)w5IMk;N25OR=zrIu##+oE0I5g_XjPOyz6CwlL=h`Nka*lwzPGp+?sm9=S@^iD4Uu9eIvF`As_ZWh%jE`}(*8=?iF2Az0_3y2>Z6u+M;@bJ8m zT_6BhE@F(Gi9IzW4_%KoAMg?kX^i0AC5_5g@xsyKYCxa2&DI`+cG^BMXBt54>)e$N z?amqA2WgyoW*hwQBB6sWNM(?zuu?%u@c@8&qnQn*vBy< zl9nd~Q<# z7rz^P!{)o1f=8*T)zK%eXH2*6=5sT_TZI{I_%n;1h@a|ex!Hu~9Md5qNYiQka0&8C zGRdh|)7(HlwH|z<<-r5HxCB_*i#sk$xeM|wQs>*6EhEAt+|*LCf5@MmM50CA*6QBv zm<<#vyBBsn3AvveS9pSyHnrG@ThQr+1xnIRAkL-09!(K!T1Zg_;ODci)S^@?l3HCXQ)8_t#Uoqyq9lpIYd9`dWTR;@= z5w8b1jI zR}H#1fU{QI20~fL{$vNb(5ufKN)&|5+in3QDPfoWM`4UNgGgv=225^((K@Xov${>` zrrl~w8YSV?HB&a~l&t2ycyj{|%ZcB5LE4b;KUb|j@$N~40b~`^q!_)CSRv3OHyEVw zp7cRAnRaCGUEWg`rak^BF_6zO6UTK<)$gQISoGi-$JOv4AL?zSk9(VUpb%JndiWt@ z-a+DMzDXu{r|i`#`19$ChTkdD#Kf#B+W=Uo5X%E6I#aI6`BM=(IY=LgOd=&7Io%v?Qqgc^c*#5W}#npE&7ia;szN5k@ zAFzMOz$dLa-TiHPdRWZbcc^OR)}21XFog_(?hz?2nakCFG_P>X*Fo$bFbKJ{T`yQ{ znUJcf+(C@_Dp*jnZTMA+oA@n;hLHTe3-MKP(9+^7BMBq;kak_GIr@1)o+`|ijJ`*+ z@tf0?yYp2oBrw(jh_;BAE@&W7Y@fN@WjH_4B5v9|L~%{8i*V}7NKd$M(G}0V&Ph67 zeXjGI{>6yl!XBn%JPnT*JN%g+P?+)4^^E{ zwUBIOVL|A=+z` zp)4$p!2^YQA7NemtTB9`ct1Zklb{tv*Hj!oO=dPUJGOf=pG;X{|=j&sw}11y%z;;|~QqJcV3a9$@LF;rt|C z>hK82({}yriBEw(8SE2~Jgq2b{R#u?*6@Y`->QYbuRB9(Gqi1Ryq;!1>@nzzKHggN zM=^gRc9bOw5(1tsSj^z>1~EXW(kLyJAoNlyvk;hLKhvdAC#VNq6!a+ewtkxplq}pN z+}r@8r$%0Ma%wvKiJeaDkM|umeMugpZO2fu=J;)(*80U~or?uX%))v8p$o{Z*v2-b zkIENHly9)4=yA`xHZ8HOcbOS#%i^68P@p1QwfNpC0*t{39beUMZ3fkMybAOIg`AcL2$*WtS zv!wgtVn*n#FWH521NQlT)+0TmgHuljUpL*-MwqfCZd++g4VuNS(Ca(rGU6?9Ok|Y& zXhKFN%C-fWj=4kV;y=C>K_UsgJK!tg;FkYw1ieqlNoUh<2G`EL(?%`|w`cD^(#RL4vP955dTkH~Ab=w8g%z*9BWWou#TMM-!IC zf1e8fH0AEf8}FPIKb(qnnp7PAM`6SkG&F6Lsj&qMUr&uSo$HXnvRxjwg>1)2`!@4= z=92#<6A)L#xt;NE;3Bl0Sa&6~*~>P)C&sT*jwB#ZANI&jL^;<{HI?bog5IZn8}V6w8umP7Gw{UaqP!9|?YxtJe1 zhYk}DW)e3bG6nO=W3_aE^NX3AAP33x_Qk~AZpsg^+EmP6iCdXYDao?MeAGTDQ1cDj zmXS|9)u1(V2lYabU@)_e1g*np1MUM*D`TPtWQ+k8P%RgnPzLJp(8X`P@*faX?o??8 z`wMZB_t$K%yRJ9_gjywguE|p;8I!fsnU7c*AMss$0JMP#@!~N}-fHkumJ>_mKfc4* zD6+K8DKg2ZpIs8hSzSVtmK!Kn_JnSmcfjW0b_Y~MQM+0~=SsEfNZKrng`&l6& zVi3Ou@Oj^X=;Sndn@p1}XcC5tr$F*XzJ~=6D95M6RzZy?J}n42wxSPz_P?ZNU2sV`Y>ib;hcVP&`q% zFk1DfE86N0LbRbIH8Yo^9T%xZSvDanDtJG4-biZI9gB3NE@Droje=FbGX!cYEN z5R@Mfge4-G9fjVh0?+BgqyemK#4@o!>_q4R)1A%gwvdFdymw#(2m@l`Y2_b?8cp>uSzF7a{T1j3sD13HX7_ZPv$JgK7V&&1I}WQ3f6?cjYV-M)d};VQ z2%VrrR?KP%D*>WoZP8@%-gX3TKH#=9n3<`D!hZo#&4AfG|=2YADGJ zaKF=iaLDgIsT~LcmeDj}s}wbYeJKSJKG;H%#sc2xa{U2B@M)4QA}QT;z0!KZ6XCUW zZsF$ZUkUSdQ(a7z4(8g)QjnLXqt*tNhXo>0yoD`jykRH~1Rl$wHfT4$lYa(8w3n%{ z!@qxkhP5-hCiB}D^}v5AZzG$vWR;pQZAH2uLD{fk@S_UD|F^vd$GOCbk;|2f5kK}VLWGhJ|yP=7!Rw_A2bXg;kC!te39${L;H>D*=u&(1}9&m1+BADzk6@1z4Ioq$qP z**8t74#S2lZIcFySE@ELN3k&o1(E&I1h3)+IU0bE+{4~*oP(`Ya0VNF zPW^XX z?|?ap0UTMd!D+M7&4`@L0AaNLfgSl*jI0>Y%Mntd$E&L;h%1qSemTEylfowG* z7J!g+9r0#o4~6e(F4GZz1wpnldJ{&N&{X^l$0ey9A}zDPbgU~#yyAvshs5R}#Rf!~ zrc#S|GM#$I^f`-3*8Q^Ljf2F1F7@#1I602saKezz_!v|*=ih~VKPG2kp$9&gXH%D& zH^ff@`Q4+Pv6CO!E*Xf|3?QKgm*Z=Cd5+)pFf@yWHgq>%bqrei3YDuRpLQr`m>$`N zKH5smonrg0k&9NU2ip;+(@j?^kB}+ke7)QV0%?mZUlX=k(I^lr5>>>OYyt94I=`$l z0P#EnFg+_l8X!ImfqQS+Eb{<%BikXy0j28^*VFN9l}E{obcn>);JAma(Wj6N4Jh=< z7I$0X6yYqRd+>^$BJOL2ZAm+_kPGh@$I&h`ERl$w8i<7E;ZRH8sJNL9*F@WqZPG|D zSlwef=KsmmC=J;6Kw%*aaqXWI(PD9C0pM!QTlUGmXfjvovkA!WJ0L&B|MtT5#4j=n zW?97+2xsxXns5zp9&2{Y(7eS7k&wGgLXSnvFYH|q=RJ4Jy;&gxefoBA2601B(CPWSp2u(Y}bT>P^fh5A5c@Wdnz`E zg&dQcxBS1rL|TVR0p?cRdRapzYDG~}$B~t3c9(A!ep;YSOk%8&zf@RG7IAC;<1iCM znxwU;%jqz{RgxENqJ*Mz7ohMz(N$B$Sev%y;F(D{S^p6$zTH6&Lv4!$K!ppt|9x52 zXzmU#zdBkMfz<^6!E_*Jw2Qv+rDM$@Vio?Vx$Kx22U2WA7;56PN z7N!l*kSn%Pti!(qQfz5Cl@8s&9JU>rUmUR5Qg7Z9oLA#pp0Ko@V~)Q_>|XQ{-E6k9 zkgvvNwBAGMu%ndN$bPvFe%&!UY;#LgI$9lOOg16| zkOlZ^1%yY*rT;4|_ZB|^LG+0U>C}6sFIoSIoB*(8QEcJoUSX-9mUV>-`H;?K8*vLr zTEkj2_kr&9F(PwsInpw+T(d|%8Q+RUx3ip~oK)q@K6r)_6C{JJl2h{*P9en@kb?#} zBuM;*p9dnYkvXvYs7`{A8=cs9y=b7s=q_K|jMBauHTb+kgbx~nfza(sxk;4?YgZ}T z8>kC_wdz>Q5AA%ME9wVOcc1uohkV@#&-rIj{{_-cv~ZMeTtZ`}y&yL(X-d3D-G!85 zY`8?_1nJOgrR8jH+)5UO@Dw|D1~@ivq|(g=KKgRCl3dI_=oS2Wv}phd@Q9jBRjGC! zQa-CfsL_%TT$OJrtEGpElp{h3T@6QrBT4>Aa`_rTQl)s#Sl0NKxiY9l7GBRNd}6k4 zw`|}frmP)Es4Ng3;)fs{^DiKT1-zt{Uv~6X=7tn0q9IdpmVI4Xmk$eO7TM8)er6 zBvCp}zcm0DU-0X2Is$kty#fm7k8mxU*7>;*H6i(eLv25Ee*=^(y2s`HyW99FK_gM# zUAOzbAA3|6<=h^EG1=zO#4lg1|4c+uIG_f*}~u zqpk%z82nR^?`B%bU9>qI=_-9k)RRe`vZ-g@*L7O@!5{hL&GzDU4GmIuYM;?-$3MG1JkRxw9Jlsgm{8FfdQKmoa z3+P_5X$qHjZ};*;tR(+BB}lv~0squXaPClxJrn~Z$_r<}y@kLjBu z{4k^`699gaKcJ2s+M$H(L_;#TygMb*MW9fYMd4s!A9F1XuxQkJ zm~{Z>|H;5qzG^0gc}%{ZSR@cPmytelsDs8;`WgTkqE0~Zg6-H90(Ll+P@suVmA~oE zNr05A(U|aagj~hX1vm%-mE~%}HYz;Qx$r&8NBpG9f1D7ffk`<5cla0r3c31>S_9Oz z_9rd3{I|j-=cc0zSR2NG&tP^VZl;@RW1p~AqGrTCaVdxaP%Y~L^(J3i2Pc02hjK6> zlUmNlY;GN|v6rQ40zr^pIzXN|Ebd3Q0LM`cST+N8dj+uhJ@|a1+q2xP}_*o zf`zn*ldQ;7zyoGcxU~vC<390R#f5*qDwmhfi;$dR=#Vf9P1=aMe%*QPk-OmBsd#{4 z-zb|=rYF#uQ*!yzMZDCc!x`n5t+>&@@YOxEnHJIUDg_+W;t)2a{1dv7(cfS>9_wvp z^93C0GwTCg#~?RY;z$oq)27E@W%+BK@7KKKttbvo2{$_SJd1RSa8f`VyQd~&7> zo89zW_Il;WmE`A078h^C>~0utQ~+^s=esC*)-P>yTpVr%gDbt}$?mQkOZcdL6gU>X zk3@>9iC!$??`eqw^DZ*h=F%gwaalmSz2{iUKYm6s`eR!R#lst_(MTKMUNr z#OxP+J1_*O7V{@?YhV{*-AW^%B3AAt=a=`6l}1&#|H_$$q^y<3etu;ZaHil_zTQfs z3iB&)Cc04edu0gJHo{TG`>EKLyg!L~QBd$eLYTr|iXW_W!a<|8m$t6^E)j;Iw(hh3gX=>HLlA z?4YKwPJ;S5?VPy*``h=ADlwkqpL@NxAHwmT>fe9R;SBw(dPh-G7fa*ceSW_9;Pv#mje8sQhkh=8KXu=aHzba; zvzn~iP=|E)zmE=cBWV;hEo^pp8Bp$!amsk9g4%n|MQBvq7xLo!b7sUIQ+ku%4COnv zh5k6g*L11cy2r{ZR+D(=)R)H2iU9r@K%)uR)0>Xr`&^>d*<@hPTA-eMy=>vxEk>02WRY_H0r&> z;&~pWI&5<*RY_jia8vwfxR*+mD_ZL%B~PuC$sZn>NXw8XMXsr9&dg!Pi`agclW2n- zv!;bU_^>?__&RkC0IiJuakZ@%bY1!{s zP7(9Pho%07D|1>&L;a}oAI6g%@+97+`D&ObM0t{@7|EZUz;99h3>BKd zV`16)dBK-vKZ<)d=g48qn$~F~nh9JiRu2AFlUx4mS|>g1V{Iz-ZqM$ifRIdB@pOmmd`c z1UI|=XHmqRmLDn`oVq`lCBnI!{>sX%1&VRSoYuB|oQTg{M3ZS3_pbZf_wv6KICsWk z#f3|wki&sparWmg6;RL9wC|SnaQ=<1^yC=Nw{|ZzUpx)@@hR*)c)73y4eeuwNUS!? z=Sq}E`?&gN-VJP)N0xXMh$;deFIDQQp6RI@t%FD0jCh4P86A1%OZ>JJ#p)RD`8nzb z>qHvYV0OdT)+-Q=q|vbV?pLb4%_g*AGaws==USZI+ zk4!KwBbe2&wYY%C*5E`qQUogr1 zlglZ-;XKJbW5#rs-?ZOYm0j1wVj+xF;dY+{cZLGK0 z$dR+|DGJ1k@ujVq;X~+xuq}k3phtlfw?~MsLRisqY1ARK*9JYqDX%E+`&vwG)SRZr z2qdlYRDra(4ohclNQ#0I@CB1jk#M6V487?X+7fv%((M|2t$gdzj)*-#r(k{jR>Huq zRbMVmG)zh3A5)9??(F(Ad=I%8k!&nBo?9?kYPLk(Bt`^k4VV8a{Y^h~9}9iG*l@}r zwYPj$J7q5+dT(O+1C8o%)filIqEm9gw|Q6o!tJkH9%fyx*Gj5eh;q^wjze(U?lUk@ z_kBrFMQ`m4wOv@srfxI;>w~AUmc7C;BkQwgFM|IoX*9=}6nZ`6{EKNn*O{2RWs#{T z(GITE8-6E9hOw7?uW~_I7i1e?1JplF8P=0l@Z39#K_Jk0QDQ}tMP(M9CQq5JHye|! z9X$Udi+oyo4Q)F3=QJ?{WH7^R`lUC$%|BFEm{eG28Qbk|n4-A&VyReAHJe%(zTEg} zvPhQ}mG9|~If<99dzY-!I%oL%hYaK{XS|uiFCyLdc|QveOU`-?nLoAhMsniq*55_* z$$GbN0Z*pW_r_i1Q4S5=ZvBaBnLgguxU`kYi_~DZ$1FvwPi=L-^zK(&Oksc28Infj zFQ4ikx4p(odvfJt(rSejFo~zT-Z1GiJH#hzhfU-DM61O{qCH>861T)1=xzKt?>seJ zqzhEc>}lMq$LXSLD!}rz)OuI)FRd}bf3*vaHoQi<+ut7Sw93$XDP%{GK0fL5E?@?*2Z~OT z)Kf+TXGI5YN>6|Jij9jwpY)7!dY-eXL0)+vEK*;^7AjsooO%5`8a(^7h^bZ5FsuF$ zUE@YI-9k|7!)_Bc9q}5;&M45CA+7Ql5jt!Q>{7Uvyl1zdXve=?dS^Rni}rs5)49uY zK2I{aS~puT2+}An9|SvuoDUgz7qa648Fa_u-)Mz;=5@hgg@ePS_s1<6{r;tDa@!Z< zx2$JIif9%(9juF&WqRvB;B8+$EClATFZzI%=j5|+&+HSPZ(!QTj%eNFL=@|rHJL0X znyJ#>tMoVYtlsGk?pf44#u4AJRHInu;y=+W858Mz|yQ zyZ?O#-W}&6oZ|rb49zI|uzU)GlgIezuYhNZn*O2Wzwy>Rv9nK`6;C5VaaOOnv4_u( zzP?}J>t9ZH>eJ4c5~INqdRerC+qL-`hc46)JLQWH(`#!UnQ&u~MNM$kGdNn^_`w^w zfsOes(Y{i*<031U(rcuBe-xO;$df~QOJ{-Qb_1F_`EaLA`%Fm4GO8E}xbccM0 zGF1IM^P9SNfa(1Dr$OwzlRVKL?|n%m-9+HhinE~)0aRAlS)nDx^1r3ua(;GRddDXB z|ER0A?rDD1*V^#?=+7SLo+F3IIJKCftc8JV<=p3keQ{o+f9f>((d8$EPG#tIJYdm7&2-nOhgIDjB(SFKItB>gT~=$Nb0{^CHp%5i%)kmBdVaTDCX z&!F<$Hn;Yqem~SG*Xx^X5aL#I9;9Z|0n%S|-qS13fANG%N}WB!GF0hVoQXUTTk!#L zjJJMXho9)#R63Np+n}>OQed+M_%rpIYbGLwXe7DibY3Ny}riKI|Y^04h6T=dG-t zMC4+7;x7ig^(2oTAJ^-pi)H=xjp&vB!Cyqx$@Ftg=Y_gNEKqOGcpHQa_s>8>7)()f;fiG>wqIJf-U|BBh9^!!hG^obe7HX+hq zcF5Ijd|^|NU`m1stZ2Xa%adTcw8u;HBJJX%Uy^_?lQQwS>oAd*J=FT6^FG=k1-QzU zO!*vCx_-?2XT`m!O4S_szG_j__S|gka_kCGl3ZZVnf8b!87)narmxlAEs(|GPOtS?(blFxUJrB@$l zRH{8*ULy}U{5am3xxLqst=wD7)=|b%8y;w0zAgQ$tJ?Efbe?Cy;8GhpB7VT?H>s}; z?aRC1`D)D}rQ69EqgAot!#P10fwfq(WBhL}S?aX0wO$Hd`|6OQDe}FG(?Ae32*$xj z-S?xMc^$F26=7rC2wiw_B&%YLO0FO3L446zM8`zw_o|~fQVp9{-E0(xP>guFIM|=A zV76{{K*^)sO=iV)&%&1*miGF+qv~3I{8$IO0ZN_y?A+hWqZrac93m}l7ub{?9J1Xd zR+@clIy|yj22U}_JuAT-Q#!gX-1HxGJBE+9Kd>!Cz-&8)UwBYY)2h--LD>x=emJQc zdS{dW8iYSZ*B=vKj!W-$8U4dH_HvbSn=21}z*lDm?~-UR{i#{4HG4yi>Y3D3lx2%S zm#h8ECgE(~hJY(iQEIBK*(&88(6e)#fxz?tj@$Fk@ux|LiZtpCY4ylQ6ZLzwlJ@uZ zg`Y(F4uV&8{PjiZ8B*?%nXRFyApTm%bxBc<$9_|+zfa5twI1l>w!aKc%h`-{>W4j= z49O?PzroUkazMzm1uB0O8F}|Qz4+dE48xsBtgz2{I+u0PsN~lOUevk$7A}Mr;~h>$ zBTtaZX^vj@7Q#cgYe6?!81XrH?? zo|x?}bXjG^c-V*4l`+@spW6KcyIF=_iYF_cOIKdoFR#TqUwp8-&dMpxyP%zojV{ifMXy%{g5#{nD2j>3+v|t5DP~ z@rYOJ0{nM~L2DU3cVZe~ykl731NsI+dn2E$`ipJo{l=p@F{QWGTR(lpExdMA!mf%! zR^bH(uk9}ruEE$&g~Vp^n*zTomsRZUs>-v>M%(PB-@kLBox+;7$hY2y4j-X>*!gS_ z`zHO?V%f!dm41swjm-|=*Hfp!M*f`aqd1Iz?rWYl-6peQy(`VN)jwXfwZ0OUx3+C* zeNu$Cli|#2z0JV4>htQ;LgE+3F{VbGd2Eu+9tl%7Zgs59HN*LuYewhek6O=ZEG>et zkkqF2^~qIeEmzTBzW&;4TEl%#jyhG1rubRMxYg^zf-61u`H>MCnO9*L5h-vQN5@r@y%sMswOGoIgc1 zdRDKIl;si&@cNS%(`Qu`(KxQzfaeuMj_65WLwS{aZ){K9(!!~(UVOq_5`0tUq-3`K zlj87>$suRm@V|ZQ@gX%2&qHkbNDusw;RnplB<_Q`C=h&)0M7!(;*g_wipZ4+(u%yW z-~R_k*B;O0`~E9Mg{dUvv`VEDlH@Qf9jJu*B9&}PrE=KEVb0q`wGb*56{b{9A4bZ; z7%e2($YG9~Ic*FZn;q=*dp^H^wtMXLJg?o)b-%Co^}eqAx(^8#5$`2|&&gXb0ts$~ zov8Ta??N@+V|Y@&?>%Gir4F@0!hKbMKXuhn^AozTfTmh_rB@hh%3hXymGM5fTAi+oy@6j3 zt;5K66jjuls5K;HyGiK#*;b+!hWkpN{m0Vp$A=CyQ($Z1|C5h4O(h$4>Z9Oy{kHer zR{D~iJ<*f>C(ra;Fb``>(&(cV|MGW#JvQ@=K9~5Hv_S& zp1c|VG9bwSc>fi%ne__aBs-SfYftZBwRf8Zkk#Kp9+S7JuUuju*fz{(h@433aUtaF z8)<9hWOg5|^~mMhm}6=!4zVy%m)EPW&~?&0|E=l{5=650ZLqE3tdoa)%~n!9Z6WMF%J2(QR?893+2}@pmzo9!JlSyYa$fM^ zO@g?`MRh4+enb~%NB->FuCpN{*lik@kv&=8XKFL^xuzLtrav54HY3Te;NDp$MO^H! zuAM4@A7c|f5Ra~atDu(bZV6=nd|lE8- z{JK(mM`@}lFQTUlKYQTy-!y=lzn(5SIGU0FiF2j$sZ}^VNg>b>9|}G#uV*g@b83I$ zRA2VhRR7#VmEZ$-nqgFbwQLIbw)w>uL{hBAH)6hTQ$=IO5?qQ5=fm{H(1A zTPRpS2G4Ds!-Ix1>%QH{Tq_0t2rXI&8K9&zsv|i+jNAo;_ZaOO*Dg*3UEYmYAHScy zY>S_TJ$h~`#TS%>f}LJS;n+nEs=WS6l)6x>kf>2-T!Ps-K-wnMLHcaLjV8l{0(ACY zl#_V{HTkDVW5whyp8788hoY+h;dYg_Kl38#R9A8bl?m32`#2T*!7<_b-!k_L?UD;~ zrJ35&cKscXUkrB#YNzsFr^p+s@HWu2^kN@_t*q%3 zw4dP13~f1-LDM@$7&H0oDxEpV<0Rw$9+)w}-0ceTZGSzj_~yio>UI8a@~X%HNVqL8 znkoGZ=kA7TSioO-o_H|@CW=0dw9Ete_cV zT7vi0Mx2femtj6WnuT6Ycvw2_|JD0Fyg_&Oa9UGoQr@FPTy-H@tFUg4y7h{&T^DQB zbNq?eqsVtvrz@l%bV?vri!NbOjrrOXdDaY#L3kSVc;LI@S@j-HV^<1sc6J{h&aEg8 zP5H>!dkVjF(rvpIl_*+IzQD4Scs7;Hr}&-{BrZ&q+paiMpH&J#!lXP+Td062040k`+GV^TBM2bwgz7 zZ@%GdsEc$Z^{`5DCJ|cKzIQ5Dc|G&%R%?8?S#_y4$@r;jgbUJzEYzI%J925n3_GeL z2qf>{7RP5eIx*B9r?n^m{ZdI?H5)f)Z?(G?Is|^c&Er40A;W6vQu}f~t)ZCptv|P~ zUdK=y@-}(n&%BhpzY&&#yl$;R$~?zrTFcSyBI|ma#k!MaOeg4ruo=Cey;r0Kw1|yv z1s?y&V6Hjzd)JKm&DhICS7A|8FIIF&{|i3)>C4=X$Fosn#--*)I@c@jZ$`7i?4|OA zxuab-2X+3_ymkY7=tbKqyu%~S1?PnGbJVHN%7L6|qVx@7GJM~1hrVcM&a419^1y}s;c=ii$C+Srb< zqR8`hA}Cm(81c3kuxF1_axY_qhajcem|X)q)cB6a)uXNz829d#yQbvdJP`7Nz2VF` z<@qkIW)GTR99&pHQgg1dNmarCyd0-})L-lH_X}>;zV#CF=6MQs>}fF4A}}v{&Hd|y z8`60I9Nn&6fdITWU~Sp|!XYbj-ZVcC6Ct9hv7)}F^|9XfM(enc;lM2@u;8ya$B!G7 ze&go2Npa+pXRXLD<;0uB^E~DGgw5P%f?;iE@38Gd9!aPcXVk%RASYW(#Zr@CT+Pyj zOLjmkS^vNOgnLLgs16ITUGi}P}(w#!DqWqpOTaz`z^n|P}CPNwD$Qd zFiCrp6rh~(YM=2;N0E2J=aS9sZBwig)f5d+v+nYX&*=MDbc^}9xw*%v zk;6t1!s7UDqRuayqf7_p#9 zXt?00LymG*6{Y)qJYjXZpnxtPdGvJL{`q+~dlvYEx8lqWV_SG0u%g_$`_-ca3Fp!7 z&QSd#KYXCpQuXGQSRe{exl_mU?c&6kL9gmeYprZMB8fFjM{igux&dtMovS`5O(D*m znp^^&occPc%MY(;YawR6P_20qV=`yHML=yDQF4V(C`@P?ZOdq$3k3%8#w zl;6G2YYQltuTALc5b5&HHF|7_lk_}w-v)3z6uyFa=Y7c5Ikv+QKeEFGm#k#NhKVBT z+o(I&53Q459N5=U%Efj&66QR;Do9-R#1&eP+}S z*whwq17~!HeAo%2hi3b6Az86s4g?1YG%MdvPW07p^6<3Q)PGKRG~=+0uL9R*Q16$q z&Ycf!qj~8b2cgIB+-sakP=xb5?e_Yqh3X03b_8jww~VzE&`?8=$R*ctYSs4psc3ZT z@L`~y6`%xa1-MOf#ALLoprH$~S->g4XaRPJa~0?fcb~IIUDr!~aqPTa_UucB-2e?m z$dt_Z!$qUtJ^vEcuc#i;Tt+J553)%~%==*|**zV>7q_aH`Rf5!i}rrQw_GyXo?RZ@ zYumo;vwAAfb7j;~P!^Z9%^9#sd8|L6&(BlXqx2>HB~v}2o7PbCLdz&IC<}a+TOU-Pmuv10?+P65end-&k^*$m4GWb z2*}<+iYyB--m!=#i32qZ z<5_ctnySMWJwiu!GX={YE$T=%^t1kLa`_P~g^tn#a{l!Ox`LItyqh-+74>`A%L|D$ z9ln*?Nidx8-Fo6V6Ww(UjxGgPu3NvsEr--c`j`Oq3mzKhX_u1kZI%3HfAfnvCs2g? zYNwn73@NpHrkVQdmFP?GA$*lkT>*9`jmMkFVQDu;4uhPAtpPKrTOmHN$=xx>cJv#b zT08#F9bj>Pm_M5O3DJd1&MzI$I4n;>dlX#1`bN33wt=KC+wgRD>AP%nETV7!KMmX` zGvg&}D!}KCD5*(#Tjm(|6}Z(9D0`Vgl0o&O!n-&Q!Ki&;<`UPJa=?}bX(}CV&P6JA zUkr=cI!t&7XyjowI{{x~+g$o`ghJ4`Osg!~Bh3Es#F1~KrGI~HB~Dxzl+m-LAw&81 zu(?J1@{-@VrCrS)60`J*Kp_ZS$Bi?OyW7>#wo6bxz}*3~6JeydtL|0&S{P-=7c`g; zbc=Qiok%9ZBLBdhb7r%Te;BP_@$kV{==#bj@6&85FaaV{b6O&LweAWMac0H{3$ztlafEj zUkAeK@b{C^U7E*2N_GJHFep++i(tbEP5H>jjDjffqBU-w7MsA>?zHB!#OV57Nz zU9LK&kTwa@6PIRA58QFWGlILLYBvf0*QJHMboeWif-c-@3cms8l$ zrvSq{WIDfL#;y0spb?+~oO+7{XIKOEP`HBb{atgNmC z;yY38Y?j6}HJ= znB)Efg0D9}&=M}Tu$+|Z^X@y2tOC-*!$+^Awj@iqX$3S<%`vdRwmsYl&kdQW`wDh1 z(PEfsv%P2;_;;QZ>%facto}CVUXD#c?b4kOqUh^1H-SeNE*LP=IJ^eGMaU+pD>&6V zTQFOKQ^rWsW#f$P$Bo%YaH&`;*>szr@W=-=r0L45w)#?uhh<)3I!AAOXI!N9zkSc5|q{Wv@_sVA#K8)rDheiHHnR1ni%h2S9 zdj}32KYxu1Lbt|E1q?1f#~eOex0SRToN110#=XSdAo?0#+YI;JhtBvUzx5Fg)Y8Si z-`w#RsK2>@&Y)*WQ@*y!BORmG(x4Av7BSD!nA4+?M^)fcy+K`T1l z)%RS7OVJ+)yL8YO1RAv;;Wq5$tJW<)I*z^75v=^H?~|&}XMAwNQ`ddP(I2AkbXfy- z66H32{Du2B9Hr3xtRt+Ux>PQR);m)Xo%0Qc4odz<9>;z~W0{_(pkU|dJH;he&KJrN z*LXbvB@-y}|I1aXp2>>U)%+Xd9xh+KbbJDc9cqvv^T{4r<$>l5`l~DtQj;FwxgD}S z0I>xCs?mrL#)F>$p9*O8*TQe_R-*I-aXzlBZ~M;4ir&*=JG0tCt|n4~#@&)oqb9S& z&&MnP`1}C;p==#WKZg?JW+A)L#j*WJuZqDs;WrE7geB33U(&VW9Hfy9FIMd26lzcS zn~Qk^L(PptZzMm4n;XB6o6CV@|JsX-_UX<*vfp2?c)>uwT3Wpsh`>X3+tNgK^`1qN z#v7F+n+qh1ZjijJS)nn&>`gyG3j08MTqk71-38e9;0$z60Y>*T_aAhs0w4&&i~stZ zB%!-7l$gkJyLEDo6XVGuXMkWI*YafgQ>6CokawQ|5+d6<6a-#T1^B&v-JNUux}^}| z+vxM5YTBQK8ifP9fy9z&oZ3psSP{pYc94zq7|g12ND-zKkhVna4S1J7M{>B~@;`!& zw4(+P7NRvjgl=1DOV0DSv7+IB15gwiDlC_GG}%?Q0m+{?*g}lDbB#R=#h3x+6?1$E zzx`Q$tRMA@XQk3|vDXq0USvJ@Cpj+qXv~^O>&J}&eQ=f%Kya;uZo+ucRN}P&KG}V7 z_|_&lS5L4KqVn-kU8Pzwj1-c{X@S02ggM1O0(j+KkxN8+>A3hbK01HztIYEiBI0xf z>zj~;743;S;um0G*Nq*fu^y+AlPc@U-vzbr$9dZSMs$(XJq=ziXaL1oI%igEl#AisJ7eN;@oxW z9eOvkPjtO=njt2br|%}MZlF9L-V=w4_SZ@<%w~T>EeA*9PU8U_PNzRo@Wx1vMg7&? z!pgFe2#mn?Tcf(8LDcCk(JAGs6&-({b@CnT=u<$Gm0tziWc2nGZ+{wjH@V zWwX;Nx z5LF=-Y?lv3R|az2%{1arjztpw(e%lzwyRuBkiy`D^Bz`UPyTcZM{FM6P*f&~xblG( zFS-A2p(xbDVpd!BGx7kX&Yhzx6I_`!=&@$^6`{*cSlC#yKgBs6;y{^(RnI>gI2tlF*K=WfEIGXP>F>4e2m`wc*MyniF1P zyG9-hn0L-}pzCYTB>CR`hoU_G2cC}^Io~QVZ}mZr>#9oIj)ISPvsXbZ2EZpyby9Bt zKTHv@z2f!XMJLAEq(gh9+56(4jfU_3i!d=)yExLNt?GsnZVrIXQ&vzewj=^f>)zfi zv-eIvOF`y3U9-3D*7_0Ksq>$k1BhFK;f1`2mJ_j^!wdhBwu}_o+J=PZutxAUz{}Z( zUe}%b^;jBtw$j)PcSR?3{fa~466&DR&_E#SJ`omrmKVA)&Vm2=%D@X{ooYjV>>&#E zM=y58^KZ2Fu^OlQr^72mf1hoO-?QS`eTY(IvSQg?Rz98fVz~Kq2{e7)Y{-UoEiFSu zx)$n(uiNE>pZ~fyfb%elTL68gO0}rTPk|B&Xb^3cZjfe_p!O9SF|~Ujzd6H^Fk~R# zP$>mS;=KzeFb=^Ype8HOQZVYTwH9R}FfV}W0c3)a3=60;0K|8-Nh=BtnpoCe2a6kFoAgs9z<#+r@p7f0KE@Zg3ZSnO-^V ztFG66HQnzV*YJMhXa!(3r-B>jf!d(>p@e!%Ky$eKtq%yCAdQ!w=5IugpO+AOc8h1K zdL@%H1vCSi?2-mha5Qra!8A#DvTxz7m+KLMq2QQB9 ztpu8_u7s@WBux0@Rpo6*>dZcACO2+aD>~mu%x7CMUiNzkG|E3>EZNJx>$j?_ND!k1 zG{mmEjmr<)UuTw?Iv-=SmTqpZ#kpKFi9=z}(E3xIdJn0dUs_g~9m%*^U}uQCtc1=r ztjjfQSyD8_{1Y9m4r;dgUaz)(VZr^M=xH6ST+|F$o3 zsL??&)%TY4=qpnwEY7g)7H1uAA5zS!@{@nDAZi)H80P{AAqd600p@bvvE`0SyvgL2 zkQ5>u=4sqU(lUNf;{hiJ(YtbF9-5fVF%F{rB<~B2ms+^4Lj#T<^yQx>C<7FC4m_QU zH-ya!7CziO@|ny*^Xrer)!fN!oG1<27?CLNZ@<(y^Y+K8m_mrH9rUkdpl6em!qi=( zbQA}Tr32jql5tyQdOY+$V%XTS{PVl9^f{hK5^lBZJa6Fe_z?#ptx5D-C15euFn>0` zi3QJda$^8bpLO{W5xP+p4Z>`SX~7_Pg%IN8A%%xF4q=G);e83I zxMpt((wxYXKiNM`sLD(5>+s6^o$cN@eYLK!+h?MmR~-718Wi&fG1e9>#{1t#_nflE z*~td>w|t9oJE;xqoZ0VvaPCZDrXhNBZl5eF(v%@cIMO-%xDT_HgZHu6(mhWyCiB0! z|Bs;sCo?o*w10f3hF? zHa}}Xj|>->4U5OX`#?RmvaMrK6)03pGlX$Jr>iM0@hokK;rs|*_F{Y=^VK^&8fTIG z^@n-7UpmJs!le_Q?$_t%Mp}+sVmv6Sa}YO7PI|N`UiKPxATDE-iSpIGZfm*gu(+-C zt-YwVh$yUb9m>wk9!sLyCTMB&gxwHc%fH~B5P3aEV+Y;bk3kD#I^2qBqqyzAgi0>e@Y_Cd z<*O-oZqHo0`^2r(a?UD3Dn_;d93$|raKq#T0S>aH1B zJLS{8;A|4OM(AB6Qt`S#Df%_Kp4jh_4+V+SR>}9Z?SD*@_c)GW%hJ=}&n=_O$P=4; z&|lWIw_j4sowk4EH#`X4ttrT0Kjl?K3YAY~hRvik2tO=zhpc1_>@qe5B3Z^bsN0xJ zDjUhJjrr?xjp(01<`T;ZS`hD6mZVIYth~+A*)b=sgBFWWcTOvu-5dLB;uwKzC;ixy zoIImGAhb7k5f+E;03Jg>E{?&(Y&^9EyZ~2EdBQ+%L=6!O0NN&R7X2$+NJIQ#DsirK-D#2*HrY9)o zf8`Gt?;q0^eWrT#@<&075|v$c&Y_PrbgJQD{aky!SjF9+PC=+ckCi)Yhv;AS1)k)gl8F6Iw!oV%ltobI<9RzBvQ6UE>}v)Q0C|FEj`F ztK~#|7St?eut%4^*=??(McQBLozw$N)MggT8#nU^1mMspER79RGw7+ zudl{{QcuzKZ^REb}p;h8*PZuNp|0WO8*IHMs z{p~cV4>=L4MxS*@d+;!cj5YLS5LAnJqZzJ>@Wx^`(z(6mYFuY5jn5}vBIpud8KTd< zxXQQ%Un{4(kENrQr^?+kWS%4~vJ)cKq>Wcf8fB8!$RH8fdSxWP zx%GYJy}gyucDCu<$cxsh#7}v-*35e+&??+e;OZr(E&+S<_8OuiBTGr3N6~n)`9_%B zN(&9Zi&i2{(vh|2DZ!KRx?9rW2Y4afXYcvhpPnryX5kT~Y}u4iOqz{ErHLc^WKF~x zz-XG0hSQEQ>bArReYWg&SWKpkEQuGXSOUkW+sF!eTZhjuV+gLbgC2s( zuPY0R13$2aAnW^iZ!x!eHdqnqqxs1=oh+!P>V4_kw+5(a)l2h1J6Xs;QU(8)H3}DO z_upv0A}(~w4ND{3F1{bXg?>JImNq%`E4}gZ2@U>X`G7%@5oo~Sh`L9_-i|W zmI-dc1Wm*-6F4jLOW=hV286ieB1`}wwqgkg?R0f2ry+kNJH$FsBCNl>$=BYqXvC)368bB&)GA_f>t6Y#23&MSxCSfA$j#-+8ip}=D1vQbiA zTdd5x7EbD&WXo^-{F=Gb_sX#=q=D%57!^G9VMu}$#QbLryC@#PLz|S$#acMIckZRb zQdz^DOo^43Ch43u>7~|}`TDm8Q^_(t9b02tNQhjyOAOA-t5M*#%l3otX~VO4?#v|D z5Jp?w3oqm`?t;vHC$oQ_$P9$b`-;b)X{?r?PM<|5fXUQRE1yR@KV~5w@eHmt69TY9 z?)lM;?K|}9H}@#aezYQ^hT_wPlawQa678ce34w`V26I`|uoZi*TUkPeKi=KKM9Ffx znB2!DHgnhrFof9nX4fzXzl?8mF;}$dT>y}hGosi~g6nO^t8uAq51uT(4}C&;^Oxz9Xd+eHtu(}vH1mV?H6_n}xJRW~j7y#b|AZ6o z&)cZzW~5Bor?eHiTpvC1GtfHA1e7p zcAjjr|L=T@%D`>dZ228(8pvF#Qf9zxLosVaRT>k^`2Q$ACqJ@MH07h-(|H|b_ z*A%%qnT1u)-`@Vgj#*K~~3R z+CrA219S*}RY9wP_GDqeW7Qcah5`@`sax1|{!rg`CCMOIYS6SNih@?9u zG*8u_GWHXc&|g>nv@wwbqc15Qhz67%+3wtkFXP zkz80I_n-9&1^(&r=Xl>>6lRVlJPNo+#pi*{Vj<*N)t4;1RPh`8X>1f&8jaA3ZvajA z#?qvlw^2C&n{?R^-WgH`k)e4nwT88+L57O6F<<7t4t*EzC17lC73atu!lj5)twR7c zzA;GJNIaC6&`JKHRE^=-5eG?Ih#iJ7VMqVFvDk*d-OBabIS)j#kmn?GaYqvZxM6*g z%bM#d^cMFv=b)xMSE(631OJf{sn4s$eXW7=x2BrAYKkyor@P}{j`Fcum$X2_lduSMVD={7_D zFoC!O+>BU-z+iE!Z~G>b*5=cOb*0ob40R%lV^hKX1-(S(NY@7y45v=@r4H0;K*0Al zJ6R}9{ki@H?mv^}uLQC-@LsPwwkbB=2C{5=tf3657iGxhy(o%a_Ku2(sS<>yh)wK> z3rPHlsm}w|X=GBe10hiv9pX^CdPNY@UlazdYgTD4e9#4O zHTat0exB9~#5m$Q*?diAsZhNMemZZa&%W@Oy#Wk7`xGCm8ZjhFj!q#nHfCNVEI%SV zAmdkgu#Du)s;j?>>W2_I#^(FrqXc{8O*nv5((86UwyWrN?utC#>%xVLF+R4$g`QFO zQ8ceVJZ7}WcbkmKs|XWwpA6td1KY!;e&5+7o~_aSPdp9b|4R50_Ni5yfiLOM{2U3lKYI3|mZqrI|Xc z7>nOU=EL`eLT`0#ge@&E;B@B8p3M64htQpau~u(bEUiY!o1*+lm2W9R(TMWpNn0`oy>XrjE%t9z`lnFu;>f>Gz$nc%V) zmn+DehS0pMGMq_t42p0jNHAZ5VKApM``JMkp(Vg-vK=1dTJ@5qc)*z|%H57~Hqb8% z?PoBkCwm}}wt>v^0v}*@&>sSW(sw(I-kmW3H7T9_LL3UXyJp|yJYN(=n7|qDd$!Ag z-c9a`#xdmlz0*9noy>7;xijMuGku;HXLOCxDhJVNKmb{H+3G0K!VtD(4J`GsOMU}T zLosH8dkBCSRQ&<*jv%qtv%-M;kIkm`n+s&+Ivv`V>Tyej)%?vXXGF$EpWx2nQD$dV zMl*A8XvrWGi7u6HMvys7o*lGL{-k6~KFjkN%U*C9IS13=@;En@17q3yJOCIT#rHO_ zsAHNO-h?scFmIwc3o%Wb{H4^BiGX{D>1)gOTgh1L(PPP%c`KCm4ZkGi`&<~d-O;X( zPf?E8Zw-n&Ghe?KQ}Bl$z1o~fww3Y3C9Ex&y%ZAQ_ObU(t?a{$nwiTEo}DH=H@W5@ z5=i>igI<6>jm3ba%hzv0gvv=?9QO<>_*CC`f#@WXa(wGiuRrLwHJth#ac4XE$o$G+ zl{fOhnC@540$TWplNsUw8;CdQe1@`h@PIyaYe}a@p z?Pw~{jexkyk9UYT`GN7dt8eX>(`lOu8p0^O_(7BK7>avwE%Ab z@kExpP4($1@r+Z!jwJpyt=wt~1$XwOU)HrR$I+O8#=FgjR^~6L4GOoe&1t` zvgUF(=H^$&slX7}wx2c7aEZvq{-)x8FwI|!)ihb&7RlS}{8Pd&?s%^kC)300dk!@& zq?Fz~fThXd4)vs+a%47{qrfXDLu3Ih6@*c@TaNDE_BgtljDK>yAz8H3e&&}SBEi*- zJ7&6(&VTStsj7fl$+ilzE6i`R|CcdMeiatn{??!qYklv%G_2D8kf!V&{Nftx*^b!qE_YYiot5;TXJ)Hg&UNHGn4E$(I;t+s}=KHo+Y_= z@vd$R)>ek8@{}WY6IBfJXIx$B&ND3D2|Y&n@3PeI4jG&J=HDy#Zv(%rDZ^ymCiAnK zLYMJ6WRC}VL-cw8GHJyHe*x&d zp_owCs^j(;+lRiSm_|x_Z`9olg*my1^`H+!%8#c!!lGvF&xAqN&@(6$k44w8VO{z? z5JJS!dH^UnLFFI68?NPQU~$JXhr@U^$e29%q0oA=G@C5_9x~7C9RJKcQL?ZHaQCER zAwNR`fg9G!WbE&^Oc-vi zZ(<_hzEob`DntO5c1?P4HtJFIb8=>;>{|&$9R|kIMAH>(NjgMV16W%LvdLpDLIq0; zJC6i62UI`FY{Y)5<2RLwzx#pE)p}^t@z0JmH)HY&53l3rzBR}z962+(?N{y4V5~tH z>}_4`6aHI@dxKVjiMS|*{|y8^8g@lh_K0^GaCW#w5Kq6kp3Yo0W#4XwZ~QNa5bZ~( zksOKohKl1c9S?9|`fm`)-oDRaV`k@W#})V2vlVLr5Lne;!8sE|faAXx44(j_&8AvDHJ}_R8pI7hv%s2f9Z=tgnjA4ao)i@&`M#w z#MFl@+8Y{WAQHc?jBwf>-9O1rklmO(oSy5Fn@9WMyIy9>+g3mk-2WGPdUPYtC?duW z>z7l9v8<)ActsJ{yBvsawoe>@h!SyG#9P49I+z%fP_0C`2Hg{cZ}cVs3_BDH*T|nW zd(d`gGcN7PyICUu{Ddk&J|uH5GUANE9Q{dK+33j4$(G3{j*kqg;E_?O4A%?44^!M1 zalaPLAu_^%Ef@*kM0jI})|iXcklFm4*TH7zN+czJ*t~J*+YU@86X{ri#^})nAZP-e z8_^t3W@6Ui(HL#|R}gef%(CH}%kU9k@Vnn{o8imw8oQ7BHk#4OOh6ci6rQGgTlbvjGudQ#(98LH4Vi z23dIlJuFViO=u{@Pe{3A&@i!SQ;^@+gW?Sait9YDZyd=Y)j+YXHSL1!JLd^oS@0ZH z6AiQq$-AcFXzC1`OiS%gjJQ-ZkI$8j-cvr!`K8~{4$YU_K58pQ7{C}~m~CRw&j<}H zN&QSjBKT1ZC^BY{pF8R491+v$aOd4@uyg~k{iNKMEaq=nd-|JsrUINNt8YG}pdK}I z281=ygWf2RM&i~b6PdwuFgEez3!7_CIy4jB!EIcDG2ppoDC!@U7pR;P7e zZnIAQMOS=o{T#p@)y6VcLv`h{+AQb+u|qS|4fYg>+yF^=^)F>Fb1z1V=eZMe5{t`^ z9kbzqA1DWyaFe_0iMaK$0T5{u(WO}{jRX)+GVgW4g6J)2woEF%TBTUClD&fJF#yuk z#W}f(;4+5V%?>XLMZxRk2Hs>1Q{0!OKYBxw^Rgf^!TQ)Ib=)Qrhlejb>zKI_ZHHwT zOgj_d^dw(X&ro(9 zgs*j>ssaZN-UFoaLRst%x;==r!+whTl$ReV#*jTnV8DW9(ilLuj_0OVs|$Tv#-j{} zQ->qie!m6>!TIA+nqe`&21^n=NBVby_z;;ScBFBwvY0IDoH%}5++u(}b!&epzqy{l zG=EU^-9Z(QcW|ot?!!O&oFvTa*gN+C zo=>P-GqRSq5V@qgiUbmSjG6k9;TseV({F}@#NV1dTJ5WeFdyh`xr3B6~-0 zQ(;}DCr0b+qU2>vX^wm){zNKO{4?UV2}Uy}mHKoH5xInaM6f~P6XAW#?CBU3Y4QgE z+T7jeA`R|g33X&w1*S>#IJz7dobMe~$?W_=ad?igl#=@9pxY#elE9$&{-`CNxjHHQ;gz z&u175X?ohr43hTJtNK&BFvceE;|HUqP4!*v+3EThqc;=X4A5?q@bjZ>1DHlKJUO8= zOnW!R6PpbTIL%T5kRzL3=m0EX052Ll7L9X5mtIjEek7X*PJ%&)&P;M@J1v`*A4S(> z;aSHCpDLilaziwD5_fKN!!Knv&}LQ^(!@=bg#dw(avfSdCeVgB$+7FR1)Rei@#SI( z#|z#!M?-G$E7DV!Kmc(FbcI&ZxEhn1g%@c|Nw<&fdL&cZ0Urln%Ob6xP+y!^OJwx5 zbJdM#L;F@^lCm(4K$r|pWQ;P+n~X|_qLQL>$%rI}hZXW$fbc`iFS}KG?_GX^O)GQx z`qZZ}xG}icY>u%u{bb#q|>dknB4hao{ZVZ#YId1#| z+Qrm02`%l3zelG?Q-(!j%djD)d&bH?Uc7@+TiYigb)asmw13a+0Hfd+XopqzCvHvC zAMI6WB0YOoI6!-!$MjStZFlH!^N5zd_fw2j7{u@@Heh0rf{q#{PzyrpZKX(vBaaD)1~)rL1E+;Fi2|`d#@6I`Gl%P)qiKZa*l%ViHMeGpFe)uTD#`psb(l8r zo$(l3j#V>b;IyLDji(Gr;tsA=UelI6b>qcB?U$l|?-P5x8#4(`q79@^av(1@E3x}x zI(KY_(e#ZQE5MHvmaN7(@oB)NJI^yn4B&2d9(!Dk|$Cy*R*uLzcp2j6KUS zqgv^_6-ex6IEHIE&S{MJNn=br6|YDOHe(L#t9*hdHMD#6=eQan`STyfLd^@yAA$|DOc)4m~z2&@6 z8-LZ}4>aX>Hs(dc^YYGwVo}zR9u=WaWsk9+49UQF1M6gc_2u@ln)!;T*DlWW9T}J7 zl<+q1h`Et%o7lOs{2TU^)u?TDJ}}WuN9Q+H`T^cO$KR!gxJeG$<@xF5&%gVZ&f~UM z2?xF!`M|a)MlW7gPyc(N#yw?i*OxrY$E{K5v-@99elhtGw&(Di>`>fXi#7kf-K{&nuIX>nQkR_?nY z{$%vS{56k`rG6vCBwWZF+?6;3dp$o~;=4LdJ<^sPQfojytmUyT>IUB@m=pEQ-3Oms z0czNGF!!5p=6pvueI=N4j7~$eQet_>lVI!TRT|cp_6X8~2FjW8Lv59V!UMw|OZ89Y z9lKl$^iP;S4qflCDsF$IQL)ZwhBa~V@^5R{r-juux(^PL4hQDnjE@krP7)IHRlK$N z-EE^W^_MXA-}By^I7KJr=Mn;{@767HGOGZ*HlDZ;qf-|h^FK-|Fkgr24g5EnCaC^`Y;=$^UVr( zOzF_sD0_wAPhMf_t-JC2Oh_5d_5l67!@oL4FfhG+(QBzJFr==xxFc2j)bhr+ zuSatSt%Cile+|-u8581CPmAH|hH%AOi$LNFLRIWJ{^QhXP|KM!Rc{PdLNm%cf)mA5 zaewq|S=Q3;yupgL%igo`LD{GD_l#?1sRX;9@jsXjdf(edv;#};(4bQb&g9B$^8 z7^N!xbAQ?holX{9I+*w^#)x1%yk^ zSgk=`Hx&+65_$ITAnAc^f%6=(4Os9GyW8q&IAt0d#6jf@<=FOJ`D!6S`)%9K=zpun zy%sPTiKNkw7=4&{l{)>U*s*NBxLT*?s{TZoR&c%3=BR>+Z!a8*k;v;Pc$_h;rD7G| zXZW-jm5bLzCC-UMS5Od=VW(ApYjK-C-re{@UiOgas1<7kwNCU?kJ?ckQuwMy;$CJ) zrdceb$g$Vjjt%4a4Nq619+vZEjfTlqK9UcT4sOse0r)C1*bv<3l5=^9sF4YLH1c5x zb6x?dDxPPEz1fhi`N77#8adjKQR+SrheDCeJfzDLXG+}~8XMuX=cRb6I+DmBgcQa- z6yI102ruprs0qzYl@kW7a|gW`x+F>&*CpqP43-gkjHp%&j37VYS})z9|eKCx@8A z^-n1H$Z>g}{7%T+wT#*LrT7%Z-JpVpCvZ5T-zIcX)oee=X403P#Hq0JA zo>f`S|6^2ZbVD&_{d7`A*1m{>w0pm=yMd+LMuzx+bGnUDhzYY$@W$m4nUXi-LiprAqH_|DD z^jR+i4Luze=h%)S9Iq2@Z~q^v3|@U)xwesTX}D|_`n&A<*SBlzpwP}!b+7;3X|EHgZzQeb%NNnCp6hcMmoJ&m`KL3JO-emjX=t({L}qk4Q)q=gy*zWiTf`T} zomsFIW}YSpW~twc(HqepGB4rh<;7V<&-+VWCb`omy4%BJS9&NfXos>*FkVWKFpcu( zj9PcCq#fa~!rL$Q9nis~=Atz`q}97bmm){{*4&K}N;h!GCN)Jeg zVd&AbX*5{$kyY5cocx$8GLe@%(D^K~dOImj`;SnJczz6B1bL|oa*hTX&bLL*Sv|Tl z%EF$(KdBqKW-hYYzrfR{6CMT0 zCr+;$J*bB7mEyhcV^y|t+*VHvOxw3k@*Z9$t-SnLrP$Orf2u)UJeTLZakaz+!%SXA zUTO-c`R{DqC__xOtp|DC-wuPFM53!$x!2FSm*}*Xu+!W2`aCUT^@+S}U5Mj6+E5_L zqs=fK?Hip>CKOZAti{cY8TYhR$1vc=r#j(mlxi6i%P zF&zW*4){!`_saNK3%bGNy}9T@BKMMG%%QGBA1C#LAND>1J>#^`liFJj;RrKcsIx_- zqZ#`4B@G@y7`@f{{6Wh6+ZEYFtJ;$BXYMg;EWPeBH?*}cuJ@Sbl$*E@D>`U=`BH*? zv1X-*GSW7UGYdWX@0a}1vQf_aKb<)jTq*nRa6dL%7E@|3;c_Ys`<7CT#P_{of9?<%t(_`J^I`yD#Dd|+Qu4T^P^S*uFt#$@c z6o*FJ0>_4m{{1PrHex`TC7Q;kh<&KEapgWk)#Q8G=&YsM{o zc8`g&2Tf#Z%?y8Vmm&jnHX5f!7l%LkU|cgld}o%5&3uougg&e=-2c#4sCl#OL+#9V zhG8+m)fRav@xsl=#R>t&kNaA6ML3oXMZRhWEo)ESsVJ#Si4a%o`#Pa~rN~XCT)bT3 zuvDE*i}wWynG;1u(c=Pq(nxQ?&88yRj?FUrE1se+uM6%lwUZ?r2aCEg#~+&`Rde0U zmwGa5%?Y|GN|QazjPXgnOQ_rC(o39goYzwsyG{#rHfs&id$Ug(gQ6C~3T$8V)tRya*&c@yQ`qpd}KoT%Q#H;K{tn#QFj!&W}R zmZ{6ejU!46p~~~*bE9phb@T4sKUpL2SHIQEOlnXB7bu#>8`FB~Ta`jxZ`vT1E9(sf zAFttpY{1M8vrTJePu<2LD?)xs=(O!-=78zRA)$fAqPWC=224lFNWTmu=+$B~H={;A z8U_#j$)Kd%B-*W}l;$ivJg%XxU0foX#r(N>JH*Ux+nz4Ry5_0TKdCP#dLt+fvVBEA zQ=elx8m;FhWjAbKBdZ^T2W58r@qtCmQRc?K7}RN%ki& zuSOit7d%RlFXKK@$SyOydwtxXXzXLj^U>~j?uDYMst>s4T1-9B+uEi;Yw2#8w|}a~ z#^-3?aYMHUeUwWZC%&)n&*yr9WqaIi;!k9RonzjWa@@3*M8xThv%KHyDb3}Yvl8|5 z%M|P6Q#C$!m!T_FtSkM-<(v`&$L}?b0CshQ+}1MZCv!)=()MV}&HDOjDWASA-QpshTb7Fpg|k(qec!g1cQ zfu|M9rLETBN0q1%-WE;0&EEZG)Ld_;2AG4r_7ZYT-cgl!IAp@6wbDVHbC#f2d7Toi z@g;lagN4&cP8BQYNtf<_YqYh!aZ%p~61-dRqqRR-&(}Iv%O25bJria9_|ahFiRv@k zS5(Al8=t3y-kvR@ELAtk5iP}4T~}QTD7`g3=BVm(G4VO@pAXziR^7zROr|1k8urSj zD7_I!mWDXS=`G(4uIIHVoIV2^bAK|8!;ZAdEX%sV1W4t(nr{{nIHyLvuCea}&EFq; zq#ickwYRdXzqX7vE_`SFfjG`-xN7QAM$jbA()(nIw|#@tctpQBJ?P2w;pX?=_m!v$ zeU9R=eC9)s%Bqml9d1wP6?Lgaa~hXY1FQV^>bH|b>jo*O>(iH=rZ!3|l?mPkw%Wa} z?)DBao1$ayK9>Z|cada9pLq@OlQ+E|1S4C@nrx6Zjt(6aVjQL5<1@8RqE6Hl?-pC_ z)PeI8Yt_9U+*>>DGfOFt$5WLqhfIH&s>&wxvJMp9bQ^M|tiJocep4)SGQZiV*glox zAzU%Vv2)A(U{q|Y5mKko;_cJDf%}5p+rBs1ax-yu7mjH42A*a8t zcm;V|>_}N33r#{d35^i@mBs_j5e+0Q?(AJ%_m~dN9FbclrmAn=QtxsrY`6@cVocf8 z(kYdUfv`{eY$b=amE8JBkI7(9{r%eP>cR8&Qg(IU5*DuBuqUqE-VxXQZ18ApcG`ue zOnV#TT`g)2e#+c7c+4(jx~7Wii{pOWw>*7lSX<{jGv~c~qbG`Ao|s^UoXgABJ(gt_ z?K>PS4lU0AhDu#{vEPHFRCKDDeT9-IkaCshv)cRl(B$eoLIwEqRK@dZa@SkAx_(>c z-N3b;s^v?;HoeD9m64kM%~A4-b|-Hcgi8LKR#iGEF{~XJ?6Ps^bJ)R&9+NgsyD4e! z)ha{pmBVk79awI|R~+kF;b<>^gs}DdGbiR1c3WBw=0R{EJ!e|jHg?Cve!Huvzs%s2 zamy@PK2cN0HE^V)NwZfoM^_~|KNw!8X&-c=yZ;1ImYayeU5+b+_jj zg((@6GC5`H3(Cg*d_UH$VhPZC@<`hsO9z4bWV|L*UrPV31OBJgheB=QHuP20mtzTC z$BmXpo6=1&jD_&pocgpNzZ)(6aB4w+fpdbAW8TfirBFY6t;ziAQCG3|CFZ1)(S=6E zDEpSY1kRQ23h{xdv+}OJG&jz|*_tBmv+8<>#{Qd5QdYCFamj+AYnv`F3lS;_g!>J-S*J<0gp2b&)-ym16WFIv@cGCFn+M?x+)VjFq z+`>=#mW_HQH~}Nyd}{KkZHxBQa<$2N?rJ!>nvJo+(v$PQbpxiB)CqNy#4HH@m_ zWtuTHYWKA_C^K0Z^C2-)p|2afH(YhiZ61Aza=}U&A!F55pya-A$_k9aj`vguqJwJL zPyp&!la;l_bG`ntM8pybJ>AA*podDo(a$OL6eLLwvqH}6uZEm7TIg#`X%@%@QmrZR zvXuA5P8vSlaHL};!y>@x`K}}(%t<9T^7n$iB|{`IBPS_eEju9N94*{xQExd>1`)ZO}>OPCKUsTz4k_YvpD*YaE}R>ve?VroM5 zz8JQU4;JQIH;(4cP7EkK!eB0B2pP#3X7fh_IBpf8ZwFgoQVB`6{Eq~-HN>cy6J zCU;@oZz`OG8lT7ZxsFtKi9+{(LobWG^3L790{z9zHx&{&EscrruS zlA~nu`oktH^ZZSjy~-Khb=U9}=?Y>V?704mc^T-Wmujo-Jrp)R`?ecd<%x+_pg^Y9 zs@uyr_7Lt1`LL_Im~~0Y4f`fb=MswFWeUSaHLlF4J@VW|#pL|6avl*rxFeXZEG1^31i&A30qx=uE9J zze_Q7axeLMS4Kf@E?-qwRCz#;__m*6%<~T4V~@1BTY`e!VWbykACi{4wWil%+1p_~ zf3@$boB4;yvLN~$Ea%*6*0uGUXH$@cOmf^4CFDvy@OhxP(fbA5}|sT`S`(@ zm+yg$g&2O;F4svR*vIqJRx>BU_f&=sx>bMpvfFawLEc*P4jFJzhBD^&C0|_YHpb9f z8fl+MD{A}wFjeDx-}BaUvDa6hFP@0oTUpwd65(>~Z1+e3EM}=p$hxp6=t#?g5+&3}Sk;~VLZ~f{&DdAh? zuS!>q`DLuH)iWks-5*u?^R02ByI|u|9<9Q~LNbt=50O@nlYuLrgD3+E2Ma?S0xXW5 zj2p1Jkt!HknF@DT%V~85dJIx3>{R3^tK>U7SD!aXczevIPBrBEOX(XfmJSZWYBf2) zL9S8;rAF8@tz$GSVZp6JxzM36*Vp2zm6u!Ktl`Jrv(QZtp_!6|h~)Dr#7wUMi}ULZ&g%yfhb~hc;|5e?G#5-|MiVj&ZCnjk z-CDeJ3e9YO$~ex-FmQe*l-hk|=5}Zkj6+X$Z0|@dD<;E8@Z_pe-91xx?5$#klb+Ku z&p_X4oJGm)>1Wuu*(O$Q77FubQ`9F-mFBgc?oxSI%d&xdTC5M=R`Ug#)i^ zk^-)YXYJ>?%)aY!!o>A$|_)uo3`5?^@>cEWdhIu`BtoYJrMs!?m(weUyV9+z8R zkU!YIZORt6?ZjK>t>bMDH&5S~mOcJ$)hu(*P|)62Z9jdtJr3R$yQ6FCW2K++)@?P31CY{qA zxVQdO;q{d8(n8pK`3v{L9m-T>eGL^By`*Gm$(9kZi3iJu8bz(Esw7YTi8ZU#Vk6%TNDVkX(O9`V%pH$$Yt|xr5m^XYIs*LU+rap={ zEEOF#>G+)Tuyh2}JXLar=+gPDuT;z}=8UvWRB453LcXVQ=^pVtMTHh?VlO_iWy1${ zlzX&lxQ9lZJ$VmPnyy#ieXh;qynT?5tw=X$77`&!z^FUDXfT-g*dA%cx}CQ3a3L}! zTC{e$FiPy6b)am@9-Y}890Tz`3N4kdUprn)n3?$YO1!Z5vhg*?-75bZ!Nj{`w9E0Z z){lEPm>-&_eUGmWg<4j>O~t9mK8bjn@y)WRms|aIe_&VG+avL!Kg*l;oc~F#5wmYU z(r%J;{JBjm!6L`y*C*xTz-@~5VJRja743!2BmJ{6)bf29AA3d$MQwI|TCXTDkUbpe zeZJG3Uty|hX13t%TYju@|9uPXoe@?=gVDSGHZJ#=z7gM}D{o73PiTI@~Ly22zH*Cb*jfx=JR{A=8ptS$W%|Ezfo8=oq zn*V<5tEqk*^C352sP$z^exljwlZjH}OSMxUtzR_<$pLtF#@O7j`W+*a-C<`BCA(Xn zJg2#hI-EFQwH|6^WTNjaF5lySB-(m=%BXC(-f7jc`cF{~*$eagt>d>JHz@Lyw|YL3 zcL1b5k&y5j2(cCmORaSr|3!co>MvG?w>KR$#-%@U_^Q$DRFJf*tj1*fF%|> zWh1rpZx9;N8*T_|Bw@GhFn!gi_=k9Yb4QGqWn7gV)^yBxVl}B4dyArF%oLKmdCOW> zYBlahv($bY+_pfAyGQ#`kE#3aZZBJS`$cv;Bl)@i;M^5eQJi_vkVL}ZN9*50(o<=+ z<{bw@|gN5 zuiAYqCYm@>U?UXz@o|>D_P)dyvf(faCWLmtHS_pHBD=c1r)67ogBNb}6RdDxr(5O= z=WT^kYD0}C&MgkDx+)i*dIQQm-Y?YnZa+RAJA7&dD67C-wy1`t|5x`obAGyb`_prrJH&^rvfHaAC#r7Y9_xo7 zXTvJ|@RJ@va*OZ23RI)=kBzwg+gg9(4uR*m^Yx^{>)OZ5|2ggyO=+~j9ei}zU0ZD8 zj&(8afgs=Vs)F~WT$Ak|xFMRJh@x#hcel5CKgQY%<*byNLK^YgpzlvkJFCd38k-^w zS_-Q4AA|QK^)PIkFEizR^c@xTBNfxLvbP@0 zMSZaf_o{7~EpYbdzCM4xFF8I$QLiYWc;9XF%mW`ahlBrXOnrNL-AYERXA{GDsYRVu zs#7ylPMNWMWfo&Q^IIdC)n311B~-%y^e$Pbv2u^n!iDs=*F~NuT#ia|p=cfQom|>p zym{XtQ|3nRzDw^?Z%C=;|66*jCaw6=_iLqwdJ4A#FW4{6M!uAaow1COtt3~hHwPYO zmKtT5IQw|Bjazr;>FQc3D!9KX%{VbtxpYsLXQH9t1|0+714&-2h*tuJ(G9md^!q`9bR}FP7r%B_30dJnlN@+&hqXffx_$&hh3XV zg2sAJ*TdaTr7Ed2^u?QZ3U*#8*^%Jt^wPHITT=0=E*p1j@Bo{1KYZKf=)dMg+loVM z9dduE#b3Lm-|jA)(c)J((rmBiia+8Bn=h`l_rBBXFqJ6?^xomOE6Xu`|4_UvG9;$y z)X~ATV{_|f+LNexW!Jd){+tArgP(?9ABsEDI9{~B z!pBiIT%>n+edD!$hE+mi7k zipsgybhoU-3RfBjxjk^(ktF1f@z+PCn0d+;i%8!*;nu3PSl|1Xmi`UgJ8d4G@8_|X z=P>Z2R+-k}eZ8O{_l2zfuf4{tKMpSG7OYBA>ndIadhELX4%>^cl1m9}*lQqL)aW-o zJYpzgec;&k64=<6lJ?a#_oE$lGS+=j&E5)$2ND85XTLB8??z8d3HwWtB=)Mxeh`-x zcQC3piHJUuoPFo$7h|W0^fc#|OK(ay{36l{%jomD3 zJoaeiY~iXX2WM?f?U~cOV;3!VL;rEtHv=E_n@FSb*D$Na532X9|Hy>P96%#QRdRfE}JC3s!8=HIl@P9A#jyM!#Nx~dd_YvbO#Yz#@ zdnOByl!t0uJWR2n+7~QFXj&EJ>8Hq+ea+X&xBhaly~`o@0nIy(P|A9Ce>w8i@Ug21 z?P5!>ownXsa*5@;!ckdrS-HxzS>@&eq%Qa6ezj9ZGinc11q(6ZPBQ z*HQZ*7}IY^FCHmf^TQpxG3hdR@4bqwwo%@fRIKq;2Yb^Tr9 zVOeSyPAfAldSkh*9=-q3$e37bfsXm^l^FG%r&`TrFCC9+`hMlr@XOPm&b&B#f3m1E z)28d2W8i0VRs9B8kvJ5yIVM0OX#wpprPFD#XXVKFa~f5!sXseuowsJ9B*I4LI2Gf-wCd2Z(Rl&!dm7UH#j-vDw_%aO@OE5ZvV==h~N> zmQb12&)EL@?azpMm|;icrE!~ZofT8?;@@~@-7XtW>wf$!|NVQs6yNcee-E&{Li^UX zv^k{wk?(UlWfeC0^PjTNos@ueJ6A*vJ|!pdCvcGrns-(0NBk`RrI0!ITn$j8jKTL> zmIxL8CsZxX1j7e+jvpVX{uUeaD>%>UX2~1)HlTk<%S(Cp^`O)Ev~T^XGz(+F>KMxv z+|Q=#8b(NK`Gu3@x@HV#k~o{E#;EsN)p~e>3tHf7_+Y^LW?@kY_qu=Cx{Thqa z`S!cd(62;Vi8im6H~0HN(NfQaxN+%hLaFQ@50T=PlO)t4W;JH;&69=naY64l+Usr8 zC&x#1L+jR+Y_oq@n3^Bg#^;Ss{23eP9Dc>~za%pqfvwW<{DjNfm37tEUEkviiOzeE zy-p-h-k%A1GWEe`-XTT_<~$wo1ZMnMQZtTQW0MEme()jp{S$+F8>?|9G2Q!a>V?0_ zE+pPklxIwFT$%FGO?VV2SYB;AGb$P4`)^xKLbZ&km9-uwzbYlpuJ$h}(TaXL(~8)fa^B zUA7)moIt>x9pz3}88Z;xVFR}xh<__Dh;T8~vzntKH^7s=W4smNoNI|#-YDwP6ygRi zvbd9UwStHEo&PhoVnQ;r7pqhG#&o8M?=GoB5Wz-DWd^@QFIQ2)Y&T>yMAv>nE9+gm-q~pXnCK4n~d4 zwyDr8^i(dQn}56xzPdPIkN5LOZ-+}{CSjvNzi#{v4)q)yx(JRT`#<9f(+lAvRTFEv`*M6MO4~fjTSvH)_9pKkrq+97((OJNK zn&(A?&vT@j9ywEZ+$*?zLmi;Ki%-swmduRCoc*K|zNs~$laFIpn1$t@*9ka^jl4Ek z=b(N?3_y3CQJ010w*VIOQ(HdiXeBc3^{fQR4%ngz>6U>1oYDh;y`o*hw<{Gd|6(_6 z6M*{!u;DST%Ng$Diw}f8M^UYX9}9C0#$Wy5zVKOT$x+>?t!RgALmHNb>wNJr#BMkbHKj_$jrPR=~)Jb=Chh%pZVWjnn6nzGQ}fwd8(eUVQZd(C|d3c z;P)L9Sg`12)$O^sZm1{U5W8DLD`Y9+QX#&_`SIFe|YdtAhLL4 zPI?OYjh*`r74KPw#+0B-Zh~3eQJ!Uj{S4|@ET*Y@6#CGkZ331SH$oq>G=MeZEqI~j zR<{Dk-V?62ip@vrwP?Y0q~NHB{8+PW$*J*8rj*XWGdup>d&J5PE?0JfD7@;&V?6#G zn1NRG0OC9;>`mpa8Buid~olgfnN*5>v8G)@c-M-x3L#fWELHsaeP(T%2FH^n(@p=QL#Hum^*1@&bS1=^#QP z(*XeZ>WBhOiDh)c=ckAGJuCxKP2r6BxyYKBOY{Wkik;U;a%rH2YKti08&d2d} zu$u?-L1%^`1Fa3WT3=mR=XebY8O-tB&e1)g;OUBqrHXV{JM~mY1~sDFI;tj5p$W=> zgk~WD=5h2@R3y!iEj(-uQkY|Tq99rckjxZ=?>wc3U%#64J3T@olY&5^3*cN{K0F`C z0kN~_h#_>{D4H;g7QW3SZJa?^I1BKSRA}Mxu!5(cv&e)$xegTrne681rhN^#dj;cZ zf`)I<4h{}?Z8>}pKKlsuGL>`&a+@qlZPahi2F+$e5n2nV)_k%P+`A5q#3#MlY##;e zrVQ)L$=ET$=*#6ap`Pj}Xc@&5dl4d}8g)E3dd?TJ&D+(bw2~gomrs)UI6(Wbpl<}< zBng=YKqhZ;UkL70C_;-MJ&46*tb=ZRjHexoroZGD7(4dJRQnr076~nb;B_C4Pv@X@ z7q|QePkTSj%L8vP4C_-?%S;C>xQ-G5SKD&k!}L`aNJ(s_HcRn&?&;wVNF3kc#P*UT ztX2Y)(;*b1 z>gbgoP33&uW-vz^X#LKPT$7s=6k7w7{l8H2wAb_gdRCm!eRHU7U1>5QKOq02PfCJ8 z=TXu}@sP3o{YTMgB{T&>O~?-m4AT82w3uM)b?Z;GFx?Q=xs~TE3k04J3mX1|M@AvG zjxVmZNr7D#K*>xB{&XbI?7A@r5#PS`wVxGmyG8a3NeC>)(KsG@MjKuH2d=V?&f(|8 zs~e~s$0w@$RkUNzeSSkPjcnB1EUjvpOV-4X1b&&cM_ljXNeh!^1n8(xb?%c9Uq)%e>ebNw@tnGOgGC=&T`Y0QkY>@B9PbAEc+^jN0I=9`C_C?ZFVD0`#mlTC_kbI>914c&3N`+LV6g zt{&-}qC?8lD8i{9M{~16qmzGrdD$kDk0Zx)y8AZNo@esIJg|6F zTgo#EW2zTZp=c-(4>=t?P_GrmrkU|2Gw;BEz+jl~ua}qGiRmR0nVBU2i}(^rJVF`| z!8Gmh8B+00d>dS3cx-+BRwn`3?;oR#*MDw=g*|XVA!C^Iu?ncJM1xB8@SM%*;VnFu z(=kmX1t*e(Z+9Tj4U0z^{;z<@Bg^afckH+~AZZT5hIKS{6Q6*VOn~yS13XuS@aty& zj!6_5w&v4Z_zz5YOvvWvwSiCc0gzc7HE1GK)nTkg8zrzmviJ}BBpp1q1cPQ${D*X~Rapc~ zi$d!?t#%U~;YkM~RRV$MJ6>wP;&>fcEP>J;%XlzlUja6`Ky~Eu01TLN0d2*}BQOz?_Kn)SSwxvZ``&nz(3M>x;If{eMmkk8zfd z){~-mSm^-lm3$e{l7l|DgCY8r*xepkJYUk;#oEVC=Q!V5gdiC@B;fz~s}B92%+0Mh zYX)It_GIrK!Rxm@8f|TT>QSEFK-l}I%8=zo=Zzs)Ca<0eK2j|BUXSsV1$4Pg!Wi2` z9B(z}5s*9mGi#1@_s3OeeNVLoaAg6g1X<3SZzu7k(>We|Gj~s~MH6pDvm<=}d_SEd zxVfPM1eB zxfzIKXCIadsp`lLHtwjf_Zf;w3Nv9$lUTQUPY@)Iq)X$Vm$8!QD3yce8n2GH~XLzQvR zNgHJ;0Hp-z1MAa?Y<-pESZh!YU%bFB$J9aR@zW1)Fz$cSR?Mzd97hKz(Pnye-}lkQ zS3vewD-nEw%nfZo9pj+Y$knFL05PcW%?_^f9x`CDP&CJb zEQY5~%WHa*jrtLNXn18h@^z>>z?2e_n3@rQPyVo*M7yx<8o)}|x^%wNW3)FiQ?sp* zYe=6HyN(Y%%$kV8En(1Fifc5q|YRi!zCLmctp4`5SrTAeanyNiEpxM~v}I*4@m zqOOD*c!O5FmIuY2-{JU!l_s)a(|Pz23HXuoaOgVg%PVw(X{}DoghFGkaSmw>A_tP| z@Nl-FN+2p!i5Q4|1f=J1pyXi(1_?5oQAAla>$GOz(>Y+Zhr4oW!bAAB6QTk0L#=M^ z!G@pGJU)UCnVj^UTbkj!&XT~Z83JFrq2dhOuY6~vCQn53o(LEc@pHnrd$2OjXb)l& zZmut*0`}lHA8jxQRSXC4%s2jj*!9u0bqK_`9yXQmA^ZXE)`=ydACC!Ko=WFas3K#@ zU)G+TC3an# z;tLd2Df;UIG!roA2B`mBoLge^<&BR=B?Th|cT8zL+U3zo94=eR!Pqi!JVXfjq4pr{ zvLpr?pTuw%gzWFa9##kRR8+yjHFQqTI@9Asp7yB{;oCDH#ez3|40)1V~UznChqpo$Jc^PTGJKXZ=W5iHL^I z>c8qSp0e;`*ritcQ0%o7m{u}OT7;C706{z0-_2Li>`M2CN**efz`hr;{&e@8Bm`4T zB*j7;WdeMHFtTgo3CPSe1*mB-60TU}djy zg}CFmKh_h=1vm=;;Q z81cI%)O-b9y#Mh+3&oNcp>q-3bdME>_&~GHW|M5%Gryl@Z7t-qF`l8&sAAS90FGs% z^;$y0Rx>^W{^*`6`&c`ur3z;}u50`Qp>JP*i$@~fQ5Y&X8^N-R4eRM79iLlJ@08Oo zRQIR-AX{{}Y7INa_1Qg9Vl_u{WS<-!<0~Cq7mvBhI6fy2x!=u)2|XNB8=pe|v@X#gTz8OXLxA7>u-`}bYNLp%`lpMSz|lHxtE>ILH>Lm3agwEE|6 zpp_^5CFy*IP=&5!<_3ZW0tWmDNkI7aB&a#(A~|6gVgZds4Zz`PNe+m$o1lLW`}%1u zi7D^3yG|4~<0^fwtsB0BBYb;+R(CWFtB%5SLk$NGaN2j8tcR|n*_CjEbvns=zv7km zzdQ=QLN{d?Y`{LD+$yVdZ}YX{d~CbA)J z2j?lRU7ly-g}WfK%QYk(_9p|qwf`ZYCJJra2@ulZT?JT)OffXbptp?ij^oz9DAQTk zeyr{&1`2Udq#lvO`R%Y(_qd?<;55+%z(;c+?gkK>QI7G@voxT>LoJYe*;b@TXkw6c zOrTWZ2VHm;sy~5IQx-C)AOC6RWJj>j<#JYgqBx3y{ICd4(>Q%#28l{-20t_d$(gVq z*ZU1u+oscDQ2xQp-5#iCQUjVTpw5*4k@ZW*1(mrE;<^JuBxSgK5C4hU|2o|6`>d&0 z&hP76Q{#I~4qZF{i2W$uVk;9AHZk7?S>FY1hO#=WqiM)Q^e*ZW6o-V<8h)%loEYgB zfJ8pTc-L<8Nm3y6vmXhQz`l_gI{Bc<#}h^6)&9?e0DdYbz+-|S{o7DaD-`Lwd!n*3 z8UU!5m*B#J|J63+Jw&7I$%_+YX{jk%k|)|TU$8xDa|Rh^l7k3hG26#DdrKY{j)pOrngg*!a6`qOcnJF|wy_-(uAsCTLq#lJnh1mOa_xC*vL z_RqH}x z$_goWlb8(S#@~l}aZEd(aq*EJNh8X$7ntk;&sJaNTGXKm}mQl)vxd!ecVH`B&zC-AD_8~g#I>*%dwP*#qG-_|DOXyUo?bHw<0 z3|AvR2dQ%S7p@0a`+>USYnn)_#icWwg;?Dh2{8wGgiLGMBms&M&1 zW-ISYThpn%y<2Vcq1f`P``#>0MgpLK`+&l3-S!lpO0OH&fX8^+ky#*@ndhI5&!->I zA}y^kgFUK+iZ-YhH+;@)P!Dd%K`odSg)={>1ADG1pu-mN`WYaUXRDRXYiD8fE3^h# z!o@)gOERooDjtSoMVNp4jI+nLc5oH)akR94y_VA&_@`*{0YoH?FAlpf43#UjB-Hv%+GB_tm4bg!0aoI7KAv9 zE@9|izLJjM81xmU$y9YamwsUJH$<ew%`=16%JiANsh#&`ykgT0ZtA#IWkI;3=7nqh#zQ?UxBU*AGhn?a)GR8fttv$XF} zo$Z8JF9+|7X>8Ow1@F6Z1i~WF*%K}l!$KOe32RiqO|e&=Q&6cu6kyVc4b%q{TDR>U4^>c29tut2 zq9}a+y+N$)0y|W@ixe3Ygj!ykV7ii`sqy`WMCxVendP}Mf2t?6xU$z?=M3jylausXcKyf%6h|r;%Ba_ zY02sR98vrXUII!T@p$;bQQ+p3p^6)bsfR%_%eVNggnZvqG|q&?4PH(Z37d^QGQjbI z=o0;&`}Ds4r}!s1Qd{*r7Z92!bVDtf78PCo#V!!XK4T%V}hM);GG)W`-e&;SZ&iaex1VSnhXLN>+4#whX-#`1?FlK5>Uc$XDcfQ;z z%GniEtQ#t4r^XhVDqgMjGv@_B_Wc0(WWb0I`G|Hl#1O&MewyMFl#(1uuij?GIxQ2s zM+vSE-ONsl14qdv=aEQkGk$!Wh}CLoL&xwnV)+vR*}|*7Kw-RMPHS89E~1^H8?sG@ zN{5I!J;0-yw8Z%({6&W=LWh(=YLl=%EABQ=am%m#7YA4E3IziYQ};%FjbVb@>xrbR zTl~<>3H+He*8&)f+0O^-X=RaSkOE27HqB6Eu5qx`= z%#%v~p`xk-1q&h=3l^=B2D{kQ4rZRTxPStit9v()Zy;2m8Q(+Hb9uQq zPVkA9v!Xn-=PfHD(LfJ8ai63WK_7x@76zX>_7y?3}C|ba8@NS*J zovs;-^>pF-npP4TJMlC3u;Q3p=(M11}bg{Z@~vH>UkL$oDWWG>^t1S z-BQWmIbSuBnC&_hP<5q%Q6YbW)rR5cAtFx!VfRR^MGiJ}t0^b%1YBnrqSTo6dk8&9 zr+`bFRlsIVFZ|St+B`InN)-_}{SsfsTP;C)6c<6vc~B^jhx}FsVlL9EesgEUD&9(F zj(}nz9_P>qeRHVeM_;6!a1MjtI3tm{5B&_7%wQX$nbZ&49|I%)PHDA?9-zV>YOra8McRq^*z15 z)t-yZZMbx@-fy+Covf4DP5p!P{RD^}SaF41P*J(O2J&miIPLMGP7ioIg8G!-96M*H zr`3X5T3Z)D!rXHfJrKuVUg6OEV=)REK=uICRpR*OIbhHx@V&pnV$B&jlEpOEYY7F+ zo#0do9bbM_Tt$f9$FHb?6+vQACVbi+Yu3vwVP))vjzEu(Gd>m7#U5v&>;cAk=yqp3 zqz>$7CDUn*Kgd`<0CjbSB2U3Z(W>hH9%f2p5Q{A~LNvs&Vl3x;t}V_e+QZ@oxRwEe?FA6$(PKkGm0q#2>}e zW+s^g?`(W-4~Kd!8*jh>7s(TIhD5{aU;L-ygRm{1FlT0S`>PeN;GWx?5Cx!1A6g1(!gtN4(x_8iFpf9&m}8wNnGV)CGM3(pUB~z;3Slg~ivA zjk($*1dEbf3LOrnshFBI*|-QIy~9Jt{OgJI!}6=4X%9W5NfhPz4|(WA}x-elZZaL z0c9n2PzRsQ)!~}mhubGnvB3cX<*g#Kb_RZ#%&xiI^?FNu&l&=D#3N1z{IA1%s=0dk z78(Wt2NT(&uK{XcsD!MVBe9+opu6ga|LH;W;5>gzBwt-&rdfkkCV<6zB+VJ3paNxW zY7FsZo1f;NW5YlGdYd9)^{^f8l3=bhxJ3{#QJ@f8O$mVX5p-7p+Ud8ya*-gn9{zq4YL@WZXu;P__>0nz2s~gh{qVj=8~TaLQ!s(!<+7zIaxF-= z)Axb!e<5e{iR{oA04oL+Fb?<+u_K7g>7RR{0~rVacM4h_;(>U5sH(zm@QEeh`+40Jq1mieT3RA?r>;EOsu+Orqrq?7VlM^}*HA3$Cbc+v$l_z9Il5O%dq${of3 zXKM)jcB0MfAMVoFJj8i6t4;Z^KkT+UnDpWmc-Bh~xhbsv7T3n7d|i$J_x^zjkboEi zWMSsoA3=&9RL;Zt{xC>L24rXea|;lBV^$_EL+#26&5)0O2#D^5#+bAi-CX_)210uk zy+Dg+lgc_i87f}m=-}jFo-%l*lP>~IikQdJ+3z2HipraRXI8GDAqK(4RH$=GnmLD4Q+qI17b%N0k$Lg1ngD!yZM2 z&Q@#3QB@#8554;jY$mvgw~pgGo&`(3gKE&Wj0_0x0KWVMy|$(X#(0tTf{Oq10b(ym zx(H?pkhRdnl36SzRO*c_OCtukkAoL6bEmqtOeaNOQ(Z#rgc>&vTxYe!6_^PA43W2L z4sF|nVuX^p^qX19zi7n&=Jqt?uaiqBiO>&1gOQTIhGxtW`eWN8gBn0yLGZbC zSR7>01$f%*6xmg$^SSh9<5!7N2Fw;({0;nf2LT^tT;Te)zD;+l>k|CffiEVlFc95+Dqsp zMa=Pqk2K@dYzq3SJ=>`@w-4+7phWOB69;T9fEg8Ufr|v zJmkF4-(d1jxX?4`eYh$J(Qpw#+8>b1hB93Md9~dO4}&&~Ytd>`@L2HR|57+uRKU8# zB^yHN3|QReA%@^PAhJ}8))+k4Rt$C(ZZ*j09gONYKyG;JGHJ@_)j@vn83DAX4c`8j zPd`s5{OFh5iu(iH&|2UHZa?<Z_dnzPBB;*HEJMI= z&RX6=8Gs51MCL6Np;X5KG-E!ZSkV8!W&8JJxqSkU{LgXYUhw?{#jtPJ|FPUCv(bp; z(!0R{h{VZ&B|p}-FJX85FY6M%I%Te-rRTQPn(5OmitfPFCqpxQwPO5%ohXXbYqgEpzR!@ z5ckO1I-6)eCxJ4qgvZN25Wr`j*%H`{Ddp zXal6>8It2O3lmFbML{;FnClkd_hzX^^Pc&)*cKPeYH( zflkqspCR34HVh%*J#z!R#V4f!@Hf!RdqGF9rXAE6$NyQtuyf7+6WAdI0phcHT0Mhw zngMYE02V*1tkL$k{iy_GB@aoI;zzXY|Cl!U$K#7!P>Ks#iK9zGv%#NQLh86^-#9fR z73W<$&sA*y=j4UY%|740Nk&NwSG;;%D3QM}=HZQ#KlVkw>V6ejDqng@Hd1(9)F|I& z&whhD*zFgTdDXb)wl<=2)0&xu@~>QAIPAE28O?gUvQ6Cm9FL{&<9wdKfb|Lw5t!Eb zySGSO(zmwhI5nKXI_Js$m{0+4BUp)#?-bAs?i*fUEYRLR!;QuCgPlJU6IL}gfA`Un z?yXu=#4OOoSi)uw%of`9S_2Ac+`xaRen)s@qfbg-4C|`{G@m)K(*+GuymDwL`)H)#78`x) z?1ld4C@ven6DcVE)fg7c_J9V+=xO?csGT9@qCf`+WfFO116< zMkT>c1AeBTvmm#KgRaIoN)0&*D1O6ly@nnuF?c;7Qup6Kg9Ky+P4-7qH2VU>iPZKd ztSwhiaGe+Nu8p5gIzIi4EZw)RX?hERvaRvk`wZCsn$*xd8A-bng$ujn0R>{S$VlC(_=n zPx0N?gx8P4D}T)j@j~quqoB6{68Z`jony{lq#ZL^%0g>#fuUSZ@OHZYQM$z~68{l( zz^Q{@{x3gyC>SZe4L{d|klv8aqj58rSt2^;*J4CL-B<(^2N?uv)q(%;ybOYeY5FqP z{;QN)lMw$~5qrP*i2Q`z1noyS|{CBd$ zR?e-p8>O!@=UUnrKoW6r9(|aTc4d^Knicqe?Opjllwb5OvXiB35oL+86+)JoEQPWZ zl{I54JB2V9leJ_kWH*z9ME3QA8Dq~jr0k4+-_0;)#?1HW`yYIN|Nb<0Ua#kzd+vF^ z&pFR?@AKTNm3cS-&GC_#o>;XgJhr&;YzbCW4yCQ%6^siBlU=L_7v2P`-vmqGfeBiY zYhw6(DYnEC$wL~%uE1!L9TwR{iRocL6p1bSMfxvyAA%)H;`edcr^Pbl5!WLr7TDqL z`}PHwR$k=tN}4lmx{G?ScYdgkL+PT2fl3;_ za46mCMHbrBp?TI}_mdU4Mo`*NI#L4)Q-JMC6G6!e;1G)ivUzh%*oM7ebuLo10q!Tg ziY>?iOUmvLoTys26us}rnp_6!I?YFVe9>G^xEndQrB%-QNJS($p%{nYvfS6KJedIf$sM5 zDAcj(kB?)vgFcdKXv4J-n)98p-3=m*4#+88XlB~)U2jI5&tU>^$JxYkc*p=q3&G!X zwFpulwgYKnAFVr^w0s&_54|j#=R?8Wq}lkvpvllHz#l9RO%?a+BANjj!g;E`_HZqZX1DTO0l%va(GjI_2*R(=MolMklDfd> zTRKZ=|MkuVYQiK z7CfXKg%>0$diOMaVwwzTC5v;6O9RAlxeP7V4jaG;rUMA__V2pyc^t^*B|+qojTR{o zB`jbrI(4i(AqaMOX;qm8wx8rM{d@v6FYdg_#EQ?GNNLJbcF#&*o&TNi_{+nTXrv8%oE??O7+BH0Ed;0_nwl#YJ*uHE>Th zPXTN^oB|rV6gvAi9ckBJ@^i_B|3KWK@MIrG#ni+9EY-T`6LZg?f!d*zOi;}rj3p7I z04cT}Q{4k4Q3NoWRjs3hzG3(o7{KjcS9by@a7i!W>Hl&t>n;*y9F`~v2BiI%H(g{% zOCfk%2wHHf*a|CE~Zo<^#nvyQcaLRchAw&5Se3XCQJxGFe>Av!2Ix>N_t1PKQ6TI9Yp4V1@o2@xe?3L_BX@{s(SKSvrD$5iqA{o_5G`{D zzC!(FPdNtS0z@5H4Hj`YMPNyvhxlm;L}VwSlX@@v&(O>c*wv*!e>{PYw1w2Qhj(js&OrA3Eoaup7!qMZOc zKZPIuD(cq-_zu)ku`Wo`{=>Bq90zc&8JrXFeGxjE@(}02hT2^j@d>A$Cn6&Nq(~$C z3a2|y_d+Z|r#{2TSlY{fmx7Zh579OkDTq&|073=m5BefEyRk>Fzw7@x;fFDv4hg zeHUg?CYnD{1hmIqoVGk}wg>(aYX-so)W(px1%(AFo-qQP4@e8{&{r~^`-(8@gOy6B=SkSNZ zW`y?6D#9^VQIh?eStDPB^{?jpjeN;Q6IqcJpAGVB1(jp8qP$`xbzu&iuWKa|OV=wt z-&I&T!j1`u_%~cjRFO5?vp)D1Q*^&!r7C&aQaYjX@w>~>9#>_o^16fHv)v4z`pV4` zVPN9RZpEO=x1j#$cqGN*}vRSTKo>ffC%Wn|wBy=`#L@2zX zV=eut$q5IIIQo9@&$#Chp&xOzZR);VtR)DT)~!|pr-P18i7y6jGv3YT z9`my>%zqb`u-RC$ST0lO@a7YLD54jnH*d}LCBa(6%T>>T&|r;8cmdPr))(@Q7ka#Z z6urnk<|r=1W1WkBpWji)#^v4bpAz|s)ynd8emJI^>rd5=iZ++SC&w~L#D(Yta|A=| z3}Y;t7qn_1qga3iRC)Z~&XLpij}A2rN?Js+u#39Ud&`u+)rcDtKb8C1 zA9t_wK88#?zu$bA)6mu>_)^SPrgY$|RtE28&Gj=3Q+E>PpG12wyjR!6RDoh%7k$1mZ#NG z@Ss0qJR_8E$uO;=T3%Jh;Bm$Z*_V1+`gXo+qJQC00NsdomriweNWOd=eV^1*?VM;^ z;8`Uo1Frn+2|XJnTFV(5GEa$aq_&THHB_w+wK{X-T-#@9kNSo}r0+tT47RkN)r%~l zWV7nLU-VvJSC@CcEoT>8)$=Ck$Wv9{pu%BE#T{a@w6#Ol=y-+}{_)G?{6x$EHBx3cJo7Y8Ip zuiniNn2m^XC9JG&T!m_>Vp!C-yu*=G(} zwZ7F}vOc^kR{G&KQ)5#|U+TM-7RWL2Dn-{i;-ZjDX*4ZZW zLM3~sZH<}xqvIqY7fFw)EOX*Gqr+dbvezGep08r{@Eo|cpF#*r1$4jpgJC548(lH} zAI4GxI;8#!)}Vt&_{yWoU$BIRZ=Kt?jH#Pb9y&L1A(xEV8GY)m4zn3{iwwKn+xKbn zA&rZ4oCi7&`MEwd_8I%NS#_DErv9rZA5O2=hX-r#e?z@dX>AI55Ja*zn@u{K%|4o%7E!+c7n&Z_GgEwa6YAyQ-EPF_NZztKu?f$oqww ziZABAK9+C3>UWE@h%vFZBB?5lD$ZToCk9QT@gkJlUbwc7Y51?4a&l<_tf~3IL%P0 z2XXdJdr;?Wjfte|W8Wxzuj( z$Vz*!_}fG9fAf59d+|P>YO1+xJXKz^tv!`A2b$xYLzyss`lEv&d?F_tJ$J*=rTLz2 zUQZ8PKIyrwSEhW+=e%QK&R5*@=8gHK*}`?ptlp7&k;Y$tu=&($#6Yf|kS#+R@T|jF zMvwbKAl6W!Uf-=>chBiHa&1-&vh znA6AN7~C@PHjK%f+WWa_82lk@1VLTXCL83PKA6-W3KLKP1**`sAn+Xd<=0@MBNl8F zW=$RnS5CCOx#LFkv{uA+tBJZ@)RvyJhLbpx5a)1Xd|mcs3*@8ndE(9Brr*ax2TkFd zty--RZNFz$Y?m_e-H*pl&oreEgVU&_Km2B@iX74kUu;<@gS7>l=cQaMxVw{-cXZil zOgkLZGbZ#3fv*$p4sOxF!`>_Uf&B08Jhs&)t4{{#9;v>vcqPZYw1Y`;beHf2UVVx_ zK$LB}^zzzH^n3%~zl!5H!K;{y7>8KoX??UY&1BaRO1#JZ4HJNcI%;6X<1c2mkN{^6I#Poo5+xcv0P z598M1@2Lup6jyVyb8^$aBUeH}(Wj80oxwLP#zw;HVaAQOj}ZRCWnaCIdcy~)o*T49 z&wvUZ{3-%^Tld}X(iFOUD10e)F_2zo{>9ROK%Y`q9e2omiVR|fUA?uFOh>q8GAJAp z(i*2xD6~K1#E-8l+<&RQ`b8;yHFjLdbmZlR)VKY8M#AFA#{HKUu!=gNg}cT}1A?vw zXMw}c4v>eM3dSxs>L%}nhU!kKb-j*^bD<+7n!G$qTJiLE%i{PC4)-j4qVA}$7RuSu z9VEiJTeK?f#*HBfq1R=!&DQvCc(ty=)%?|ss@|W zWQ1*TsOR99@)sZ&#D3Qcv8v~3qFtm5QuklhdX{G)>6HU9E6;hxxrE4j71KRhzm|0} z#(er9BB3=6(+x#zZ{;#sq=+A?Js(X)cbDA>IZlWl#(OPVDe_F2_RJ1cUp)aU{dVzR z5J?(_n#2#PZ)N#ui(cico_nyA-^87Z(cI)Vmyz;Qae`|q9t{ATIpXCeCpnU*Lp`(i zJ$1X|PbS~n&7Q;R?_S3b_7-8sWqa~v$1W!|i0v`Gom_PV8Drh>TQQ&$^a zw~_}_y)|{Z-^&e3il$_Z8qXii##II?19RM@&EY!f-+Zf0BAW6a`d0^Qmh~q4w+#aG z_XGX3_HOe)j4@W+$N|@EK{pSRsY5rff{I4T1*w~}JQIR$RfVVQlZem4y-B)U6};9bQ^=JRmZJ`8Md;RX;ci(Cs_%P}af!=9J*ZjUzxfEW^T_4cuGgZ; z7t*V1+bFt>iN?=}&P+M_GYx?a)>H%2e7>Dt+q<@RUdjIG!)C+znFkbAE}B5re|kq( z{YHZCX0-?vAU1-jC*M^sg*8v9$z3+{KJ+Ri&kx>O!1a3qyA$ICQ+E0y~3{2#YF#+=B*LBQHV}oOfO;jy*z6 ziTij?5BP~w2p3k~E-gO0WIRh=5r^}i+EfI>K&OGf(Fs)03H~8S$*YN8zO3(ET+`a7 z9Dds{9($|E#30)|#kIs_igi%!Z);NP!;JK#p)e3pI!K-<(1QkR=E;?B%R1W?geo5H zzSw)R=eKur&wP)0PrQP!;an*PiWAtrfqj&LKb+9YU{C)_B#52J7bHwX&w*PT=UC>1 z_aydw=|axXo@0jX1NXxASoc7CH(sd)-40R+x=y^}I(zrzOo!1%c`7atSkpdphDHlI z8=q?IdO`bQH)OKV%iWsnRr|A;SGz@!bJV(Hdq>%-X(Be;(8EMLn7f(f9wst^nUO&O z5qCvIkLw15c3u$ouo;)O-uEv$TG0Ik!=YnjsHda(T5I;NK?>F9=7uLzNFcXHfO_|)vP#H?f#JaN(!kz*Ecms0te<{owD}uU)8Ei=L0?gG$xo`~{^%2~H`ITFr^=lWG;og1L3R z>&C;b1s46h>SL(AyNjL$uHUAG#SpKz@4D*;J=1n6!24h0y{7h{NbP>f>aIw59nUYh zIlJoN4YPTzxiA&aC-ma)EfwXw%aWU^hpZr(7pA8KGpMJRe7LDlRl_?D)LIoyHA+>vdsBTZQf8?A? z%~X((TT7;9FQnzgMZvRth5TD*I-yz!FqLiNL4uePViW6LcJ0^jp#5--cKcKxdf)xh zf%j!}-;*v1CZVWSQy#nes>5pDwc9LhKf$|a4-Re#eb$#QQ+yWJmXx`6@@|2Zc)rg4 z;HLI1`L3Cc&kqN4m(*Y0`0K)>dB>`=y&+ZFHH~jR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb deleted file mode 100755 index c3f8e942e9f108aaa38b21d111891ed27a527569..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1004 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F`Q00000006!N00000 z001li00000008U*00000004LayjX2+)Iba!vK2!7;taqkK&TOy;1?*V#65ZtM9bGf zKoy(Ko3A(4&-2m#dOYQW{A)c^K|XcAjz=t;_OGw0C&$Np&>#7K5owXyxZPy#QP%p zsh(*6L=Fs{!czW(@tS2=9OMUmLHP51xmG^Mm{I zSo%xzq5e1zk^a5-|Mv5Y^B3u-c`p0uzTi#$!+FDgBHyj{lt{U>9v|d<{c7fi_81@a z-vzHc6?wlr5c!Yqi>O|R7xBY>qx?;>-KD-{eT7e?N4~ayTX<-X^Md?Pj{J?UEj+C6 zT0FEzIpT9Hb)|mm^9tv6EnXCFEgssV9L03J9Ve-xPM{jqr- zwEl>P`v;$=Zm$o}wfwN(m_O=~&xzE7^3!^qNxp>@?~jMDKO%h3NB3J~k9^F(7amvR zn?39I-k+3zE8j!yYd#z=dVU~3?03Dt4&jgez<9{F)nBUz+M~SHAMrYwr}F?aS?C9$o a?-=6m8}IAy67S*!5@uimVgLXD0RR8eQV1LX diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info old mode 100755 new mode 100644 index c41e730..e7990f0 --- a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info +++ b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info @@ -1,3 +1,3 @@ -Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -Version_Index = 302049280 -Creation_Time = Thu Mar 18 03:51:58 2021 +Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Version_Index = 553882368 +Creation_Time = Tue Feb 28 11:10:33 2023 diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf index fb7cb58..c83d408 100644 --- a/cpld/output_files/GR8RAM.cdf +++ b/cpld/output_files/GR8RAM.cdf @@ -1,10 +1,10 @@ -/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ +/* Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition */ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); - P ActionCode(Vfy) - Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(2) SEC_Device(EPM240T100) Child_OpMask(2 2 2)); + P ActionCode(Cfg) + Device PartName(EPM240T100) Path("//Mac/iCloud/Repos2/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(1) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); ChainEnd; diff --git a/cpld/output_files/GR8RAM.svf b/cpld/output_files/GR8RAM.svf new file mode 100644 index 0000000..74a77b5 --- /dev/null +++ b/cpld/output_files/GR8RAM.svf @@ -0,0 +1,11651 @@ +!Copyright (C) 2022 Intel Corporation. All rights reserved. +!Your use of Intel Corporation's design tools, logic functions +!and other software and tools, and any partner logic +!functions, and any output files from any of the foregoing +!(including device programming or simulation files), and any +!associated documentation or information are expressly subject +!to the terms and conditions of the Intel Program License +!Subscription Agreement, the Intel Quartus Prime License Agreement, +!the Intel FPGA IP License Agreement, or other applicable license +!agreement, including, without limitation, that your use is for +!the sole purpose of programming logic devices manufactured by +!Intel and sold by Intel or its authorized distributors. Please +!refer to the applicable agreement for further details, at +!https://fpgasoftware.intel.com/eula. +! +!Quartus Prime SVF converter 22.1 +! +!Device #1: EPM240 - //Mac/iCloud/Repos2/GR8RAM/cpld/output_files/GR8RAM.pof Sat Feb 25 09:32:41 2023 +! +!NOTE "USERCODE" "00161CF0"; +! +!NOTE "CHECKSUM" "001620E8"; +! +! +! +FREQUENCY 1.00E+06 HZ; +! +! +! +TRST ABSENT; +ENDDR IDLE; +ENDIR IRPAUSE; +STATE IDLE; +SIR 10 TDI (005); +RUNTEST IDLE 8 TCK ENDSTATE IDLE; +SDR 240 TDI (FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); +SIR 10 TDI (3FF); +RUNTEST 1003 TCK; +SIR 10 TDI (2CC); +RUNTEST 1003 TCK; +! +! +! +!CHECKING SILICON ID +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0089); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (8232) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (2AA2); +SDR 16 TDI (FFFF) TDO (4A82); +SDR 16 TDI (FFFF) TDO (0C2C); +SDR 16 TDI (FFFF) TDO (0000); +! +! +! +!BULK ERASE +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0011); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +! +! +! +!PROGRAM +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFE7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F3E); +RUNTEST 100 TCK; +SDR 16 TDI (79F3); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (C666); +RUNTEST 100 TCK; +SDR 16 TDI (6FF9); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BC66); +RUNTEST 100 TCK; +SDR 16 TDI (67FE); +RUNTEST 100 TCK; +SDR 16 TDI (733F); +RUNTEST 100 TCK; +SDR 16 TDI (FF19); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (ECCF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFCC); +RUNTEST 100 TCK; +SDR 16 TDI (CFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (CFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (76FF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDA); +RUNTEST 100 TCK; +SDR 16 TDI (7DFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (EF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7B7B); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EF7E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FCCD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (76FB); +RUNTEST 100 TCK; +SDR 16 TDI (D7AF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DBB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (BAFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BBF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (B7BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (6CDF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (3FD7); +RUNTEST 100 TCK; +SDR 16 TDI (BDEB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (FEBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (ADDF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7C); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (3775); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBCC); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (773F); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (DFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DD); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF6); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF75); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAAF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFB9); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBA); +RUNTEST 100 TCK; +SDR 16 TDI (7DBB); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D3F7); +RUNTEST 100 TCK; +SDR 16 TDI (B97F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (EDEF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFC); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77F9); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7C); +RUNTEST 100 TCK; +SDR 16 TDI (6DF7); +RUNTEST 100 TCK; +SDR 16 TDI (3E9F); +RUNTEST 100 TCK; +SDR 16 TDI (BC7F); +RUNTEST 100 TCK; +SDR 16 TDI (33FD); +RUNTEST 100 TCK; +SDR 16 TDI (6FCA); +RUNTEST 100 TCK; +SDR 16 TDI (FFB6); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF21); +RUNTEST 100 TCK; +SDR 16 TDI (7DE7); +RUNTEST 100 TCK; +SDR 16 TDI (8FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBE3); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F37); +RUNTEST 100 TCK; +SDR 16 TDI (FF4B); +RUNTEST 100 TCK; +SDR 16 TDI (B99F); +RUNTEST 100 TCK; +SDR 16 TDI (F9DE); +RUNTEST 100 TCK; +SDR 16 TDI (779B); +RUNTEST 100 TCK; +SDR 16 TDI (786F); +RUNTEST 100 TCK; +SDR 16 TDI (BDDC); +RUNTEST 100 TCK; +SDR 16 TDI (727F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (77FC); +RUNTEST 100 TCK; +SDR 16 TDI (E57C); +RUNTEST 100 TCK; +SDR 16 TDI (A3F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6F7D); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BAAF); +RUNTEST 100 TCK; +SDR 16 TDI (8FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B66F); +RUNTEST 100 TCK; +SDR 16 TDI (FCFC); +RUNTEST 100 TCK; +SDR 16 TDI (67DE); +RUNTEST 100 TCK; +SDR 16 TDI (1FFA); +RUNTEST 100 TCK; +SDR 16 TDI (A000); +RUNTEST 100 TCK; +SDR 16 TDI (FFE0); +RUNTEST 100 TCK; +SDR 16 TDI (7882); +RUNTEST 100 TCK; +SDR 16 TDI (1F0F); +RUNTEST 100 TCK; +SDR 16 TDI (A61F); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (7245); +RUNTEST 100 TCK; +SDR 16 TDI (A8EF); +RUNTEST 100 TCK; +SDR 16 TDI (B781); +RUNTEST 100 TCK; +SDR 16 TDI (80F5); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FC3C); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (1BA2); +RUNTEST 100 TCK; +SDR 16 TDI (A000); +RUNTEST 100 TCK; +SDR 16 TDI (FFD0); +RUNTEST 100 TCK; +SDR 16 TDI (7800); +RUNTEST 100 TCK; +SDR 16 TDI (1F0F); +RUNTEST 100 TCK; +SDR 16 TDI (A01E); +RUNTEST 100 TCK; +SDR 16 TDI (F9F6); +RUNTEST 100 TCK; +SDR 16 TDI (6280); +RUNTEST 100 TCK; +SDR 16 TDI (A8CF); +RUNTEST 100 TCK; +SDR 16 TDI (AF81); +RUNTEST 100 TCK; +SDR 16 TDI (907F); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFC); +RUNTEST 100 TCK; +SDR 16 TDI (67E7); +RUNTEST 100 TCK; +SDR 16 TDI (1FFB); +RUNTEST 100 TCK; +SDR 16 TDI (A230); +RUNTEST 100 TCK; +SDR 16 TDI (33F0); +RUNTEST 100 TCK; +SDR 16 TDI (6001); +RUNTEST 100 TCK; +SDR 16 TDI (9F0F); +RUNTEST 100 TCK; +SDR 16 TDI (BF9F); +RUNTEST 100 TCK; +SDR 16 TDI (FFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7253); +RUNTEST 100 TCK; +SDR 16 TDI (00DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF09); +RUNTEST 100 TCK; +SDR 16 TDI (80F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDBE); +RUNTEST 100 TCK; +SDR 16 TDI (67E1); +RUNTEST 100 TCK; +SDR 16 TDI (01C2); +RUNTEST 100 TCK; +SDR 16 TDI (A030); +RUNTEST 100 TCK; +SDR 16 TDI (33F4); +RUNTEST 100 TCK; +SDR 16 TDI (6001); +RUNTEST 100 TCK; +SDR 16 TDI (9F7E); +RUNTEST 100 TCK; +SDR 16 TDI (BF9F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6290); +RUNTEST 100 TCK; +SDR 16 TDI (80DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF09); +RUNTEST 100 TCK; +SDR 16 TDI (007F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAA7); +RUNTEST 100 TCK; +SDR 16 TDI (3332); +RUNTEST 100 TCK; +SDR 16 TDI (61E6); +RUNTEST 100 TCK; +SDR 16 TDI (EE6F); +RUNTEST 100 TCK; +SDR 16 TDI (A318); +RUNTEST 100 TCK; +SDR 16 TDI (11F2); +RUNTEST 100 TCK; +SDR 16 TDI (6144); +RUNTEST 100 TCK; +SDR 16 TDI (3CEC); +RUNTEST 100 TCK; +SDR 16 TDI (BDCF); +RUNTEST 100 TCK; +SDR 16 TDI (9BB8); +RUNTEST 100 TCK; +SDR 16 TDI (73C9); +RUNTEST 100 TCK; +SDR 16 TDI (CCE7); +RUNTEST 100 TCK; +SDR 16 TDI (B89C); +RUNTEST 100 TCK; +SDR 16 TDI (98FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7777); +RUNTEST 100 TCK; +SDR 16 TDI (6952); +RUNTEST 100 TCK; +SDR 16 TDI (6767); +RUNTEST 100 TCK; +SDR 16 TDI (B773); +RUNTEST 100 TCK; +SDR 16 TDI (22A1); +RUNTEST 100 TCK; +SDR 16 TDI (6627); +RUNTEST 100 TCK; +SDR 16 TDI (7DCD); +RUNTEST 100 TCK; +SDR 16 TDI (B985); +RUNTEST 100 TCK; +SDR 16 TDI (599D); +RUNTEST 100 TCK; +SDR 16 TDI (79DD); +RUNTEST 100 TCK; +SDR 16 TDI (DDC2); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (77CF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF99); +RUNTEST 100 TCK; +SDR 16 TDI (DFEE); +RUNTEST 100 TCK; +SDR 16 TDI (7DDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3BB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7D7D); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (6DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7D7F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (737F); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF6); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DC); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BCFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7B7E); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF5D); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DEFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BF9); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EBF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (6B77); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (BB5F); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7D7F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFC); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7CBD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFE); +RUNTEST 100 TCK; +SDR 16 TDI (B96F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7D75); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (F37F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFF9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (F7BF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DF4); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BF3A); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (77D9); +RUNTEST 100 TCK; +SDR 16 TDI (7BBF); +RUNTEST 100 TCK; +SDR 16 TDI (BEF3); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55E); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFE); +RUNTEST 100 TCK; +SDR 16 TDI (BD9B); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD5F); +RUNTEST 100 TCK; +SDR 16 TDI (DF75); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AAAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DEB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB7); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF6); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7CFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (775B); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6CFB); +RUNTEST 100 TCK; +SDR 16 TDI (7DBF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6DED); +RUNTEST 100 TCK; +SDR 16 TDI (F37F); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (CFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7F76); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (3AFB); +RUNTEST 100 TCK; +SDR 16 TDI (7717); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEC); +RUNTEST 100 TCK; +SDR 16 TDI (FE5F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFC); +RUNTEST 100 TCK; +SDR 16 TDI (B8BF); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (62EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFCF); +RUNTEST 100 TCK; +SDR 16 TDI (ADBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEB); +RUNTEST 100 TCK; +SDR 16 TDI (D45F); +RUNTEST 100 TCK; +SDR 16 TDI (BE5F); +RUNTEST 100 TCK; +SDR 16 TDI (566A); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (67F7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (9FD7); +RUNTEST 100 TCK; +SDR 16 TDI (BFE3); +RUNTEST 100 TCK; +SDR 16 TDI (BBF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (5CFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (D71D); +RUNTEST 100 TCK; +SDR 16 TDI (78FD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDAE); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B99D); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C0); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (B4A0); +RUNTEST 100 TCK; +SDR 16 TDI (03EE); +RUNTEST 100 TCK; +SDR 16 TDI (7580); +RUNTEST 100 TCK; +SDR 16 TDI (1302); +RUNTEST 100 TCK; +SDR 16 TDI (A01E); +RUNTEST 100 TCK; +SDR 16 TDI (1819); +RUNTEST 100 TCK; +SDR 16 TDI (70AF); +RUNTEST 100 TCK; +SDR 16 TDI (FC0F); +RUNTEST 100 TCK; +SDR 16 TDI (B079); +RUNTEST 100 TCK; +SDR 16 TDI (87F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFE); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A0A0); +RUNTEST 100 TCK; +SDR 16 TDI (03D0); +RUNTEST 100 TCK; +SDR 16 TDI (7580); +RUNTEST 100 TCK; +SDR 16 TDI (1302); +RUNTEST 100 TCK; +SDR 16 TDI (B41B); +RUNTEST 100 TCK; +SDR 16 TDI (9F99); +RUNTEST 100 TCK; +SDR 16 TDI (70AB); +RUNTEST 100 TCK; +SDR 16 TDI (0C0F); +RUNTEST 100 TCK; +SDR 16 TDI (AAA9); +RUNTEST 100 TCK; +SDR 16 TDI (D07F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD5D); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FF0); +RUNTEST 100 TCK; +SDR 16 TDI (2C60); +RUNTEST 100 TCK; +SDR 16 TDI (AA40); +RUNTEST 100 TCK; +SDR 16 TDI (E3FF); +RUNTEST 100 TCK; +SDR 16 TDI (6C06); +RUNTEST 100 TCK; +SDR 16 TDI (30C7); +RUNTEST 100 TCK; +SDR 16 TDI (A47F); +RUNTEST 100 TCK; +SDR 16 TDI (E066); +RUNTEST 100 TCK; +SDR 16 TDI (610F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B86C); +RUNTEST 100 TCK; +SDR 16 TDI (84F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67F6); +RUNTEST 100 TCK; +SDR 16 TDI (0D86); +RUNTEST 100 TCK; +SDR 16 TDI (A040); +RUNTEST 100 TCK; +SDR 16 TDI (C3F0); +RUNTEST 100 TCK; +SDR 16 TDI (7C06); +RUNTEST 100 TCK; +SDR 16 TDI (10C1); +RUNTEST 100 TCK; +SDR 16 TDI (A07F); +RUNTEST 100 TCK; +SDR 16 TDI (9DE6); +RUNTEST 100 TCK; +SDR 16 TDI (6083); +RUNTEST 100 TCK; +SDR 16 TDI (3C0F); +RUNTEST 100 TCK; +SDR 16 TDI (BCC8); +RUNTEST 100 TCK; +SDR 16 TDI (07FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAA7); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (2EEF); +RUNTEST 100 TCK; +SDR 16 TDI (B219); +RUNTEST 100 TCK; +SDR 16 TDI (31F1); +RUNTEST 100 TCK; +SDR 16 TDI (6286); +RUNTEST 100 TCK; +SDR 16 TDI (38CF); +RUNTEST 100 TCK; +SDR 16 TDI (B9AF); +RUNTEST 100 TCK; +SDR 16 TDI (9999); +RUNTEST 100 TCK; +SDR 16 TDI (71CF); +RUNTEST 100 TCK; +SDR 16 TDI (CEC7); +RUNTEST 100 TCK; +SDR 16 TDI (BA9C); +RUNTEST 100 TCK; +SDR 16 TDI (9BFE); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6167); +RUNTEST 100 TCK; +SDR 16 TDI (6445); +RUNTEST 100 TCK; +SDR 16 TDI (B763); +RUNTEST 100 TCK; +SDR 16 TDI (36A9); +RUNTEST 100 TCK; +SDR 16 TDI (7677); +RUNTEST 100 TCK; +SDR 16 TDI (79DC); +RUNTEST 100 TCK; +SDR 16 TDI (BBA5); +RUNTEST 100 TCK; +SDR 16 TDI (19D9); +RUNTEST 100 TCK; +SDR 16 TDI (79DC); +RUNTEST 100 TCK; +SDR 16 TDI (DC9A); +RUNTEST 100 TCK; +SDR 16 TDI (B1CD); +RUNTEST 100 TCK; +SDR 16 TDI (D9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFC7); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (EBFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (6DFB); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF3F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BBFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFDF); +RUNTEST 100 TCK; +SDR 16 TDI (6BF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77EF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (DBED); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (66FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FE); +RUNTEST 100 TCK; +SDR 16 TDI (BBDE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (EFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7EEB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (B77D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFE); +RUNTEST 100 TCK; +SDR 16 TDI (DF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEB); +RUNTEST 100 TCK; +SDR 16 TDI (D7FB); +RUNTEST 100 TCK; +SDR 16 TDI (AFDF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FF76); +RUNTEST 100 TCK; +SDR 16 TDI (7D7B); +RUNTEST 100 TCK; +SDR 16 TDI (EF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BDD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBE); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7B); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (737F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (DEEB); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFAF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (7DD5); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DF3B); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7BE); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (CBDE); +RUNTEST 100 TCK; +SDR 16 TDI (7DEB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BCFB); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDE); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (FFEB); +RUNTEST 100 TCK; +SDR 16 TDI (BBDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7D); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFBE); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DE0); +RUNTEST 100 TCK; +SDR 16 TDI (13F6); +RUNTEST 100 TCK; +SDR 16 TDI (AEFD); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (6C97); +RUNTEST 100 TCK; +SDR 16 TDI (B3EF); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (F9F9); +RUNTEST 100 TCK; +SDR 16 TDI (7676); +RUNTEST 100 TCK; +SDR 16 TDI (FE6F); +RUNTEST 100 TCK; +SDR 16 TDI (BBE9); +RUNTEST 100 TCK; +SDR 16 TDI (33FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B5F2); +RUNTEST 100 TCK; +SDR 16 TDI (FFFC); +RUNTEST 100 TCK; +SDR 16 TDI (7F7B); +RUNTEST 100 TCK; +SDR 16 TDI (DEDA); +RUNTEST 100 TCK; +SDR 16 TDI (BCFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (CD6A); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (677F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BF2F); +RUNTEST 100 TCK; +SDR 16 TDI (F7F3); +RUNTEST 100 TCK; +SDR 16 TDI (73FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF9E); +RUNTEST 100 TCK; +SDR 16 TDI (CE1E); +RUNTEST 100 TCK; +SDR 16 TDI (69BB); +RUNTEST 100 TCK; +SDR 16 TDI (2F9F); +RUNTEST 100 TCK; +SDR 16 TDI (BC9F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBAD); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C2); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (A130); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7404); +RUNTEST 100 TCK; +SDR 16 TDI (BC00); +RUNTEST 100 TCK; +SDR 16 TDI (B17F); +RUNTEST 100 TCK; +SDR 16 TDI (0100); +RUNTEST 100 TCK; +SDR 16 TDI (6888); +RUNTEST 100 TCK; +SDR 16 TDI (5C1F); +RUNTEST 100 TCK; +SDR 16 TDI (B768); +RUNTEST 100 TCK; +SDR 16 TDI (0075); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF5E); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A3F0); +RUNTEST 100 TCK; +SDR 16 TDI (8FDE); +RUNTEST 100 TCK; +SDR 16 TDI (78A7); +RUNTEST 100 TCK; +SDR 16 TDI (BA00); +RUNTEST 100 TCK; +SDR 16 TDI (A11E); +RUNTEST 100 TCK; +SDR 16 TDI (8002); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (5C2F); +RUNTEST 100 TCK; +SDR 16 TDI (AF08); +RUNTEST 100 TCK; +SDR 16 TDI (10FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FD); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FE0); +RUNTEST 100 TCK; +SDR 16 TDI (4C61); +RUNTEST 100 TCK; +SDR 16 TDI (A538); +RUNTEST 100 TCK; +SDR 16 TDI (EFF3); +RUNTEST 100 TCK; +SDR 16 TDI (6066); +RUNTEST 100 TCK; +SDR 16 TDI (1CC8); +RUNTEST 100 TCK; +SDR 16 TDI (B19F); +RUNTEST 100 TCK; +SDR 16 TDI (C208); +RUNTEST 100 TCK; +SDR 16 TDI (6078); +RUNTEST 100 TCK; +SDR 16 TDI (BC6F); +RUNTEST 100 TCK; +SDR 16 TDI (BF99); +RUNTEST 100 TCK; +SDR 16 TDI (F875); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67E0); +RUNTEST 100 TCK; +SDR 16 TDI (0D86); +RUNTEST 100 TCK; +SDR 16 TDI (A338); +RUNTEST 100 TCK; +SDR 16 TDI (2FFC); +RUNTEST 100 TCK; +SDR 16 TDI (6126); +RUNTEST 100 TCK; +SDR 16 TDI (19C8); +RUNTEST 100 TCK; +SDR 16 TDI (A19F); +RUNTEST 100 TCK; +SDR 16 TDI (E00A); +RUNTEST 100 TCK; +SDR 16 TDI (70F0); +RUNTEST 100 TCK; +SDR 16 TDI (9C1F); +RUNTEST 100 TCK; +SDR 16 TDI (BF98); +RUNTEST 100 TCK; +SDR 16 TDI (787F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BA3F); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71E6); +RUNTEST 100 TCK; +SDR 16 TDI (6EEF); +RUNTEST 100 TCK; +SDR 16 TDI (B333); +RUNTEST 100 TCK; +SDR 16 TDI (75F3); +RUNTEST 100 TCK; +SDR 16 TDI (6E7F); +RUNTEST 100 TCK; +SDR 16 TDI (7EE8); +RUNTEST 100 TCK; +SDR 16 TDI (B9CF); +RUNTEST 100 TCK; +SDR 16 TDI (D98B); +RUNTEST 100 TCK; +SDR 16 TDI (71E8); +RUNTEST 100 TCK; +SDR 16 TDI (8E83); +RUNTEST 100 TCK; +SDR 16 TDI (B998); +RUNTEST 100 TCK; +SDR 16 TDI (89FA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6177); +RUNTEST 100 TCK; +SDR 16 TDI (2445); +RUNTEST 100 TCK; +SDR 16 TDI (B777); +RUNTEST 100 TCK; +SDR 16 TDI (74AB); +RUNTEST 100 TCK; +SDR 16 TDI (6767); +RUNTEST 100 TCK; +SDR 16 TDI (7CCD); +RUNTEST 100 TCK; +SDR 16 TDI (BB85); +RUNTEST 100 TCK; +SDR 16 TDI (5DD9); +RUNTEST 100 TCK; +SDR 16 TDI (7BCD); +RUNTEST 100 TCK; +SDR 16 TDI (DCD2); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (D9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BCEF); +RUNTEST 100 TCK; +SDR 16 TDI (3FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B3BF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (CDDB); +RUNTEST 100 TCK; +SDR 16 TDI (7F67); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (F77B); +RUNTEST 100 TCK; +SDR 16 TDI (B5DF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FDE); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (73BF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7E); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F57F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDE7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFDB); +RUNTEST 100 TCK; +SDR 16 TDI (7BE7); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (DD37); +RUNTEST 100 TCK; +SDR 16 TDI (7DF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7DEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7F3F); +RUNTEST 100 TCK; +SDR 16 TDI (AFF7); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (77D7); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (EFDF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF3); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7B7); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7EDB); +RUNTEST 100 TCK; +SDR 16 TDI (DF77); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (CB5F); +RUNTEST 100 TCK; +SDR 16 TDI (7EF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BA7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DEC); +RUNTEST 100 TCK; +SDR 16 TDI (EDE7); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7B9F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF6); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (6EF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB7); +RUNTEST 100 TCK; +SDR 16 TDI (7BF6); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEBB); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (DBFB); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFB); +RUNTEST 100 TCK; +SDR 16 TDI (55AB); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7A); +RUNTEST 100 TCK; +SDR 16 TDI (7D6F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB6); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDAD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD9); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFC); +RUNTEST 100 TCK; +SDR 16 TDI (FFB9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (6E77); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BEF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF33); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B65E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FA); +RUNTEST 100 TCK; +SDR 16 TDI (73AF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (3FFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BEB); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B76B); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFE); +RUNTEST 100 TCK; +SDR 16 TDI (757F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (7B6A); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B99D); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7775); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FD6F); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7DED); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFB); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (AABF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7BF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF75); +RUNTEST 100 TCK; +SDR 16 TDI (6DEC); +RUNTEST 100 TCK; +SDR 16 TDI (B3F6); +RUNTEST 100 TCK; +SDR 16 TDI (A7D3); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB1); +RUNTEST 100 TCK; +SDR 16 TDI (93C1); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF3E); +RUNTEST 100 TCK; +SDR 16 TDI (73C3); +RUNTEST 100 TCK; +SDR 16 TDI (3FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3FC); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FC); +RUNTEST 100 TCK; +SDR 16 TDI (73FF); +RUNTEST 100 TCK; +SDR 16 TDI (FC3F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (E6FD); +RUNTEST 100 TCK; +SDR 16 TDI (7EFD); +RUNTEST 100 TCK; +SDR 16 TDI (E6EF); +RUNTEST 100 TCK; +SDR 16 TDI (BCE9); +RUNTEST 100 TCK; +SDR 16 TDI (BCEA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (5F5F); +RUNTEST 100 TCK; +SDR 16 TDI (B8BC); +RUNTEST 100 TCK; +SDR 16 TDI (FBEB); +RUNTEST 100 TCK; +SDR 16 TDI (6C4E); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (A11B); +RUNTEST 100 TCK; +SDR 16 TDI (D9DF); +RUNTEST 100 TCK; +SDR 16 TDI (6D3F); +RUNTEST 100 TCK; +SDR 16 TDI (D95F); +RUNTEST 100 TCK; +SDR 16 TDI (B75F); +RUNTEST 100 TCK; +SDR 16 TDI (E77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE5D); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C0); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (A320); +RUNTEST 100 TCK; +SDR 16 TDI (03C0); +RUNTEST 100 TCK; +SDR 16 TDI (60A2); +RUNTEST 100 TCK; +SDR 16 TDI (5E39); +RUNTEST 100 TCK; +SDR 16 TDI (B997); +RUNTEST 100 TCK; +SDR 16 TDI (6084); +RUNTEST 100 TCK; +SDR 16 TDI (7CB5); +RUNTEST 100 TCK; +SDR 16 TDI (F48F); +RUNTEST 100 TCK; +SDR 16 TDI (A49F); +RUNTEST 100 TCK; +SDR 16 TDI (E3F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAFE); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A382); +RUNTEST 100 TCK; +SDR 16 TDI (03D0); +RUNTEST 100 TCK; +SDR 16 TDI (62E0); +RUNTEST 100 TCK; +SDR 16 TDI (1201); +RUNTEST 100 TCK; +SDR 16 TDI (A01F); +RUNTEST 100 TCK; +SDR 16 TDI (8884); +RUNTEST 100 TCK; +SDR 16 TDI (6080); +RUNTEST 100 TCK; +SDR 16 TDI (F43F); +RUNTEST 100 TCK; +SDR 16 TDI (AC1E); +RUNTEST 100 TCK; +SDR 16 TDI (897F); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF6D); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FF0); +RUNTEST 100 TCK; +SDR 16 TDI (0C61); +RUNTEST 100 TCK; +SDR 16 TDI (A32C); +RUNTEST 100 TCK; +SDR 16 TDI (23F9); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (7CDB); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (E7E6); +RUNTEST 100 TCK; +SDR 16 TDI (7BCF); +RUNTEST 100 TCK; +SDR 16 TDI (0F8F); +RUNTEST 100 TCK; +SDR 16 TDI (BEBF); +RUNTEST 100 TCK; +SDR 16 TDI (F975); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67F6); +RUNTEST 100 TCK; +SDR 16 TDI (4D86); +RUNTEST 100 TCK; +SDR 16 TDI (A37E); +RUNTEST 100 TCK; +SDR 16 TDI (33F0); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (7CD3); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (EE66); +RUNTEST 100 TCK; +SDR 16 TDI (6BC9); +RUNTEST 100 TCK; +SDR 16 TDI (49FF); +RUNTEST 100 TCK; +SDR 16 TDI (BE3C); +RUNTEST 100 TCK; +SDR 16 TDI (897F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE27); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (6EEF); +RUNTEST 100 TCK; +SDR 16 TDI (A3BB); +RUNTEST 100 TCK; +SDR 16 TDI (B1F3); +RUNTEST 100 TCK; +SDR 16 TDI (66E6); +RUNTEST 100 TCK; +SDR 16 TDI (7CCF); +RUNTEST 100 TCK; +SDR 16 TDI (B98F); +RUNTEST 100 TCK; +SDR 16 TDI (D99F); +RUNTEST 100 TCK; +SDR 16 TDI (73EE); +RUNTEST 100 TCK; +SDR 16 TDI (8EC7); +RUNTEST 100 TCK; +SDR 16 TDI (BDD9); +RUNTEST 100 TCK; +SDR 16 TDI (99EB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6167); +RUNTEST 100 TCK; +SDR 16 TDI (6445); +RUNTEST 100 TCK; +SDR 16 TDI (A777); +RUNTEST 100 TCK; +SDR 16 TDI (74A9); +RUNTEST 100 TCK; +SDR 16 TDI (7277); +RUNTEST 100 TCK; +SDR 16 TDI (3CDC); +RUNTEST 100 TCK; +SDR 16 TDI (BB25); +RUNTEST 100 TCK; +SDR 16 TDI (1DD9); +RUNTEST 100 TCK; +SDR 16 TDI (7BCC); +RUNTEST 100 TCK; +SDR 16 TDI (DC92); +RUNTEST 100 TCK; +SDR 16 TDI (B5CC); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDE); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (F8FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FCE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDEF); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (E7F7); +RUNTEST 100 TCK; +SDR 16 TDI (B3BB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAEE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (DF6D); +RUNTEST 100 TCK; +SDR 16 TDI (6B5F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (BE7D); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (76EB); +RUNTEST 100 TCK; +SDR 16 TDI (F7DE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (76E5); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7DE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFAB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D6BF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DF5E); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDE); +RUNTEST 100 TCK; +SDR 16 TDI (F5FB); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (FECD); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (CF77); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (75BF); +RUNTEST 100 TCK; +SDR 16 TDI (AB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (677E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF37); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBF7); +RUNTEST 100 TCK; +SDR 16 TDI (6ACF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (ADF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (75BF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FDE); +RUNTEST 100 TCK; +SDR 16 TDI (DE6F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (79BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (EDD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEBE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (D757); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F4EF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (77DF); +RUNTEST 100 TCK; +SDR 16 TDI (D77B); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FE); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FBE); +RUNTEST 100 TCK; +SDR 16 TDI (B6DE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DEF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDAD); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AE5F); +RUNTEST 100 TCK; +SDR 16 TDI (EBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFDB); +RUNTEST 100 TCK; +SDR 16 TDI (BAB7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (FAEF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (FFF9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB77); +RUNTEST 100 TCK; +SDR 16 TDI (7ADB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AF5F); +RUNTEST 100 TCK; +SDR 16 TDI (9FAE); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (77BB); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EEFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A5FE); +RUNTEST 100 TCK; +SDR 16 TDI (FE7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AE7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7B6F); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEA); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FD57); +RUNTEST 100 TCK; +SDR 16 TDI (9FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FA77); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFAA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7E7); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFB); +RUNTEST 100 TCK; +SDR 16 TDI (DB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7F5E); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD25); +RUNTEST 100 TCK; +SDR 16 TDI (4DEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BA5B); +RUNTEST 100 TCK; +SDR 16 TDI (E7F5); +RUNTEST 100 TCK; +SDR 16 TDI (7D5B); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (9E9D); +RUNTEST 100 TCK; +SDR 16 TDI (9FD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (DE7D); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FC); +RUNTEST 100 TCK; +SDR 16 TDI (67FA); +RUNTEST 100 TCK; +SDR 16 TDI (77F9); +RUNTEST 100 TCK; +SDR 16 TDI (4AE3); +RUNTEST 100 TCK; +SDR 16 TDI (ADF7); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (56EF); +RUNTEST 100 TCK; +SDR 16 TDI (7E82); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (F0EB); +RUNTEST 100 TCK; +SDR 16 TDI (5B97); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DEDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F51E); +RUNTEST 100 TCK; +SDR 16 TDI (B7AF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFA); +RUNTEST 100 TCK; +SDR 16 TDI (6BB5); +RUNTEST 100 TCK; +SDR 16 TDI (9B7D); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (EF3C); +RUNTEST 100 TCK; +SDR 16 TDI (4E6D); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8F5F); +RUNTEST 100 TCK; +SDR 16 TDI (002E); +RUNTEST 100 TCK; +SDR 16 TDI (5FCF); +RUNTEST 100 TCK; +SDR 16 TDI (EFBA); +RUNTEST 100 TCK; +SDR 16 TDI (A36B); +RUNTEST 100 TCK; +SDR 16 TDI (F7EC); +RUNTEST 100 TCK; +SDR 16 TDI (602B); +RUNTEST 100 TCK; +SDR 16 TDI (9970); +RUNTEST 100 TCK; +SDR 16 TDI (8A77); +RUNTEST 100 TCK; +SDR 16 TDI (084C); +RUNTEST 100 TCK; +SDR 16 TDI (7041); +RUNTEST 100 TCK; +SDR 16 TDI (A06F); +RUNTEST 100 TCK; +SDR 16 TDI (A4E2); +RUNTEST 100 TCK; +SDR 16 TDI (E7EC); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A7FE); +RUNTEST 100 TCK; +SDR 16 TDI (8022); +RUNTEST 100 TCK; +SDR 16 TDI (47A5); +RUNTEST 100 TCK; +SDR 16 TDI (E422); +RUNTEST 100 TCK; +SDR 16 TDI (AB2B); +RUNTEST 100 TCK; +SDR 16 TDI (F2F0); +RUNTEST 100 TCK; +SDR 16 TDI (6A0B); +RUNTEST 100 TCK; +SDR 16 TDI (91F4); +RUNTEST 100 TCK; +SDR 16 TDI (881B); +RUNTEST 100 TCK; +SDR 16 TDI (8944); +RUNTEST 100 TCK; +SDR 16 TDI (4040); +RUNTEST 100 TCK; +SDR 16 TDI (A08F); +RUNTEST 100 TCK; +SDR 16 TDI (BCFA); +RUNTEST 100 TCK; +SDR 16 TDI (F86F); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9D5F); +RUNTEST 100 TCK; +SDR 16 TDI (022E); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7BA); +RUNTEST 100 TCK; +SDR 16 TDI (A28F); +RUNTEST 100 TCK; +SDR 16 TDI (EBFB); +RUNTEST 100 TCK; +SDR 16 TDI (6410); +RUNTEST 100 TCK; +SDR 16 TDI (1EB0); +RUNTEST 100 TCK; +SDR 16 TDI (AFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F86B); +RUNTEST 100 TCK; +SDR 16 TDI (7040); +RUNTEST 100 TCK; +SDR 16 TDI (6C5F); +RUNTEST 100 TCK; +SDR 16 TDI (9F19); +RUNTEST 100 TCK; +SDR 16 TDI (187D); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFE); +RUNTEST 100 TCK; +SDR 16 TDI (6422); +RUNTEST 100 TCK; +SDR 16 TDI (67E4); +RUNTEST 100 TCK; +SDR 16 TDI (0422); +RUNTEST 100 TCK; +SDR 16 TDI (A203); +RUNTEST 100 TCK; +SDR 16 TDI (E3FB); +RUNTEST 100 TCK; +SDR 16 TDI (7213); +RUNTEST 100 TCK; +SDR 16 TDI (36F0); +RUNTEST 100 TCK; +SDR 16 TDI (8BBF); +RUNTEST 100 TCK; +SDR 16 TDI (E063); +RUNTEST 100 TCK; +SDR 16 TDI (6FD0); +RUNTEST 100 TCK; +SDR 16 TDI (608F); +RUNTEST 100 TCK; +SDR 16 TDI (BF61); +RUNTEST 100 TCK; +SDR 16 TDI (61BF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BA3B); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (EEEF); +RUNTEST 100 TCK; +SDR 16 TDI (A123); +RUNTEST 100 TCK; +SDR 16 TDI (11F3); +RUNTEST 100 TCK; +SDR 16 TDI (484D); +RUNTEST 100 TCK; +SDR 16 TDI (3888); +RUNTEST 100 TCK; +SDR 16 TDI (938F); +RUNTEST 100 TCK; +SDR 16 TDI (B888); +RUNTEST 100 TCK; +SDR 16 TDI (50CC); +RUNTEST 100 TCK; +SDR 16 TDI (CCE7); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (BBEA); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (97FF); +RUNTEST 100 TCK; +SDR 16 TDI (3373); +RUNTEST 100 TCK; +SDR 16 TDI (6146); +RUNTEST 100 TCK; +SDR 16 TDI (6667); +RUNTEST 100 TCK; +SDR 16 TDI (B737); +RUNTEST 100 TCK; +SDR 16 TDI (72A9); +RUNTEST 100 TCK; +SDR 16 TDI (6623); +RUNTEST 100 TCK; +SDR 16 TDI (7DD9); +RUNTEST 100 TCK; +SDR 16 TDI (BBB5); +RUNTEST 100 TCK; +SDR 16 TDI (1CDD); +RUNTEST 100 TCK; +SDR 16 TDI (73C9); +RUNTEST 100 TCK; +SDR 16 TDI (C9C2); +RUNTEST 100 TCK; +SDR 16 TDI (9111); +RUNTEST 100 TCK; +SDR 16 TDI (117F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (DFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7CDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (9FDF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7E7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A7F7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EEEF); +RUNTEST 100 TCK; +SDR 16 TDI (95E7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB5); +RUNTEST 100 TCK; +SDR 16 TDI (6FF5); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BF7C); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFBE); +RUNTEST 100 TCK; +SDR 16 TDI (7BF6); +RUNTEST 100 TCK; +SDR 16 TDI (74D5); +RUNTEST 100 TCK; +SDR 16 TDI (FF9F); +RUNTEST 100 TCK; +SDR 16 TDI (B2BF); +RUNTEST 100 TCK; +SDR 16 TDI (D2FF); +RUNTEST 100 TCK; +SDR 16 TDI (5F7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (9FBF); +RUNTEST 100 TCK; +SDR 16 TDI (ADDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F5); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (77DD); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (DFDD); +RUNTEST 100 TCK; +SDR 16 TDI (6FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (99D7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DEE); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F7D); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD6F); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BEE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (5F77); +RUNTEST 100 TCK; +SDR 16 TDI (AF7D); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BF9); +RUNTEST 100 TCK; +SDR 16 TDI (FB5F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (57EC); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5ED3); +RUNTEST 100 TCK; +SDR 16 TDI (D77D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (59F6); +RUNTEST 100 TCK; +SDR 16 TDI (FF9F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (3BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FF7); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7D7); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FAD); +RUNTEST 100 TCK; +SDR 16 TDI (FB7F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFE); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBAF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FBE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (93FF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (5EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (8FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (5EDD); +RUNTEST 100 TCK; +SDR 16 TDI (BE66); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBB); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7EEF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABBF); +RUNTEST 100 TCK; +SDR 16 TDI (B5BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB7); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AB5F); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (AFF6); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB9F); +RUNTEST 100 TCK; +SDR 16 TDI (97FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDD); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB6); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (979F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF5); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8A5F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (DBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7DFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FB); +RUNTEST 100 TCK; +SDR 16 TDI (9DFF); +RUNTEST 100 TCK; +SDR 16 TDI (F6FD); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (9DF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAEF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D5DF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF35); +RUNTEST 100 TCK; +SDR 16 TDI (96BD); +RUNTEST 100 TCK; +SDR 16 TDI (EBFD); +RUNTEST 100 TCK; +SDR 16 TDI (5DDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEBD); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (B72F); +RUNTEST 100 TCK; +SDR 16 TDI (4FEF); +RUNTEST 100 TCK; +SDR 16 TDI (9256); +RUNTEST 100 TCK; +SDR 16 TDI (B3DE); +RUNTEST 100 TCK; +SDR 16 TDI (DBFA); +RUNTEST 100 TCK; +SDR 16 TDI (6AFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEB2); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (F0D3); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (9DED); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (FDBF); +RUNTEST 100 TCK; +SDR 16 TDI (BE6D); +RUNTEST 100 TCK; +SDR 16 TDI (A7FD); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (DB5D); +RUNTEST 100 TCK; +SDR 16 TDI (97DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (57BF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BB5E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (CAFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FE9); +RUNTEST 100 TCK; +SDR 16 TDI (9DB3); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5D5F); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (B83F); +RUNTEST 100 TCK; +SDR 16 TDI (CFEC); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (3FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (875C); +RUNTEST 100 TCK; +SDR 16 TDI (B834); +RUNTEST 100 TCK; +SDR 16 TDI (47D8); +RUNTEST 100 TCK; +SDR 16 TDI (807B); +RUNTEST 100 TCK; +SDR 16 TDI (B23E); +RUNTEST 100 TCK; +SDR 16 TDI (23ED); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7B3A); +RUNTEST 100 TCK; +SDR 16 TDI (B997); +RUNTEST 100 TCK; +SDR 16 TDI (192C); +RUNTEST 100 TCK; +SDR 16 TDI (617A); +RUNTEST 100 TCK; +SDR 16 TDI (7F0F); +RUNTEST 100 TCK; +SDR 16 TDI (9000); +RUNTEST 100 TCK; +SDR 16 TDI (67EA); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFC); +RUNTEST 100 TCK; +SDR 16 TDI (9420); +RUNTEST 100 TCK; +SDR 16 TDI (67B8); +RUNTEST 100 TCK; +SDR 16 TDI (821E); +RUNTEST 100 TCK; +SDR 16 TDI (A0F8); +RUNTEST 100 TCK; +SDR 16 TDI (0FD3); +RUNTEST 100 TCK; +SDR 16 TDI (667E); +RUNTEST 100 TCK; +SDR 16 TDI (1814); +RUNTEST 100 TCK; +SDR 16 TDI (999B); +RUNTEST 100 TCK; +SDR 16 TDI (980C); +RUNTEST 100 TCK; +SDR 16 TDI (417A); +RUNTEST 100 TCK; +SDR 16 TDI (70CD); +RUNTEST 100 TCK; +SDR 16 TDI (B800); +RUNTEST 100 TCK; +SDR 16 TDI (607F); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B75F); +RUNTEST 100 TCK; +SDR 16 TDI (763B); +RUNTEST 100 TCK; +SDR 16 TDI (7FE0); +RUNTEST 100 TCK; +SDR 16 TDI (109B); +RUNTEST 100 TCK; +SDR 16 TDI (923C); +RUNTEST 100 TCK; +SDR 16 TDI (2FF1); +RUNTEST 100 TCK; +SDR 16 TDI (47FE); +RUNTEST 100 TCK; +SDR 16 TDI (7CB0); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (D86E); +RUNTEST 100 TCK; +SDR 16 TDI (61A4); +RUNTEST 100 TCK; +SDR 16 TDI (A0FF); +RUNTEST 100 TCK; +SDR 16 TDI (B8C0); +RUNTEST 100 TCK; +SDR 16 TDI (986B); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7C20); +RUNTEST 100 TCK; +SDR 16 TDI (67E1); +RUNTEST 100 TCK; +SDR 16 TDI (328C); +RUNTEST 100 TCK; +SDR 16 TDI (A3FC); +RUNTEST 100 TCK; +SDR 16 TDI (0FF3); +RUNTEST 100 TCK; +SDR 16 TDI (467E); +RUNTEST 100 TCK; +SDR 16 TDI (1CA0); +RUNTEST 100 TCK; +SDR 16 TDI (A23F); +RUNTEST 100 TCK; +SDR 16 TDI (C02E); +RUNTEST 100 TCK; +SDR 16 TDI (61A4); +RUNTEST 100 TCK; +SDR 16 TDI (AC0F); +RUNTEST 100 TCK; +SDR 16 TDI (9840); +RUNTEST 100 TCK; +SDR 16 TDI (19FE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (B23B); +RUNTEST 100 TCK; +SDR 16 TDI (63E6); +RUNTEST 100 TCK; +SDR 16 TDI (FE67); +RUNTEST 100 TCK; +SDR 16 TDI (B330); +RUNTEST 100 TCK; +SDR 16 TDI (B1FE); +RUNTEST 100 TCK; +SDR 16 TDI (6666); +RUNTEST 100 TCK; +SDR 16 TDI (7CFC); +RUNTEST 100 TCK; +SDR 16 TDI (9DCF); +RUNTEST 100 TCK; +SDR 16 TDI (B9F9); +RUNTEST 100 TCK; +SDR 16 TDI (51EE); +RUNTEST 100 TCK; +SDR 16 TDI (EEE7); +RUNTEST 100 TCK; +SDR 16 TDI (B9C9); +RUNTEST 100 TCK; +SDR 16 TDI (BBFA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (3773); +RUNTEST 100 TCK; +SDR 16 TDI (6956); +RUNTEST 100 TCK; +SDR 16 TDI (6777); +RUNTEST 100 TCK; +SDR 16 TDI (9273); +RUNTEST 100 TCK; +SDR 16 TDI (26A1); +RUNTEST 100 TCK; +SDR 16 TDI (5626); +RUNTEST 100 TCK; +SDR 16 TDI (7DCC); +RUNTEST 100 TCK; +SDR 16 TDI (B985); +RUNTEST 100 TCK; +SDR 16 TDI (1C9D); +RUNTEST 100 TCK; +SDR 16 TDI (7B44); +RUNTEST 100 TCK; +SDR 16 TDI (4442); +RUNTEST 100 TCK; +SDR 16 TDI (B5CD); +RUNTEST 100 TCK; +SDR 16 TDI (917F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FF9); +RUNTEST 100 TCK; +SDR 16 TDI (FBDF); +RUNTEST 100 TCK; +SDR 16 TDI (53CF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEE); +RUNTEST 100 TCK; +SDR 16 TDI (BBFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (6FDE); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BF7); +RUNTEST 100 TCK; +SDR 16 TDI (7E6D); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFB); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7577); +RUNTEST 100 TCK; +SDR 16 TDI (6FFD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (777D); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (D5D7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (FFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (AD77); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F57F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7D76); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFDA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF5E); +RUNTEST 100 TCK; +SDR 16 TDI (B5DF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (F9BE); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FEF3); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (5DFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (DFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (AADF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EA7E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEDB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB5F); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (5DFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (77EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (5FDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF9); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (4FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF9); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EAEF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF8); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFED); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D95F); +RUNTEST 100 TCK; +SDR 16 TDI (BDCF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (53FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (977F); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (F9E7); +RUNTEST 100 TCK; +SDR 16 TDI (59F3); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (AF9E); +RUNTEST 100 TCK; +SDR 16 TDI (7CFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F3E7); +RUNTEST 100 TCK; +SDR 16 TDI (B3E7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +! +! +! +!VERIFY +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (7FFF) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F3E); +SDR 16 TDI (FFFF) TDO (79F3); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (C666); +SDR 16 TDI (FFFF) TDO (6FF9); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BC66); +SDR 16 TDI (FFFF) TDO (67FE); +SDR 16 TDI (FFFF) TDO (733F); +SDR 16 TDI (FFFF) TDO (FF19); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (ECCF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFCC); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (76FF); +SDR 16 TDI (FFFF) TDO (ABFD); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFDA); +SDR 16 TDI (FFFF) TDO (7DFE); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (EF5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7B7B); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EF7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCCD); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (76FB); +SDR 16 TDI (FFFF) TDO (D7AF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6DBB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (BAFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (6CDF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F9EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3FD7); +SDR 16 TDI (FFFF) TDO (BDEB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (FEBF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (ADDF); +SDR 16 TDI (FFFF) TDO (FB7C); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (3775); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FBCC); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (773F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (DFAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DD); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF6); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFB9); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FBBA); +SDR 16 TDI (FFFF) TDO (7DBB); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (D3F7); +SDR 16 TDI (FFFF) TDO (B97F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FAF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EDEF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7BFC); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77F9); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7C); +SDR 16 TDI (FFFF) TDO (6DF7); +SDR 16 TDI (FFFF) TDO (3E9F); +SDR 16 TDI (FFFF) TDO (BC7F); +SDR 16 TDI (FFFF) TDO (33FD); +SDR 16 TDI (FFFF) TDO (6FCA); +SDR 16 TDI (FFFF) TDO (FFB6); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF21); +SDR 16 TDI (FFFF) TDO (7DE7); +SDR 16 TDI (FFFF) TDO (8FBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBE3); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7F37); +SDR 16 TDI (FFFF) TDO (FF4B); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (F9DE); +SDR 16 TDI (FFFF) TDO (779B); +SDR 16 TDI (FFFF) TDO (786F); +SDR 16 TDI (FFFF) TDO (BDDC); +SDR 16 TDI (FFFF) TDO (727F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77FC); +SDR 16 TDI (FFFF) TDO (E57C); +SDR 16 TDI (FFFF) TDO (A3F7); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6F7D); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B66F); +SDR 16 TDI (FFFF) TDO (FCFC); +SDR 16 TDI (FFFF) TDO (67DE); +SDR 16 TDI (FFFF) TDO (1FFA); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (FFE0); +SDR 16 TDI (FFFF) TDO (7882); +SDR 16 TDI (FFFF) TDO (1F0F); +SDR 16 TDI (FFFF) TDO (A61F); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (7245); +SDR 16 TDI (FFFF) TDO (A8EF); +SDR 16 TDI (FFFF) TDO (B781); +SDR 16 TDI (FFFF) TDO (80F5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FC3C); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (1BA2); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (FFD0); +SDR 16 TDI (FFFF) TDO (7800); +SDR 16 TDI (FFFF) TDO (1F0F); +SDR 16 TDI (FFFF) TDO (A01E); +SDR 16 TDI (FFFF) TDO (F9F6); +SDR 16 TDI (FFFF) TDO (6280); +SDR 16 TDI (FFFF) TDO (A8CF); +SDR 16 TDI (FFFF) TDO (AF81); +SDR 16 TDI (FFFF) TDO (907F); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FDFC); +SDR 16 TDI (FFFF) TDO (67E7); +SDR 16 TDI (FFFF) TDO (1FFB); +SDR 16 TDI (FFFF) TDO (A230); +SDR 16 TDI (FFFF) TDO (33F0); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (9F0F); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7253); +SDR 16 TDI (FFFF) TDO (00DF); +SDR 16 TDI (FFFF) TDO (BF09); +SDR 16 TDI (FFFF) TDO (80F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FDBE); +SDR 16 TDI (FFFF) TDO (67E1); +SDR 16 TDI (FFFF) TDO (01C2); +SDR 16 TDI (FFFF) TDO (A030); +SDR 16 TDI (FFFF) TDO (33F4); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (9F7E); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6290); +SDR 16 TDI (FFFF) TDO (80DF); +SDR 16 TDI (FFFF) TDO (BF09); +SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAA7); +SDR 16 TDI (FFFF) TDO (3332); +SDR 16 TDI (FFFF) TDO (61E6); +SDR 16 TDI (FFFF) TDO (EE6F); +SDR 16 TDI (FFFF) TDO (A318); +SDR 16 TDI (FFFF) TDO (11F2); +SDR 16 TDI (FFFF) TDO (6144); +SDR 16 TDI (FFFF) TDO (3CEC); +SDR 16 TDI (FFFF) TDO (BDCF); +SDR 16 TDI (FFFF) TDO (9BB8); +SDR 16 TDI (FFFF) TDO (73C9); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (B89C); +SDR 16 TDI (FFFF) TDO (98FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (6952); +SDR 16 TDI (FFFF) TDO (6767); +SDR 16 TDI (FFFF) TDO (B773); +SDR 16 TDI (FFFF) TDO (22A1); +SDR 16 TDI (FFFF) TDO (6627); +SDR 16 TDI (FFFF) TDO (7DCD); +SDR 16 TDI (FFFF) TDO (B985); +SDR 16 TDI (FFFF) TDO (599D); +SDR 16 TDI (FFFF) TDO (79DD); +SDR 16 TDI (FFFF) TDO (DDC2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77CF); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (BF99); +SDR 16 TDI (FFFF) TDO (DFEE); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (B3BB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EBFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7D7D); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (737F); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDF6); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DC); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFAF); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7B7E); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (6BF9); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBDB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6EBF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (6B77); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFB7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7CBD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (B96F); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7D75); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFF9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (5FFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DF4); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BF3A); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (77D9); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (BEF3); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55E); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (BD9B); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BD5F); +SDR 16 TDI (FFFF) TDO (DF75); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAAF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DEB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FF6); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (775B); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (6CFB); +SDR 16 TDI (FFFF) TDO (7DBF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6DED); +SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (CFFE); +SDR 16 TDI (FFFF) TDO (7F76); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (3AFB); +SDR 16 TDI (FFFF) TDO (7717); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEEC); +SDR 16 TDI (FFFF) TDO (FE5F); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (7FFC); +SDR 16 TDI (FFFF) TDO (B8BF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (62EF); +SDR 16 TDI (FFFF) TDO (FFCF); +SDR 16 TDI (FFFF) TDO (ADBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (D45F); +SDR 16 TDI (FFFF) TDO (BE5F); +SDR 16 TDI (FFFF) TDO (566A); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (67F7); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (9FD7); +SDR 16 TDI (FFFF) TDO (BFE3); +SDR 16 TDI (FFFF) TDO (BBF5); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (5CFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (D71D); +SDR 16 TDI (FFFF) TDO (78FD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BDAE); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B99D); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C0); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (B4A0); +SDR 16 TDI (FFFF) TDO (03EE); +SDR 16 TDI (FFFF) TDO (7580); +SDR 16 TDI (FFFF) TDO (1302); +SDR 16 TDI (FFFF) TDO (A01E); +SDR 16 TDI (FFFF) TDO (1819); +SDR 16 TDI (FFFF) TDO (70AF); +SDR 16 TDI (FFFF) TDO (FC0F); +SDR 16 TDI (FFFF) TDO (B079); +SDR 16 TDI (FFFF) TDO (87F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ABFE); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A0A0); +SDR 16 TDI (FFFF) TDO (03D0); +SDR 16 TDI (FFFF) TDO (7580); +SDR 16 TDI (FFFF) TDO (1302); +SDR 16 TDI (FFFF) TDO (B41B); +SDR 16 TDI (FFFF) TDO (9F99); +SDR 16 TDI (FFFF) TDO (70AB); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (AAA9); +SDR 16 TDI (FFFF) TDO (D07F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD5D); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FF0); +SDR 16 TDI (FFFF) TDO (2C60); +SDR 16 TDI (FFFF) TDO (AA40); +SDR 16 TDI (FFFF) TDO (E3FF); +SDR 16 TDI (FFFF) TDO (6C06); +SDR 16 TDI (FFFF) TDO (30C7); +SDR 16 TDI (FFFF) TDO (A47F); +SDR 16 TDI (FFFF) TDO (E066); +SDR 16 TDI (FFFF) TDO (610F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B86C); +SDR 16 TDI (FFFF) TDO (84F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67F6); +SDR 16 TDI (FFFF) TDO (0D86); +SDR 16 TDI (FFFF) TDO (A040); +SDR 16 TDI (FFFF) TDO (C3F0); +SDR 16 TDI (FFFF) TDO (7C06); +SDR 16 TDI (FFFF) TDO (10C1); +SDR 16 TDI (FFFF) TDO (A07F); +SDR 16 TDI (FFFF) TDO (9DE6); +SDR 16 TDI (FFFF) TDO (6083); +SDR 16 TDI (FFFF) TDO (3C0F); +SDR 16 TDI (FFFF) TDO (BCC8); +SDR 16 TDI (FFFF) TDO (07FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAA7); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (2EEF); +SDR 16 TDI (FFFF) TDO (B219); +SDR 16 TDI (FFFF) TDO (31F1); +SDR 16 TDI (FFFF) TDO (6286); +SDR 16 TDI (FFFF) TDO (38CF); +SDR 16 TDI (FFFF) TDO (B9AF); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (71CF); +SDR 16 TDI (FFFF) TDO (CEC7); +SDR 16 TDI (FFFF) TDO (BA9C); +SDR 16 TDI (FFFF) TDO (9BFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6167); +SDR 16 TDI (FFFF) TDO (6445); +SDR 16 TDI (FFFF) TDO (B763); +SDR 16 TDI (FFFF) TDO (36A9); +SDR 16 TDI (FFFF) TDO (7677); +SDR 16 TDI (FFFF) TDO (79DC); +SDR 16 TDI (FFFF) TDO (BBA5); +SDR 16 TDI (FFFF) TDO (19D9); +SDR 16 TDI (FFFF) TDO (79DC); +SDR 16 TDI (FFFF) TDO (DC9A); +SDR 16 TDI (FFFF) TDO (B1CD); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBDD); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (BFC7); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (EBFA); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (6DFB); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (6BF7); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DBED); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (66FF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BBDE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (7EEB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (B77D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6EFE); +SDR 16 TDI (FFFF) TDO (DF5F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (D7FB); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FF76); +SDR 16 TDI (FFFF) TDO (7D7B); +SDR 16 TDI (FFFF) TDO (EF5F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFBE); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB7B); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (737F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (DEEB); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BBB); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7DD5); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DF3B); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6B7F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7BE); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FDB); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (CBDE); +SDR 16 TDI (FFFF) TDO (7DEB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BCFB); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDDE); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (BBDB); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6B7F); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AFBE); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7DE0); +SDR 16 TDI (FFFF) TDO (13F6); +SDR 16 TDI (FFFF) TDO (AEFD); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (6C97); +SDR 16 TDI (FFFF) TDO (B3EF); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (F9F9); +SDR 16 TDI (FFFF) TDO (7676); +SDR 16 TDI (FFFF) TDO (FE6F); +SDR 16 TDI (FFFF) TDO (BBE9); +SDR 16 TDI (FFFF) TDO (33FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B5F2); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (7F7B); +SDR 16 TDI (FFFF) TDO (DEDA); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (CD6A); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (677F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BF2F); +SDR 16 TDI (FFFF) TDO (F7F3); +SDR 16 TDI (FFFF) TDO (73FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BF9E); +SDR 16 TDI (FFFF) TDO (CE1E); +SDR 16 TDI (FFFF) TDO (69BB); +SDR 16 TDI (FFFF) TDO (2F9F); +SDR 16 TDI (FFFF) TDO (BC9F); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBAD); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C2); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (A130); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (7404); +SDR 16 TDI (FFFF) TDO (BC00); +SDR 16 TDI (FFFF) TDO (B17F); +SDR 16 TDI (FFFF) TDO (0100); +SDR 16 TDI (FFFF) TDO (6888); +SDR 16 TDI (FFFF) TDO (5C1F); +SDR 16 TDI (FFFF) TDO (B768); +SDR 16 TDI (FFFF) TDO (0075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5E); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A3F0); +SDR 16 TDI (FFFF) TDO (8FDE); +SDR 16 TDI (FFFF) TDO (78A7); +SDR 16 TDI (FFFF) TDO (BA00); +SDR 16 TDI (FFFF) TDO (A11E); +SDR 16 TDI (FFFF) TDO (8002); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (5C2F); +SDR 16 TDI (FFFF) TDO (AF08); +SDR 16 TDI (FFFF) TDO (10FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5FD); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FE0); +SDR 16 TDI (FFFF) TDO (4C61); +SDR 16 TDI (FFFF) TDO (A538); +SDR 16 TDI (FFFF) TDO (EFF3); +SDR 16 TDI (FFFF) TDO (6066); +SDR 16 TDI (FFFF) TDO (1CC8); +SDR 16 TDI (FFFF) TDO (B19F); +SDR 16 TDI (FFFF) TDO (C208); +SDR 16 TDI (FFFF) TDO (6078); +SDR 16 TDI (FFFF) TDO (BC6F); +SDR 16 TDI (FFFF) TDO (BF99); +SDR 16 TDI (FFFF) TDO (F875); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (0D86); +SDR 16 TDI (FFFF) TDO (A338); +SDR 16 TDI (FFFF) TDO (2FFC); +SDR 16 TDI (FFFF) TDO (6126); +SDR 16 TDI (FFFF) TDO (19C8); +SDR 16 TDI (FFFF) TDO (A19F); +SDR 16 TDI (FFFF) TDO (E00A); +SDR 16 TDI (FFFF) TDO (70F0); +SDR 16 TDI (FFFF) TDO (9C1F); +SDR 16 TDI (FFFF) TDO (BF98); +SDR 16 TDI (FFFF) TDO (787F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BA3F); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71E6); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (75F3); +SDR 16 TDI (FFFF) TDO (6E7F); +SDR 16 TDI (FFFF) TDO (7EE8); +SDR 16 TDI (FFFF) TDO (B9CF); +SDR 16 TDI (FFFF) TDO (D98B); +SDR 16 TDI (FFFF) TDO (71E8); +SDR 16 TDI (FFFF) TDO (8E83); +SDR 16 TDI (FFFF) TDO (B998); +SDR 16 TDI (FFFF) TDO (89FA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6177); +SDR 16 TDI (FFFF) TDO (2445); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (6767); +SDR 16 TDI (FFFF) TDO (7CCD); +SDR 16 TDI (FFFF) TDO (BB85); +SDR 16 TDI (FFFF) TDO (5DD9); +SDR 16 TDI (FFFF) TDO (7BCD); +SDR 16 TDI (FFFF) TDO (DCD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BCEF); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (B3BF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (F7DB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CDDB); +SDR 16 TDI (FFFF) TDO (7F67); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F77B); +SDR 16 TDI (FFFF) TDO (B5DF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (7FDE); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (73BF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF7E); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F57F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDE7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFDB); +SDR 16 TDI (FFFF) TDO (7BE7); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DD37); +SDR 16 TDI (FFFF) TDO (7DF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7DEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7F3F); +SDR 16 TDI (FFFF) TDO (AFF7); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (77D7); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DBFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7B7); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7EDB); +SDR 16 TDI (FFFF) TDO (DF77); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (CB5F); +SDR 16 TDI (FFFF) TDO (7EF5); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F9FB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BA7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6DEC); +SDR 16 TDI (FFFF) TDO (EDE7); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7B9F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (6EF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DF7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (7BF6); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FEBB); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (DBFB); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6EFB); +SDR 16 TDI (FFFF) TDO (55AB); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FB7A); +SDR 16 TDI (FFFF) TDO (7D6F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDAD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFD9); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFC); +SDR 16 TDI (FFFF) TDO (FFB9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (6E77); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BEF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF33); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B65E); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FA); +SDR 16 TDI (FFFF) TDO (73AF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (3FFD); +SDR 16 TDI (FFFF) TDO (7BEB); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (F7F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B76B); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (757F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (7B6A); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B99D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7775); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FD6F); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7DED); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (AFFB); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (AABF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (6DEC); +SDR 16 TDI (FFFF) TDO (B3F6); +SDR 16 TDI (FFFF) TDO (A7D3); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FB1); +SDR 16 TDI (FFFF) TDO (93C1); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FF3E); +SDR 16 TDI (FFFF) TDO (73C3); +SDR 16 TDI (FFFF) TDO (3FBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3FC); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (D7FC); +SDR 16 TDI (FFFF) TDO (73FF); +SDR 16 TDI (FFFF) TDO (FC3F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (E6FD); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (E6EF); +SDR 16 TDI (FFFF) TDO (BCE9); +SDR 16 TDI (FFFF) TDO (BCEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (5F5F); +SDR 16 TDI (FFFF) TDO (B8BC); +SDR 16 TDI (FFFF) TDO (FBEB); +SDR 16 TDI (FFFF) TDO (6C4E); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (A11B); +SDR 16 TDI (FFFF) TDO (D9DF); +SDR 16 TDI (FFFF) TDO (6D3F); +SDR 16 TDI (FFFF) TDO (D95F); +SDR 16 TDI (FFFF) TDO (B75F); +SDR 16 TDI (FFFF) TDO (E77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE5D); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C0); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (A320); +SDR 16 TDI (FFFF) TDO (03C0); +SDR 16 TDI (FFFF) TDO (60A2); +SDR 16 TDI (FFFF) TDO (5E39); +SDR 16 TDI (FFFF) TDO (B997); +SDR 16 TDI (FFFF) TDO (6084); +SDR 16 TDI (FFFF) TDO (7CB5); +SDR 16 TDI (FFFF) TDO (F48F); +SDR 16 TDI (FFFF) TDO (A49F); +SDR 16 TDI (FFFF) TDO (E3F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFE); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A382); +SDR 16 TDI (FFFF) TDO (03D0); +SDR 16 TDI (FFFF) TDO (62E0); +SDR 16 TDI (FFFF) TDO (1201); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8884); +SDR 16 TDI (FFFF) TDO (6080); +SDR 16 TDI (FFFF) TDO (F43F); +SDR 16 TDI (FFFF) TDO (AC1E); +SDR 16 TDI (FFFF) TDO (897F); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF6D); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FF0); +SDR 16 TDI (FFFF) TDO (0C61); +SDR 16 TDI (FFFF) TDO (A32C); +SDR 16 TDI (FFFF) TDO (23F9); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (7CDB); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (E7E6); +SDR 16 TDI (FFFF) TDO (7BCF); +SDR 16 TDI (FFFF) TDO (0F8F); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (F975); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67F6); +SDR 16 TDI (FFFF) TDO (4D86); +SDR 16 TDI (FFFF) TDO (A37E); +SDR 16 TDI (FFFF) TDO (33F0); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (7CD3); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (EE66); +SDR 16 TDI (FFFF) TDO (6BC9); +SDR 16 TDI (FFFF) TDO (49FF); +SDR 16 TDI (FFFF) TDO (BE3C); +SDR 16 TDI (FFFF) TDO (897F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE27); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (A3BB); +SDR 16 TDI (FFFF) TDO (B1F3); +SDR 16 TDI (FFFF) TDO (66E6); +SDR 16 TDI (FFFF) TDO (7CCF); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (D99F); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (8EC7); +SDR 16 TDI (FFFF) TDO (BDD9); +SDR 16 TDI (FFFF) TDO (99EB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6167); +SDR 16 TDI (FFFF) TDO (6445); +SDR 16 TDI (FFFF) TDO (A777); +SDR 16 TDI (FFFF) TDO (74A9); +SDR 16 TDI (FFFF) TDO (7277); +SDR 16 TDI (FFFF) TDO (3CDC); +SDR 16 TDI (FFFF) TDO (BB25); +SDR 16 TDI (FFFF) TDO (1DD9); +SDR 16 TDI (FFFF) TDO (7BCC); +SDR 16 TDI (FFFF) TDO (DC92); +SDR 16 TDI (FFFF) TDO (B5CC); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FDE); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (F8FF); +SDR 16 TDI (FFFF) TDO (6FCE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BDEF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (E7F7); +SDR 16 TDI (FFFF) TDO (B3BB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BAEE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DF6D); +SDR 16 TDI (FFFF) TDO (6B5F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBDE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BE7D); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (76EB); +SDR 16 TDI (FFFF) TDO (F7DE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EBDF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (76E5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B7DE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFAB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D6BF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DF5E); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDDE); +SDR 16 TDI (FFFF) TDO (F5FB); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (FECD); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (CF77); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (75BF); +SDR 16 TDI (FFFF) TDO (AB7F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (677E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FF37); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (EBF7); +SDR 16 TDI (FFFF) TDO (6ACF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (ADF7); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (75BF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FDE); +SDR 16 TDI (FFFF) TDO (DE6F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (79BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EDD7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AEBE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (D757); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F4EF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (77DF); +SDR 16 TDI (FFFF) TDO (D77B); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FE); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FBE); +SDR 16 TDI (FFFF) TDO (B6DE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (7DEF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FDAD); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AE5F); +SDR 16 TDI (FFFF) TDO (EBF7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (DFDB); +SDR 16 TDI (FFFF) TDO (BAB7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (ABDF); +SDR 16 TDI (FFFF) TDO (FFDE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ABFD); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (FAEF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BB77); +SDR 16 TDI (FFFF) TDO (7ADB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AF5F); +SDR 16 TDI (FFFF) TDO (9FAE); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (77BB); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EEFE); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A5FE); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (AE7F); +SDR 16 TDI (FFFF) TDO (EFF6); +SDR 16 TDI (FFFF) TDO (7B6F); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (6BFD); +SDR 16 TDI (FFFF) TDO (FD57); +SDR 16 TDI (FFFF) TDO (9FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FA77); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFAA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7E7); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (DB7F); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7F5E); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BD25); +SDR 16 TDI (FFFF) TDO (4DEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BA5B); +SDR 16 TDI (FFFF) TDO (E7F5); +SDR 16 TDI (FFFF) TDO (7D5B); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (9E9D); +SDR 16 TDI (FFFF) TDO (9FD7); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (DE7D); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5FC); +SDR 16 TDI (FFFF) TDO (67FA); +SDR 16 TDI (FFFF) TDO (77F9); +SDR 16 TDI (FFFF) TDO (4AE3); +SDR 16 TDI (FFFF) TDO (ADF7); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (56EF); +SDR 16 TDI (FFFF) TDO (7E82); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (F0EB); +SDR 16 TDI (FFFF) TDO (5B97); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (DEDF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F51E); +SDR 16 TDI (FFFF) TDO (B7AF); +SDR 16 TDI (FFFF) TDO (FBFA); +SDR 16 TDI (FFFF) TDO (6BB5); +SDR 16 TDI (FFFF) TDO (9B7D); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (EF3C); +SDR 16 TDI (FFFF) TDO (4E6D); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8F5F); +SDR 16 TDI (FFFF) TDO (002E); +SDR 16 TDI (FFFF) TDO (5FCF); +SDR 16 TDI (FFFF) TDO (EFBA); +SDR 16 TDI (FFFF) TDO (A36B); +SDR 16 TDI (FFFF) TDO (F7EC); +SDR 16 TDI (FFFF) TDO (602B); +SDR 16 TDI (FFFF) TDO (9970); +SDR 16 TDI (FFFF) TDO (8A77); +SDR 16 TDI (FFFF) TDO (084C); +SDR 16 TDI (FFFF) TDO (7041); +SDR 16 TDI (FFFF) TDO (A06F); +SDR 16 TDI (FFFF) TDO (A4E2); +SDR 16 TDI (FFFF) TDO (E7EC); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A7FE); +SDR 16 TDI (FFFF) TDO (8022); +SDR 16 TDI (FFFF) TDO (47A5); +SDR 16 TDI (FFFF) TDO (E422); +SDR 16 TDI (FFFF) TDO (AB2B); +SDR 16 TDI (FFFF) TDO (F2F0); +SDR 16 TDI (FFFF) TDO (6A0B); +SDR 16 TDI (FFFF) TDO (91F4); +SDR 16 TDI (FFFF) TDO (881B); +SDR 16 TDI (FFFF) TDO (8944); +SDR 16 TDI (FFFF) TDO (4040); +SDR 16 TDI (FFFF) TDO (A08F); +SDR 16 TDI (FFFF) TDO (BCFA); +SDR 16 TDI (FFFF) TDO (F86F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9D5F); +SDR 16 TDI (FFFF) TDO (022E); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7BA); +SDR 16 TDI (FFFF) TDO (A28F); +SDR 16 TDI (FFFF) TDO (EBFB); +SDR 16 TDI (FFFF) TDO (6410); +SDR 16 TDI (FFFF) TDO (1EB0); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (F86B); +SDR 16 TDI (FFFF) TDO (7040); +SDR 16 TDI (FFFF) TDO (6C5F); +SDR 16 TDI (FFFF) TDO (9F19); +SDR 16 TDI (FFFF) TDO (187D); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (6422); +SDR 16 TDI (FFFF) TDO (67E4); +SDR 16 TDI (FFFF) TDO (0422); +SDR 16 TDI (FFFF) TDO (A203); +SDR 16 TDI (FFFF) TDO (E3FB); +SDR 16 TDI (FFFF) TDO (7213); +SDR 16 TDI (FFFF) TDO (36F0); +SDR 16 TDI (FFFF) TDO (8BBF); +SDR 16 TDI (FFFF) TDO (E063); +SDR 16 TDI (FFFF) TDO (6FD0); +SDR 16 TDI (FFFF) TDO (608F); +SDR 16 TDI (FFFF) TDO (BF61); +SDR 16 TDI (FFFF) TDO (61BF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BA3B); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (A123); +SDR 16 TDI (FFFF) TDO (11F3); +SDR 16 TDI (FFFF) TDO (484D); +SDR 16 TDI (FFFF) TDO (3888); +SDR 16 TDI (FFFF) TDO (938F); +SDR 16 TDI (FFFF) TDO (B888); +SDR 16 TDI (FFFF) TDO (50CC); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (BBEA); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (3373); +SDR 16 TDI (FFFF) TDO (6146); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (B737); +SDR 16 TDI (FFFF) TDO (72A9); +SDR 16 TDI (FFFF) TDO (6623); +SDR 16 TDI (FFFF) TDO (7DD9); +SDR 16 TDI (FFFF) TDO (BBB5); +SDR 16 TDI (FFFF) TDO (1CDD); +SDR 16 TDI (FFFF) TDO (73C9); +SDR 16 TDI (FFFF) TDO (C9C2); +SDR 16 TDI (FFFF) TDO (9111); +SDR 16 TDI (FFFF) TDO (117F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (DFEF); +SDR 16 TDI (FFFF) TDO (7CDD); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (9FDF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (A7F7); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (95E7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFB5); +SDR 16 TDI (FFFF) TDO (6FF5); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BF7C); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFBE); +SDR 16 TDI (FFFF) TDO (7BF6); +SDR 16 TDI (FFFF) TDO (74D5); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (B2BF); +SDR 16 TDI (FFFF) TDO (D2FF); +SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (9FBF); +SDR 16 TDI (FFFF) TDO (ADDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (F7F5); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (77DD); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (DFDD); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (99D7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DEE); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD6F); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (9BEE); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5F77); +SDR 16 TDI (FFFF) TDO (AF7D); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BF9); +SDR 16 TDI (FFFF) TDO (FB5F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (57EC); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (9EFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5ED3); +SDR 16 TDI (FFFF) TDO (D77D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (59F6); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (3BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FF7); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (D7D7); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FAD); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FBAF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (9FBE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (93FF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (5EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (8FFD); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5EDD); +SDR 16 TDI (FFFF) TDO (BE66); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BDBB); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (ABBF); +SDR 16 TDI (FFFF) TDO (B5BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFB7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AB5F); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (AFF6); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BB9F); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FB6); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (979F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FDF5); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8A5F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DBF7); +SDR 16 TDI (FFFF) TDO (7DFE); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFA); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (F5FB); +SDR 16 TDI (FFFF) TDO (9DFF); +SDR 16 TDI (FFFF) TDO (F6FD); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FD7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (9DF6); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (6EFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BAEF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (D5DF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FF35); +SDR 16 TDI (FFFF) TDO (96BD); +SDR 16 TDI (FFFF) TDO (EBFD); +SDR 16 TDI (FFFF) TDO (5DDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEBD); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (B72F); +SDR 16 TDI (FFFF) TDO (4FEF); +SDR 16 TDI (FFFF) TDO (9256); +SDR 16 TDI (FFFF) TDO (B3DE); +SDR 16 TDI (FFFF) TDO (DBFA); +SDR 16 TDI (FFFF) TDO (6AFE); +SDR 16 TDI (FFFF) TDO (FEB2); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (F0D3); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (9DED); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (BE6D); +SDR 16 TDI (FFFF) TDO (A7FD); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DB5D); +SDR 16 TDI (FFFF) TDO (97DF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (57BF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BB5E); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (CAFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FE9); +SDR 16 TDI (FFFF) TDO (9DB3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5D5F); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (B83F); +SDR 16 TDI (FFFF) TDO (CFEC); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (875C); +SDR 16 TDI (FFFF) TDO (B834); +SDR 16 TDI (FFFF) TDO (47D8); +SDR 16 TDI (FFFF) TDO (807B); +SDR 16 TDI (FFFF) TDO (B23E); +SDR 16 TDI (FFFF) TDO (23ED); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (7B3A); +SDR 16 TDI (FFFF) TDO (B997); +SDR 16 TDI (FFFF) TDO (192C); +SDR 16 TDI (FFFF) TDO (617A); +SDR 16 TDI (FFFF) TDO (7F0F); +SDR 16 TDI (FFFF) TDO (9000); +SDR 16 TDI (FFFF) TDO (67EA); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFC); +SDR 16 TDI (FFFF) TDO (9420); +SDR 16 TDI (FFFF) TDO (67B8); +SDR 16 TDI (FFFF) TDO (821E); +SDR 16 TDI (FFFF) TDO (A0F8); +SDR 16 TDI (FFFF) TDO (0FD3); +SDR 16 TDI (FFFF) TDO (667E); +SDR 16 TDI (FFFF) TDO (1814); +SDR 16 TDI (FFFF) TDO (999B); +SDR 16 TDI (FFFF) TDO (980C); +SDR 16 TDI (FFFF) TDO (417A); +SDR 16 TDI (FFFF) TDO (70CD); +SDR 16 TDI (FFFF) TDO (B800); +SDR 16 TDI (FFFF) TDO (607F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B75F); +SDR 16 TDI (FFFF) TDO (763B); +SDR 16 TDI (FFFF) TDO (7FE0); +SDR 16 TDI (FFFF) TDO (109B); +SDR 16 TDI (FFFF) TDO (923C); +SDR 16 TDI (FFFF) TDO (2FF1); +SDR 16 TDI (FFFF) TDO (47FE); +SDR 16 TDI (FFFF) TDO (7CB0); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (D86E); +SDR 16 TDI (FFFF) TDO (61A4); +SDR 16 TDI (FFFF) TDO (A0FF); +SDR 16 TDI (FFFF) TDO (B8C0); +SDR 16 TDI (FFFF) TDO (986B); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7C20); +SDR 16 TDI (FFFF) TDO (67E1); +SDR 16 TDI (FFFF) TDO (328C); +SDR 16 TDI (FFFF) TDO (A3FC); +SDR 16 TDI (FFFF) TDO (0FF3); +SDR 16 TDI (FFFF) TDO (467E); +SDR 16 TDI (FFFF) TDO (1CA0); +SDR 16 TDI (FFFF) TDO (A23F); +SDR 16 TDI (FFFF) TDO (C02E); +SDR 16 TDI (FFFF) TDO (61A4); +SDR 16 TDI (FFFF) TDO (AC0F); +SDR 16 TDI (FFFF) TDO (9840); +SDR 16 TDI (FFFF) TDO (19FE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (B23B); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (FE67); +SDR 16 TDI (FFFF) TDO (B330); +SDR 16 TDI (FFFF) TDO (B1FE); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7CFC); +SDR 16 TDI (FFFF) TDO (9DCF); +SDR 16 TDI (FFFF) TDO (B9F9); +SDR 16 TDI (FFFF) TDO (51EE); +SDR 16 TDI (FFFF) TDO (EEE7); +SDR 16 TDI (FFFF) TDO (B9C9); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (3773); +SDR 16 TDI (FFFF) TDO (6956); +SDR 16 TDI (FFFF) TDO (6777); +SDR 16 TDI (FFFF) TDO (9273); +SDR 16 TDI (FFFF) TDO (26A1); +SDR 16 TDI (FFFF) TDO (5626); +SDR 16 TDI (FFFF) TDO (7DCC); +SDR 16 TDI (FFFF) TDO (B985); +SDR 16 TDI (FFFF) TDO (1C9D); +SDR 16 TDI (FFFF) TDO (7B44); +SDR 16 TDI (FFFF) TDO (4442); +SDR 16 TDI (FFFF) TDO (B5CD); +SDR 16 TDI (FFFF) TDO (917F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FF9); +SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (53CF); +SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (6FDE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (7E6D); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FEFB); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7577); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7DD); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (777D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (D5D7); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (AD77); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F57F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7D76); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFDA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF5E); +SDR 16 TDI (FFFF) TDO (B5DF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F9BE); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FEF3); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (AADF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EA7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB7B); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEDB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (5DFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (4FBF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (EAEF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF8); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D95F); +SDR 16 TDI (FFFF) TDO (BDCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (53FF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (977F); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (F9E7); +SDR 16 TDI (FFFF) TDO (59F3); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AF9E); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F3E7); +SDR 16 TDI (FFFF) TDO (B3E7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (FFFF) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SIR 10 TDI (201); +RUNTEST 1003 TCK; +SIR 10 TDI (3FF); +RUNTEST 1000 TCK; +STATE IDLE; diff --git a/cpld2/GR8RAM.qpf b/cpld2/GR8RAM.qpf new file mode 100644 index 0000000..c72b9e0 --- /dev/null +++ b/cpld2/GR8RAM.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:15:44 February 28, 2023 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "22.1" +DATE = "11:15:44 February 28, 2023" + +# Revisions + +PROJECT_REVISION = "GR8RAM" diff --git a/cpld2/GR8RAM.qsf b/cpld2/GR8RAM.qsf new file mode 100644 index 0000000..4d54b77 --- /dev/null +++ b/cpld2/GR8RAM.qsf @@ -0,0 +1,61 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:15:44 February 28, 2023 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# GR8RAM_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Intel recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX II" +set_global_assignment -name DEVICE EPM240T100C5 +set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 22.1STD.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:15:44 FEBRUARY 28, 2023" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V +set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" +set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR simulation/questa -section_id eda_simulation +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan \ No newline at end of file diff --git a/cpld2/GR8RAM.qws b/cpld2/GR8RAM.qws new file mode 100644 index 0000000000000000000000000000000000000000..63563b76eda4b19c3f4f321afd3f1b7df67b8d5e GIT binary patch literal 48 ocmZ?JV1NM`h8%`OhGK>ihIoc@hJ1!1hHN0O04SEskP1@-0GYrBX8-^I literal 0 HcmV?d00001 diff --git a/cpld2/GR8RAM.sdc b/cpld2/GR8RAM.sdc new file mode 100644 index 0000000..a7c9d8f --- /dev/null +++ b/cpld2/GR8RAM.sdc @@ -0,0 +1,3 @@ +create_clock -period 40 [get_ports C25M] +create_clock -period 978 [get_ports PHI0] +set_clock_groups -asynchronous -group C25M -group PHI0 \ No newline at end of file diff --git a/cpld2/GR8RAM.v b/cpld2/GR8RAM.v new file mode 100644 index 0000000..41dd3b5 --- /dev/null +++ b/cpld2/GR8RAM.v @@ -0,0 +1,568 @@ +module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, + INTin, INTout, DMAin, DMAout, + nNMIout, nIRQout, nRDYout, nINHout, RWout, nDMAout, + RA, nWE, RD, RAdir, RDdir, nIOSEL, nDEVSEL, nIOSTRB, + SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, + nFCS, FCK, MISO, MOSI); + + /* Clock signals */ + input C25M, PHI0; + reg PHI0r1, PHI0r2; + always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end + + /* Reset filter */ + input nRES; + reg [3:0] nRESf = 0; + reg nRESr = 0; + always @(posedge C25M) begin + nRESf[3:0] <= { nRESf[2:0], nRES }; + nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; + end + + /* Firmware select */ + input [1:0] SetFW; + reg [1:0] SetFWr; + reg SetFWLoaded = 0; + always @(posedge C25M) begin + if (~SetFWLoaded) begin + SetFWLoaded <= 1; + SetFWr[1:0] <= SetFW[1:0]; + end + end + wire [1:0] SetROM = ~SetFWr[1:0]; + wire SetEN16MB = SetROM[1:0]==2'b11; + wire SetEN24bit = SetROM[1]; + + /* State counter from PHI0 rising edge */ + reg [3:0] PS = 0; + wire PSStart = PS==0 && PHI0r1 && ~PHI0r2; + always @(posedge C25M) begin + if (PSStart) PS <= 1; + else if (PS==0) PS <= 0; + else PS <= PS+1; + end + + /* Long state counter: counts from 0 to $3FFF */ + reg [13:0] LS = 0; + always @(posedge C25M) begin if (PS==15) LS <= LS+1; end + + /* Init state */ + output reg nRESout = 0; + reg [2:0] IS = 0; + always @(posedge C25M) begin + if (IS==7) nRESout <= 1; + else if (PS==15) begin + if (LS==14'h1FCE) IS <= 1; // PC all + load mode + else if (LS==14'h1FCF) IS <= 4; // AREF pause, SPI select + else if (LS==14'h1FFA) IS <= 5; // SPI flash command + else if (LS==14'h1FFF) IS <= 6; // Flash load driver + else if (LS==14'h3FFF) IS <= 7; // Operating mode + end + end + + /* Apple IO area select signals */ + input nIOSEL, nDEVSEL, nIOSTRB; + + /* Apple address bus */ + input [15:0] RA; input nWE; + reg [11:0] RAr; reg nWEr; + reg CXXXr; + always @(posedge PHI0) begin + CXXXr <= RA[15:12]==4'hC; + RAr[11:0] <= RA[11:0]; + nWEr <= nWE; + end + + /* Apple select signals */ + wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (~RAr[11])); + wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; + wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; + wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; + wire RAMSpecSEL = RAMRegSpecSEL && (~SetEN24bit || SetEN16MB || ~Addr[23]); + wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; + wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; + wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; + wire BankSEL = REGEN && ~nDEVSEL && BankSpecSEL; + wire RAMRegSEL = ~nDEVSEL && RAMRegSpecSEL; + wire RAMSEL = ~nDEVSEL && RAMSpecSEL; + wire RAMWR = RAMSEL && ~nWEr; + wire AddrHSEL = REGEN && ~nDEVSEL && AddrHSpecSEL; + wire AddrMSEL = REGEN && ~nDEVSEL && AddrMSpecSEL; + wire AddrLSEL = REGEN && ~nDEVSEL && AddrLSpecSEL; + + /* IOROMEN and REGEN control */ + reg IOROMEN = 0; + reg REGEN = 0; + reg nIOSTRBr; + wire IOROMRES = RAr[10:0]==11'h7FF && ~nIOSTRB && ~nIOSTRBr; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) REGEN <= 0; + else if (PS==8 && ~nIOSEL) REGEN <= 1; + end + always @(posedge C25M) begin + nIOSTRBr <= nIOSTRB; + if (~nRESr) IOROMEN <= 0; + else if (PS==8 && IOROMRES) IOROMEN <= 0; + else if (PS==8 && ~nIOSEL) IOROMEN <= 1; + end + + /* Apple data bus */ + inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; + reg [7:0] RDD; + output RDdir = ~(PHI0r2 && nWE && PHI0 && + (~nDEVSEL || ~nIOSEL || (~nIOSTRB && IOROMEN && RA[10:0]!=11'h7FF))); + + /* Slinky address registers */ + reg [23:0] Addr = 0; + reg AddrIncL = 0; + reg AddrIncM = 0; + reg AddrIncH = 0; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) begin + Addr[23:0] <= 24'h000000; + AddrIncL <= 0; + AddrIncM <= 0; + AddrIncH <= 0; + end else begin + if (PS==8 && RAMRegSEL) AddrIncL <= 1; + else AddrIncL <= 0; + + if (PS==8 && AddrLSEL && ~nWEr) begin + Addr[7:0] <= RD[7:0]; + AddrIncM <= Addr[7] && ~RD[7]; + end else if (AddrIncL) begin + Addr[7:0] <= Addr[7:0]+1; + AddrIncM <= Addr[7:0]==8'hFF; + end else AddrIncM <= 0; + + if (PS==8 && AddrMSEL && ~nWEr) begin + Addr[15:8] <= RD[7:0]; + AddrIncH <= Addr[15] && ~RD[7]; + end else if (AddrIncM) begin + Addr[15:8] <= Addr[15:8]+1; + AddrIncH <= Addr[15:8]==8'hFF; + end else AddrIncH <= 0; + + if (PS==8 && AddrHSEL && ~nWEr) begin + Addr[23:16] <= RD[7:0]; + end else if (AddrIncH) begin + Addr[23:16] <= Addr[23:16]+1; + end + end + end + + /* ROM bank register */ + reg Bank = 0; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) Bank <= 0; + else if (PS==8 && BankSEL && ~nWEr) begin + Bank <= RD[0]; + end + end + + /* SPI flash control signals */ + output nFCS = FCKOE ? ~FCS : 1'bZ; + reg FCS = 0; + output FCK = FCKOE ? FCKout : 1'bZ; + reg FCKOE = 0; + reg FCKout = 0; + inout MOSI = MOSIOE ? MOSIout : 1'bZ; + reg MOSIOE = 0; + input MISO; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + FCKout <= 1'b1; + end 1: begin // ACT + FCKout <= ~(IS==5 || IS==6); + end 2: begin // RD + FCKout <= 1'b1; + end 3: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 4: begin // NOP CKE + FCKout <= 1'b1; + end 5: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 6: begin // NOP CKE + FCKout <= 1'b1; + end 7: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 8: begin // WR AP + FCKout <= 1'b1; + end 9: begin // NOP CKE + FCKout <= ~(IS==5); + end 10: begin // PC all + FCKout <= 1'b1; + end 11: begin // AREF + FCKout <= ~(IS==5); + end 12: begin // NOP CKE + FCKout <= 1'b1; + end 13: begin // NOP CKE + FCKout <= ~(IS==5); + end 14: begin // NOP CKE + FCKout <= 1'b1; + end 15: begin // NOP CKE + FCKout <= ~(IS==5); + end + endcase + FCS <= IS==4 || IS==5 || IS==6; + MOSIOE <= IS==5; + FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; + end + + /* SPI flash MOSI control */ + reg MOSIout = 0; + always @(posedge C25M) begin + case (PS[3:0]) + 1: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 7 + 3'h4: MOSIout <= 1'b0; // Address bit 23 + 3'h5: MOSIout <= 1'b0; // Address bit 15 + 3'h6: MOSIout <= 1'b0; // Address bit 7 + default MOSIout <= 1'b0; + endcase + end 3: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 6 + 3'h4: MOSIout <= 1'b0; // Address bit 22 + 3'h5: MOSIout <= SetROM[1]; // Address bit 14 + 3'h6: MOSIout <= 1'b0; // Address bit 6 + default MOSIout <= 1'b0; + endcase + end 5: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 5 + 3'h4: MOSIout <= 1'b0; // Address bit 21 + 3'h5: MOSIout <= SetROM[0]; // Address bit 13 + 3'h6: MOSIout <= 1'b0; // Address bit 5 + default MOSIout <= 1'b0; + endcase + end 7: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 4 + 3'h4: MOSIout <= 1'b0; // Address bit 20 + 3'h5: MOSIout <= 1'b0; // Address bit 12 + 3'h6: MOSIout <= 1'b0; // Address bit 4 + default MOSIout <= 1'b0; + endcase + end 9: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 3 + 3'h4: MOSIout <= 1'b0; // Address bit 19 + 3'h5: MOSIout <= 1'b0; // Address bit 11 + 3'h6: MOSIout <= 1'b0; // Address bit 3 + default MOSIout <= 1'b0; + endcase + end 11: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 2 + 3'h4: MOSIout <= 1'b0; // Address bit 18 + 3'h5: MOSIout <= 1'b0; // Address bit 10 + 3'h6: MOSIout <= 1'b0; // Address bit 2 + default MOSIout <= 1'b0; + endcase + end 13: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 1 + 3'h4: MOSIout <= 1'b0; // Address bit 16 + 3'h5: MOSIout <= 1'b0; // Address bit 9 + 3'h6: MOSIout <= 1'b0; // Address bit 1 + default MOSIout <= 1'b0; + endcase + end 15: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 0 + 3'h4: MOSIout <= 1'b0; // Address bit 15 + 3'h5: MOSIout <= 1'b0; // Address bit 7 + 3'h6: MOSIout <= 1'b0; // Address bit 0 + default MOSIout <= 1'b0; + endcase + end + endcase + end + + /* SDRAM data bus */ + inout [7:0] SD = SDOE ? WRD[7:0] : 8'bZ; + reg [7:0] WRD; + reg SDOE = 0; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 1: begin // ACT + end 2: begin // RD + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 3: begin // NOP CKE + end 4: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 5: begin // NOP CKE + end 6: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 7: begin // NOP CKE + end 8: begin // WR AP + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 9: begin // NOP CKE + end 10: begin // PC all + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 11: begin // AREF + end 12: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 13: begin // NOP CKE + end 14: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 15: begin // NOP CKE + end + endcase + end + + /* Apple data bus from SDRAM */ + always @(negedge C25M) begin + if (PS==5) begin + if (AddrLSpecSEL) RDD[7:0] <= Addr[7:0]; + else if (AddrMSpecSEL) RDD[7:0] <= Addr[15:8]; + else if (AddrHSpecSEL) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; + else RDD[7:0] <= SD[7:0]; + end + end + + /* SDRAM command */ + output reg RCKE = 1; + output reg nRCS = 1; + output reg nRAS = 1; + output reg nCAS = 1; + output reg nSWE = 1; + wire RefReqd = LS[1:0] == 2'b11; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE / NOP CKD + RCKE <= PSStart && (IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 1: begin // ACT CKE / NOP CKD (ACT) + RCKE <= IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL)); + nRCS <= ~(IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRAS <= 0; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 2: begin // RD CKE / NOP CKD (RD) + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= ~(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 1; + SDOE <= 0; + end 3: begin // NOP CKE / CKD + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 4: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 5: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 6: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 7: begin // NOP CKE / CKD + RCKE <= IS==6 || (RAMWR && IS==7); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 8: begin // WR AP CKE / NOP CKD (WR AP) + RCKE <= IS==6 || (RAMWR && IS==7); + nRCS <= ~(IS==6 || (RAMWR && IS==7)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 0; + SDOE <= IS==6 || (RAMWR && IS==7); + end 9: begin // NOP CKE / NOP CKD + RCKE <= 1; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 10: begin // PC all CKE / PC all CKD + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); + nRCS <= 0; + nRAS <= 0; + nCAS <= 1; + nSWE <= 0; + SDOE <= 0; + end 11: begin // LDM CKE / AREF CKE / NOP CKD + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); + nRCS <= ~(IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd)); + nRAS <= 0; + nCAS <= 0; + nSWE <= ~(IS==1); + SDOE <= 0; + end default: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end + endcase + end + + /* SDRAM address */ + output reg DQML = 1; + output reg DQMH = 1; + output reg [1:0] SBA; + output reg [12:0] SA; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 1: begin // ACT + DQML <= 1'b1; + DQMH <= 1'b1; + if (IS==6) begin + SBA[1:0] <= { 2'b10 }; + SA[12:0] <= { 10'b0011000100, LS[12:10] }; + end else if (RAMSpecSEL) begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; + SA[9:0] <= Addr[19:10]; + end else begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; + end + end 2: begin // RD + if (RAMSpecSEL) begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:0] <= { 4'b0011, Addr[9:1] }; + DQML <= Addr[0]; + DQMH <= ~Addr[0]; + end else begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 4'b0011, RAr[9:1]}; + DQML <= RAr[0]; + DQMH <= ~RAr[0]; + end + end 3: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 4: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 5: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 6: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 7: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 8: begin // WR AP + if (IS==6) begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 4'b0011, LS[9:1] }; + DQML <= LS[0]; + DQMH <= ~LS[0]; + end else begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:0] <= { 4'b0011, Addr[9:1] }; + DQML <= Addr[0]; + DQMH <= ~Addr[0]; + end + end 9: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 10: begin // PC all + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 11: begin // AREF / load mode + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0001000100000; + end 12: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 13: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 14: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 15: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end + endcase + end + + /* DMA/INT in/out */ + input INTin, DMAin; + output INTout = INTin; + output DMAout = DMAin; + + /* Unused Pins */ + output RAdir = 1; + output nDMAout = 1; + output nNMIout = 1; + output nINHout = 1; + output nRDYout = 1; + output nIRQout = 1; + output RWout = 1; +endmodule diff --git a/cpld2/db/GR8RAM.db_info b/cpld2/db/GR8RAM.db_info new file mode 100644 index 0000000..5865109 --- /dev/null +++ b/cpld2/db/GR8RAM.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Version_Index = 553882368 +Creation_Time = Tue Feb 28 11:15:44 2023 diff --git a/cpld2/db/GR8RAM.sld_design_entry.sci b/cpld2/db/GR8RAM.sld_design_entry.sci new file mode 100644 index 0000000000000000000000000000000000000000..65c27fe2ea800b795657754ede47ccb86e5ec502 GIT binary patch literal 226 zcmWe(U|?9w%?KnJ81jJ797u3hMxuuL5G=-997i}3p=Xn xIkk_8PCTr- Date: Tue, 28 Feb 2023 11:19:03 -0500 Subject: [PATCH 2/4] Update GR8RAM.qsf --- cpld2/GR8RAM.qsf | 229 +++++++++++++++++++++++++++++++++++++++++++---- 1 file changed, 212 insertions(+), 17 deletions(-) diff --git a/cpld2/GR8RAM.qsf b/cpld2/GR8RAM.qsf index 4d54b77..aa58bea 100644 --- a/cpld2/GR8RAM.qsf +++ b/cpld2/GR8RAM.qsf @@ -1,25 +1,24 @@ # -------------------------------------------------------------------------- # # -# Copyright (C) 2022 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic +# Copyright (C) 1991-2013 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. # # -------------------------------------------------------------------------- # # -# Quartus Prime -# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition -# Date created = 11:15:44 February 28, 2023 +# Quartus II 32-bit +# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +# Date created = 13:41:40 March 15, 2021 # # -------------------------------------------------------------------------- # # @@ -30,8 +29,8 @@ # If this file doesn't exist, see file: # assignment_defaults.qdf # -# 2) Intel recommends that you do not modify this file. This -# file is updated automatically by the Quartus Prime software +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # @@ -58,4 +57,200 @@ set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan \ No newline at end of file +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scanset_location_assignment PIN_2 -to RA[5] +set_location_assignment PIN_3 -to RA[6] +set_location_assignment PIN_4 -to RA[3] +set_location_assignment PIN_5 -to nFCS +set_location_assignment PIN_6 -to RA[7] +set_location_assignment PIN_7 -to RA[8] +set_location_assignment PIN_8 -to RA[9] +set_location_assignment PIN_12 -to FCK +set_location_assignment PIN_14 -to RA[10] +set_location_assignment PIN_15 -to MOSI +set_location_assignment PIN_16 -to MISO +set_location_assignment PIN_30 -to nRESout +set_location_assignment PIN_34 -to RA[11] +set_location_assignment PIN_35 -to RA[12] +set_location_assignment PIN_36 -to RA[13] +set_location_assignment PIN_37 -to RA[14] +set_location_assignment PIN_38 -to RA[15] +set_location_assignment PIN_39 -to nIOSEL +set_location_assignment PIN_42 -to nIOSTRB +set_location_assignment PIN_40 -to nDEVSEL +set_location_assignment PIN_41 -to PHI0 +set_location_assignment PIN_43 -to nWE +set_location_assignment PIN_44 -to nRES +set_location_assignment PIN_47 -to SD[1] +set_location_assignment PIN_50 -to SD[0] +set_location_assignment PIN_51 -to SD[4] +set_location_assignment PIN_100 -to RA[0] +set_location_assignment PIN_99 -to RD[7] +set_location_assignment PIN_52 -to SD[5] +set_location_assignment PIN_54 -to SD[7] +set_location_assignment PIN_55 -to SD[3] +set_location_assignment PIN_56 -to SD[2] +set_location_assignment PIN_53 -to SD[6] +set_location_assignment PIN_57 -to DQMH +set_location_assignment PIN_58 -to nSWE +set_location_assignment PIN_62 -to nRAS +set_location_assignment PIN_61 -to nCAS +set_location_assignment PIN_64 -to C25M +set_location_assignment PIN_66 -to RCKE +set_location_assignment PIN_67 -to nRCS +set_location_assignment PIN_68 -to SA[12] +set_location_assignment PIN_69 -to SBA[0] +set_location_assignment PIN_70 -to SA[11] +set_location_assignment PIN_71 -to SBA[1] +set_location_assignment PIN_72 -to SA[9] +set_location_assignment PIN_73 -to SA[10] +set_location_assignment PIN_74 -to SA[8] +set_location_assignment PIN_75 -to SA[0] +set_location_assignment PIN_76 -to SA[4] +set_location_assignment PIN_77 -to SA[6] +set_location_assignment PIN_78 -to SA[7] +set_location_assignment PIN_81 -to SA[1] +set_location_assignment PIN_82 -to SA[2] +set_location_assignment PIN_83 -to SA[5] +set_location_assignment PIN_84 -to SA[3] +set_location_assignment PIN_85 -to DQML +set_location_assignment PIN_86 -to RD[0] +set_location_assignment PIN_87 -to RD[1] +set_location_assignment PIN_88 -to RD[2] +set_location_assignment PIN_89 -to RD[3] +set_location_assignment PIN_90 -to RD[4] +set_location_assignment PIN_91 -to RD[5] +set_location_assignment PIN_92 -to RD[6] +set_location_assignment PIN_97 -to RA[2] +set_location_assignment PIN_98 -to RA[1] +set_location_assignment PIN_96 -to SetFW[0] +set_location_assignment PIN_95 -to SetFW[1] +set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 +set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nFCS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nFCS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to FCK +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to FCK +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MOSI +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MOSI +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MISO +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MISO +set_location_assignment PIN_21 -to nDMAout +set_location_assignment PIN_19 -to RAdir +set_location_assignment PIN_20 -to INTout +set_location_assignment PIN_26 -to nNMIout +set_location_assignment PIN_27 -to nINHout +set_location_assignment PIN_28 -to nRDYout +set_location_assignment PIN_29 -to nIRQout +set_location_assignment PIN_33 -to RWout +set_location_assignment PIN_48 -to DMAin +set_location_assignment PIN_49 -to INTin +set_location_assignment PIN_17 -to RDdir +set_location_assignment PIN_18 -to DMAout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD +set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAdir +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RAdir +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RAdir +set_instance_assignment -name SLOW_SLEW_RATE ON -to RAdir +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RAdir +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RDdir +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RDdir +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RDdir +set_instance_assignment -name SLOW_SLEW_RATE ON -to RDdir +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RDdir +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to PHI0 +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to PHI0 +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to PHI0 +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nWE +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nDEVSEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nDEVSEL +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nDEVSEL +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSEL +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSEL +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSEL +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSTRB +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSTRB +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSTRB +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nRES +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRES +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRES +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRESout +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nRESout +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRESout +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRESout +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRESout +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nFCS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nFCS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nFCS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FCK +set_instance_assignment -name SLOW_SLEW_RATE ON -to FCK +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to FCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MOSI +set_instance_assignment -name SLOW_SLEW_RATE ON -to MOSI +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MOSI +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MISO +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to C25M +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to C25M +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to C25M +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRCS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRCS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRCS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRCS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRAS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRAS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nCAS +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nCAS +set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nSWE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nSWE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nSWE +set_instance_assignment -name SLOW_SLEW_RATE ON -to nSWE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nSWE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RCKE +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RCKE +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RCKE +set_instance_assignment -name SLOW_SLEW_RATE ON -to RCKE +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RCKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SBA +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SBA +set_instance_assignment -name SLOW_SLEW_RATE ON -to SBA +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SBA +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA +set_instance_assignment -name SLOW_SLEW_RATE ON -to SA +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQMH +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQMH +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQMH +set_instance_assignment -name SLOW_SLEW_RATE ON -to DQMH +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQMH +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQML +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQML +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQML +set_instance_assignment -name SLOW_SLEW_RATE ON -to DQML +set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQML +set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SetFW +set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SetFW +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SetFW +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD +set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD +set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SD +set_instance_assignment -name SLOW_SLEW_RATE ON -to SD +set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SD +set_global_assignment -name SDC_FILE GR8RAM.sdc \ No newline at end of file From eca42a01619d187b96680cb6f1ccf2ba2a1f5d45 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 28 Feb 2023 11:20:35 -0500 Subject: [PATCH 3/4] Update GR8RAM.qsf --- cpld2/GR8RAM.qsf | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/cpld2/GR8RAM.qsf b/cpld2/GR8RAM.qsf index aa58bea..e2e3f88 100644 --- a/cpld2/GR8RAM.qsf +++ b/cpld2/GR8RAM.qsf @@ -57,7 +57,8 @@ set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scanset_location_assignment PIN_2 -to RA[5] +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan +set_location_assignment PIN_2 -to RA[5] set_location_assignment PIN_3 -to RA[6] set_location_assignment PIN_4 -to RA[3] set_location_assignment PIN_5 -to nFCS From b1757d3d0fc04b51ed0a92c2dea5f620eab13e54 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Tue, 28 Feb 2023 11:23:37 -0500 Subject: [PATCH 4/4] Compiled CPLD again --- cpld/GR8RAM.qpf | 31 +- cpld/GR8RAM.qsf | 35 +- cpld/GR8RAM.sdc | 0 cpld/GR8RAM_assignment_defaults.qdf | 806 - cpld/UFM.qip | 3 - cpld/db/.cmp.kpt | Bin 0 -> 205 bytes cpld/db/GR8RAM.(0).cnf.cdb | Bin 0 -> 26072 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 0 -> 5124 bytes cpld/db/GR8RAM.asm.qmsg | 7 + cpld/db/GR8RAM.asm.rdb | Bin 0 -> 787 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 0 -> 3158 bytes cpld/db/GR8RAM.cmp.cdb | Bin 0 -> 60744 bytes cpld/db/GR8RAM.cmp.hdb | Bin 0 -> 22808 bytes cpld/db/GR8RAM.cmp.idb | Bin 0 -> 2988 bytes cpld/db/GR8RAM.cmp.logdb | 1 + cpld/db/GR8RAM.cmp.rdb | Bin 0 -> 15775 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 0 -> 103149 bytes cpld/db/GR8RAM.eda.qmsg | 6 + cpld/db/GR8RAM.fit.qmsg | 44 + cpld/db/GR8RAM.hier_info | 220 + cpld/db/GR8RAM.hif | Bin 0 -> 427 bytes cpld/db/GR8RAM.lpc.html | 18 + cpld/db/GR8RAM.lpc.rdb | Bin 0 -> 408 bytes cpld/db/GR8RAM.lpc.txt | 5 + cpld/db/GR8RAM.map.cdb | Bin 0 -> 23492 bytes cpld/db/GR8RAM.map.hdb | Bin 0 -> 21398 bytes cpld/db/GR8RAM.map.logdb | 1 + cpld/db/GR8RAM.map.qmsg | 19 + cpld/db/GR8RAM.map.rdb | Bin 0 -> 1260 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 0 -> 19076 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 0 -> 396 bytes cpld/db/GR8RAM.routing.rdb | Bin 0 -> 1545 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 0 -> 19020 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 0 -> 30258 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 0 -> 207 bytes .../db/GR8RAM.sld_design_entry_dsc.sci | Bin cpld/db/GR8RAM.smart_action.txt | 1 + cpld/db/GR8RAM.smp_dump.txt | 9 + cpld/db/GR8RAM.sta.qmsg | 22 + cpld/db/GR8RAM.sta.rdb | Bin 0 -> 9880 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 0 -> 69378 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 0 -> 241 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 0 -> 1048 bytes cpld/db/logic_util_heursitic.dat | Bin 13816 -> 0 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 91 - cpld/greybox_tmp/cbx_args.txt | 25 - cpld/incremental_db/README | 0 .../compiled_partitions/GR8RAM.db_info | 2 +- .../GR8RAM.root_partition.map.kpt | Bin 3525 -> 3623 bytes cpld/output_files/GR8RAM.asm.rpt | 106 +- cpld/output_files/GR8RAM.cdf | 2 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.eda.rpt | 94 + cpld/output_files/GR8RAM.fit.rpt | 1004 +- cpld/output_files/GR8RAM.fit.smsg | 0 cpld/output_files/GR8RAM.fit.summary | 6 +- cpld/output_files/GR8RAM.flow.rpt | 153 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 362 +- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 6 +- cpld/output_files/GR8RAM.pin | 63 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7864 bytes cpld/output_files/GR8RAM.sld | 1 + cpld/output_files/GR8RAM.sta.rpt | 1829 +- cpld/output_files/GR8RAM.sta.summary | 10 +- cpld/output_files/GR8RAM.svf | 16904 ++++++++-------- cpld/serv_req_info.txt | 8 - cpld/simulation/questa/GR8RAM.sft | 1 + cpld/simulation/questa/GR8RAM.vo | 9751 +++++++++ cpld2/GR8RAM.qpf | 31 - cpld2/GR8RAM.qsf | 257 - cpld2/GR8RAM.qws | Bin 48 -> 0 bytes cpld2/GR8RAM.sdc | 3 - cpld2/GR8RAM.v | 568 - cpld2/db/GR8RAM.db_info | 3 - 76 files changed, 19952 insertions(+), 12564 deletions(-) mode change 100755 => 100644 cpld/GR8RAM.qpf mode change 100755 => 100644 cpld/GR8RAM.qsf mode change 100755 => 100644 cpld/GR8RAM.sdc delete mode 100644 cpld/GR8RAM_assignment_defaults.qdf delete mode 100755 cpld/UFM.qip create mode 100644 cpld/db/.cmp.kpt create mode 100644 cpld/db/GR8RAM.(0).cnf.cdb create mode 100644 cpld/db/GR8RAM.(0).cnf.hdb create mode 100644 cpld/db/GR8RAM.asm.qmsg create mode 100644 cpld/db/GR8RAM.asm.rdb create mode 100644 cpld/db/GR8RAM.asm_labs.ddb create mode 100644 cpld/db/GR8RAM.cmp.cdb create mode 100644 cpld/db/GR8RAM.cmp.hdb create mode 100644 cpld/db/GR8RAM.cmp.idb create mode 100644 cpld/db/GR8RAM.cmp.logdb create mode 100644 cpld/db/GR8RAM.cmp.rdb create mode 100644 cpld/db/GR8RAM.cmp0.ddb create mode 100644 cpld/db/GR8RAM.eda.qmsg create mode 100644 cpld/db/GR8RAM.fit.qmsg create mode 100644 cpld/db/GR8RAM.hier_info create mode 100644 cpld/db/GR8RAM.hif create mode 100644 cpld/db/GR8RAM.lpc.html create mode 100644 cpld/db/GR8RAM.lpc.rdb create mode 100644 cpld/db/GR8RAM.lpc.txt create mode 100644 cpld/db/GR8RAM.map.cdb create mode 100644 cpld/db/GR8RAM.map.hdb create mode 100644 cpld/db/GR8RAM.map.logdb create mode 100644 cpld/db/GR8RAM.map.qmsg create mode 100644 cpld/db/GR8RAM.map.rdb create mode 100644 cpld/db/GR8RAM.pre_map.hdb create mode 100644 cpld/db/GR8RAM.root_partition.map.reg_db.cdb create mode 100644 cpld/db/GR8RAM.routing.rdb create mode 100644 cpld/db/GR8RAM.rtlv.hdb create mode 100644 cpld/db/GR8RAM.rtlv_sg.cdb create mode 100644 cpld/db/GR8RAM.rtlv_sg_swap.cdb rename cpld2/db/GR8RAM.sld_design_entry.sci => cpld/db/GR8RAM.sld_design_entry_dsc.sci (100%) create mode 100644 cpld/db/GR8RAM.smart_action.txt create mode 100644 cpld/db/GR8RAM.smp_dump.txt create mode 100644 cpld/db/GR8RAM.sta.qmsg create mode 100644 cpld/db/GR8RAM.sta.rdb create mode 100644 cpld/db/GR8RAM.sta_cmp.5_slow.tdb create mode 100644 cpld/db/GR8RAM.tis_db_list.ddb create mode 100644 cpld/db/GR8RAM.vpr.ammdb delete mode 100755 cpld/db/logic_util_heursitic.dat delete mode 100755 cpld/db/prev_cmp_GR8RAM.qmsg delete mode 100755 cpld/greybox_tmp/cbx_args.txt mode change 100755 => 100644 cpld/incremental_db/README mode change 100755 => 100644 cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt mode change 100755 => 100644 cpld/output_files/GR8RAM.asm.rpt mode change 100755 => 100644 cpld/output_files/GR8RAM.done create mode 100644 cpld/output_files/GR8RAM.eda.rpt mode change 100755 => 100644 cpld/output_files/GR8RAM.fit.rpt mode change 100755 => 100644 cpld/output_files/GR8RAM.fit.smsg mode change 100755 => 100644 cpld/output_files/GR8RAM.fit.summary mode change 100755 => 100644 cpld/output_files/GR8RAM.flow.rpt mode change 100755 => 100644 cpld/output_files/GR8RAM.jdi mode change 100755 => 100644 cpld/output_files/GR8RAM.map.rpt mode change 100755 => 100644 cpld/output_files/GR8RAM.map.smsg mode change 100755 => 100644 cpld/output_files/GR8RAM.map.summary mode change 100755 => 100644 cpld/output_files/GR8RAM.pin mode change 100755 => 100644 cpld/output_files/GR8RAM.pof create mode 100644 cpld/output_files/GR8RAM.sld mode change 100755 => 100644 cpld/output_files/GR8RAM.sta.rpt mode change 100755 => 100644 cpld/output_files/GR8RAM.sta.summary delete mode 100755 cpld/serv_req_info.txt create mode 100644 cpld/simulation/questa/GR8RAM.sft create mode 100644 cpld/simulation/questa/GR8RAM.vo delete mode 100644 cpld2/GR8RAM.qpf delete mode 100644 cpld2/GR8RAM.qsf delete mode 100644 cpld2/GR8RAM.qws delete mode 100644 cpld2/GR8RAM.sdc delete mode 100644 cpld2/GR8RAM.v delete mode 100644 cpld2/db/GR8RAM.db_info diff --git a/cpld/GR8RAM.qpf b/cpld/GR8RAM.qpf old mode 100755 new mode 100644 index 01ef89f..c72b9e0 --- a/cpld/GR8RAM.qpf +++ b/cpld/GR8RAM.qpf @@ -1,29 +1,30 @@ # -------------------------------------------------------------------------- # # -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. # # -------------------------------------------------------------------------- # # -# Quartus II 32-bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -# Date created = 13:41:40 March 15, 2021 +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:15:44 February 28, 2023 # # -------------------------------------------------------------------------- # -QUARTUS_VERSION = "13.0" -DATE = "13:41:40 March 15, 2021" +QUARTUS_VERSION = "22.1" +DATE = "11:15:44 February 28, 2023" # Revisions diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf old mode 100755 new mode 100644 index a55b9a9..e2e3f88 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -39,8 +39,8 @@ set_global_assignment -name FAMILY "MAX II" set_global_assignment -name DEVICE EPM240T100C5 set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM -set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:41:40 MARCH 15, 2021" +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 22.1STD.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:15:44 FEBRUARY 28, 2023" set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 @@ -50,29 +50,14 @@ set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO PATHS AND MINIMUM TPD PATHS" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF -set_global_assignment -name FITTER_EFFORT "STANDARD FIT" -set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE AREA -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF -set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON -set_global_assignment -name SAFE_STATE_MACHINE OFF -set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS ON -set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ALWAYS -set_global_assignment -name AUTO_RESOURCE_SHARING ON -set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 2.0 -set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 2.0 -set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION ALWAYS -set_global_assignment -name ALM_REGISTER_PACKING_EFFORT HIGH -set_global_assignment -name MUX_RESTRUCTURE ON -set_global_assignment -name STATE_MACHINE_PROCESSING "MINIMAL BITS" -set_global_assignment -name SYNTHESIS_SEED 123 -set_global_assignment -name SEED 235 -set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII "MINIMIZE AREA" -set_global_assignment -name ROUTER_REGISTER_DUPLICATION OFF -set_global_assignment -name VERILOG_FILE GR8RAM.v -set_location_assignment PIN_1 -to RA[4] +set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" +set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR simulation/questa -section_id eda_simulation +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan set_location_assignment PIN_2 -to RA[5] set_location_assignment PIN_3 -to RA[6] set_location_assignment PIN_4 -to RA[3] diff --git a/cpld/GR8RAM.sdc b/cpld/GR8RAM.sdc old mode 100755 new mode 100644 diff --git a/cpld/GR8RAM_assignment_defaults.qdf b/cpld/GR8RAM_assignment_defaults.qdf deleted file mode 100644 index 31a43a3..0000000 --- a/cpld/GR8RAM_assignment_defaults.qdf +++ /dev/null @@ -1,806 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2022 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition -# Date created = 11:10:33 February 28, 2023 -# -# -------------------------------------------------------------------------- # -# -# Note: -# -# 1) Do not modify this file. This file was generated -# automatically by the Quartus Prime software and is used -# to preserve global assignments across Quartus Prime versions. -# -# -------------------------------------------------------------------------- # - -set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off -set_global_assignment -name IP_COMPONENT_INTERNAL Off -set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On -set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off -set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off -set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db -set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off -set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off -set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off -set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off -set_global_assignment -name HC_OUTPUT_DIR hc_output -set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off -set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off -set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On -set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off -set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" -set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On -set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On -set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off -set_global_assignment -name REVISION_TYPE Base -family "Arria V" -set_global_assignment -name REVISION_TYPE Base -family "Stratix V" -set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" -set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" -set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" -set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On -set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On -set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On -set_global_assignment -name DO_COMBINED_ANALYSIS Off -set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off -set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off -set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off -set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off -set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On -set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" -set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" -set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" -set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" -set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" -set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" -set_global_assignment -name OPTIMIZATION_MODE Balanced -set_global_assignment -name ALLOW_REGISTER_MERGING On -set_global_assignment -name ALLOW_REGISTER_DUPLICATION On -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" -set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" -set_global_assignment -name MUX_RESTRUCTURE Auto -set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off -set_global_assignment -name ENABLE_IP_DEBUG Off -set_global_assignment -name SAVE_DISK_SPACE On -set_global_assignment -name OCP_HW_EVAL -value OFF -set_global_assignment -name DEVICE_FILTER_PACKAGE Any -set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any -set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" -set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 -set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 -set_global_assignment -name FAMILY -value "Cyclone V" -set_global_assignment -name TRUE_WYSIWYG_FLOW Off -set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off -set_global_assignment -name STATE_MACHINE_PROCESSING Auto -set_global_assignment -name SAFE_STATE_MACHINE Off -set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On -set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On -set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off -set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 -set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 -set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On -set_global_assignment -name PARALLEL_SYNTHESIS On -set_global_assignment -name DSP_BLOCK_BALANCING Auto -set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" -set_global_assignment -name NOT_GATE_PUSH_BACK On -set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On -set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off -set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On -set_global_assignment -name IGNORE_CARRY_BUFFERS Off -set_global_assignment -name IGNORE_CASCADE_BUFFERS Off -set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off -set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off -set_global_assignment -name IGNORE_LCELL_BUFFERS Off -set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO -set_global_assignment -name IGNORE_SOFT_BUFFERS On -set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off -set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off -set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On -set_global_assignment -name AUTO_GLOBAL_OE_MAX On -set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On -set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off -set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut -set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed -set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced -set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area -set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area -set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area -set_global_assignment -name ALLOW_XOR_GATE_USAGE On -set_global_assignment -name AUTO_LCELL_INSERTION On -set_global_assignment -name CARRY_CHAIN_LENGTH 48 -set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 -set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 -set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 -set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 -set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 -set_global_assignment -name CASCADE_CHAIN_LENGTH 2 -set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 -set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 -set_global_assignment -name AUTO_CARRY_CHAINS On -set_global_assignment -name AUTO_CASCADE_CHAINS On -set_global_assignment -name AUTO_PARALLEL_EXPANDERS On -set_global_assignment -name AUTO_OPEN_DRAIN_PINS On -set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off -set_global_assignment -name AUTO_ROM_RECOGNITION On -set_global_assignment -name AUTO_RAM_RECOGNITION On -set_global_assignment -name AUTO_DSP_RECOGNITION On -set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto -set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto -set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On -set_global_assignment -name STRICT_RAM_RECOGNITION Off -set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On -set_global_assignment -name FORCE_SYNCH_CLEAR Off -set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On -set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off -set_global_assignment -name AUTO_RESOURCE_SHARING Off -set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off -set_global_assignment -name MAX7000_FANIN_PER_CELL 100 -set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On -set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" -set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" -set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" -set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off -set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" -set_global_assignment -name REPORT_PARAMETER_SETTINGS On -set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On -set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On -set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" -set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" -set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" -set_global_assignment -name HDL_MESSAGE_LEVEL Level2 -set_global_assignment -name USE_HIGH_SPEED_ADDER Auto -set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 -set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 -set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 -set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off -set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 -set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 -set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On -set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off -set_global_assignment -name BLOCK_DESIGN_NAMING Auto -set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off -set_global_assignment -name SYNTHESIS_EFFORT Auto -set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On -set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off -set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium -set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" -set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" -set_global_assignment -name MAX_LABS "-1 (Unlimited)" -set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On -set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" -set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On -set_global_assignment -name PRPOF_ID Off -set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off -set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On -set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On -set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off -set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off -set_global_assignment -name AUTO_MERGE_PLLS On -set_global_assignment -name IGNORE_MODE_FOR_MERGE Off -set_global_assignment -name TXPMA_SLEW_RATE Low -set_global_assignment -name ADCE_ENABLED Auto -set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal -set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off -set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 -set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 -set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 -set_global_assignment -name PHYSICAL_SYNTHESIS Off -set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off -set_global_assignment -name DEVICE AUTO -set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off -set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off -set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On -set_global_assignment -name ENABLE_NCEO_OUTPUT Off -set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" -set_global_assignment -name STRATIXIII_UPDATE_MODE Standard -set_global_assignment -name STRATIX_UPDATE_MODE Standard -set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" -set_global_assignment -name CVP_MODE Off -set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" -set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" -set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" -set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" -set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" -set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" -set_global_assignment -name USE_CONF_DONE AUTO -set_global_assignment -name USE_PWRMGT_SCL AUTO -set_global_assignment -name USE_PWRMGT_SDA AUTO -set_global_assignment -name USE_PWRMGT_ALERT AUTO -set_global_assignment -name USE_INIT_DONE AUTO -set_global_assignment -name USE_CVP_CONFDONE AUTO -set_global_assignment -name USE_SEU_ERROR AUTO -set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" -set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" -set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" -set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" -set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" -set_global_assignment -name USER_START_UP_CLOCK Off -set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off -set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off -set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On -set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On -set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC -set_global_assignment -name ENABLE_VREFA_PIN Off -set_global_assignment -name ENABLE_VREFB_PIN Off -set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off -set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off -set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off -set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off -set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" -set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off -set_global_assignment -name INIT_DONE_OPEN_DRAIN On -set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" -set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" -set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" -set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" -set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" -set_global_assignment -name ENABLE_CONFIGURATION_PINS On -set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off -set_global_assignment -name ENABLE_NCE_PIN Off -set_global_assignment -name ENABLE_BOOT_SEL_PIN On -set_global_assignment -name CRC_ERROR_CHECKING Off -set_global_assignment -name INTERNAL_SCRUBBING Off -set_global_assignment -name PR_ERROR_OPEN_DRAIN On -set_global_assignment -name PR_READY_OPEN_DRAIN On -set_global_assignment -name ENABLE_CVP_CONFDONE Off -set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On -set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" -set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On -set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto -set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care -set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" -set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" -set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" -set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" -set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 -set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On -set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" -set_global_assignment -name OPTIMIZE_SSN Off -set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" -set_global_assignment -name ECO_OPTIMIZE_TIMING Off -set_global_assignment -name ECO_REGENERATE_REPORT Off -set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal -set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off -set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically -set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically -set_global_assignment -name SEED 1 -set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF -set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off -set_global_assignment -name SLOW_SLEW_RATE Off -set_global_assignment -name PCI_IO Off -set_global_assignment -name TURBO_BIT On -set_global_assignment -name WEAK_PULL_UP_RESISTOR Off -set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off -set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off -set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On -set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto -set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto -set_global_assignment -name NORMAL_LCELL_INSERT On -set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" -set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" -set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF -set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off -set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off -set_global_assignment -name AUTO_TURBO_BIT ON -set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off -set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off -set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off -set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off -set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off -set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On -set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off -set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off -set_global_assignment -name FITTER_EFFORT "Auto Fit" -set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns -set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal -set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto -set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto -set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off -set_global_assignment -name AUTO_GLOBAL_CLOCK On -set_global_assignment -name AUTO_GLOBAL_OE On -set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On -set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic -set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off -set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off -set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off -set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off -set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off -set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off -set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off -set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off -set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off -set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off -set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off -set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off -set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off -set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off -set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off -set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" -set_global_assignment -name ENABLE_HOLD_BACK_OFF On -set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto -set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off -set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto -set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On -set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" -set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" -set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" -set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" -set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" -set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" -set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off -set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On -set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off -set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off -set_global_assignment -name PR_DONE_OPEN_DRAIN On -set_global_assignment -name NCEO_OPEN_DRAIN On -set_global_assignment -name ENABLE_CRC_ERROR_PIN Off -set_global_assignment -name ENABLE_PR_PINS Off -set_global_assignment -name RESERVE_PR_PINS Off -set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off -set_global_assignment -name PR_PINS_OPEN_DRAIN Off -set_global_assignment -name CLAMPING_DIODE Off -set_global_assignment -name TRI_STATE_SPI_PINS Off -set_global_assignment -name UNUSED_TSD_PINS_GND Off -set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off -set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off -set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" -set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" -set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 -set_global_assignment -name SEU_FIT_REPORT Off -set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" -set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" -set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto -set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto -set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On -set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On -set_global_assignment -name EDA_SIMULATION_TOOL "" -set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" -set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" -set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" -set_global_assignment -name EDA_RESYNTHESIS_TOOL "" -set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On -set_global_assignment -name COMPRESSION_MODE Off -set_global_assignment -name CLOCK_SOURCE Internal -set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" -set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 -set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On -set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off -set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On -set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF -set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F -set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off -set_global_assignment -name USE_CHECKSUM_AS_USERCODE On -set_global_assignment -name SECURITY_BIT Off -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" -set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" -set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" -set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" -set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" -set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto -set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto -set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" -set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 -set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 -set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 -set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 -set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 -set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 -set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 -set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 -set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" -set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 -set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 -set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 -set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto -set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto -set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto -set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto -set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto -set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto -set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF -set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off -set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On -set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off -set_global_assignment -name GENERATE_TTF_FILE Off -set_global_assignment -name GENERATE_RBF_FILE Off -set_global_assignment -name GENERATE_HEX_FILE Off -set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 -set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up -set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" -set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off -set_global_assignment -name AUTO_RESTART_CONFIGURATION On -set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off -set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off -set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On -set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" -set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" -set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" -set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF -set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off -set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off -set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off -set_global_assignment -name POR_SCHEME "Instant ON" -set_global_assignment -name EN_USER_IO_WEAK_PULLUP On -set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On -set_global_assignment -name POF_VERIFY_PROTECT Off -set_global_assignment -name ENABLE_SPI_MODE_CHECK Off -set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On -set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off -set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 -set_global_assignment -name GENERATE_PMSF_FILES On -set_global_assignment -name START_TIME 0ns -set_global_assignment -name SIMULATION_MODE TIMING -set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off -set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On -set_global_assignment -name SETUP_HOLD_DETECTION Off -set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -set_global_assignment -name CHECK_OUTPUTS Off -set_global_assignment -name SIMULATION_COVERAGE On -set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On -set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On -set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On -set_global_assignment -name GLITCH_DETECTION Off -set_global_assignment -name GLITCH_INTERVAL 1ns -set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off -set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On -set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off -set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On -set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE -set_global_assignment -name SIMULATION_NETLIST_VIEWER Off -set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT -set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT -set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off -set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO -set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO -set_global_assignment -name DRC_TOP_FANOUT 50 -set_global_assignment -name DRC_FANOUT_EXCEEDING 30 -set_global_assignment -name DRC_GATED_CLOCK_FEED 30 -set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY -set_global_assignment -name ENABLE_DRC_SETTINGS Off -set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 -set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 -set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 -set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 -set_global_assignment -name MERGE_HEX_FILE Off -set_global_assignment -name GENERATE_SVF_FILE Off -set_global_assignment -name GENERATE_ISC_FILE Off -set_global_assignment -name GENERATE_JAM_FILE Off -set_global_assignment -name GENERATE_JBC_FILE Off -set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On -set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off -set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off -set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off -set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off -set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On -set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off -set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" -set_global_assignment -name HPS_EARLY_IO_RELEASE Off -set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off -set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off -set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% -set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% -set_global_assignment -name POWER_USE_PVA On -set_global_assignment -name POWER_USE_INPUT_FILE "No File" -set_global_assignment -name POWER_USE_INPUT_FILES Off -set_global_assignment -name POWER_VCD_FILTER_GLITCHES On -set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off -set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off -set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL -set_global_assignment -name POWER_AUTO_COMPUTE_TJ On -set_global_assignment -name POWER_TJ_VALUE 25 -set_global_assignment -name POWER_USE_TA_VALUE 25 -set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off -set_global_assignment -name POWER_BOARD_TEMPERATURE 25 -set_global_assignment -name POWER_HPS_ENABLE Off -set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 -set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off -set_global_assignment -name IGNORE_PARTITIONS Off -set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off -set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On -set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" -set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On -set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On -set_global_assignment -name RTLV_GROUP_RELATED_NODES On -set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off -set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off -set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On -set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On -set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On -set_global_assignment -name EQC_BBOX_MERGE On -set_global_assignment -name EQC_LVDS_MERGE On -set_global_assignment -name EQC_RAM_UNMERGING On -set_global_assignment -name EQC_DFF_SS_EMULATION On -set_global_assignment -name EQC_RAM_REGISTER_UNPACK On -set_global_assignment -name EQC_MAC_REGISTER_UNPACK On -set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On -set_global_assignment -name EQC_STRUCTURE_MATCHING On -set_global_assignment -name EQC_AUTO_BREAK_CONE On -set_global_assignment -name EQC_POWER_UP_COMPARE Off -set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On -set_global_assignment -name EQC_AUTO_INVERSION On -set_global_assignment -name EQC_AUTO_TERMINATE On -set_global_assignment -name EQC_SUB_CONE_REPORT Off -set_global_assignment -name EQC_RENAMING_RULES On -set_global_assignment -name EQC_PARAMETER_CHECK On -set_global_assignment -name EQC_AUTO_PORTSWAP On -set_global_assignment -name EQC_DETECT_DONT_CARES On -set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off -set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? -set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? -set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? -set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? -set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? -set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? -set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? -set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? -set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? -set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? -set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? -set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? -set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? -set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? -set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? -set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? -set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? -set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? -set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? -set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? -set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? -set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? -set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? -set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? -set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? -set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? -set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? -set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? -set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? -set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? -set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? -set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? -set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? -set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? -set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? -set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? -set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? -set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? -set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? -set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? -set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? -set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? -set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? -set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? -set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? -set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? -set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? -set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? -set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? -set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? -set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? -set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? -set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? -set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? -set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? -set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? -set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? -set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? -set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? -set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/cpld/UFM.qip b/cpld/UFM.qip deleted file mode 100755 index e2d8458..0000000 --- a/cpld/UFM.qip +++ /dev/null @@ -1,3 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "ALTUFM_NONE" -set_global_assignment -name IP_TOOL_VERSION "13.0" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "UFM.v"] diff --git a/cpld/db/.cmp.kpt b/cpld/db/.cmp.kpt new file mode 100644 index 0000000000000000000000000000000000000000..eaedafd34f7f1fed787f63c3e4d2a1b47c73ee7d GIT binary patch literal 205 zcmV;;05boq4*>uG0001ZoTZUX3c@fDh4($hkOQ<$Ku~B4D&j^#E4VGyOfhKFm`nx1 z!&?m~qJrS|{d{j=lD(lqZa|kcrfiz`^Wi+))`r2&IH7f_(JA90XK-vwORamVOE18} zsv2SKWe_6dj$|0as8t6E1KtB3N1_*rSO{2+VWtRSA{BLUmIVOG9?ykolqOd=B%rhD zuCZ95MZHA^JB7A$Ov*045WP0OL&%oe`K5m;Rby+EKVlw@^0w_+@5K+PEa-Umr)0qu H^Gc0w+JaqO literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb new file mode 100644 index 0000000000000000000000000000000000000000..2b5b8696b3b477c8cca6ffd81ef893ec245b9b02 GIT binary patch literal 26072 zcmV*EKx@Ag000233jqKC0001P0B!&`00011WpZ@6CZdKX>000000HR|6000000Jj4G00000 z000000N7;!000000C)lHy$`TeNq*n=2Fc^qc$c-1ge_#@(Nj!TNG1b2%g&+=nt224 zzz)plF=AFsi7nm?NQ+i)yic=8qROvQluW587vaNE32dY5w!sL-LJmaYa5CG`9lip;J;1vJNLb}<;}OA z`w52th={-yHAJx1BLJigf~VDf>y9_RH0x;=W@gcrx`%8g%QTArU1zk?u zi&Lk2XS*HSw;DVUZ8YcLw@&})Q1H{6etanStvg=n3Xk%q-|PzQq))V8)ZSpvchq0% z>h=M82%n#R>8*9$dyJqzITZBsLqUIPDCqS=L4SHE=of~9{!BM$qLeJQHpJMXt8!Hz zqz$~Iw;6axcQf#g{$}7E9nQczdK^J~_RY;s#inL|F*v-tKEBB!1XGAM(K$eOv{``e zXtDs^(P9C*qrn1nM|%b6VIst)w}y%k?|f;_IY@Cb;C-fUH20hvH0`6e3?7Ye^VzMh z?cDsTqtuqT9}G9|GWa5ShtjcAHT(5biPv_%F+JrQ>u+R#a94r-om0Kh6wnCnF>+l+ z-oS_GEIWI|A=idDh7v+eND0KMhq&wH;bxXR#}2ZXn8-T9gWE)pI*?mOVuc5ZdRev@sYu$A^u z35Ou4aFD*F<8S;h?o7$1sV{DS=?h#?1QQ*FIH)i zAfN8L<&^#_QSa|Kp2Kv@&ER&0KK92Ia0%%NVA6!7WwiQs*aT$s42LJ1ckqdc2pfBH z4(oa7hoxO%UyXt*4<0@=GgSfla{t#Dw6ZE8X&3j=bV0#0I*eGAglf`DO^NjYl*wUy zUB5QQFkV;Mg@~IFC@<}p-dO$0qaE89z0HptuuAIzFb*Uw0pl3b5-?7QTLQ+}a7(~A z5pD?>=fEui`>7>?UP=-ej%d*;&8Gw6jrIU3}z5}W0+I}QP*wtmSSmYpA^RD`~3iC zPd1Kpm3vTCR4>^xY6Ys@s${z;2kj!`*9BBIg-Z2M)VtO6>&0p~k733-82f?m5#zoP zBjif2lCZNfIEFAT7xg!WX%ery=q;GWow|%A9=_i`h3$@D&y+t8(GQpMgAb%IWwiEw znsN89=m;AeTXz)pH@?HyWThSxt8|~UzqX9d{g3+Ux?g>tr<=ZAhj`fPwpKR1^u|kH z+`6NJx)U4e?CB_FC$>9DCLBja@29q!dR?98%y&F+T2BOH9lacmEryuvS*|iIJvw!D z^3~~VT<0x4Dj!@3edhj2KO$22RP@0oq0S_W^7+VVF~nr|KJTO2sO(p!>&Q>PuE%v~ zV5}FkVE#$or*?WVzb@0~lTc?`DU;9Y>c+9MzG-^-lZR}1eOyOxR`T+zuf6>FiaCiR z_wVTMZMQpM@e~|B)=dct_948@NQfVxn~8~U)zLq} z@qR*`@1VCdVW6xCrvfE9JzW`m)cc81?DXeU#&JSN8f4M{bkI#mz~Ykybffue@00Vq zVB7OLptnQEAG?X3GD`4rO7gANxs7~RYUE?UO6B`_uQyFhz{`w8g%-Llu!jC3YYR}aAkgGb>O4^NrOYC z$3ZWQqh0vK_qxD6>2@E>S3a(c^)lAWV#N7_9pl;9Kga&L82)K~#$4*Y#?Y#H54`-O z>OZ3M*IYaQL_hPT8-M=rA?K=t)ySM*lDM&5mlJnvno=QLy7y5B&Gx;_ZaP_!V4|F!vFmfN%Uuqn19D4ok^tVf)Ebf+wcC&%>1(s z{*cPy&Xh+UgmV3RjT_WQ@^O}I$&Yi^S#UIVa9 z#Fj}vZRKKO=RVFd=cyH>a3ubM87mNk8rw`y4@WINtsU_C)88rat|cnl(m|2_A?+Yo zfr`7}_Rya>yLs8{{PV?B=h0b?=wgu6J97u8^&&7n4K0 zJlrPeZ*%?UTU{ITZnM~4?!xvVlt4_F_E(EuiV}38pWI2TNtrk z8DB~nNb0Oe4HE$3Yb*mv@m$>MAru&2d>KgUwn#1QK#I6A{nA|F{qU3+N>39V>w#!} zptBjK>|AE79<7RaF)O@j45j@Fg)9|6WG{?!PAjEju@I+lvG9fJP^cyo>-m%PXPgTB z3}Y!?(*bys839uy)RE5!E~q4DGrdkxn;&zBM=#aPfvqq7AA-ndF4I95AY(rapzjWl zPS3oGt*MKu3ZpOtnYO?Xuzvp82fKC%*mXm|esT!d=ZAp()DW=ihk*SwurJ85Wr|)h z8#VAlOvrX@4^|m-W4a6V7Bk6{K)d(LKezW6e)iKMPO8GtfC0e2B+O+UVW~Jt9Y4>f z%)vAXJiH#HH+9N8!!Jv$yfc9KL(L*ngeGm)Y42J&#FR|e)*ohkaiK5m9HK7?wsT(+ zZ0Eit*v@@Pu$}voU_19E!FKLTf^~iApUOSr9a?`k6WgXS&pHHmgh|xBIlW1p;j{9N zuP2=;_YOuOl0{maYr8%tAkf*++g!c=;AbG_Yy_2c>0|mk?VL)VU)uNfdlMA&Qs$ve zFv-P6gh>uJB203>5n+-ujtG-nb3~Zrs3XE8w=KhjYo(rmE1DyxKIK<&egP<-a-9HI zTBRc$7l5hrM|B`g7|J;LgKUSO0j3Ind>h7+)Bw|n{87@4$RCAiME)pDBl1UK8j(K= zQ-weNJjO~=o$WrVb}lTIxf6HanW3iBW^-)LRR;u*g{cmmffRNa zF3uPmfUix*-BK%Cu7q!u=Va^89&(tvMZ$0;@ zyR9Au&?eKPoE$Su|5ej!x4AUpnV-93yDsvdKCQ8(}g@RQVl41g0vx#R*s9y-1?;hyK+2I~w8KAki-z_h@^RlxaikYJfFOI?K6_ zN}E#_P&+dJIwjVUUbW?1oFA=lrIwR$(JlLhlRgTCrpzWid`D1>DH9ld2KN=O%Ko3X znV9&k5%zDSr4!7BR|5hw;q-%h)Ku-78Vr64?Nx%^ugal3+^!WX)$ zz5eSmac~rOY7?sR&K&$uQ{@86fd2EDT8E*;lv#IRs-7DAN2x^04@>IRoo}tJnC$$6 z5$eyRa-(y<3V&+E3Ph@kSZcdLRBjWsU#R~mqdrWq2tv6>zB05D_Xih1D0ge2U#Q>8 zsE_sCp^c7cR)q!vXer@nrnzHfwEr3vNKG84K$`Se=3*o7~vp?NWMI|p~r6cH075mx$?FcEs6i{&JX&yCc~)$;X6}4Hd*QQ_FUZKR>d93RIC!Kb zB{vK$GuT_}Dh8A9N+~Hn46&YI6=D7l7eufMVtj@tO(PMkLW%blM6e3?;Dg3#8i`;P z^QS*r5Wy-&7hZZx(?|rXnC#}ys1HL6SQn?(R=i=u=#!>li1qqd#ZzN{x)2syTk)0> z&pV}QB!X4Ed{uS7m!-y_yC(U_5-nCij0R~MiC`5^M!s5bL>O zh1>I@>ZoW%!74g5GvqW4BUmI>5vj^vsm2F~^Tmo7oW6g6grkwHVsO!#WdUqfF>Gcl z<`GF&F(2TL>0a~))u)JDAIOZ{0%>xnnS07}#xf4WCD{gzH8~9MUFi30%EeV3MebOY z9~5`^lO4)t9MI~X{-o5cRX-ITHThU1JaI^TTU-R{1NRhc_Fdx5FHM(!&Je!ijU;!W zKi}nE94~xZ@^!7cHosAh#Dm$bY(ugo`G9d=my#^6D`#vlTsbf4M?^71zrxDtc}0}t z%;htjepf6?6?3K;O3LYVmCwWZ9-lfI6#vot3>Kaqb!loNUkW#v&(X@~W$+w)bIRal z$8!iXq*Lw%97??17sJnnvx8&X1wVMb(;K25CmHH=9p&>m`-^;|?GJf>H%k5-Jt5|- zMJ$QAZ;rZg9DFoJ7 zckM<7n^{l7yeHRZaZ55<4cQ-;I(`$tM;rb|8Q8{RFTpm$(>mm{I%S_o+uA+~@|DT% z%b$$8|N4D)feRaL?nhi+s@rJ830OSiAfz93JD+7gWU_PftD9dQxM^n&1-{kE55kzU zIU@R#{vgaMT^ApH=xv2gtX5rWM86|h9@HL}4XDM~KwPO9a8`ER=2RI2Ld=;ZC@I%x z+F{D!JdV6Bmv5amqm9~=U_Y;yI6#_z`aTr3fzR8ANOMh5$2S+O-=+P5x~B^PJ@yCc zjGnS#hrZl?Z@-1LZSjrpalb|LxgFZrmd_77Dz+{D2L0H!!`gP^BV^la zmMQ8-Wc0?F$3xiPIGc;Pv`z!CQhA+k*QPGtr}Y^8-|_scT%V8f`2J+}KW~Tf`#|M3 zdR+V8*nZxgWBbMXcwQs+H%9lEOY0VPe0Vbbv;V#}8Xb>+-mh{$8;^hGdRdIU-*Nx* zx^FW+ptHNZTbI$BW6t9o+po^A%j@#>!$SDyEg5P`2hGbm#`Z%KkKg=kY(L*u9@p_#_=<1DAh~3&K6^Q zH!gAx%K04IuUs#=_WbqOe&znsX8ni0FY6f3KhZ?FJ|E@r;Zd_6_uo0j`-4(~681?` zzCZY=+0S?#+s|uaY(Mh|&QTjNXZ4hf<7c^EypHm^eExD&AKj{Ye(8)*oRoTJw9nUO zd{6frpG5oJkWSFqwnu#bb4_?Q?WA~ZV?XaX_BVP^%%yb;+y9Ib=TVIh_^0{&qwJsP z`?7rOu+C4S{g7|U^`Un1(eEAy`(2cL*ly-AwqF{{^ZtkZZp#)=s(cc!mmb&tH^_dE zdwdxr-=r*fSmWT6YQIShzlSB`6DuF~Q;d0{rbv}-4Z8SCSQ$SJn#Pfh+JoUD*~KT{7GhW z&|9tdVc`ETKc435Jdqy?S8zbRm%-a;rLH%I`?r^s_uoxcMhTrA5)d$JD9Cipy+KM> z=*acCCBX6B;~VcsJD{(p-H$YR8FIKQVtG%HBey&Hgcq>Y**(XJ+~^e8lo#Z~?pBw> z?GASL+=Im@bbUDZ*>YDzo!2$GNa=YQysn{Vx`t*hgX!AUWxh10_9lC7_p8ziJW^k# zGZi}-b9!lC$z@MvXrr^6fsd#&uNUy8I7h7)bXKMpvu!Q|{%rc}D*KIx#kgd$L-P%1 zzkb=_vP^c$o!zrO*2E|3WCw4R>>kcrigp$wDMvSXe^2|$Z$IQC?LO_&DV05sAs5t- zz(?QDb!~nP&79BsNq^6INqs4=tKt*+;FAQsGCr*?$C>h(%V2z#$M0 z$YIp~SJK#%jyZP)V|CE`7V-6Gj@+NSztpV= zV<#Ni{)p#xVuGK(ef!;?nVEl9nTd&yTe-XPs0ky8S_aO~OYi;i&+Yw%pI!T0KygGI z1Za1HgE|yQ>`}ZBF#KK#2Gcc6U>B0{n#u50RrS6G5c0+VXTbObJBc5KA%Wthcix#3 z2QRyV_y<&g844gxiv|vNZ%%I#G}5LCXq#W&lw9@DKdjbYPqa5kcd$J>{qjx`2Jw;- z#g(xggwKutH-sWroar4I%6P|mTVI=gZTsdOV&bR7KpFqJ^Sq{K)U{s6Y8|Ue z;NkFu4iYhr#L8v+SAYIF_q+721TT0oIVk)QarZ5WifMC72b|qggaLEjFTlJN@0?O5 zO#qununaKllB`n|UF&yMQ|4L*ZKTZviEcr1D~0b1K^b*^lFi&^0~nOd?upy{d{C-y;QP*$E&xU0DGvtTbDI^a&6#iE zHvfJ`yS>}|qPQ#*zKjXu9_{*2^NMmE*+(tk-GO*7)ckXGWx@9nQW)3!m9N$NPxZij z#(>WxHs|qVYEA6K=6^5KyMY`1TH1egIGg`SMmx8;ML2jM^@ob#Z2mJIZSOC#FBLIl z|4_usWXEH6PBB2-&$w@@IXAlePZcJIG-8&K?sc{I)u7H-uLaIbZ%o(}Ctlke!UVq} z3AdJ-a2|Wgy9O4{O`%7iwg0k2YcPh^IBK=_J%L|4HQ~Fb@{bx+IJW}+CjzRoSH7}C zq&OGo;^V#0GL)14FD2?m%`0@=laJM^d&;~|jY+A->O96U+O2epCl-**%7U#x#%J#x4?4J(9_N|gI*tky~sBw}yg$-}2x&T~9*_mqFH;nB% z-(FZJ`G+M=(%8;lek2uEXJ_YOG)Pn0C98lb_e0(PSJE?Vq^g4M1@11Sd%$DL#qnlg zwO!XIt0+@Gf(cO<(mgL(utBy;=rQFam^6tcJ%ug0omnAn`qAyLYQ^x4qW#LSwAmhE z`_h!&Wn(#w*Os?4(_qH6*+9cKmT`Y=EU*1!{m$~&1eWhC8|u2*wHukT@wDCSx(>Li z?W#d12w< zv^_eX)_H@@JXH6L&H{DF-qm$n19QQqei>^Ys8E1|S>w4Bw0H5(A3mH??@ocp-&OhY zl5%S&&vv`vTyONMoToJV_Son#z|x2?)+K`KQx-iOFYtdOO6r;!TXAKJUa! z0mKV%7HJmi>SXYg?QevOxyP}NPUbZ<71%%PY#gN`$3c%^F_Y@EX`KUeSiJiH_d)PM zZ(mA$B8QDAAEqw%v(&Z^-BW^I_M$&ne~5ghaAp4Kx#n+_0h}pZmwtOhIP!iwEgM*W z$onm~l1S9&e6D0(6O!j;c-il3Jp;-~hpJ!pbAi`3vM<;0LL3Ee`V?!f&s@G#*Q2(> zGmhS)FHO16r?RJd0d6V>GwS>VJJLg%RG+!*2h_lD=KiuR83S@&t_d#**qoOmif4w?!?_@oL|_Nn z2j@%uUk?}K{4<&-8BRa%@i=pR`X`Meoau$WnEQ*>4boXI-}2}peKtyE$aTFksmyiF zOxUVNrL)_K&T<*nBr;57{cpK3qVuSDVV-OD%X!(A@Z#xt29jb|ki#Wx_SxY=pjYOf zb@VpMt=7mECw1iXZiKN9x`X*F_fHQO{qsx>pEHR9a(XjDAL9Z2y_{a&ALs|ft@Aqa z{&1#GK94hDLs0$w-4%vExqPQ;0Pow8NCaw`1HCaPAnHM#%oURkSg$% zGJiST$7h{hBE5^6his7y;LPb=77C-6uj7nI&gq*IuyIAPb+sqoXTNKGdhwVN_FX~l z*XP1qv5e1437R+!4Dx|H zm+xwye4a6i=aaE9hqH%k%k+7nhThGN^mvQId@1*{^ELGD)zCXsBj4TNXJzu8tD*N{ zSm(^EPqeY$ZVirTzKq`4K#wO?b3068r;7f!CzOLThr3xL-=-nvM3;Ntxq0eJA@>#qtlka4p$9yuk1N5rm=cyWcHjdTNyHY`KM}>Un z`sj&qCF0pB=@VL{y#FDet^K`xF)lIhWQ;50^HM_3&m$u}N2vEFcH)?`%2*O}j&np=QZET`-8!$NtoMsUGrAq<*;sCEx3F?WM$aXL3io8iW^85b(l``w}Ch4 zRs{Njr}S+o2N&iATU3|Yk2>tck=t*n%AiH^XIlBhbrqaKguBy0%Y}l=W#1iS$BwB! z(e;O64+&m!eG;!I&t?CF%617Sx+LZFh-bi*(YsYc@3LTwUXF81LNB!+ys!_ZQ+W3s z&3hlDF117c=KMO^)HqZ2m=ZM_h!&FwKqO}9Tfaq zL+k~SsLy4vJso67*Et(>&9C&DjdERsi^MsNFUY4hQi_ksX zUm<%PFUY_e&-2kw&(?T3J!B)+0Z+>Lw6$6e$J}L7?Tub4`zn?12{QP4sQAQnl|JEt zb)4bMd9igI^{HPE;$^l2FW8SY9<<7Q$<}x|FThplMd%*Rci;tltpBQbvGrfh3%*>% z%MI~ytRpY14Xb#uwP9OcPIcskb>pacIoE*~eAm{L@RRlj;vfCp$ChFpcDU4m9)5<- z@Xd{&biK-D+^@h?^|3>0V*1MYJSu#T3LN9+iNLFu3eJ3TeKW|O%W$(shSdUJqr=01 zE8=9_yH$bn$^e|$7o6U{MV$8nPPwVZiC9;M6ZvR~ZNZt&uMY^1t+}9?t`VS7wdUgG z`-^H140uzV%o%LWMSVJFhZpw5;RCo-hWolQx$`>sZ(5i0VrwAaQ~9{AS_4f=zDt2- znS8ThUFGzdeDw&~tJXQpD=#NFz3g!gwp=vn_t4AdV76X?=X9*X&#vg8Ji_Th30|gz zlk$8{3*1#52AA$L0C!9WaMb7X>+^C9>fqJ$5@j$usY;0}KaXVYc1_11dgwE~-;bZ! zIsn*w9Wa@!ozi=l$Y4GOT$eiF{W{Lehws{)9gJRQUOwpAoV|>m$sKWmLGw}_%)Kcm z<#tHt-szf>7{UCy3ND>rr*K$=Idm0VI)_f-kexX+aM(WWx8US{hnKrwhIF2s;yf-m z=E;ULm;H?D&CgOe=rO0Qf;$o5yo~W{bJ$4R1pb5p%8F`!+3o;<^e>-K#f;Tnsqmo6&)M-sdBq z=(38>4WWG*+|>nugHL!dJEY_EEh%l#JVc<*9_jdZTYO#&<={?2~V$>YB_$;3u8i9Fh#oL&|WTMAv~9I`gvoF^)Y5 z<{>6u**pXY>Qg&3nTJ%tT@CmmFSPV~NXLBE(aYEG%tNToc_E%%(?MUzac+>9DbCbJ zH-wM51__+$ymgJ>m}^*F>M!_&?{^+9t;6Sy4{)Y+lvlx}IDH#Rd?M#@*|}K97)Q%8 zxGr_bz}OGXT%SttHDS5k$O z@tsr#$M{|bhYacXp2|Rb#&=Q~&QldQ(P28ir#O*;@tstLlkvTbPjIH=dybRwom7VN zQVmWU-{~7wIN5*8>ll;D_(Y$K?<<7wUIvFxFus?;?NZxQ!dd1^-~_e|E-lYxXa1bl zr!s62J;S#caOh%2GLUk5PuKAIKybcKE)3i%(N6VZx)#}ocl0NXt;ckoj_+w~Wv+1} z*%L_NYz#NqQ@8^vWG}&Nk@8};8@4Xx<+SLfV|dC7AN#vdA;V$m$@UB2CxtsMy_`zm zybMmU6Zu%|>s)Ae_pj~?7xv9#GGj62Wp#{=l$Xtu&#U~54iY$0eV)}7dkL&Nb9(5y ziXJh-a3Xt(bC1NVit~oEj8Cg8laKgc6_aVdQ8cUIs^Tu~x7EN^#a7^URlu1HZROjI zzevVW#yZyL@PmDF+wKrOE6?q>5ODV;+l=@qvyth{>e9YRe7PF#7qi!u_OT1XNqjLm zQXiaFTlSa?XIf`EDT8ZMm-5M6zAA<+6(7V9qnE-Rk&UWi2(lAH49?3S0HrjBxB_SC zVhkz5`BoM~%IYkJl+~>i**ig>kzUN9XW)E7i!nzXhfn==b#P^M*tIGa;3LF>D!8gx z035NP3a%;^07on+gG16mdMSe=ww{uVSCK{M)OT$x1}^W@=DSx^M@&!Q&WT5>&*7M7 zf$y>o)&p(f@cnHq;LfYqzA@ zodAEJq7GZkR@9wT-JI%DK5y!pSU@_V`jpS7bzKz;j>$&E0#YvD5y{>k3w&Ga)95X? zt<`}qudD0t(`tWRG-=}#nuC>W0_Rhd zfx1&chMX7E3+KF!{tmt}Ug~sRS7-FfY=q93M?*K~i9Ih zl+mk`y{@i~p6R8G9(!bzm({h=i~m(YAyWFR4#VjR;@2`<9r~i)rx2uc0o+2@ZBKp~ zs!lJ=@lA%@m)hth?eEaLFaHF0j?-vy&g+JySB7&;uf5Nk{k|FWDK}KY^L$GE#h1jo z)ISH+{eb*GpmZmCKmNY|-}=wwC>%}L`;aA{9DYsJdMdt$;yVL4D5{QUG)*9^*l}0O zgnOdHHaJuD)2ch6gJFEN4p{J0wD`e)#OJ$A1HSnGzD9(q?=r2>vK-XB*+(+zc>eZv zzWA@5b$!A+%EnFGGMV7TWZ}##2&Czu%fgQp=$AU4qs%8rzF2ZlyBZ#o)I`Jg#C6J1 z8P{nUB(?+^bSe@&NyF>nJJ`6!i^-wv#D617WeUC`lv7eZn2*y?$rPM?v6`j z#&zCHa=N3!23C80mplo*BtM1ns#C42aequVydAm1y}=?uq+%*dbn}A|vH*^1Zwm!f znZS@rz`Lk+O{Uu0685I(pxWg+Otl+C(o{QJuG$ysRm+N}%CmrdkW9&h?;{Ku;vBll&&c_qxLPN20snfO-MhLV;2R_&!x(P)lZ9!0+h- zu)8uc^(H{lZ@O5=b`~JP!CNZVMF;CF(}8v9s;PD6%dPY2dh6ieRo0mqY@O}0&Q}6q z@#7NufoTl9P6zri3z>e1SniX)Kdjm^)7}u$6p%bA>3Ccoa_p1yDYeZZ1$V$!hB~7? zq-_XDW*5$f6qE>|*k-AYGTR&rD$0V=`g+@ZyRetpW=?iNxd@jl7LKMj@!L@LhP4V@ zO3iXZpk>fKvusvvZkBZlU^!WkkP@>{^tWov@?kKG-{~mrGQT14oH`5X52fmqZKIxq z3mlSk)cuVUf+j=pHK{1I>>AA~E{Vo^9Z%~hv+SvI%YLffvVY%k7ymTj4%L?(M}jvn z9m6;6(B;I*j60-bD)xLmd;fvJ${df4n%o1dS4GGBS863Xy@cbz6p(inYGEvQ+n(cn zH?>K!4!u$Vb};D-*oAGNU~ZwI|F)DSCVQgu*-YpnI(KR8On#dV26T9;J5p6y?D&Xa zHh<--d%wK)g$Zc5gqmJV4hnx!N0}85iplQEfc@^!<_D=@{T}o^PL;^ulMUEFr;uiD zqE8s_DY~Nrg;+8lYUTxhEwdx~=1GhLa%+TNrX#mm%9Pm&rktHVQ*Wl7sZf#t=LgW6v2 z;X;X^gtS|v5;)$a;~80@N*ZQgYjjwbXsapO*Luz;Ba3An{4)YB^PH89Jg2IYAq`yF z7s|+!l1|2@kKi%fp)fXz&7AXcEfmK!b@LkbaSe{!8pqox3l{iLf=)eC$1wP*dM#ZQ zP??s}FvwjSkoSiolb%|V#S%m_`{B8y=Flj`pwTy}IW+1tXfTobp;t(zv~Qy@^JFj> zmedePYeTcev8d?h>J|O_0xnbZ)x;gE+5JMst_`2YDreZY4%ej9)r<4#dU5`7g*b;B ziBsh_rgiG8l`$Oj_X&4u`C(?4<{guPUwGz#!!0^U<|%Y-W|sr@q5iQH=-|R1x)j#5 z1Bb&2>kd`-$g~d&R0#4I3SqMgMc3c7978;a* zzo4poF?m~5K?^85AV8BRv6#$$Wcr_}2~;hm9Bh!k0D3S~mT(~GK8J_;bs6JY0M{bU zwJ8DrxRDKKsBaV~C`^dlu@de7Q3Z9>w@bKSzJ@wOW3Ijrq`u4I08pcxsxR5PQ;Uhe zt|M0;uG3He!wf1CsB-YLp;3wY%D|C5rOr%_R094lOVpQxn+{6An^3O-|8+4XMfcn% zrJUx?57wwLf>`uIPdoR0dEeXby{q8Jl}o7b#pIyy6_Lq1c}fCFYB(KI&@%Ac$StXl z82pNQDyhWCJ6s=$R)SG1GOdGA?X2oK!%=!BKdEDNs7pCE_iTDj&12Rl3b-Zc^Yug5 zuc}>c`Z@7zw86bb2dPB--&Ipz>Hn5>(8aagkbaxmA=PV%?EiygPdg~n1v4||P<7&4wz<431M!l+nKFeDm&#d<*h_qs?&t2bMX7Y9Xf*7Y}fmJ;pl8AZMV85 z3g;WC)fsm$Y6f~u)XM|3bVqR>N!(4TMPQELn3>GLpEkA)mdKfj% zR=z6a&z1O673X<5CcQ6uB;&ppiw7(_e^dPD{oC*lTt+-E%4sxe;;$ySosC%48R52N z{u)d(;I_gqRfxu#*p?A<=uD|3cs#4(d?sqK2d21vO$sOHOMW8%nfYg3&Hv>Xa9Q_< z=!KYw{*1tY%+)YaJA zf^XL$bWY_V-%;zRA*4F3|F4|VcP+p;fiAAolGA#k;?~tvlpZA6kt>!)fctMAm zc2{eH19t3p#(v}|Hi^%<#Q8PaF@`xqf;TrIV1p0#WIi$Aw)CC=1}Mqgenxq73G0k0 zNh@0w+Rc>cEoZyxy3C+Gw_jgx&)*W=s<9I_rMN9Xd(}9wy8xl*i(HHe&-2so2_*bcoegA;!dV!jN{753nTJ#I}?=FCV z+eFcX;|z&A*}WbUaKN)n#<(!JGz$Ii5GKgm0S((N%X`;=2jLciy~%_%Sgd1jz$1_g z!5(Wl)7${|)(v<(_{SBSmh^nndoL)>doQW)>(V{Z%b8fdbJJVT{lxsUT)GLS%Y;Al z<`kYhi8T}{z^^rUp)X7c8p5v|68@7z!aqMG{HF|_7yD#_o(@LQxzKrf;DW1BzEak? zboYgor%S}C7n6g+h49{#HS*A?==2tt3 z;#;!*^Nr9ab0?E=v29efFx!xI_qZ?{@Jw8?OqWR`|Q0RWKew*+a z!I%1gzowc^Zj@a=cM`W_8zDo9&*k!!Gw84$d)_dUlo zj6H>2Rw|P47!=E`>pHK|`KHdRbY>$BU$e87bK{*r%~_`k4f3pFnQ?ixAlFkVYp2BI zX-U3E67$R+9~;`D^F^Kc0nBZx=k^5eAw47G-j~8|2=i)Td+@b7))2UiwRM7Q#M(NM zG?w^o%^9)8d*f{Mo)Ak1RR2IMEfGuiPm5rI3ktkJ*9SOZc73Vx%aeuJ(T z=^bjxXVh2g%nKE4Sw5rk13I73`MOwJBi62nwGEqVrN|kqhZ|VouT$U!Zyt-js?|X|;b)G<9%*vr7v^9?vITi<|?Z+b)^e40KQ~ z05|9*KlmmPWz=s#fl5VA!ItWxP2RBe^yYK{M&8~u{+gcACQep5juegHn3fR$;kcn= zI2G_HQybB(ZJ!D#aIQ1c=Q`ZYofX%UvbDh8z%V($nGG{% z!_YGj1&+HrqFdA{4!B(0r7lG4A_ufOEJtcaWtEy2so;aF23W42Dp030swoHcvJ#-+ zJD-Q#`?I2xLbo)^9T#bkaA2IA^rXsfsT|d9R33Ls+o;H?q2COL8HZK-C7RHCo|4y0 z+z?~X;h=&RJy_SrPzd2Z<;rG5L=(!3isx!l$888GaXn+1r?@&I9n~xH8Szu5$jjn4 zm$y`rhr~BbpsX%uaM_F($y-bx~QZvvFl-268$! zXUdpZSsmwGEx7d>9i|p#`+XlbT&DeDLxg@&3D&$D2q{}3^14t{><=p?@-E2;&RYQo zd1HjL(X;b28q!FpX2voL)F1dVVXiE$SBP)phcj%H`p~o#HmS;6YSiTnVg&8^00l0d z@OTicJDq%659wVe&BUo6IKYgKlx6syQO-Dg|U<8LOG!8&%B zwK#Lkig6OyqDWvH{ctcTtYyZ45~(N=Ha{!2jdMH0HHzYFP?2+>E_F5r9F`fu${HF2 zAx~$l_p?f?^BJqws^=#ZxDE zM#B_e8lf&rAdt`~C0Ukdv}59!*6Gp-(9hcHzEuNWiieyIIBq30Q)Ugn@kA6Qq0Sl0 zEKtb5C2f`|bV<@zYZZDdC={hi);^_9syr3kgtfED*RN21=dFyj>87l0Trk$qNEvJU zn+}vK7M(S;W;*AL-b;JPnnh=ALsQnaEIMnGO<6m*=&apTZ);@GGmFj|L9Y>OHx`UF zLQz={J=B!7r?n0urj0(X@x%h5Jx*7j)z!9)u{qICb-2)Zv5*ZoaCJ||LQ}58FY*<` zEe|U6Yw_&ADSFX$BWms=d(R85vRSS;<<_3kz4N3 z2S$r^yJd4K{F1#`$JH=C;RzOTuFx8Va+Z3JgP+y)2cAmGeUL{oV$y6aBy7vQYrD?- z=Kb)D4!fm~yIznW)eAb@QE={}UQ*x9^@zsZ_Qk9eF7+31 zZqaHR7*cA7oL;W$E$s6vO}f9ue#_M`v7oLWEkqq6)XARfnkRH(q7#?LWIuLz^`cLE zRTbDedbzIIDg)+8)%Cn?VIdzW{VjOZjPDXVxt;2N@3xt)d0NU+Q(fa*TLRD-r}JoN zavYDFUwf|0b(Y40C}~hYGW!_UnNB!IZN!|_Q{r8j+!`x(=h&LuiKH&~PkztIs`LKv zK!N0@d~;BLc=(WU)1x8wED3W4@a8y|=q@N*I*gmY?ciwTcW3O8QLb~irv<0s!x72h z(lIB8vp&r{vhW*N5Gkah&I(ln?ciHS3{2cRKPX};w=Ey`!k1R5fycRcvB&cneV#7>SMkZylRRlKsRp^u=4#-M z3l3c$`v%B2IQDpH7sIa~Jzl)22g!as#6k9nQyE;0l=>LompCN+@xEJ*vl%^a5&Ii4 z#oRZtu1-GBS2>>xA$vJ5d7r+M>{;cp=a^9NnL{|w<5?PabGw@ba*4}j&s!OEY#nn? zPF+3*9IAo>2L+b#%Jssd*^E_FYOvURrhTQ2d|lykz3}^{ReG71UT#V+W%H$@HE@S& z{OouQ++2WzpTTpRg@}<}6N_PouJ#)aP6}2+zG=-rS@-)CB_{WQn%(zJbycimkEIHZ z_a2E6J^|-#l*Xi3m*@O-?w>ps>uZ=T#J!-%m9NeBf({2i+vCo$H8~CyKUViwayu*u ze-b z$dK#octq3ZFZ_;~{+HV5L=D_*4IFQ=TFUu6Py=_W29CF5;d6%&=kulGD(CIm58VDR zT8v|k@!`+Z`EEt7m|{uHS&LW_bKl6iI{7?b<$Nxl?78nQ!+}G}eS+UOd`1C}zLNXy z*&3e!4wSn};Lg;*?FqibyrB)f-8FFMYUu3>aFe1pIKHTn(x~j0+u?i-pVQ*g_Rn&? zT&RKDQKOfOHE`Q%;CS8KQtmI@-_(ESFT?56Qz+N<;JU=61FI2J%$XFiB<8-6bsmD^xe4cbx&jaUm)HA=C)}OqNxjt~09DLLiIfL6>LXUL-=|T;?Z9RIv zaho+l&Znnle~WxtsXq@>S7#$H1K5|UGe9fOH9Q>txk}CW7K~5B@RDN~9myD%uN!yOtQ*tkg6h_d9;c5JkM~#= z{o3d3FJ4|3BO{zWcNk-2tg~@on7X`AUrYM**bZLT_}~eRRqT^pa6=J);yC78+27{2 zH3)xph+Q`3tVJw|xyP}ttIq1+ydJ(e<3!gOSr>a2Ti1hmDYtDMocD>xyRSTcGVrmd zn4WnUE`9+F)I1G zu5%tQxY$?H`zyH&>&-mw95qaCRYe{BcD?Jb)_~Sy+eYoBdhz+u)4na!jCKC{x@11)!9AvzpnP1 zG}m-zI3L^Z8)3go9p_nm3O1&({m{kY+0Sc!OfPz1s{OHE#(K$n$k->oIej9o1Wo?v z2{AVTL?yf0t{cbCJYtM}V(b%RpLlX(WL(2qPtUDATG_|z+tKPXx0YEkQi-{7-8hzy z{bk%AEDmsv+K4%;k0mj;&~u)e@K zY9r>XK9mdXqXX3x;<>|KdcE&ndlo z#XIGsoKL=Q37^2{d`{|pF6wBL+8sXOjDvLJeS70*Vgg?7eE9I;L07|{VjWl0p)P&b z`G-`tU%bzSI(E6C_l(2f5V&t~ zY}38SV-g;m-19*KdARpM>TU(rmMQQ4@iuaT@>2L6Bh+TLHlQ@)o`pp=47zx?^lfjSzF@yX{6+yuBEv}dBj!Ol&u zf#MVvERmg{0!2QaqLcegJI)``v2)W~pZ&x~dgq-v zq4UP}W9o>!b@uI7B=+wIt*X=|&>4${!iKdH6x)U3MWK*iJ`5O~fs6w~ zl}f{iKtlPOzw*_+Uw&?a-vV|C@-HR_g@02=-Xmd+5f!`_vK|>6W4$@XuZMOzxdaV< z?-$<8xpr4OhAH0zd%xo0Zot>;pk5p}@l8XCUzLGh58%X`0pR=8Bu%M(=rDj!h6Y9* z<@k8=f^xZ2Td;QXpJ8FZ?(^P!DFmssk35$RM{7*2S0FHlv36uz*Bv#4&|mE`e-tC(tIyX zsDFy9)8gj_B}!b+SjN?YnuA1b|F4`*t2ljv{HpL>NWO%BKbh@(Eudu1zm>cK1FQ?VkFl|AmKgf63w2 z!9qyhCnDSx^#|j{^BL(Gj6WA;NbAy76+U?1oXIr`1H1AR7pjsKNnYpWa|j&F$)w-r zeREw+-@K>J2bTK|RZ#|8*`lBP&0NKFbC*Is8u*{t72IAG~Z zgzv?Kn=GMsQe~EMeXdUQS?6c@m#U`}2a#>Jj{M8tMvHR}hh7d#FOg5*x?G>W4j7K2 zKHI@D2hHtp%A;_9bG=L^ddXv}O~5=jocV+d_oWy57_0w$N5{qh`c1lX!5rP}gj1Ayk?-7oiFSGNwPAYN!hh8@7U~b{# zpzrVOln^J-^*Pn~T@)WDsJkmb?6XQoYIkf4oK9i?E9#oM^HeBJ>!|W2cuH}aFX1nK zE)sFFBSCxW;3vnqE|epqaYPOou7$63<2Nb2+maW*1}CWuC*{zMts+iJsUs#ZZMQ@o z9y#z|G`(DBoeERo*LTi8JMllNHeD2h5J1lRL*9^q$Y%VuIEMM1`@X#I?f2fDTh|lC zEka&Yl^x1X{1eB6w>#Hp_pHk3YmUb-1X>Hi$n4HH*>j;tt(*wTx}@K-bzH&$+%`SH z<<0Bo(7H_4lIt9;|3tLPR0<8W0glUoA6p>62ODhfe)TOQ)(2jfWbbYWf*#k zn=|6CY=vQf_MJ13kkC|EB2dKENt#f!*_8U(>IDj5M=FF*Q5+2@Qq~9hlW8yYCprN{ z%lv6=y+0xKw>U+DAnxO(eBRBB)Kl>bQn3f~f6MGcrzZ6Y`Oi%8zP@zHePbBPs{-Oa z%BtDxZ~~O>la8-eIGpo+D4s%aHS#(gW^df-|pU zbc`udA$KHPGH|5&%;|YPqdu=_)HIy=D(6rnp>BXPmdlsJ89vTAz4;K)sLSC#Y)B7S z{CjqK^G-huP@=R%dzV-Kh5w;@U&3F{bi6L+R!ZTkM0i(Fyl-6(gHq3{iNSb`W0*m@ z?xHuQnX|Ibso*$%)zhzQeuhH3rEfiF`~13Ire@1@Howx!N6uaiyEcKf`HsN~jZ#1C zM^w7ANOn%Hgs2TcQ>sfupatlcT=!E z=nLH${!9Ye)SH|azx3AH)Qho96YCgk>Q8OyrViB<)-52WUuKyT63eqD9DB%@5=iDv zjUiv|4C$9Ufm`*~#xytm==N8mocG&7a)ULbHgYES)CJ9Kxrv6166b3YahoE`rIuq{ z3ghwh$G?;ge2rn6>A?B^mbBM=R@zG~E9WX5t!T65<PG&m16%?& zb@N!yRd}qr5?f2fgN<%gY+8HHu*`aK7o&ME^>YZd*I(KCoF1SE3CO8``S9V*f8*z= z9;P3X;KzJ$za{`F?0N}$O#Rf#%7$=sv2)*hTi%?@fX{2jy^R6Bm--1Oz-tSXPpj>E zXF_`(tsmHF_obZdMy(H-wvaUIDRly0>;IZ|mxpz%Htb4aj9QV;YyV158-hZ~pQ`y> zSCH_G2<;A#9=;m#lLbibpX_f4`FsKLm>@q4WHj5amGe^t$PcB;_)C58Tg~nI0^|ch zJ}5LUTsYB9x3Qlf-aT<>VtSZr$QKGU?~7*q{fl~TKU095(TeUwVMW_d6Q7=z-)WxV zxYr-p+#%3&O+kxZbXz0Z3YLgURg(Hz{}*yA|1d7qn)>-_HMGd%G4)Fa8?$e2p7Zry zwA0_I;V(R%;Vse+XYDz!(m}*J@$FpMhE6>UcxM!=_JlQcl^zHg(=TnG-uII`H@^yU z62No%W5UUEN}byUx~;G6d}Dg5ARx@%h1KX3X=M6bNkdf_2wSgPN8!*T9=R*Y**WCRXOlP@Z zR>|Spz}%HrPPK%&DwqQ;VfXqwVE6($leVJy-|K-`mQwx&zE|J<2(VjvKTzxr@Ucz4iVd4~C@Y zy!?ePe4#G{Sp@Qm=vRjyC%0#T@0P%fS=mm`+Yc#cc8`{u%^`F3=V3{ZU;ennf+fZ z#_tOcf-%|ROcQE6B{&E&r?$KWwK$i7+B%VHOYK^Nd5OsuCtXlum%S~uBMqpf`hX6; zf4AxSizww1J8d7{klnZstmHk||MeyQZ(M&B{e-P`ldh^~N7$m(pOFQZhqc>axav@J}4NsES3tpfS#&bQV^^+1XzKR8I5 zmRCYTGl~i1q=vy;Ve#)54*mKuno+YrUe!EjeOPN$jWQ-@5pqU%D9#3&Rb!gbEOL88 zG*>sIS=7)G(LB||r^uLDbpC_pDcG3RHVke1|F}9|QY6D3B~}8{CnP-w95u7+CAH zMaV4*AvZ%u89=hg>+*lCJ+=WP(O!7;#>u2Vu+M~QRTv%y&@7r{N;Izxpa}tOb{2yr zLm3CDYP}K^TlBka3IUe_MIUVYErP+2vPkmC$IXV-djWxDv3p&YEzbv%G$?a*99mn! zu2z3&hIoet>^%f}#SpSzN@Wk8r5dUYMaV&FL`Y*)g50#lnZox+H{*yft(58PkT9>KCOF{ld8 z3G8-*g$~Ej(7U{d{enVcdh_Qt?=V0L=I&@L37Z)j1WP4Nh!!@5E@>9>AOtQSO!|pZ zjj-9UdE)=o+#u*lR7=SMy7jf`*R~fqJ|?h}f#a$!)}vZrc7w>C3uMK4W#4n2T;zCO(jIFpZBbLaWp=5lv_<>y z9{S#qowTM?e-AbE?y=9XaB41kSzHFK42&*_$+z+9?Y#-uP8)WIfIU@*R}KMtobdsNMe z@>G~#R}D5P4E=as!{XIYSYcf@CV(w-eGx+`b@G}{oA@O3p^*r0wDo(z$=;1{2Db?HwOQfnzC6Cqry`V0?=7ZSft9Fu7m@5srOkp-i zh*c_RqYZ8@HPJ>&XGI4(A9SFzv;&<79q90wW?Q{IEzfJKw-2Snwse+upmVPSo!f$G zE6=hHyge;lPl?tN9Wkk}Ceg#&!Z5#V3o|cy+QPUgi}-6J&rL1CCR@U6(=gr^=3obT zmUOTw{j@D_$0dJTn3ElNo9RGjvO^5H(Lo27JJ6ZzK<9w^LR(#*?Vz^<;%$W%*7w8L z^}a(m294nEu8>+Sacw6iwD~k})bHXuhdcPrVe!;fZznp?ne9O5YzI2b{@TiOxdWZ4 z4s`BxfLYmrw;dhmTjl5JQ;J-_VxARmr7nc*#_VYSI$bozdj(24{NPpxKVS!@EpNQt+!m(Gy8b~2 z9q^2QTi%v+prc{25gqPRy}onjdwb`4cX#4OJz1JD(g4U?B490EZZT?)g ziD!}_9jWSWkgxTB4QAPQ`|G9}y!v}l>mG8jWE<4bEY%bS{@TE>sdB9Y%v=YU+q&V? zhBsunpbd{UFvxRHZeU*2ZHHuN%iBqP*0&7|yzzm|w)R;n`|JqzY1-#LOzfio(a1h* zgcH3;P2vIaoY7%AXi8^U2R}F|BN-j|D~oi#-2cU6*BE!h)|uSl<`W^?ZXjARMaj2OV(J!Fcn7*Otz`4mRC2rZdq+Z_7IP!L|!nB(fQZD9_I zud9;xZg>WuY8wW#>NN#6=B76(?-GJ>MLaKjTXdK_9(_F>U{KB=7<_7a-~Q=+UwOx))jJQ$G_jXGe*H?~kKC7N0&BE5 zpYPxwM+C>ag7#E9VhmFKMYVW3S(3_X1E(u52xhtVac;V{%}nf`L{8{5HE|Pjp<6vo z`sTFGF7fbO6NQ_x(vK{B(7{;S8qzcVIPcf7S{C9JSgxBG>jE%|5}O!{&z3dO1w2@4 z+D}Y>uO`lZOGug+&1i9+(b0Bq^{(VTy?jkX^o{m6kdfH^8dwxP3Hh*=M>?)^+3l%FQFwo)Yn#F)Q z)pG8FJZ<9+Fc*`3o+fbz9bE08gT>M{Iyj18y)@WIXOnx@9&9iSZ_l^!1N<7^ZmO@w zR8I#nc57b6z8Wx1<0vojoeD5I4V)(;2Y$@~9WPfsZ?r+Cb)i{PI_K1om;fDoV$hfc zotrug2DmZIH>VDGVRA|EohIvgV%5!L?%yQ7(dP~)<0+aR5*z$mNKZ#Q4={~i;}2g(kTErnqp?6~BywV0>S zPX|5gCwDgQSi64vlp&x~gTM%i{=kI~6N%kwXD}XDKS5va|DuSS9bgcuEuHz6FdN0& zZ5@N?@P?IpK&1GwA^h>|0PytZNGH|ym>fu_kRa^U2QK1YOw{?N)WK>@5e}1J%9tV? zIn*B$B|fdwX;;YmbF2d&SNO4SQmpHz=Uc%iG0W1gy&tLb()6KFf!n2=K_{j6!2M3O z*9+yu>X_JZ@N9t{-?sGq$hA1Q}N!^t?EI)L%w%O@t%pP{`bKBGhv6F>Ip zNZlKPepdOIIg#Ib143$_qHFbWNStqaiNxlEUS@)X7i>m(ojRV2@^15(Vn$ z+0S#qwcSfM3GzC#5%noOB%O>v%0=0+t?6@*YeSu9&h}%?ulQj2mP4=sjHaWcy zR0ka8p5JGb^9k8^>!2iuOZ8F)cTO;Km-CtW5_{O_#dMy^&KwKbsavfh z^`!?vcFwnTq;)2H8Jx*p1&8cy;bsJb>}7E9hK-EgFDw7`Fv2M7?7m8E&^0~w=`dDW zXyIYSx8MDlnfYgxnV9&wfX-d$52zsxdO+rNko>ls{{gMp_#=>p)i?6scnF^BsD}yz zN22&kkZqHw{f}%p0H`3ipTSqRxz!CAj|gAv7KYy!Ti)vZW?P|_^@{(^ z-t#D4bt&`51&z$mBN_c3^WxL#+gBGQ$7%qt43({b&2XmqKHz^(QdJ2}r>GbDU(^VV zGr%`kNPVQ~MOCEHR6XfBeP0l(AQNyC){~g*^y|ho;04FG6pF~8_9ZT%~^(k9**|q z8l@dhBtW`8rG2(UX-66>?Kf&jPY)t3*n-Z|!N>*!bJae+1=|OFP-QskfkBkZfa#t9 zvz#%TE8DNJ!pCORARWVO?o-J&eI-pPaO!Qe>62NTc6~C#G6&(XmhGV^=gyz9o%U`q z(a$!G)06@alvxilSIRsPcqq#GBr#<>4~2cE!W3yrfd{hf-ztjWt$>H39BKL-gDrE@ zqWyCcMJU8OFSxcac=7cDs=;)CfnH35VVLK3;mzhNp4MPGU3gn880LOmbTAbat=wQ8 zobEtpgD|({&DPbCy}`V7fno02mbWf2GaYo$zZw{r-KgW7D=9y9e88!wyjN% zE`U7gKG=Hkggs-591@3Ye&Oq59kH-!UAjlj?171orKcvCE_nZm*sV5BC5o|kVj{iQ zlk<|&qb`l7b@U!SWQpJ>0mHzhlk7TrZDe44G_81?{wmj*$LZ?^>7^fMxgAnICxx26 zY`mlqG^h6~??>AfeGF*oJeuPEyVZGK%He2}kH?f$`%7J2Zuc#q3aQS1IUMp^Y6I8Q z4mmyM7hUMBHXxnb@Yz+qTOIlAs+Xxg`Fug%SG+!b9kO+mk8#&Xw$Uf^f+C#1%K1$D z^b2ajIAU zUMH81CV4B1=bpCGNe0#ChHIa*#vos*qiC-l0&CWTloCV2Nno`pqQgCLC(X98jqK2w;xnMrjia~Cb~OFe+Nz09JSR`WWFJgT4& zu(rF$7Cc5AEKMoo>#BH)X0h-KxsLz}g$3PXOIj=Zew8a!p?i&cgUmhk;M zvZB#GCOKEBY_+Dclj`@Ub>5>r|C=)ERayCx4(8tnbS$S#u!IMK3-gI*w52yEWG97( zkLB-H;9IW!@8!Y+jX9Oy(D{@edIpYzc^1Eoc}V9osynN*niY9?+DkY17q6Z)|p_RA_eE4_dVoo$eA&w)UR(-(Ez7cYBc zgL8sCrZYc^NPbcA>?~>J}UgD z)NV#NjtdT&(?S2+C|CZ53g@LHKG=he@Qu@IPtZ9b-ub!fX_dLKsx}vtKd8EsIxg$j zp|YcrAKWKZcUjMYz#Dw>`?Y+VcZc}8EgIllEu8Z@)7}njYkAH$b?gCNhrr!=R_9eZ zkaxZ6`JUZD<@qoX{2f=>GVuzZmsNH~XK>}Xt?Wb9T^D>xgS^>J)e{G$L)wpzavfkt z>{E5@R=w%Bs?DT)XHxAh3eQwHZ_)Xjt~t|(59oYCXS0JYC)TNt@I8}_%FoCbW|V(M zcE|n~bXeP4f+Mz2Ze<%)M$EB;K2I#zrFQVVMdt@P<2y|b@FHiMLnK`LB|2|WY+a`~ za9RE3iq5=$OFvsJyhn80QU1E*y{2}^ay|56qX(}0I=D8xH>8VI!bKT+IU)Et;YDWp zCdW-3mv!7#-Ad6W27IWtCq?s?^5`Vj(T4#i4cZ zUxKF{f<@+K;&qvr-zfiZa*3Z0R6eOT;7)O@%&u2UKfBfLl8&@pob-t%E?0E)%lh8+Xrk$EUMD|0fqwJ#U_@0)@zFhFD)owBz z%XMC%1K#14Gqls|!@}959q{=37snMYHe&f=UF%3ZXbNc}XTDGwIBWGzfh~TG~9Q_dxblN;wC+k> z*^Nv8fP#X!5UMM|jpsh59Y!6voSA#iJNLdjCruqRLqx}}o>}Sub|OX)e#udekX754 zN;uMMc2W=0&t6{312nAC`jEIt4CiPGpr0pm9y8zv*3pnXFYYDo8x5C%sZ`(m-02p4WP%QRpN_!%= zQVA&0%UEvB?4#$XZC|+%zZh^vBQkCsn~|=U)Qg#aw6r!H@c2iB?WAWbv*Gve(cTBN z=v1}F)Brs|Y5CkrH4{)+F;p@e#iH;A_3R&0w|?+EyyPg$cG0dlL}gTr%db9c0N)_S z5TO=Nuj;NB*kqV?P^Qez({2EZMpy1jm|=v{s3g8+?=u0vMO#j5a#__3t86=Bl*Uoo zIP`rTptW`vw`V408oo%;0(9C(KHvLCPFpYV@qWrdW^C){^sd|qyiCd}uIX51QBdsm ze0Vi>V)oS8TyEk3jmL;SCG#f}IMR#w`zUk&d-R~T7kA``2V^TRx2`YFx8`Oui>(`J jN^>T5{xCo@jsn9m;Gw!9ZL=zrCrTJmc>W&%00960`ukPy literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb new file mode 100644 index 0000000000000000000000000000000000000000..81444619e17df202bc55404410244b1b41c9b6ed GIT binary patch literal 5124 zcmV+f6#MHG000233jqKC0001P0B!&`00011WpZ@6CZYov)0000004@>$000000Mr5i00000 z0000000a~O000000C)lHTuY3kRTb`0R0Kp66k{OPEC!jxVEunpzl@8inW~wt(2wD- zae7RrGq%$;O^2ZdYHENXhK2DFA3J-}1#Zw7V~Am4Vi0055e*{a z`OdlLzUs7e&oCn-{U~xUiaK{AAiF&6B85fq2CS0@3uV?6E_ik_qQ8^-5l7> zfvb@NAEbJ7%Vqqi>%=Qmw?0Pi-QR8uc5`4i2X=E{HwSie;GLHPx6vA2qaU7q^!wD? z@Ha8BXJXGaWZ0o{a-m6YJhcCqm;mwUT(>?(98{fNLVO=_f_V1)Na^o_1f0uy{n6p& z8DR9VTu{4<$2JGb%hdM@)e_NOXc^2z&6(Mb#f7d(?^gGi^s4=NlippN%jvMZTF$Ag z)vyL3s9K5Z?r@{%tn;|zkhFHMA zE?&F{a!j-2yq5A^Z-}zG1=_z;0ij`=5M~342HvO>NIATRg@;PCp)$PRy-%++Rs&o{ za1p`vRnBVaHv}`6kQHGNxW0Ow(udR#A@x;U1TokA*|qilx@)VJ7FK+(&SEjOa4>2q zSmDtgSqIGolnVH!`s%gZ)Eli0NAPgIw^B4#6)HVccu4#pzFC$=z>EUZ3QQ?5sX$W! zF@}1HG0>+?VvMV~FrnMKt6t|JV2Fo+pu*FNx~CP&R}@T>@&WG#ZLSy$N|Au=_F0>pU&#CZb5c>=_F0>pU&>Z?4#mpibt zYEcFhJT?s0oq0pjE5;8=u|m@xn(~mkHYDZBr>+f2z0%aRAsN7Vz1$fhTMnH#abk#M zq8#fz&_7kRF&C@O5g=55k&p-12KPA>bG~XVRQ(M{VD1)oCGHPUb8CHlIOkdw&)er+ zJ7U1nJM(OVNbbyw)e9sMHj={S3Uf(BcjgragTnI!LtJ->Jn1ZQzs`})BHEE7v}W*p zvjNqPf8#$PErbZg2>4gF}!T z9D-clVWCvVR!XTlaDJtfsw1!z6@lwqffz1kBX}l)rz3bOf+r)m8NrcWnk3#HO4tC) zTxPwqoW~5#1aLZlQvsX|U^9S?0G0ui`N497m)*fBKlB7Is6_V3H^GSFa*f-CqSk@0W$pwkm*l=On(Ao z`V$}tvs`Jy0Fs0OBnbmZ5(bbY3?NAuK$0+kWH$HOVlws@>^1FjgB` zX}94zQVYZ$6Dg`_Bk!5kf<)dKl&Y7NbRImvcBYO3$HV&=&Dj1aURt@OaDwY@p(fK@ zFu9rc7Noa;Oj@xe&fL^MPoystGo=YgGS#Ir2$HB#f_nZ*4^06=nn-m5B+15dr=gq{ z=K890qg-`vIJ}YJ%?zK+@Tm-+R@@sj0|-mIEZ%I!tQsjrfoVk@jtN) z5)jyC99vTh*lxKGm4EAUuR)b%LWXEoL#S)O!udydvxs3Uc-V--6LULt5(D#Q#St3Y z{ZnVx`$N`5wJa%=kU=`Ra`-g|Oj5R~iDx^#n~rtTy{Fup(}>zRPv||1IZN-N4uC{O zrPpUw$;Ke%eT9zdabV->Lo#FqgH)yfV3VmyR_Eo-_5RXORmw6gG@hISmQw1@P#HYy zdBY~hnR?9^w*d~>2N&zl!Xqr)q2CrmKsHs$cOI25@ zx{^l(3oEw3D7H~;8_UXpKsF#5WaG zNySH-ly$xn1kjzwbMa-7&o->@&QH(nFK>jx7IF z1tL~eMyj&Fs5J#xqQEeoZ?(bWXns2$(fpPgG|19zG;j<|w95y{iSj%3h~{_e5zX(= zBbwhcMl^pCP@3Bo`(UwYyuXw=!#RVQA|Hj&EwD(H3AmIDn9jG2?ht}L5^-FT(PjvQ z&`GMQV~`I_V1VN2@*gDd_)i5&pcRT}PAXC+d_MpSQ~Wmq?c_cqbaz6biUKGBb4+6cN|ESYNe31EwqP$mUgM+p>gGOibw z56?G+URbtJ0Nh5zH%_7v7#f#4is5!eqKP)SosHWh60(2tdjz(z2{t&!_rBVPn;O7i zB4%CEGM!*Ez#xLowK62$+*xImTUKE3OrpbX2h>M|_5vK?(8Qq&DBBDja9ajRv9Qe% z9X?)QmHbfFuqzW*b{B3?z340+AOITOEi6!U#O<8~Q^iOUX`2XTjBYa|8o_Xmn;Ud? zH#G66tCXYDruhB0f%b9cFjM)lKAEO;Zj zRYf|pn(5yLOCn><_i>4 z3o{)sRw$a<9&9lcEAV^|M9AT*0w7Q!*AWp$TR&jva+JG3Bu!8d+w^;eu6XDsRG9&kQt zd33YoQPLF%x_`$q=}gWF&W9}GH?8hDBP;r{W#@0K?mx4P|Gve!WD!4ZbvI2qpHt!H zw=9`CBYX6;<ViGh`Wc ziFhXuIDcvd*s;`z^5Gh~7DCIImR;$1NT zFo@=Sf6kI=cE_$Ic_7oQpJt&pqhxwy+G%biOzn9%*>`Nmk_X1Wlu!r((Tsc}7Yfd? z=SmSvYmBa%_IHY+pIcGFgiRd47`;VyX zyvYONj}i(YAfB@9G&lJVTiw5Ib-!S7e#PQ^+#>$XBAO*=-BR?V)%^yG^Dh?XCoIlC zTb#FBoMS@*!r!g#<`|jP=2=ToPUF!$U@7`V&N^lz7(g_OfEhZ{GoJG=T8jQ)aemU` ze8XCgS{A3N{jZjy-&@_MEzX>g1A_kpL-HU>&mTX8q#};Q!W8*KAXeO+`X6w5F$nV51ERT&8RH%!Ko*E*rTq|E;|H8q;)D|GV0cSAuNvnARtYk< zh32Y#Yi=k!GKVusH%FIyR6YJbJjeuvdPXWUx*_Bk))F2VPa70;V1Y?cufIU~{lhTN~As`_5*$|1-7G@ciJ^+Ni%?duZ*<`F`!;&C$KJbDNL$YmKrt zI$OJcZFI^J&YrH(e<-}sAJy(`?%$rSeT}{6_Wmy=`-;8md$-oJucYSvA11%Znr32p zjffMk(qg!u-pj|U!zWJ8mnV;Rs_x0oiKFz_0rXvd@#L}UbBl*s$2#qk$CgeW?jC#m z_2+)@HJ286&C`!Fw@i6TAn<=`S6rX6UmCji)BhCQ)}1 z>gh`kb#ZlLjjBkoF5+NM@2?S+pB)7|o_;$HwrZsJ4uf4ZnwK2ynX8)EF4!|6v0-~n zUEfi#<8pB|gMArQOM|70-vNvqJ$tSM z5ev%ov{uB1^C}b1QC1I5^UD~_N*-`xDN3II0ssL2|9AmhlFw@sK@`VdYFb;H+NP-( zOAA%0i1p@03es-Z$z~+kS!PC>J&lNjLtNP~X2Qj0qy)L~M0BN=v}n z>7AWvME>Op#AQTUJx-iWlO8!n<5>Cfd3XOO%zi-&Ju(s6pj7xdW3`N}9%*wYBixEA9^OIAjB@gY*nL?RQ`5obp7_ z4Zw4V+|j{Un6NCW!HhRezrAi<2gIh=dY~0Gd!Ekw=4BeKER*cb?Pgo~5Pg#+^kdc! m(}R^K@3G_^`=gd9V+(#>-yNR*^}ei0RR6xVwQUV literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg new file mode 100644 index 0000000..1a146da --- /dev/null +++ b/cpld/db/GR8RAM.asm.qmsg @@ -0,0 +1,7 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1677601285636 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1677601285638 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 28 11:21:25 2023 " "Processing started: Tue Feb 28 11:21:25 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1677601285638 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1677601285638 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1677601285639 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1677601285947 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1677601286047 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1677601286058 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13100 " "Peak virtual memory: 13100 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:26 2023 " "Processing ended: Tue Feb 28 11:21:26 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601286355 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1677601286355 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb new file mode 100644 index 0000000000000000000000000000000000000000..33b1b52c93f96917355f577a716e46852d0fe131 GIT binary patch literal 787 zcmV+u1MK`1000233jqKC0001P0B!&`00011WpZ@6CZifT_00000073!)0000006PHy00000 z00000022cM000000C)kMRNqhAFc5C|HQ0bLG);`Bd)iyuGzG+Xi%MI8l(DrH;tiSf zTB12kR@(^vdN}6{v=ys>Q!iic?ECJEed=yag3E!dj1#)8fLh!@_U6ZC_d`Gz#tswJkB{+XiQ1o^D_he66wkbKG1+`8_L$yTN zKVdg=6;nVTKx~WjfC;D9DRZCtos!S=Z5-iPXzW8Pk*F-k|C+dZZc?;_DSi&zu9hWw zycRgdn<87%=-lYbfz{zwDtR`TC&{k8^6Y1& zI-S#p9idWUi1QEoGf}bdico3gU#f<7y4Yq0NegWhulykBnmDEw10$gfJ%iA~D+msu zRyzvlcL0GO)Oq_RL5Ge_(TTw?ks_x*5O8yjzY+AZye#nsB{WAm(RXvU+wzu36X}NA z#!+|yCnrp2W4ue2&9>Sm>YOf%zb|iU!Cofm$5M~Amp!}m}@0&pSL0AZgLHgbNeOz3F;vvdd7!rVTc^tn$s=>-6 zp~`|oeSIB+BI83GoqSxOs#zH#fXcRW{F%oLRV@frjjW1|K?A5NZThBAn3*C_Rj$5H zt}ZUFE>Ppx86HEK31yT%RKn!yu#5h+US6^4Z5Do?fpp;BV{!vjN4KfqvMu@WD RkRVS#_jssk00000|NokJR!#r_ literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb new file mode 100644 index 0000000000000000000000000000000000000000..5fb602ff5f3f8eaa60a3951daeb4e139a3a8728b GIT binary patch literal 3158 zcmV-c45{-J000233jqKC0001P0B!&`00011WpZ@6CZa5ME000000PYI_000000FM9w00000 z0000009FhD000000C)k^S6yse#TEW$JokF;hU|_vj@JZ=X4m_ds6`=FB@{tA-kQkH z16;c)Nl}DuOyX=KNTZ5I#xl$7%1P}Yg>_0As48@0C61E^#6v+5YSA|R5m1R5RH=xk zB}yze4^h=83kB12*A7C+@ZOPTXYS72Gv7Jqn{&<;0J^D1O;Tt7rz2m*elLypug+&M z0}l{@3g|Bysr3S;3}||?q-@}M%>f=;pp^|kaXp&5I9`XN$QYw>9matp12{^{ZPZIZ zjfOtp&%lSNa?~W%9g_M54x0d$>Y4BYuxxdV)UtIim;ugMpa6E%n($CJUf_`v zqt;9d?>jLVni8tWw_MYR2t*J+z+aQFv$Rw~vmL0UVQF{{@PER?V+`hufX^}S%3v9& zCZ=qe^JZMKv6!)mSNT#));AzeL5vTpCP9Aags!pB62pSL5DQY-JeT9Wt+nx8uw>rD zLeow$zD*$q%=IH|Bb(C;bV7ujJQWSpBIF48FimHHO~3&Lo+L|TK z=?;`_yN;ODY!`AFn`}Geb5N167W&rY%lQoEdyE+yVBtkW%w{&uW8*b6Q_PH4G2d>@ zIN0of!F^SROdT14z@P9O#^x0#J?fV_m~+d1iJSySz^RkX`@6UraSntlI<_n+&NK?N zt`H=^Kj}l*-+}c^G|wXVuMQf8Jf7z;T>=ZjrT09tO{=Zg`K$98XmUq)+G_guKIo8X zG^GrQlNDH6L$~MCntLC(rr5GoM9daDpyskr{RSazIRYKvOUShq*AephvwfVRiTy__ zMl>!8P96ved7xliLH-CLbpkrl1K&iq+tnC`-)u9)b0*pZwy{X^+BU~y%)1RNCZ@Z{ z*fzceV@x&^qJCSw`nVf_-V9S73mjJr7B)=LVXRKJD-I)_XSH{9?@^M{ zMx9#3mRJ-9(Ku`T3T6x$b5!Clg#j#MzwTF%9b&#vM`a53v0D8>&iOtWv3-`O`dyxh_}gK19fw-rfW%5OF0w79kY*lcH^A$8Lh=({?NDCwv&l9 zM*0D#>e~@uEQaPq?u?V|>3|G%g3XCP)QBy+$z_#VU?YC$$a!@abTOmmHFwFGEgZyp z@ylsd)=brGOl!`*18aJ^@qswsh;wiBTaXVXvejoXnNQW_F{`E$=f%FX`ZVR+@j;yY zO%S_nabr&sw<*HJ8MZjNk^EEgcTn@h`Uj1k*}#wg5X=x7LLIeepk5-lokg5hFE=*8 zdw2@-Da9&cvX)?$b~Fka6$+IphnFJ-M7fgGHdQ@et|f0?lo;bgQZD~!zd4@cq%Z$t z)xv3k?{PkzRCX?qmpZ4hU}1xfQknG!r+|YsrykS2bN)oJ=itT#3cqm|0mJ2WOwMW* zu_c1MIwWOe^G|c-;a%dxOwryS^KE{w zXlUiz?o>RORmiIYDM85RQ@ue2+;SNdDP|Y4_>6G2DLh;fCJ&tI$9qXBtWt~n zt=d%LjrKa82i|>5XO=ZgA|^)pW)it}Y*FilQ=}W3m5Lnm1`8-E>M%X*Qhxb?YbYwR zq86L(qlmZ~6?+VJ=;=4I6tGP_?BdQ5u)yP_N~=Ytr`}6C=6l1pZQXA0aE17>XTo0w z4-<|oGu>ttGsywlz?`{_MnuAmsXh{UnmpzXk;Wi+- zCF*qESK@ix@DCG3RA?i|VgVi$-|v<1Qp$pY&|!6s_%V?9oG&-d0pB=YsMG zlAl>;QnIwHT9Uf9&5_ZrKP-Z?C9|6|o3R)@nJ#t(id$`^*cHT_Y@F#^#zS^XeGzgt zHiY|Q+WjY0NR(m%q0i7|B=)A+Dcc{3PYHr7)wl zyznkAg$Zm63|hlA)J$!xUg(!oPUEs5 zc2X2_Ry?@!8|iRIiy6=jMKN8^bS6)9L0n-*Hzf zX4e_M!}h+}x}iGE3#waKKoZ@RvXj%4dM~l1V8UBPoKG-L8KtpDcoptmO$b}|F4GNV zyk@9Am-j$@%3$ceT2Hg5q;0lQeO?XG)=4*%G@!N?a=Q!eHHCwxUdFwQOk*wW3)_JT zc{huJ;X(S&kT{TpOa|$ryX*p$+nX(6tL$824AO#;x)XhHY&}fSqD7%0M4b-*015C{V*fF9_4|-Vk|mj?fTp%=IF}; zi={x*mxWy~WBcGVa}xW&>oN2e2WzwYl{Zoq^`tO!numkL-YQc*+f`&ZpMyTOQwQD} z$cMYk#Ba0OCIvR95$v)4Cx%(_4BJQ%6KwmS5xF#dnW$#m!P{`Kp4M`)@>#L@3BnTX zq<^Bemd%L?=+59=;q=r<4CI>(hY9i%xS^QsalMb}YpjQ=Jf&+ru3ZAf&m!JY(&hx7 zpo%odNk@E*=b2Uxopz0RjW|$X7%frAW2cXlV4s2<&FJ|Tqc2_9L#U5tU?hWEgCVSD zIQkUfJ8DiNde2#*;9AJ7oD`F)Be;v>j2M!!SJI)flvu*Q3WwOjOqbPE>F;!L{8w58 zymKZI+R8Le_He${F+oD&c}nf1e?;)rfu_WkX0k6h?83e%!%-IcaSV;*09|K+v{zGz zq+Bf+dnL=LOb_VkPkHn$Wz{+NmD*v#+Qr$ZV_QPkLas$u#9q5fW|3 zfd}Z=ZZD^`2=9$@Xh>fcdTxWz4*|c=BHM3Nn3vAepd8u?1<@&y0@O={e9-vA^rTwn zQgJ26m`2`&qw-vX?8?(o4h`>t9H1Si^_?90ew)O0`lqdrZYab500030|9AmnVPIqs zU|?Wi{J)c#5lAxuu^K+FroNUDOF w8CZZKFaRRw?O z(=Em)Zj=0XKBx=bmVCzl;`(e^57b_=A?O3s_1k>UBd?@NYDZjZvS=N*8giKKdUZaar*7ly9* z(E-jowqsDiOaSrb@Z0rs@49Zu*9wdMwCXUot)|%BpZ0T!ok00kV%-sIsAU^W-tK-LZXMu5M|PH zvs-(o_r_EG8hW9--p8=w2u!i)Ii6pD-xiE;6gzA z$i+o-E6D?H;V7jzS8W1WVi_OifS9_R)p)A)1!Ge8YCLdCjdMrw-vZ3jtH6 zYu{!}y5*pf`v-1VG=xx=$)P3Q@&;ELh{&O@QC-E z>%)3xn!0$V*3T$OBsr(CB%uLn4i%CMD&lZ&&vxiIn&g43S6f%+T9CZAzMoJ$KC<9U zmv+IwK_Zw;;8q(0w zAR{*zAb7!|cWOc~sUF(GeQ!=`&Owg?+y_-Wb|E+=1scGWZG!!SA5YFpdV5s(n{z9V zPEToJ}HiD#X0`c;Cl^#nbNo~637VQ<&q{AG2QRu8UI=Bz4{_@_G;5{|3 zLG!bw)oG5xJK@@)C$5``X)O*z1op=CJG94N!YK1~?jdION}tko=&)m92@Ynn;1LX* zBe5dkaAP|ufoH)CyY6Qjb~H@IG|@s*+`*xcZHcHr+Qn%24BRCA=VH+JXydv>x%d(a zgGfb4FGuf2!^RnunnL3cpCCx_ZbsD&>Y1CrMYOD}?}iVI=PQ3=4)_2zx8?RDww+Wf zo>HDWx7Otf2P%^W@IFMreNM_Hx$K~@-UK+e@=<#3IZk{6jhD&>Z0;<5asa&q4^p^h zKq{v$hfT&I@eGnk!FnM`11koN(hJ-1&PKXGKR^-$No^Nq!m*M_O3k`y1@O?xVIbcm zbdD{NlC~bGvYs%FIW2~pHF1+IxhPZj&g8hh%y`{pS>O*22Sebocn+=1<1o-Uu6!Dm zO))vp0ojl(h~IFtw3u888*U!&x;+O!M;UP4g$!AIHZmB>p6ziSWJX}f$&NmQnw-S& z<89#Kk;%lNJ=39!1X`kd2PI_sz6}|wL>7O2Ka+ukADJJ~_zXb=V)hVZ+xJBG@fm_; znyvUcw2x;5(vO(#oE5KIaWFf*kPZ7GS)PcvGiP@2iMWa??*ZO)=kTr#wu)*a#*!+D+iaq0>#v;mwr5Pc<2 zP4W~Izqpk6n7ex)aAB6KTs8_&M+8-oDyP{k-5W~Xf~w)g$S8;WSN9rL{r*f?---b9dxVum)${`BN1ixwSUWTRTt{s$e zl2#Y(`7Xr{hn6q1P2&kR<3gc`ds9j4 z?$z+^?HNOJkhrew!L_h?E3WX4b=PLL@U?aH{as1N6V!eMOYMT$!8MSTq!||mjpO^r z#;ZicU>A8AZsFrmTg`D%*Q_{!M(d?c7WFG-@ zf!WzV{N9Ivrk5bMf3+SYb)~=!)kRZW2I|nWh+=X1(Ypze5@%oiO|2 z*mE@d;Sl-Z{RPqmfAHQRLrtzDsh9;v3W+|wK<~Pdb@60k9(A7$aBY2C2TS=0)35;} zz7s$sJ3KqX1p3q&O0K!3Krhv7>8EJ8OEJ_n^nTpuAg@$c- zo7pv-giYY9fKv7LK?}awVBEz&5PP-Q*Ybt^84^%0Mbi}4QjJ4p&WI0ul!RM2fbxJ@~0 zk_Cyw z?{$)W-u~e*V-i#lyg<|?=nINY#%${ZSb4X8<(>hXXnKpD`)MH%;DYmA@xhrZ_e?a8 z9V`pZdGCJ`-^GOmsa)XDGg&#NLm?BV!YJ@lb@*W~xD4gclx^>fD)P>R!rG{LezY7Q z8&+o#zKiGQai?qHWke!Le|`pPTB!j7w?&*0Np<_V=_)|zdzBoPFxWOMH9M&^nj4D9 z1RL0b0U;`Q=NSSyq#HAao}pm_lF4$LZP{U;?Q+MamjUAPTSRYwZm#8vnk$A;o6{VI0}6_Zd-1Z6lQ78Fb)8K4cp7XMj^{xXHq!y$wFzEWd{V03f2*w z`QTl+uTi%HH^z}jMf|unU@*9>5D0~MQA75dme>A~B)&oE5kknpJlZ*_OD&P_)6&GxO7c?!X^vSYE4?qoN z?AnTGn2}y4gjTEzGt5Mqylf9?;8uz!NnQ{S_2|CE+!H@t0U)H&fNn|X@{Dh5kNh#x z7qV?mwxWwEL`d_#2C2zz`X_U9_zgkR)Bf<89LhN3S@E?U*k z6*}?V;!pLh)@04=G~n00^bezWsr$^MQKHluN_E|H?QiL)+mOt@rLi{{vMo{HW$Q{CDd5H}VhF%W$c?D7Tlb z|Ao99dcA5b!vp?}Y&Ekw{{yuou(ynRVdljDL*jn~|0mM_Et-Ey@;|ls z|JBmO85}c?>&T^Le^LRN{nSQugF!#X-bEM}vdEzCSGD;-UNe(1;i&n#fCH5+uR>PX zn)HlzA3qW(5&9#zM&nv(K7B&66K;W*9v*-!WK4 zW~p)roNx9{j1&UtnI%m}m3N{yCgJQn6Obq-V7#~vKeeUMjkxsAV;%QXpm?<109GSL zmqp4n!hoiLm!5FaM7!I+k47?=&jxpCwQ}@%P|Rg>e13TszoZ*m3!E%hDx~%lnJ#1! z3(f7ZnY$(A`7}28b8l%@@>vDn}>Ja@GsLFHT2A-8DPX)rP!t1K3{ z)L?{vA(8gt=VVje+Ihujy=zjHg;!`-2g2Q0pA$rxmxC?|zs2j!-_1*<-vh{{=PC)f z8Eo5gI%5i>#pt`(dJ^wcC59)$3;g2^f!XtiG=F)tdW1!&u5k{mN%bEQ)}L^*@Wuaa zxT;7Jp=L0dEKSLNLjR1m@~>HULt|gs;kT$svcgF*L-ixwVm@kL`&{S=T7tFM)W|+* zbA6Uw0MQVVt9DLLnAW)x=*+^R{zrk8FD2#k;q>)>*oChq&QR&C?;xf(f|F5QD3w1) z@{Fi;cw_u|%D$bek@%B*=J*JiI@<8Qn*H_n5AEfSSJUp0E&4WAeQ94x9`SO=N3a@J zP1ycss-6D|yC<{iVqN!!;B>ejemuf_I)Am+Ob^Gc;N^W)SYk16kA~eP z>_Uk=IVK{0htUTHCKg!*`0$vYtsPEkG4Y}24pBBD{vtLHg zodR{*ewr*C@<-U{^57$!ZF@`9F8OiE*o(of*HaGT!#$#UK9I(IA2RnjHyw^gwQ_fW z3z77cP2`m1gZ;awN>_zFigZl04qCzu!W7?n!4zE)P6az3nDiW}wE3w8a^kUeqH(Ja zG*vP+Pc0D6sUHpq*C{`R!g*8t|kz{_G|;3ZKp440Ci9h*@T<55V{JUhh7dY+j&8N5 zw*a_*H;r)Zxn5zdW6;b)DKs%Bii)mTJeYHnt$lt5ikJY=DBChwSa~cRfoPYNC z8J}!-NMN+_^tA$F`YSU}@2dlxXMRjK3h|r|X56$3J+4|udR639aqsjqLj^F>c$PGg z)tA0%;c@NX1G(Sb<Nz)#-_c?j9I903}M-16>>oBYfkcYc3idm4~?m&~j&wxF7> zSK?f|=k&JnWf!g3Y;h;2|8)aEC{1BO#LzPUTE$-Fu%JMN?be7_;$S6nNe{wZDZtOu zx6Ham^zHG+(-dV(E^69_N3Ze@Ch>dlYkOM@wNunFSE58OdPerX1siaGNb%O0i+!*w zzOb%efKBf(u{B95Wr{>x4+lklc+D0W)0ItBqFxf3x-V+AZ%^2^y6Oy*le$P8wJZ49 z@D6v0q&~Kg<*NC5(fL_J=I`oJY?}tc9R0Ca;dyB#9=T@$ z>I8A)nvUNs_FMi9b;}4>&P_Ff#3EaW?i`k>`@R>u0d;v}lV2k=?XdGLT)3ao;zrO8 z3S(VM*QTg`xy^vDN-cxjRdz02@#X2c-x5{q9GdIxuE4JqOu)41d_CTk*&$@*9CH|V zl((0a9%~pQ^{!+p6F}I7n&rVgcPzMIFVnMltK!ePP3B3?(k)iz%Dtjla#Ww8d#{64 zuoKE`c;#E*CyfEPwE*{#0U!5#WM9&ukMebHxUn=hROruo@*3*gcx_c7mS$iB$KdO{ zs{CsPRC~oHCUWLD9rYNpTHhZtn`U|nQ$+Wfs-9CUsB9WO0-y$`lS89ZW-=8)B-PX) z{EL6DMmh`nJ&`OLA9N+cs8imSs7Gz zDao#99d6p;U`6`ic)GT!rVZqflm&-BNA=gAJFx3IPLT7v2hpZ?0Mm&2o}e!wG0ae& z7ikBR@fufkJMB}}l#pM|e-Ki_u6q`ES}Ya`giyW~NWR1K@)%=PRYDT!XS+ZW|Xu ztb;8GnODzF9;ET;z0|$*od%sRkumjm zq|guXSKGl;yO%cl_mppD@tD9y12E0WJpEJAG%Pz|CZrVs?_cc2g-sP3ZPqDv#5cKi}p`bTzfp=4>ogHlX#o}m!5@A z>7DhY$4OTdT1t(9_I$)B1Sr+Swna7hNAU_|*i99<3iVs7Ls#6`IjDQ3wo~ zw=tZ`PH@Pk$#C?XSTLhP^#b;t9r@Mc4qab6tX*XazttJ96OXM6Va`*^9>~~iA2kw$ z$MR#bPm!Y`f!eI*kpkHs!Pz1q;WxAP(bbt%-%9ET}OLZ=1NU+f4K&j>@dFWoYrx z1pG(@$c<3pA z@O;;%?g&!LuyJp(h_EOYW}^I7|9R2Lui}X|nW)yIql%290=)&a1O4drl_ge>=TF8& zDjI)fDKB)hz?d6GKYEm!omM<&NZnlhZgue5@B7~G-nm!WZH(EknIoOOW zHoD;`HtSqpdn6b9dmWZ+^Qpk2dBvlKRXQe^H9Y%}=O2Q;z_RnXEK6scuOK*c^!f3$ z7@XE3So6r>lR4f{1bIt_S6F0TJ4KVbr*9CDy1^d%;#(lHTo$3tn8!6iOw;C{^X1+M z@8)Q5TW}jEf8S$J%kIM2<^@}r>)V zO|xQC5yJmXfa*i(%g530eygaC zyj6Co%4;{>gs1b@#VUFm4!P?Y6x0xRcm2Mu77l`oryV=VJ|j{mdSBxNIH)?dGxtK zi?o%sf#v?)zo2bZ6_H(>NHWMu8YFuU@jUsC=Bcv31%Ag>1FX3VR_kNM?KIGZ)v})n z&%eDTdxzP=^%9etTi|Gr@+B=H(pR@Z_WQ?kWpYfzY#g7})rYs=hbdZ&=0;c4rLD26 zwzr{dpI9`ODqb597ku>H;8G~<&%)!KazA= zMK`(#b&@Z&q;i%Gld>OJi}ie&^s4&LM(iNJ;B&ph-WU zJ94!lYx5(2)i3xA&cp|NPhvCdO>Z>jh7@QRn?-hc@R`c&2KxkDD>&Ey=CNZgVcW#c zq(_q(O5Y(LZ7ALp+m##F5zwB8l3(7?O8wPd*p!ua_A70Yk;hpu1z~7t7PZ{Vj z8-*%95dM&-!=I>>uo;5RZQhy%6 zG`9{(bU6C+*g$|pOe>`AO8@0B?8BqjcIncUPXjp|b^T|p(%k~^e}=YFDs$l&YTV5bwlx_Ih3X7}qSb z<7b6fDP5p68X(OHz-O3XGiShZA%3wWmIO5GAqQMDaiq)`RZ$dALhMJd5Hdr@zV9d* z0H0M!f*N%UZ7uLCvy`pi{?G;;MyX=Sf?Z{>OOh>_m=cj75^T@1mK?4e`9dK=PZoK) zyg+j6UB4fhh~P;R6pMKq6PxLVQ_aQ;r8bf`=MqzgLJ$WK8L%TntO^~;a+I^N+rooC zsxU{|GCnunVm&l!EGarJ%Oa8RQ%4K!C4=~l6^beG8o2x1m;BQ)Eg@CviOTGDCSVdB=EuAna|(Z2fR+r>;!6O(EWge1ab?1ssoG zjAk;lJX$Gso8BG}4u44lugFnvD)|Y}^TP*$RiJp~T8I}{YX@MAbp zG=c%)r@*UFQ+Y5p=#_{Kw0s3YHCEi>OekLeyRd{EQm?Es93ih$V}bej*0LyI(o={a zQSk4!=ntkFH2{C&a&ZfYz49Co>|A95JtqTkY{c5vx!5WaR- z=p-y?gzROSC<;v%b}uv-0WMpt9N&-Kj>m`I$<}jYJW>l<{2jzvN73^{bnQPl!TT24 zl8AY#cC+TK&U7dD3|avOPGp|nk16oNE8H#-efe%!h%JSo!=dU@(&e6YDP!VxhB0xy z&UpS%__H!afvz=(=WAgzC0?tK%LWK~iJqBPPln?onLUR%$IEe|;W0_#0l=p{<&DDa z`!ejkb1<2k63!(KUn6b>Kv&h(JdGFTdhN|m*^^D)!540-X*1}HYcU8eJIzlzaB?k| zuB+*2cd!uNG!z+>Z^Ex-%P&c=y7iCUkL}&&m=`g4SxQ}Ar(8RzTg}9{r>E&_m*u-s zKW#9q=FGljDyoqq`mMOKt5N9%Akb9wL75BosN>Q1PkmF`WRFh$)ACt`&CZ{subrdU zEm5G`7PtkEr{g(kRJF=?Ly0F|^RIL4w!;He56kyKY(`qUn9W9A1ng{#FYN5G^-73E zp*;uWM@wu&{fWe{)bJ2w%8vwM4sTSZXNUW-&EBW$pS~gIceEG6S}zNi3=c1@Y-^P}0FT##l9g|LE2?udz@Y~Z; zG4-W-gUrc=YNR&VKHg>MmC>z%XWgZ zmAu!P^r>@~*FomSmnGfh<_vceS`H`6?+?YJonF_sKY4@Oef4=>(`$b_xo&AB^4IRq z*$Nhkc82pf|8If=f34&@hmOxH#4Y>q_7aadW=n-K?yE4vkDIEN8~%|@+yCpdZzUwH zla>q}sxS1v(S?C1t2n_tqJA8&>q|+rFJa@F`tPdP&TkAnEcQ$=DD;#{pP|7|c(L~j zWzg34tH&E>By~>FD!`GqJe!vCN^rrsiP;ZVJthN0bHwnM z*7@OfbEwHqTLuWbeXFZd>+d|N{7NJk6IWl@l^gri6gzCh{_w5yG%3zoUvoF+p;Prx z*J}*2j{EJrAJ;_myr!R6i*{a5DKvR+HS8qsqkp^0|Dlz7^ECAnt+<)xS?3ux(`s*p zJ1wi)dyneD_m5aB3$kgMd~eZWT&fVL3bv20gyvAzzq7_=os)#Q7#uzw-}50#zt`#r z051D9sx9=el(D&qFHT#;>f;@GQ2>EjLl&^fn6mkeB=C52pl< z^RyX%^ukbjmIV;Rl`=&qVb*FZIl4K^#Fv9vH9xwI-(e!|Wn^=FK0d7e@l@+(#mncW zS3N`;Ub*J)%wOjC@nGS8bT?c0N2lW3%<$oc1#C~mm?`Tm_Moeu=Wznk@<3?x;AE;) zXX6S$qCE|KcWZTiGzi6Be>dwh&V7_iv1GP1YL!_WStI-vt4{_`ySrL@-rS z#m9l&Ct~)fu$$?-{l^L(Pv?ZKds=veUUIUUoQ15`Y81ZmJz}&)al=q0FJ`#2z5wH2 z^O9c71UFXX+I)d|i>BoP-@}TFa0$pVd_uF`Vq=!6u+7II^uZ5JJ4PQ%cj2_PtPUtS zlTo{|DvC3$wlM9L6zj6i%h9c$RS4vw0=-JEvqCoF?L1Couhl>3@MF!Qu|!@)9m^zZ=^z+dWA*r~p=kL6d)W`s{tCXlE;AeC+`=cVgucBxq zC`@AKuR}NOtK5X(uaAfAj&R4xvX4Qk5%n6{xQ9|+3Dl#*V~0N_g}6+`HZU_1A3_V> zYSy2>jdzlkck<8}&Cqo6G5XXwjrMo^U>`RqJxP;VX_hic(%>lbif!uu<#q+l`U-nl zbZ_{19Q_)42p{+GpwI8Nhqm>_usxh>q4>@tCVLg=Val&*!32)Wj~29M+LLrFU#BxG z$DKS^L2A`n_0Z+LG<;uL!sIS|j@!u2Q;X4W@O&jUR`MfG{v*yHFYdov2(=fTm}hv6 zp;dRz=~hgZ>dWNPd%&lEOTP_Lt;x*CB9+G$Tg+$T<{3Yk;7sFxn;lSl5o<@AIvVtm zAd10m(_R-pwbI@E5RXBr9)mNQmiDLlG2w!I(LIzK;r2AZVOD;V zbl!B+lks^_cUTnY`cyDL29d1!qT!x)b;{{vFQ3NxFH6&VTWOhUv7mk@@rMcbUpHEc zMVoOBeTZl9mSja$)-GjaHl)WDaAyFiW4U<;&A&+v`Q^pr`DvXM0XbDU z#0k=792OZ*l{PumIm9~xU}RgW>ichb#ztG0JL_Ry&ezH!L|bY<^mg7VoZu-S4qA>M z`@JYM@ED(d^m017kP*S8`|PGkc2q6b*EM-85PA&G>5lt)`e1%GMzgAN0y74xMcNjb z9IR^TPbFszPQN=XP)9-cl-2T+*7?cki5Uil?RN4B3B8+??$B8&a+A@r8#Wm^mur;~6D|*`j8Bjx4QMqzN}= z-U+;pzhzGp)w~*Q6Lhn3;K&L-J~Vgs=HLx%mvG17(XiI__xlee7njY#m@?AI4~VRi zW`AzoYcWxMA+#yk$a$b|;mRx-_E}r&@heCg8hC_e)pVuK;2?$7wORBxB`?}CQZk}g zG`>ckzu}X;yjv38#-7?9aHBrQXzAjIWUCosP~mTo_{a=A&w{0HA#|svz5S{!F9o>{ zOhK<8Y$K0TyCyEZR=k=AZBY%2Yf;;I(D9-#-k*6Nq`j}jL9Yhv|S3h5`?bRK^5QTNk#_0P)=9Lfkier?OI63UWH zm~;S&VKeXP=Lxu12!#ch`C6724i~_8)g|}BbcNL|)P{iX4)345tsiF?&26K)E_D6b zkV-?GGiN8@tW2d;AUs-{Q8u??Uv>b9^)jDMlGL(UF&hmlek7f-tPE9Vu(iwF6u)xD znAIl4>UTpnlKkf~MyBq{ejhI+%Hp1?l95nC&1HdSbHsjmozP86kI+zq)Mbya@kWY# z)P+t>w4a+VkHDCFvyz`zcC~5#_(WN+DzvMfX?z{>c1+UNa`%hM{ssFJB8J7 z>m?i{^dEEur&@+69zM6}m2@xjXM0b5STP+*XtZ{UAspQgOd6gUvr;_oDIf{W6RK$O zRI)j*$-x*pZaw=OOCJyYo_Lqr14V(D@TNGkt>;Fm+kT0ZyfsxE=_0Q2Qa{%3;Ulcl zr3fyY?hMui3fG6K8}7eg+V1yiFe_PZXZ7$g1;8Qo`kMxob|Lo&!%}vEy)IF9$){Je z)haAd3Pq139l%i*rT6MSU8(h3;?%NPSX4^GNX7G3|?|SSoW#Sw$GJ8ha;Yu zc4h8Ql$s-F%!2VjsQ6C02j#PRpvh2xBTxMTP8!D`MA9G2_DsdX-@EX#uFl@SC#Oz% z%1lOztmxegq}tTCHoh^K@>v|);q|I;_SlI&D9NptbBC0d#08&Y_kwmpAT-v};R!7L_475p0eT-IPYOQ>Zu4#ax;jjEJrB+%r%uh;su%XVL zeWCRL9ipa?89p2fF%@;1sr;}ffX(Kv%+ga8d}U&no&VC?L~Fah6vO~G=RBi?0S*%Mw?|unBEed}qh2`cfkA{!E#LWR>I>P^`55#bz8$AbQL0E^x z^^nm9M8xIb8mpf31?(U7=~2OsEhhK+ze!E?6ypRB)G77kj~Ksyn<@646p!g=v8%8f zepd2-;1Xe&FQUn|e${U7-ieWZ)RHh;(#q0jvB3hI9JT1>Js*~AD`IAM%vk>PKljCh z*RHlv7O=7P$nCjho8EC_4XmPVy;ttJv}e0sCsg8k)|%@blBm- zYSX`zOTBxAYAbSi-)|(gxMDePBO4 z^Pu&8rrGW>GxfA}K-mYtV$AB#JAzT06S=-Uv0XluzVgML`os@tnDytVsfy^6+ko zhf?_4eCpGJ)&sT>SPx*fqJ$}Q4i|xlOQo&&3ZCQ?*3^+eD2{Aq1GkH)aJekNN= z)LY}~yq=Q%-Xh(Wi1i*vsiPS?*sE18Yn9jT+^A>*g9daa(r;}|BbyY>EVUPsv0&(^ zdwlf4!Am@r{$_nBo+k3t+=$!IGQVju(p#%^KLuNBFZTWs#UZq19nQl};JtEsY_$Cd z8krWJlzWRLj9(BPcHi*-{&;w>VL!WYLalbL?#6p@uBb=zZBMR~Z&#lIQz;57Fw66~ zz}r$U$lFiI*@;-#o|Feq2hNeBKH$}RWni3G&aOQ%?xKLDK8I;(pf5zh`Er8c z*QFy4gLb|;p1yI`lAq}a!uHF!o%0#pcHMp#pIW`0CdWj z{@l{|VrcApdvEjk&W{63%7>Gr%jG7Z`HJ7YV_<5BYT>M?NO@3KMc&JRdIo!$o`AA- zG9W!UFp_?s<;2dsH|B9P6*2#}$GP7(Z)85%-9>N`axSDm7P(oS$jsFTAKXpiD-?Yz zO?lIzGM739fsJRF{5szwW&v7OG_k+ym|TJjB>Rb=Ddlpu+r5(!wZg*RF8BhsyAi*g zdlYCtK2JIm%2H2r_`5GN^ijwcZqiBifD2x;gSlGQe;QKppkz-74#E^YrGL^qgWo!Y z(Qi7J#}UTVL!8wJ{_#$e!MO6aoziAyJyOmd!jEOCprK3bu?7<0&F{wV{6 zbgHPT;+Pd@9K)UR)Lw@)#W4dq!ml`J9m_K+Y!ZdJBrOi$!sBm3Yj+;PCTy=+cY5tv zhJ-oD=!gD(5>26VJNKI17kSLM;5*M;umu1!SJHVNc+~Gr$5+QTmy5d=36@pVup>r0 zD!*2xk#w|rTdume+?}NX)pjypFb+xe|2Y`JHW-C^9Pifa3L1; zEGe5y>YAX>r}N)NDZ2JoEe}2{{nYmDjbZf zmgvsQk9Nj%Ivu#oaB%{M!9wPex!f(0-I+`Q18NohRz-Xbb!qnEJj|N2cSn8&vMlaJ zqwi6N#V8q`wJ#?r&3nk%=2l=YN8iCL*_A(-B@3(cdb!5Gs2jdi_+fVD?2cPS2K=w$ z2h&IITASTwV?xs|;<^t!+MgH;Ofv|_&Y7)n;UvZs%5Mr$nCsSr%j2(ADe{fp6@i;| zSiWIoaue?{xzxH6A3hutC)1VohrFap6B7N(xi0FJ}uzc z5H`I;cMhe@<3LcN>rLv~oFivvo-jnOf5}a~JR5r`*Er>rO@^9Cj;^? z|Hjfha{`qge51bOH>hSVX970$x8ZXe7H_eZc=q$gbsw@z_njUF=C{GzevF%KT6K%R zL}xABg{QCBKYcjF>?%QK#kj2+4R5+f#w~5$VyCisNyD#R-%BWR{+JhE&Fb}Bp@w@P zBf*a-$D~s!Ws?3KDYn7+wEUPxozs?SoWY&Wnfj<{PXH<=@l5Re z(#YC9m))id=BAikiAvrC>mU0Of1oey#^BfIClg#|Hz@3V5P%i}i1G?z2Mv-0M-if>w!#!(@b@h)vJyQev6w(m%bQ3(%}<>$CC1S!S5AvNP^ z`Y>+^kbO3M--G#oQD`FE+^M%I4sSXQX47){v);!{c|a?a>U8T}X(5xwGZ!|H#h0-- z>}s%DzLw-Fn&sRu#T;2!W`E?@reUrtO3N=`X{HqP-6PoQpGSX66-h35$9F}8*>s{# zj+@8C(j~k^?^q$BX>JH|9Pc2k^HcgB&(JIaPrE>3-fG1Xn7j9$$6ZY5HJT}WIHO8l zU7lsORv~7@rLMot{AhLH(0=APC8-C%;vD*rVROXTQ%ZsR`DsJL=dh9a#L7b4rX!}7 z=OXKBF4B~^Dh&7KP;=iN@;u zc?LLXDC3S3|12>4A%@v@u|*4g|Ro8rkH?iUYO%!d#?p62&? zt`%Mtpq>37^A4{4O6M^_>*sVu=KO;0AkS?U8K*fv&nlCAg;semPs(>BctP0?5T_%a&v&?0K-2S>?3D~y2T z=!2zWE}0^vE)f2!omv@=t}EXh)-Jey6Rr0vl4g87nkxkmF)* zr~xT4VvlD1u?bU`_8jhzZ^YQ$E!v~fIWA2~?!7W-Hz*Tpjr-+@ zmd$ZQhujn3?;ihWlu~rBg@In&KxI!|`xShLv1;%&{u*a|-_XSdZr;!6hgdA^soix> zBJKxY^XK@otG<~utrd0B^*>YjVOj&i&rnP9$lfIXY`!9oq z7A^G$^PY0;pfo1a8O62y3AHIoU3%AEvGmHoi~o@BpDd!Ue^%{J3K;p>YC67LV&fUS z{)+ka8;I;wRPacj%pJZFLFV#;AWGUH_6ZZ_3wt-ittTr$aJV2EhhK}aJ^+G1h@NGMGv5(mzvuDz_|WiZIMZ} z7r(@L(vrgs3HtT_0$_9xnO0NJTbHmtckrK1b$9s#W^OYq$E;lXWUy7;U8Hq5_iDOw zZ*e>+1I0A@;h`_)@(oEQELSgv&^}y_BZ`b;!V)AZX~W*%8OT4$WXY4< zgj$+AfowCM@UiBn2}2?d1|-anxyQ`?)a^FPn{sL!&Hqe=4-y%(WYVj>1Dskma~ew1 z%7@&+QXK!v1-H-e2tq2*PZP!;!ZveJA(-#;Zy5YLh>HeX>g?L z13VKIphPuSc$ng?>vwkh^yJ3I4t3+0xuC7!wEc$+&hW}8);mbJkAh+xPv*RJBG!Fo zj%A?Zl_Iyb4%d)YBKH`UwdQj4DMP>41^l8KSL8Rs9w_?YffzN^d(^c+yL+ml0*z@g-Wn zN(Uhw+{yuTP-V(4HL>XhO>2Ab7z)E|mjCh#flQ~0m`9cHtX~`C$=~*57QGF+FJ9b4 z)9wS}7Ad2cpY^xgmMq5bia^z%OvLVwmJ)f)DNriqsG6A-ECiw{CcNQ*+gigIgN&ovaa0Db?C6U?*luQ&SG9(b<zs4WIB8k5+hF}TDe^i!PF=xEIh84)uqF46!=zj%`fK-%VKC* zEqrDq>M-O}+a+X<Qt{C&Q z)@otIJ8$E#(+k5-(xu>WZHmUC*xDv=mj9GsX-P*9zwAl57F6{wCe$yf(SPyd)^7^b zZMX_#4nyJjcmARX|GFc}nG1{| z1FrchFOY&@NCfkW^at3ZMDS-u$FK?x-$@=S$$GGfzfBK_n-r*oJDtxoYA**>+_Rne z1(tOWtKk1M3+W@qsAbbZfnBi^qM1NlNs6L=}nl&7x;bVoF~(nz*zq-L>C*WdGqIuXW|+ zpO!v|XRd=Hms4}2@9zuuxagT(d%7hj!s?t+xVaH*s{P7_;jh?twYk;<#1lHE;MGqd zd`_ciMpcEliSCL{#KHl2a>#oTZ8IYURU)K< zQ6OvZkvp6@VgMD;5OINT_yM`bLU*xfD&=Gg;Ol>Pd))qF_V|Diu1xqzsv#m4MTn$^ zN%lg53?uGVq20}9c-#cHr_vWq-+Lv(16{)Y zxlF%r{A!3H(3q4i1=#25o-z3rua1JNyv)th({<=?a(Cal zHx_p-Bgb(e<_Q^O*e4Cleutt#eR%n=&hppP@#kJ-)n6!Apio6^hxfpI{z;`byen)3LkL3ZrY=qs&AYv%>*EJV35(>GN5t)9gI6)7nc)@mr_?{$Lw@Ox?YG zZ~7s)0IT6w!XWzgFU3`Ui-y%WN+sD?BvfKG;EJWYcu$#;*tGsbzoyq|hpYVAR1crA z_MQUHAIPKf!qsWFeoBX^`_U-nj+urmHCW8Q?kl=W-L!JGQWJG^t%6p*fSehqVka9e4*=3*8A?3tS$6N3{;1 zj(z;H(vRaOz_Ez~gMEQN1D?ta2^7HJcG@kJT$DFk1-x{!YiJv!P>(u~xD7qKx+Glg zD}-bZP+tXjzUTCG7M*UZe%dY^p~2JE5Y=lX7jsF_(}>1`lOix8V@?|F0<&unjJ6)6 znYXOHid=Uqluz3f!wG0d2-&>8VeZd4_sL*B_Pam!TC+j{J3tQ+prdK^?R4QbE2jCV zyj~A>m)5kPB8}`bTwFfqc+6wd+kVbJ)c9dALKQs^FF1o83jTp}IUEcy?#*m3dOXJv zthWWY{tp0!KzhHfy%Tgv`tMy7^}07_OzIiK6AHZG0=|zzJbe`G0#A?(WdU?a|Lu-H zpP#hCJHTvzpjHrHdY_fUD&PCq3FUV|*@<78P%nS9W=W3s*Om6F{(rCIX`dyxA~=EB zg-svV@gISn9)J2`H-sEUwqdz3NNf3Dh&~hb-@o?FYgaHZdFS23HZV^)tyeVOPwQG@ z8KXQW^MseEq*+U|oyaV^cFw1$3g`7OLeS(}+KyAD6n)Bk1ez?Ur`;H$Pdf*#l3&>r zV`DN1)IX2^Gj3*r%Z2_wrL#qrQ#-hj?uV4qw}C{D^NBNx`MWh6t!5-OBz{`Y!t#(H z{f8_41DWv$mHz>?^Vh)N?#Q8QcruOSA6bDvS26E&{B0FO3-B!QI4XWe`S+lXmK;Yt zODjGX@UfLA-*R>aD=hjg>6)dcbY>yVJWu$b@;CbE7D_8tsY}?inU!w^ovb_}{8Dv+c)0yd6e-vEoCvoQ&%el=SxMRhEw`{;V_l+@A*jZfvm${aNSRxh|(nmc|{-sBKF9+VwIAmq*_O ziPvrlRpDQs-qo|mYd-^ic}U;xvR7WaeD`JZ^@fXjK5E~6swAm^X~JRgS6Y=vje?~7 z9W3hn0ku<+q>n*z;ec#RPU0yG4z(*E)g>|XDanSr#xbOlJh_S_uP=~fTqXIkj;}5W z7P>*FtR#H92#E|n=}Bsry$^r;BdC1K>TP?FtDWm- zBuMRLOuQt#nng-ORU{c!NvJ@cN55X_XZ^^oK-zsK<`%}Pl{sDI*uBd~!>v92Can4o zqVsZjJ!K=gO~3mA#ySUpzpY9`Yn*>*rJGdd;#XW_5As_6>MhV9-T2sZwjkm zvfJgdaNaENVoj}Cq!vM!8rVDIcz)i76_aIRW;C{6)ZCICA9$nvwJIOmp-Rw|ZHI93 zg+yO^sJ4^-6t3?YefA;!rGjfkZ5YpX`wleq=c>WVCB0T`tCUqVcuN}nzbVk)+U<^g zPiFjCq`E!SheDvdAFH$_cmB%t>I~xI1$s6j=ZEaf7W-hgrjmbtcw^~i{T2BQ^&%4M zcl-Q~7+I>dB9v?`m$mW;=E7Et->p_;JlU!q)unS7K>82XwIcWX z)S_%Zi8+;Z056mx{`V`e(rKhJj<+l3pVay;_uhe zh9=vv@uPXEIM31tHj&c2lIo60|%Pk2YEAMMvPpYXR3`3}IV7)u%!Wj9t>>y!TN z1#2nuVtdZ0mG`n&Wh*k?69is&0Y+4$pi7piVgN0R=6 zEi6%g30P9b=d1rMV?S>ec%Isy`g;9NDt-Ul#fX~)_zRZg{{q#C{8!z&xCtj_wB;vM zKc4G&`*-U2Sej$Hc-ayOd=MoQV z{C)v;Vxj+D)G<6Z`~H*qZx(+(v4HN^?2X{$Z+EXa{yg-jd|!97u*cWv@1l?>S^Cy) z&t)D!A3vVwJ*59g{zKul0{&Kn75jeX!7B0lyej-#)jzWQ`5j=g{wc?wZ#A=WTJ?WG z75*bt_&=b4|6cfdmj9^6GG!Q5{tvCf-~77>|F#1D=av6Eoc?Vt{yJfIHa)%ye|xU0 zi2n~1@IQ+DNBW-sdF@O6_bY#Xn~2A&_;~*@|GHBZ{O#%Z1DWyjIsA_}{u_vU%J&%M zznmFw{O#LlMf#h5MeRcc_#A^?T;f zY_ju_HORM5a}A#Y^7b z+5QOrLt*?4DJ`zk=?iRnjg!y&#~S2g`+HPAuJ37&T^LJ@4r>1K-~XQj0XqjU`Rxsv z+4z`L`JU|J98JDAXnYVqFW(8x|5^ElFqZONQ29=&e$<8U>oXgfeD75QXXTqx z`F=y?dnoW5)O$T^aCEMJTQT;so@J6g@8ew7^^(z_DWHEz>HmVGzpC{6K!f)JN%LEd zK7Wbvn4?b~A5{9w*k{aQ6V}eeisS8G12JJ8+gZ*iKEJLG_j%Ou4y^g#n)0__j%5Do z1c5LZ|1RU|1N*+s*$2ZzJLTfKiu~;^IqCDa5{&*HjlXerLLt6;bUfd>y`kg3==7)m zUD0z`!}om!_*#B>lJhTq7skpY?i-NxEqZ>kihYld(Wf7=0FK=DFRJ|6`0G*q9-?cK zus+092`jC7y~}=)CF|eD|Bpe3C7Zvyl>WyMr!2aW10erQ?D50p^| zybpH>7T5CzPzGU(z=penef5XA0(p?B9vEAady6DgR~c=h6Rjg*Z#U zT|M;;N|gEy<4%T^A=U4K%S5NF5!(^f&p*4E$KT#0!l8NX4$|EAN2`6a@?TYa9^vpI zrdFC2pFdZ8R?(2h|A3CqMoJIriLYI6B>p4n3*^DKY_h$*3jNPLD z>y^lVcPo`HjOSPu{(?t8wzg7X-K4uOwT|aR$$m0!)I!;?(T3S2ZqSx_W-H)*d_g?heinUu~br%yss z?`ekQ>zsA++HcVDMV|E30#9QGBmetrJ0o$`A$=Zlv;70^oa(ewm*1vf`-Jje#(tiB zPh%&PBKdx+pxu4}+15mq&%BQG2C+Wj&_fz&-1l#nZPjnFyhY_(MSGrn_f?`rGv&Pt zX3AOhWPab5h4QtkEIgi3{9f$p4!Tr5qVm5<{e$v%DHa@m2APhB|E&tXFH@UjXNncQ z+i2I!n92DYTUL6orlIN<{n>LKKKV%gZ%|L?HOH%%{mcgDpZ}<9ye$8H7*F4~>*VC0 zdh%5Dd$!_}%@mha{9=8h$##2>QJCo* zeZD=G?M3n()$y;^F&w|wji1u-0|ojW&l!Kz`A5IT*I2>$HTc~U@O{7Xr2ie)_+3Ia zK844dd0*>*&ZMt|F6+p8{H`L|bm>asgH#fQ&&(|%Ksc7i?8DFhK^>17KM*DCo2@zY z7Ilbhd|y@h9_?~QyE|t&qW<+-5=W6I)ns2RlRT;R4?%{zX=A0H5-d*GHn&#i4incXCjhv1^b)=|hb!qx2@BU7+Tm$u-5Sl%<1@ZAju@D3LMqAV4eWF3 zOV@ro^x(MNP~;*`N5+w>O;R*Ti8=@ zT>BTutY=s&!E1#tpKM@%k(sV@8F@S{A)iNBs_n6@{C^Ss1ouU zUL9`!HA(m^!x>02Ri67e6Ci&>k^#_OE$2)*Gn+;|=`aSQ%#eb5v)t$9yC}xWHPm<% zHEAux5)_q6_^8Q??eI$DqZ$dt%76IE3$y@GXK@poP#8EslWBD(uB` zIH?ycI#EwL0k{&cC$@vaTv_H;$kl;9m&!HNf}@JGxB@MvAn|xO^As&+(SImM3(jqW zWxPRHy1}z7E`DvokWW>pwLs6nOa;xpWbo}wU|Lo zT8p({aZ=%x)^U74r8%7ceJ9kPK>s~RJ{N%3T+mKzL7SczN5x1M@$FuuAHIo#x{-tv53O z1x?pV7?UgCFuehZCW01XQ$CS%A!R#}uLsC?!*(Zu)MV%{wmXgf`yummc^(k$+Ht13 zggGcFU17Hk^((1*LA4e2d8PrQgVR#B7I?p*;fQkjN!EKOnk zpTymYO*ElZ`0@9jyPzF&)!7iINqkW|mUBVtB)(3kv=Hrvp#^^%E-9kj5bEc^S8^NU zksPbVl7#FL5764o8-&}2`@;^H)||BCD(Ux!k`M5GhI~w|nUHDF{Gth7Yjw1CU3Ezh`mcn1`G6?+7rs@TOfEEWgvDCUM%&3*a+%dp85o~;&cewU4nMIopv+m&tLjXifFfpoUj|(bwUE^ zFWT{$x#Q4o1=>lyXmP+C(C&QMGi41)Tc1UA*fl^~Lox=} zQ&A%-?MBp$L8^n$_*5{%zX$qUp(O}$Pu7r(qFJmIIG1`)9t7X3pf5o|?RJ53SBj&| zr_-pv7_zz1m&okI>`$%asQ&r12WWHw+&1UQM$rN5xp ziT;xSrU`bIda*U%oWQpLCPi%B4Ue5p)r%){g-O2RPK?tb%s7|ImZd1n^Yi5zjyr;y zB2PXH?b@N;L8l$FN3X`|OmHhne^)*QUSQ3qaHPLzH-!G}(C!GjNxf)C+l{8Q5baJv zixa7O(T;1jqu`r(GM_OzTh@iz@jVISRo_q{BcCoArwL1u! zOXV7lBbT&xNu2V!@+h>Exl*LvkN)Qo9ro69(~c{&ob%8U&qMj^(k!O~DiWvrKu2or ze-fvs5T{(F2wy>CH~P<~;`AUWx8}s@3hK|~#3}D9ZzWFm0F4Epam3kr1$W-;`ikfw zo_rR5H3>r;MRt<@f*$YG?Sic@qnp%=cKr3?jg(Er*1KTSCfF+}V(TWEG(1ml0 z)Qc`NsOK6rDWZ#=IVSa@3s+_2E4qMDX&2YkASQX8x*WlCjYYa##Xx>H;c6f(b7d>M zWkq9frG*Wm%MrxjNw64#F4A9gp*J3bE)(b`^`gsO)bCE=FS@Lweov}ibh)a06J7Qr z2Ctx}tbC~pzr&W+C5gBd4CL?Rbp&!E+eP5H4-rI+W<$N`atQTy-ghuCgaRlXsOOb~ zq)5b_KwKns+)E;k&&n*opMwnP>UHv({Nd4YH=EUM#?qRg4EJlVCj!SDts1v7FzhV(+TunK$mGq zE5BJFTJW4DeZD5H&+|3@zFkrzzAjXVFJ6-zf}Q=^Y!G~pgHEE|Sx}hCiLaxGug;wK zy12#oIsw*u!B5^=AfDO1gfl_)Owmx#ScCuUgH2B&zNAQe4Itx<0MUb(QKY~aDE+9v zf>~fnD)C=z+K2k{YHMkFdf4=${z9rg1ZAlQ^^`|YVmw}kBzrN_CGoh1jJmH}!*N$P z<=ABIqt?s_GP{V@m(YJw=Zixv6iBpghbQx`WW35J{WT|}{{pmL1udx;t!Gis`GQ(Y zy=cwn@-L>e6Ro*!pG(z?)?7nU9?{y?a$RK|>G{*3*q!xNor9)*sd};3BFVVoba&$#BlVP{{3}8fE{!DI7lq?^*R=__&meEuHMBjr z<~E>6hK`ElIOLxLk|T({+0b8*lrczR8chdgf_V?D!)HXN%9ay5v7Vatz+1Wk+&Y^u(o^0gwzvqJrsr0X zgmdf3l#K-CQ6M}4WEKJ{lu>MP8VHP~Y%zqMyz_S+an%#9$qVH*^gNrgvS@o8RvriC zLy)}JUBh2Nf39~1;O9~=D)UbG1TeQ>eKSAjdGu-uTfv;?%a>F2A`j0vC{Kd!nNQVA_CADqC9Inydmo4P z#8-+SunT-iKarf2kESTgdBF_o2U7K7&2iLov>cIpTJQWT+Y$>LcMQu~dfSC?cKiJx z{SrLwM9R|y=M(U>qv*d>w!PG^EaL37JO@#dN%-DqN?OtIB&0o(su#IuQ(6$A%~~)z z(zS^el1A2)Tdl5&xPm&aowhdYwLVp%nr$~MKrzDOe-rm#*oTO0+pd-Tec@DpjTB9re$|0@t_I(VSeABF9@Qy#@D#)Bzo z#iPa`?VePNtS3+`n-~D{ZE4qXImM~N$Vssb2=LcxFH+lps|rcBR@-QMuS&a zBsYyh(X+5qKP&L7P5e)(DD67n8e@fKu^!ZK20cA73k$Ct3(3mTuAwDw&>q_~6pfL?J z_$xQQqguv5j57Joqfkk+C+w|_pOQLJMpfvXLx1}X5cw9!IJ8U8ID!UeobD7#LSd@LNa=$@GcC5Z{(6vd z@sqH%C|bG{E?S9r2XQ4zvX$u~+NE<(T08caF|;Wcxar<91*fTU-m`I{4gaUdaXmoM z(zU2SNmH{L)>fjnId5>}^-}Y)4d9HNzaq~6ldvpT%l)B_HDWy0^G@PHJY6xyYJHyJ z1nN6dxmOnVb9lPoc<5*UWaV}?%sy7fZQ^OZ*3K7*$PhjWGG{IByCEONI~eDxA!?v-l&FRA1_bez`ya{eTE&Y*v)dkuK@Kpycyj^*!C^`SZ74bIJQB;UNOFO)bs1}=zkjZ>#bU?v&QI0aZlN*!d9#>0@}Rp zniR1@dw9w&sr3^2TJP2N4GdXR z2->G0^8}8XLq=r1L~ak>g~_9&2pzF^QtM@F2PV?au?s-yaJhzv&Z7o5ysoW6wpna( zrWbe&LN-3H&vN!w{!e7PfFu5*gcOmj?>b}~C`&@V--2v> zkKk0wcH$d+7r>nMl#STB1A5sTye{3w7P0l^f6d~Vcw>r;UhyD~)8L!n*+q`1No3|P zyjsL{2aE*I1w0voziaDd+=Rm(R1T<)m&4@@%_^wx$CS06IdeRRdby5o5{iyXU@GSi zRxdhs14FBkZFLp}5EflV zz-wKzv|NJ_U4~I_z9e#p?@hrc2e3PqqsyAok^W+ryA)u3!j^v1BIlBE*+r5C$W zOH(S2nm}PsDvqwgH!xXTBc4YBak0x0;tw(TM6?uHrSJ{*hs8xpNAAxSk?r)y>X+>( zd@jM6cl~-!$e2tJY2+pf83ZEcNir{=4akP?DVoz{x1?|aH zf6>Lie@%u}$C;6v=)%aIE7wp8zRexC8m}!tmtJfw|MNX&7ty|!!I^UquLp9z!YK@j z$aeKQaNds-i$u0nKG#>Sp=>LtS;Ka&EQ8px8!|LOhC{*5i461CA;WIiE0KY}hPSs| zLm951hHjPgvFuL1JS)KYcG!A9OP=2E7gLA6c5P<@B!;wpGOWtvU=4bm!R~xnU#XwK zYhKS{S7hLSekZ&S>*R~KLIR{>`Bpt_hn|#nA65?Bng_0c@_5Rlgz^Ba&!=%%mcrB4 zQqO2Qj@?s`?qH~r`pcj%z3qSEf#*~7Dd!+|eSIQGs2qVw zdghgS&b-V>VjWVLMopqMYfNMAi_cU#2bA-9>g@)-n4b13&EJvI# z@yZ*|J`$gqLeFYaalx7N;n>ZRGwQ4LIaoszJ`cP8S4!h;Tai&F|v+pSECHWM0teqWKP~V}W zeLe5#ccMPgZmtIHYO!4{eQNO&v+sJ)rVK!dXFaPjF>0mQeLuo~4)q%bgGO{G`gaqy zauJ=U@EyQos80~!uZyQKms3y<-j9ny<1Lb_?TQDlGEM9*lf@1a3Q9k6OV}y@OR`+K zD(uKTDN4X!J{L*Ya3y>{F?7K{`Xkv;4(UVsX-SEJAtrMII^#VO{Ld?vS1^!w{-nqi zo*~rlM%GPoAUR+bz&tpq2zQ4>ED_O+NFib@yMtAP&IImRla9y+ph_F5yj@vZQkxC& zDCl*83p4plsAH?3$@+5%M5vd8WB6{`KzYt(-2~=b{_e$k`981Yz_A6)kE+*LkY6Y) zLH-TZi~KA%^7lY^S1w_C%3Ti`3>1X&Ss-~5l=qgufgod!LuS5}GNEu7i_)~^gmH>J zY%8my9t=E<6Xdl3jog>)z#N*Mi)Ae5V!qAbIOO7!-KNFTCs3c7Tg95Zs=!`JNxXCl zYlEbSQr&otf}BJbTG{+9>6zTWNa~0X$8}=h49*H>L&S(Kv}s35HZji}WIL0p7n%8s zvjb#}GID_brM~TxxDu%SkKLNox1*j%NlA1ZRUPGNbkXrJ_Ovb@I9r}gqpQ_+#KC4IS<=+X~e+7aDdp@ZnszDbw* z?6n)>j6xToDY{Sw@kNtFd~YvgUPF0_f)g=a=-yVR%4 z6|kLvE<8`fJEH@RLwIk>aO%ujbUBSP>%LUI$UKR9+AI0?uhb7z(B%+x87^mCu}j|; zby)}7zFTpn;CqQK`#`g4x7mbS@v$bzd^$&$VbovAxxU(r>@i5%Y}cxLQHS733Vgy+z~^9T(6)?UVKCH~}&F+`yHPw?xP0O)`k{Ff)v%bdj^> zlfj?8Ws;m=zY;F302AfPrW{V9>HH>=NGyaw_-8r%0%X3DvC9?6d@08+oaq=xyia^K z5E8pgqkqvE*;q8*?06PBc0)&zM||(n7IhqfnElXkA81=XY+lCI*OY7$ zUlWjRGNrrd*azMDJ>{fG{XF`&0h2M>-Nn}hV3PFZUZUeD7(p8;3q#jOWoDoM&prxjvaGEAtZ9 zG8VJEUdL;mNf8B>aOIQhghTKzJ{e{{GY_BXgpVz@+6cjU4eR8l6dkE=s#%xKb6+L= z7r_27=8@we%mn{4!2b+n+joC_5azaqIRcrRB^*l=!7d^jP)2|I%%^;vB>Z|4PSz zKi@9R;_X6vO+%N1=-(aMrT@YwYPAa|h;;t1j@Zjd;Nyis-U}csZoG^rZ7K-gOG87(LJP z0;rx_^`L$@C-CZX09rZ{HTDTH6 zj#EKyu9;wE^jwE77s|TG0M_%p5&TuOi$Nlxd>HliwDxJou>Q7+q>q3{MR?N+;Hh)Gehr{x}L<{yu zcNF?F?|YiuyKZHzaBPNzm~gMnl<{O6t{?$V^MO-AE0Mf&0ap=mT{kI0hu<3O!WGKP z=(azEiquabJFS+_3^+>a_v7kTLW6sWE0FFeD@sC< z>$;55~?77Wk5y>gxg&d7~ z!m7#oa+^rb_aYE)FZl(?Gn10M3zFmSS{o#93u`u&9M=N}QrDbC@^P#o)7RESLS9?z zgr@vP3!e)z%x5ZS>gD#54?^l$e545G3y_@ePm?3IbVBSI9P*9TQUDyv9(<>X@3=^c zNH|pC%E>`UolstJ-`?VNH`ApP>(Z{WT&x#Nrr~e=1vQrD1N<)>FJdh>j8MH8>XQ07 z)Z5$gPP_gysOR+$_U3nptO>bP%vqQgeOz8s-){t zSiD;$r+oJO$rP@P$+7)U{K{%%D_7Ep-D#!IcV5^%!5+xd3;KdBX`TmVUY{4aDD?zt z7&{~Iz-|sL3GXh9T@Zl7lkSH05YHNN>`MbR9**F zo*|K1Y;j0ZG?*PP!q*IaAw-~Rp1dZEoX zzl?*AYs6ucosf@<)p714zav_=&zW6Q^Pt)?4e$@k3(xj9rNv`x3$07@vZvwIbmy9I z&gktQhi@0F6=Ss&XZDQ=S~{iq3$pM3S=-B`e`Tt#xnG|xy8Ke{kFHAMdH2qA-1Bk0 zW!B*5$P}Mnm#I7Mq;R+^Pplo9SR$SGDh~DF5a;K_-J0~jPZp>Tq>b4CI-5K<@5Xz) zo0fMg^%Txx%v!xIJuB4oX?eCgX)`n~iGNjX)@0tTkv-QMeDiL#_}F76eM~l9(b{kM zDt(79so=@n4(TM$>Ag*fYrb3;pPUa}3G*^{h{pAO-BVNYgP&nD|>mFI8# zHlOK%qh6C9ehrP7+L<_J?U}6Kw1VUYY2LajT6weR_ZbqEc)}D~Hhh?qlF>8-W&r(^tQbW-AX6;e&*Qw2#EKV+qzrMk@I3cdqY97~g zBf`6j3W>dvw06|Q*b&L>t2oqy!}~W|#Eqk1zxaHU8d{$s$GltHkF~l- zdX}i^jq=X4nRn;J2j`m1yHnzCZ}82#Y4MLWyyL0PxmQN-Hff}suQ=3$!#k6pusr2P z8yCkk>v={p3oPtdz1y|#>%4tT-qqiWHt$Zzs#|I?-87~u=G`K-yJVH?;Ar06l{`^j zlX-VXd}712(s#RLiEgp)AJ)D2Nj;ky8#BxAYNcJ*=FG0CdAl(~nXYAjq|v8=X;;Wk zYOSIKexGj@ZBMH()4)^ltFi%FoW9?dv$|}%mHAd`HuGf0pXAuL{fxgz^4B}$$9u3{ zs+CD?A^&+#3F`hq#ohkIHqC3L`GSSF8Iu0Xx?)Rfs?5j-djq-F=%w|Fg6A-G`8wHl zMJ?W3H^2IdH#h0dLo?pp@WZ&|qvCeE;FO<%Te`~yH`3k)Sv{RNq zmR0O#;JEbg+g#B3@Eqo*!qvFGuSX^Frh_uxtJg5U531{vutm;QY2baN`tHnPdyBH! zHfcCj4d6P4Q}t?B=Ww^2Um5fm;<>>dsjMB{BQrJ#i{nWHbM$)2Fh_&X#>6$R&WX?O zlR)QH=JU()8JRZc7D?<7dS})C2E=n5pO&8SRNs9!`BPcH0n7f9=IHH`wD`y7X~|4h zoF_7eIeMMAn|1A09hc-SS$lC+Vpe>RbdDWsYbRwbXqg^s^@#MWlx~1GzR4dJAKYrP z%z07#jSc=2N#ug~tU#8fD>CPDdADDZwReXkbFku24-RuW_rh`3+bjOod}oU19$N?h zL)*JL9=6N7+wv~Xdb^}&h3XT7#y9VFiVw~;nRip-?`ZI!NFpb*J@lH*>C>Olye!Gu zyB(65syNhx!<@cP-00m_@%fEx#$eYZy5U65q$-A2h=tT@zz!<-HZw%)dxah>=Z^6GK#D`~*= zZkrLAb>D53cN5jvw^sK^4|hC=l8x*Pjospdb4}*mr1;Yf{u4=LyZCEl&$4ut)?NNXnHD8uf*UZoFe_BsnR_0`#!0#2DPb_O|seI%+M>jR6GjsYK z+-=G4mOM|jqx|9-nA90{Ig;UbQ?}AwtHJffozP_ua?m?}5crIb( zXoUkhthS@gyp_tYDe_-$#uMsh^D^1IPa5i9ATnL_&LP>nJK2kUX7e)f-C8@=HlLIp z5Vc-5Jr~q2Pd4m|x_f2e(TamsIIK+8jw^12xv%%OXmPkww(?71(dL!1`Dn&-L%?%` zY}+Is&+s{&GNjwgti)`xQ(?L^J*_cc7)>Q#PRPQ2sSlAb>*=rh8#?gsY5HJ=b6qX& z(a|lxF3XXu$yPXgqo?L^HhP7#ORGU^ANdnn2lBjv&%8FJE;J3iHGS3G%HKq?{=NI# z@?HGBU!%UiN9$UKil+X*wk35xqKEi5TCG~V&3{n6vo^7;nIme^pM9;>Dz?hB;`5xR zCX?T%UIvpIRpTF&d@aja{fim5WJc}#3axxD$dY5SWPPe)8um&9?|CjyR#0HRPl{!4Sr~m^ zEB+09d}ULf$YH*zN5MJS#vAF=sZWruBj>!XHpynAMd<)~8>PXnU|o?0j}VhIn`vV_ zyT3$IGgYRU6n2liJ6l(%`7>NPe1T{_h(xX*}AsI#c5FJF)eDk1$M5 zicbcBc0JABE>4IKR?yYJKQ2B`Dr!qkWwbZT3X2=F7cY&UPM}?oO~_E-10Pz%w}ej%XrtyLhH!kW^7ht7w6;-9+5lurWiCn`WdG+ zN~?tu8csZ|`6#ii#jEo1j&$;d&Q-~HoxAd}IYNCLU9Nnwp536>NIpsnInHUL__zHc z9nG3$vX@^(scl=Tb}v1tsH^ThvUi`o|Z>=^*ofy2c3gMy8XcNML?`80g z7WbpxlhRY;c~&jD&HTQG zqp?gH_(U(Og`3j6OZw6(ZgKB~*^U)R%; zjVYc?1OJujWW#sk?~w1+H%XHolAgTKMm^)6B4*@wr3vcS5X=@p%(tV`J94 zA`b67*JLeTk|ld&81GCNnFjF37c4xaRvaaG7aAGNQrD2OY;e56FGBtN} zH;N5MU!~qjEc0UL@924totj{djkOZy&SG(;$AoT5&$`Cjj8~Po(9E69xDasL_+KRc z%z`|4 zY;5say z&(}0 z1#~(*tDn?+(Vzz%4nC4;?}}IZI4PUGMZRtBql5o1K!otk!QCmlSc^O-xrtas#$O>l z6XKmtIw&;$GU-{N)A36Fnws+#k5#>^Pl%-X#FLenPR#e6pSRB`ZdWQyGtUrqMRA`s zWC@>Osw*??o`I?rfNC?hSU-|m?x+#_wi&Y4BL7|MhMk&%jAMG1D}IgY#^7TXO$S;$ z+0bA!4i{jVlZI&c0?r3FH{&&?4jnKtf)f-te{C}B?Vw`Ku z#rwtIDopH6E^3(kZRLbLjo7;vV((zcLWd-2-$1bTT*EEpgdL`A%>Mh9U2K*--+SHH zvLyW{%|?W*2oYjy2#fYbioGkjvCSb8Zs?}2w5}_y51Z?~Te%;1r~4uIM{jZ{J8jLr z@LoeT?lh;Luf=p`Ld-HZb?V=UaQ+e9(&kA6YHmih|ji<+6WsW29S)XB7`88~wFA_m{n=k1Er= zPVeR3Y~&W>uTXBe)!>`;%f-Jfe(j6jpB~9M&duDXvCn#dcUg>V6dY1aZj+hzbcYhN zng8*qIy}k!M{>SA;jV;Sk76~haKi|GPqQ06+N=@@h z@qHy@I?Sup(!IQa-jbdcS%K7KKHijhd!?Z!6FQ<^xLGrGX8aXuk*DZ2s&}Q~kjnZ0 z=sR#MuLE~}+(8+*w=} zziyE{<}FS=YD9_~U7OzXgbt}wzti^HhFEsde!AfU{L-h5fzN7?HE`YHdTG#Kh*fKmz+WlNww}TmYjKA(>{70v#fw4n3Gt6*o9$ro zyCuVa!l_&2(|lUA-|R=$T0g5Go@!{YQ8OvoWztZSX}&EzWUZR4jdkL$ZnStctWQkZ zTExdYje8d3FV*_wh-R7EX8r@FqjYU0I?RT(%I(C`)xf9Bd|JPGXZqGLG|Dlb{+DZPWQZ1&UjOP~=b@Hw*+AhD`3)5imfy1~l^4{v$ z-0cPQ21}8q1}jAaWi?sf=@CeXEJ}}SL)uzk-_%Dva^WbMWeZG(02pQSrWxMa+kn(# ztI47TWLDQqof-d{_+V6%@tH5yi@78ffbCTkpxMxdJrnJ-;?^?VJu*$z23g3~dcCme zhjXI^&imq%{5GNeF1h!`wEf)xBAtWL9ZD+Q4UTRYNK41)E&=I=(cRL`NNHi@xA*t`y*g#Z$)?){p0as#T#^zfml*sG`9~_bJFC{UJ(M$dW+q?cXwO(@gfc&n=fam)N zH;L3m)6{{jYtvV}bSoX?x1Zbmq6j$#sC82p^T!8XG(m^N@T$d;w{xb_WfFKRv4j>; z=P$(8SbKlJj|T|^EN5uOwpsh4`(#@`a*rB3%PJeE_BQ=n_EzjMHSKjg`I#N^E<^fez~6e6nSk^9w0t^0db18g{RGM> zzH1ErXsca!)fp1e{vyPc8_5RvqHp)w6y4wyRfMA}e9cufwL|57-TfC&5tY}XCEZCp zvdFFQmQIAL((mNzuG2A-M3r4#Ye|Z!6BpzcXsUOwHZoQ)k3TAlGj>@o8FiAiYk{$h zW*$rrj|OJ166sv_Sre`qXm&J+MFvcIHughSRr(*QgH<<6UfhP4bfvy(-x8Wjs)~5QB3Dr^O#`-` ziK{JzS&)~DwJ9@Wu+PB+puTrR-z|2Y&wag*ASS-32gKQ4{r)2?+H%5iwrrU$fYgAe z7wW*dTt$eaGQ2^SPq{9D$4!>}kK4sPW}$b;0%sDW{S^=K*|CKC`#)|r&Jr`?jMa_B zT)&DG<5h{CqVARe>-p>XYt=QpP+bo=F@K}F<-`rBQn*g$1cP9n*i8hLV<`;xOs*F)r=&eST4 zu-(iHiD`32^bOudAvIVS=3z5_-T5~@8j8vJqCfzhcJDo^GJe(#wbaaA7Gz-a8XqgE zlQ5lQ7xfXM{RTmEIQ4fRFAAjqXv=yBvW)@88kW`p){ z0MBZmMn0rpwe+u+$;9h+5W)4`+RXO8zer;s_skKatf?tJ?csI*@%CAIuWl~jQs7)TIkL3oY4!6%k`LA-yG{BHjl74#^)a}gFw>D6&Y_gk*4 za1uZooHsJYbJd}&{mknww0DP~UqLNjH`dKxZ}}yRx`Fz~vR;GfwqoC`@B>y#41N8uz+HH1k(4MxT9r1B6XX z0xD;p6J-Pq85a+FGrv4L-Y;+1Jvx$pdgFZ8hH={A_K`RO7SlRUOkjMEAXFcx6<^YB zr*?)1Z&cfO%QRi0Vk^$)$3tA2FtKPpJrbS(qr&WwTY>h;aLsB}>2oZ?!vF-ad~oJl zLZbpytFH++q|mrbc*48MP3Nn41`Bj69~>Ojc;_^pe~?#(~i zoIrU(+$u?|4^Cx#3ULuGxO_#W_BH41j`2Bz0&`T(WzuyrXbglAkeC!_yBP{ofER*b ziiTs4h#ZWFo9xPbPac&B3c(nIf&SEyvfyLmA~$f|%6&`ZGEOuJb~$V@pkPrHtCk}4 z+#dhA6cMF);#=@}28aJg%*Y19V(LrI`6_nyV(w;K|82lRhlil}IISZAdAveRJkzcM zUw^;bVBYdw-9Bg0uQW=sDO>RYy#Mc>Y&5bR+`lEh=7v(i7tHUbQf2Je&f;H?aWf@O z(i8)m1#=`{#8TgJ1h6fAEROs1=dQM7q-lkKPl{D0lio*SptWhBjM^AtlUACiLlVIUC#pGF%pl2>Vt}OOit3gz>Dh8j?TCD6H z>EM^D(eruJp4cLjVJ}~5#YzE&W%xu&>(;<2{3YgPu_TWIKO-$Z73U1ApP4H9 z!grBxn|pUh#Y0A|M*QrBXC?6B{Lg{k4?z@MrM!Haei19K7Z1%Z+xWYs8HfA++$N;x zs2|%a z9+mp^*&|vr-HnfKl+2;vzQ{NhXQ5q%8W>(jg@cNKJ&e2 z>0pI_CS!5dj-kj>rjoyKR1qus^ZwrRO(gP3QGTMYb(xwXx-iqiCb$`%XYe10U~UM; zrE;Qe&UuD~rpq-s@q0t7GfIpOC9Y*sek9h2(rC$`O?J_X1fH$; z7m6F$9bvj2d$-scHW)uw3(LCiLcX{av)z46TYr;$em!=35gGpN{@dAj zun3!}5UV@-H`3j+OxvB}@77EvaSr$E^~9vbOMvlmb|xarZh9xB3o#h6Km8G{u2itg zx&$gEv`akAfRFE@wZhP5M@{A=I89bG#Eu5G zUY_OmtSZwxB@qhP7|3b3A>jif>chpDbp4D~GYGm}w}Qrs%ZbQN+HzS-JK!{1FA`~n zUwoTODYXM_T?5V&jSvo<7{|=FQ`)YEbfCNdjO3@p!~UkPCgHIP1T3_Y&FgAB8k6cn z)RUpi?5=VOU$UKNpw&j}bN|k@W@9nl(2*HP#{hve&OhR3RFbK$Za~)D&BxQ) zeUvvlDNb}RqJ^{PRm?JNCbsK4IT{{Cc8(_@%(u-SpiE?`v$6o;pLZQd0JyiJOQs_; z#T;#d{*lPEIVXqt;?tPgA?LRhu3p=%=e+<8{|a&07NV(v77&^#Nogi&j%Veg=KlKj z=?{c+bjA#r%lS4gddCWAB*3)PDRT3{Zt|=xFdVEGi91Yz9b@#2!bG=4R~+?^8MUdm z>{7o}Pn<|z_lO~)IR}+UjW$^0w}OXg7BPxZa6o=QxUU?r`Te__+S8mGW_Bivo!2mb z>Av-%?LUyT>(UrRh#nQOUzEuB@{Y5*1ezoF0r58rUjovr(08K*li-2ndg6K|G~9+n z9oZboIgjxGB)oE82sqAQ@ezEl6L1_r9sKQS=o0eQ%SUYJN7WAN#3t?G%e+@O4YbC! zxq9Td1Q2o_HDJHMwI2#uY6uenG#CYdLZ|pIH}Ixo4PwnZaE55ODi*Nu8gZ zuS7hhDF+%$GLCbl(o9*$3=M?bh(a5&sdm-z(&)Vd>N2T%BUcD@r+zLamn`~+tn4@4 zCT`KKJ|iGbd?hC$;x|0vJ>jxZL_o2e6Kyhv&yh?}P9G!rwFqi#s%-UcSHVMX3j1}H zh+OV#$(A)UX)>9I`})VvtcMe%st2t?+`)NEqSpYC5?_0RWp4u#7YqREPZNV*hb}Is z4@`W@-|{>P(9d~UgGYM+tFvmFt#|(vK3t?qmj0!>=Psx4bmDszL_a=( zMWhsbM#N`w1)7Kt+!*snEQoB#7i^%GPkD5X2fF;*Ss^?#Wu^W_9vET^k(+F!ksSS% z6qmy`oQt#A35$TFer?7@g2Bv+rS>ytao(m})6I!#;b*2q_o)D%^H{Tm57>BwPy*td zz+$TN7CQnL!%M6|H4h1LbTZK!M%B`TSo-cwE1I=%poZ=rT*wdVgyn@^o0Tai$MY)X zGYa+;X^batJSPjewxiV`X`~ma8vNqkeAR+qm*gT-Mj{LO;0%25nsr7YD(PawQWZHQ z23$U@#17UZqu|1wL&VQOR8}qxAK25K&>yfIvBprbWnVJhg?+aV0qmD-&=gVo!Oz64gZ^5*+w9S#tfxJ=x z$Ny>{%v{bk|E{B7PF!1@{~wOYW9YBp3-{1!=vh^J-r?3Ji#zlE24?-p723LSK4B2r zxvK3A4t7br^LcGe+y@BezGQ!&@4Y?7nufK%&<5cI;pq~3Y%0WsF6AM?MDa?7Mu zceo4vV{ix_*LOarokL)l$;Kwg8mRTQUjf?GLl|O!`uqbg6-%F|)0Kz795MfOhZ0aQ z1<_Jn$Gf#<_z;sfIC4R_@drG~ixN>IAf+}ncY1bTf*+xVUq>Ty)iE&Ap`DzMTrDR) z;5(eD>gF%IC5QF=1wg0{R^tvlNTVYH$A0hVVD3ZbQODCBT+7@W-HY4ZWfI^UQe7P5 zXQZMfin7=VR~~UiLh?D{NYQlW%U=Y_p-$y6z^(ZvU7AF=L1fH$oppV3vPx8sO`ufNx`@ACc3DHB&GCak^now6x7uDdW8Cv5249~81mS`eb zP@*g1@&3fFt9@`r-erf`(*V(9snto&NxPXgvwQYxF}9;?anK!Z{3db%0|wKKby!6( z)u!)>uHW_q&; z#14)u?tW6O<;UaKZT{@&&$+Mxi!@FXi>O6zWCXY#EA#X{FFeegXt)Rki4ADj5#Xzj zSKe-BYcfnh+~M$9`VCx(JnnLh-l;R!n7GUBDFuwNvgby#>{rf`1=4=#5xEmW5iC23 z6GGZMupASwyYEXFIa7k=UP`xwtAsDbZ}_*FSw_oJL#*wB_ zQ}$ECACSh>U|K!5h6J6)f_p}|4|%i>h79`8UkSLJ&932X*_*hA&SN~mP~8mrb5uYf zI6S!we+73i$9OViLiq(*`viOa7xFVCgv{wAx~2uH`Y)6`rE3+7b3nb^j$U^V?@av& z2ex<*dSYY0DQrduE*(Y1wo8;&wisP|U_+23)%v3Gx3}`vRX$<1BkedAL|=pZ>%zNt zWh#)1Koua`#2=q|wp>ZiM9riSgM$IPHrxp3yD_PAM~1k&__+Pdi_rYSy9kV(AFV__ z8gXB#jJ7FvKw#<1&;fO83*2r=*JqX1?1IYBx-C5=c*#$$;i<2Qw>ptH1TLi1+WX-6 zEGt;#QL@Htm!*D|vt*~V-<4}DrZ^+*2?nf>Q$7mRP4(`IoiF8MSalOd6|7TZY)Mr& zih?29v*4tiRK#mNh4X@JTX?SXho19hSB~EO0mQ&rH!%nFgVx4sQ^tN8~xVpS#ju#$` zW8hL81?6oqyEM2Ea-YMfy zy+!v3;M4+=m~>)ZXBGR(g}h3|;8u|6)>ZdK^q33K6-$>r_kaZJ=H`WsaPf5Z>`Nds zA6^`Mh$CFT5DZm#DLy0D>s z8#MJaS2P&W$N>R5`r9@d{x%-;L|M+8j15y( z17Rb$5F8_#X5y_L-Oc3b*Ob(#z9L&TS_VyDgy{TqG@Jr?k5Lp|MNjuUvMgD z?Y6(dARPLMyi+AVB+XsIZuC7v&GS+J-2_Gy(UUNKHA6lY-+R)_G!XProiBP6+Q~g| z9AN|MnGlp3j|F{5OB2naj(Jvs`xoS#HJ!y1)L9a!7Sqf>!2<5Y6nyGs#`h5&i;UWc zIaKHKSwIt0JWBzmlGhgq4oLDC2wr0ZN1kB>Vj#k5TSXX&0{gc(r&M4cd5}S&)#71% zzC?Zc2}t< z#AA;MajA+JGNInba0*)ZKz`CF1&ec5yg3*4%#sy2DnQm-%k8}6)OD&W=~n1E>~Vi* zaPeB*eDaittlBC%@iye$#4>uUz<-RQo7bbC*>i?>DWZ*yn$id3utYtfOaz)FkdMx} z_?{BU_XU+?{}s|2KR62-KYSQtFjmZ(`cQQUrI!_h$5B6u7%>I5{Xo3XaSfULWGY^K zg74n{63WGMHi=D@^(hkMdq^jKHny-}6>|3P)^0NHUnE6ZB==Tm77}hLq)(F5VtbW$u>?KFbc2-N(Y+zCJvgID_~;&7gs7DNE>UHo~$ z9L}Lec1y8cQ43$s9p67*6jTtQdVmzY$M?gdwi%Eag?c6`DISZLYl?CpfB5qT%~0ep z7|*9d}XJbm=(zhB^0GiX*o2Ox2yw9p-!GCmYI6K-K@5xE7CLQR^kNs+ zf1F}PWF<_kFs_@6Nys&Jfv&|b=eu6tsm*5-M%%q({H8}9-5f;%nq0`{o4TY2|M1$@ zwDFsji%+%@lQbX2&crY5c%8mLs&6)4-XllLZ(_j~O=6apnZb7^e-@r7c~d0xm=C0j zeto#s7+YeKzr&MwJyzlQh@g!y)Kmi-fY-+vI7EVz=SeGH-|_eLQIB(ToN;&!Uo(>t zyj%a^+QAPCEk}PdfjWL^8ui8mP$l`)hhqX6gg!K(?p$9?VKNM$0(J;1xNEM_>vN1_CFl6%ki;U2(|F1V6$BYCb*TH&8f(AxNZ-hkAQ6k;W`1 za$eywVXkm!N{o4^I)`PWJy$;Q?yK(H!*ggOCER&3i_^Mrl!$ zRMY&^6>?Wd89xvGg0z)H6}WtKQ-*bR6FY0ylv;DM9{WEN%>7PZ3IjN{s?)dp7*+iB zoWFhNz-QuUV;9Ful`f*cr#2(s6C~2gRy2sSo>EVjp3I2Y3z?gQL!*9#M){4A`^&svqv(w;=CvIi`o2pv7w9$tZs!iMl?NzqfT2UjJRGvR*`!Dpwz$> zivWMQgEukWghVixPxPNtMVUvd{lCXarie>klkTByx{J1oqd!y(>}j)-Jn&C7$|R;= z4Hl3H!D723bKuUF)yZ5ZWr>wA?9Mua0??wKv!j>|_H{ z*Kt26S~W$D$ggbC-6%!=crvD`TgUv&CAT3Nh2uXxkU1TWz8i&?B8^}2=mL$B*g~8M z3ng0S2{!n%#@9@hSK5TAiS|qPQ~Z-7BOugCkyLOzK5JabB#$d!h^YMMeh?+)Xd-xT zfQV=iDA*?TZ;ySPjWPK$6of2Pw*$IK`1!-9HY2HAlmuR^8DL|}h73q0`l>d>roKPV zi1tsA(EG(L*@K3wqvq=wbis^Cmg7M~kj%o_+~C19v+-29`q}F2NVw(XP7F9(9w(7#z^%nP;i9D(wvvB zXugh0Nm?K|)nGFu4}fV_T7Kq3bI*;}=MS7;Gwp%L>Tt(D26>g(y3r{3B%08bF@vta^I;uEn~cYA~;%)g1>9g{+?N61VdubF{53hFV*_{{XV2U$cbd-6(ZpE5&sL!_K22&eoRwa(9oJv=hx! z)YcYK9*lRM0x$HmU*&7z<{_z}XCF*o+F&CqHm;*dEy;$SHe3|D87*@x3TL}WRPL9r zX$x5dY+KJ-km#?qvBB-=Fkw0aQI9IhI39NTIe0@L5d(%^woiklD%7r6c#S6*=kmJ^ z{V5R5qi=uzi}B=6oTD9+dF5$dk1L-BB4D*gcB{@6f(`o0e~zN&DiJibdHI5MAI{%x zN=djImnNfhR=LtCk@Y1iX1_iAo>2Kb^GJ>c^}0eZg;_;BE*vyutEq9?x}&yvde}@4 zfKBLwV2gXLdq8_+Ra^6|H42%-+qsrDiec&4YQfbIR4c6NFXrR>mD%_47Te7kn**V- zVS%DPR1s@;&D76s`I26DpAQA3w=Lbr2L*FZVo&{Z$;>!sh&xn8x1W1uL(}5y{f13> z>9B~)UgkkCuT%>!(v%y^aqWb($tU^71_%k;{RWjU|0v;Om3QA_W%S$XgGF7s97nb% zL?x<4fBUI%AUs>QU8YnIKi|ciD_%aE<&}xaocLVE@i51H-*!92H0#VSJJ8W*wJ9ST zmLBl3AH4S&G&lpka)4{K5Iz&Wxm30C%_8V^jM)~oCZ8lE9)8m*_4-WXXK}g)D2IGw z8^aN6icoZfSj#6{XVWedZOg;HgTOS<=nzX*J#ogo%<*8<;qd(qfqCFQdIPmw+omSL z7U9eB@M#o!wa_mFMs*uK(hhseBe*rhw4g7E`6y4QD!>CB#?8qH^vCCG?1DwwcsE-( zO$f@`7<;D;09EIGvX5;B(5Z6r@FO57F8iM=arss#CXvFM+_4;_ z7kUyN6NA$7u#(~Wcw!63m-x^9&aWwFHw-g20wsXxlm?m}8v!19iGu6OI9XPinv@o5 z+P7T#naae%fA#PK735&7C$6CUG5iJLT^(TXs9?7GMNwm_OWZAd1{+emCXA9(o18JXNscxfm((J63$lSqtfO7Ai6rjtypBYbBzpf1;x2_q&_b*6g}0e$lp zaQw3lNEZ_ccEJ62N=m7wy0=mlAdnpVq8fe|M9%vD%l@re7#d$kO6(gM6OdG91>#(b zPlSW+#kt5KN7jFB;dwvwvw8288v&qBB3P-pYqF~V5?0+oN;~?dP@M=w%fS1VOfXbXl zz0qdjXTHI07VO8^Yz&Z%q_EDZk?rsEQIpE&bZonw_!(=h;)#o|ErXN+12Bb^g>fuZ zx(nWumz!IRVlwdEUG%d}{wjJmDrcSFefY!gJ5a$&jcRvG4zVTKYxx<&&3G**^VX@E z)(ZT(jJ4Rd{t>y?gvaqVlC9MK1f${;*H9%r-XQsvaQayT2|3j}4y2a+gk_-r!{Oe7 zh0vKY0dwo&xkt0q$^-CHJI>ok&pr*Qlm*D%r9?JkKx1u9tDIPKQ8m;#^3?Ba%JVr^ zGyUqd+63#7A|Tx>zrTA8)manHNC{|1XG@UxxHl3REPy{z$iyWnF4{)g?Wql`FCT@d z{$I)6BLSCu<4ZI_gXK{OLEh{r0%T-VAd1osUfnQR`vgxZJ0K@D51B`BW6so9Xu#bb z$rjD<7&kw-(FApj<>b%T0wAYi%{j{C25m0x^h;v$dU<{giEYA|#Fxo3%Qcc~U|>!6 z2>yE6Kk8YzZ(@NDR=U@IU711hZ>!#t+q#YUYyxumG$7f`?=JhjFK)=28N3%#Y?*pW z<_~fBXXfpuH?8eGl#%B4V-^V!7 z`Io<%*J`0`zK7&gwN;}!^t(H7{RfW~%9+??yfrc9)MfX}EoyC_K1Xp_qKs!L083xh zeo%5SIk`)|%Y&x--#cW{btk$he`BD)q{{(?$f_GDkv!Z>g5{SErF-7_LDv$FQMHK* zokS$MmN)numV}AWItlZO|HST!cV7_D7Ud8T(Y)C`bABuLYx17)aCB=^gJ{8_;as9U zko((_>Sf4Nw7Rj>7HU+CV;AfT-6m4xD}Dcq90K%qgFSK3ZbCc_zK*e(1Tj_@1lE1M zMCUD6-J;Qu>LGLjT123KyWJF|lhlO4?5w^j!KS|1zP3dYmPwb3DRi5eH2MCOB3zed zA9|{$m+5i~IIN8SAtetZwB}lr%ZW7Q@-F*UHFI&L#^$Af8y)Jccv|FJ5+ZI!3hdaY z>HRbHO&4(Nv1TR&5&>P1g8VR)cao%?O5$#>R+JM7NadRR-=9THFPcIO)TDxV_*3%z z_9Dlw*uTT8e3hZNi2j%IuI`?1fqJ5sNr!0+8f zgor{hhrzCL7lhavF(6?$1O1j;D20%RB_cMY={DKLz(>-A8kvlz@0W9;m4`;&iByO5 zMC22GJ}1e)8OnrU2-5xgjvejU|58~j+wLIt2mQsqgEt*flrQ!3l`l5-WTZ=KrkSp@ zMYG8=TtM;A#JMxQ4d4w2q*9>zhq zDbCUPpy_bx{Bh72aTMM}5WeT%+rv;wVw&##Gi@DfHyUE367uEoLX}QumbQZRM}(cXx3#76y3LcX4M1M4Kqr3o31oxQ;%(^QWHotJ$fT1x2-dOQ=B0;PcN~VH35=>J{~BOEC87@XuLHYGIWbJWG9^ zp&L3?`n1Z4cyh#0^swwpvN_aK>B;FG)iA$@ubr(bJUAktQbnMrF%4Tq`Nqa*?h_vV z4q0LEZwn0P)-?_n^R;b+>OW6R%ce7@6ol3}vPSo2`K914kG%bTNRKdt4|NNzPnBLm z-KrcG5l`Jh2=l5m52}>Y@aL$-6ORtA&+r(PtmUg^>=Y^gycNu78k2=|+*DBVRWHE46`QoP+%^`KnNiFxr z!zw#IGlEr$)tf@6c=HGZ>BQ-;pIkOa4@BHyi--gjVMJF&-wqtR&$Xw{AaMc$BlYQR`313LvdDx=Te9N!B=7bqPp1R!^UsO z)A2W5(^G8AFK*`Ho%u|@fT#R!`m9K-$s-$9vf*C=6NIFIlr!6kF4?M#gjw@TG74Yv z_exnp3ndpFI(bZUx6x46h&Juk+B0|IW?Z+9MX(m})>E>|g_fq`Wm)Nrdxp_N2UC_& zFktyP-@fQ{WG+#0T3k*^F&8gz(o+um%C2EXJV&3YV}ak9{-)&G{7Z<&-Jb5B2&G8h zx;5rl2kgC{l1%kA^`(WL9~Iyp2O>8C?heW0%K>rr-UJ>h`{BncyfJp-H1Lde`TT{D zGrzy#GDh3Qvl+_roZQXo%#?GOLi$u(3dNz*Q}gKJ>uW2OGQDA#c5wH_11o2<<*5jf zAHg%Z?!OoU$1xG?zt{9$jK3>n(0k!9cw~8F)ge?pgy2^=-3Q7CtaN6`wD&hgz~MPM zpen2IB|o`o+878i|RNs0Up6 zdd4;V!R!7AKF{E_A4?a*tLQTi{G=63w^j(xKs!!-^KSYGqZ`3+>yO`CGB+0)79YF1Shu>qRyjJ#ATMLqfDY^YM#@Y9NqQxUG z#<^C4Li-AdI*ezAZ7QX7i>;#+_Me2^JBVdv>{q(}Y(HA{zHoC$xQ#>}wVM^~fBz`H z|Cuc--FY^VeabYrKRD`DQ2YBDhsrh`Tqg|`rDzq55n zJK|dH|LqyTR0T&d~hF?7=!1pCw8Rm?hukiK6|Gf zInZwe4EXwl$HJ$xWgEUD8oFAlw!nsb~)mkRY;u8#IRhexw z=co0o{l&JDyn+XI%*Z!ZnZsq_-lxPO_zbEib`igeIfu-?!E)e3wY>9X5t+Q**uO$8 zg(H7XUbl4YQt6KhoOBXwC)rb{azvNHdfd-~^5ap6%0m*zQDT7V4Fkm;Rs@G|D|0)i34&La1h+2^_}bL^#J)${WK=c$SHlV8insm9-Cj;r1SUW#_uZFcvtpa6>rR`F^V&XXW1$NMYJ}gqbe&qpAbAkfij{W;aiCuA+7< z*4-kbTnd_D1xasd>(mf($MVo{(I`3{t8_j?l#sg1{e9Jbus3zXlT-LpaAuvwtz;p;8iNZ z_&AM~m1_@E<7+DvIsq}$#$-{`&-06Sk$8zuI!p@pAsR6)d?SLd z`y=6#D10jsDI>-mZ59F{ra5t8C%ql^S~hE;zB!@YLf56Z0!HrVd!7as`62U~s_+b! zghj{cB)Tk%ytOpEolg0ey?2jtb=C*@*V{G>@3csd2ZZ9OtM5xLUR-5_jJF=M4siuK2LXt6eMiO79I{Jc#Jo)l}Q(>8nKvvu47f!~vK|!Dp~zg06rJ z)Jbs0RmfM%7^Mt4P-cBUpW$CQGoIo8RN-|Q%zIpL=y^Rbb({;LJmvdXht{EL1E;2i z|D^`_^D|6&mdln(K|jrgd0vI7#Lj>4Uhj^YJQWtce@qmcb$$~A|Neuv7-OgK6iH%O zJovl{#%bR`IY+yQhx&%IFs*fVzOB@T z7ZI+!dZ3HMi)qHP2m|dpCKkzyNGI0_u-#I`&MQPm`|ka{IW#$`i0CzYE2!mj)H7(4R-#mBj7?dieatfKngZl+gHqSE%SirM2XX56)(pP2)uJWZ9#4NM#_3x6Wb1JB0Yzo;DaVLq&W-@mCL|45eR)6CTK|Q_cW) z7E2LV9R`95<93foLi$ND`{=@yimjOe@3)U6^Xkcakg~N~<`KlKKR&@+f=d2sPp91Z zs%2D7ov}d0!)(d;Fv_gp@%p{)ODSIU7Dn%WS8{cpx(ig_kVZw=_Ndqv`3v)6PJL(AT$a|8I9L~BQ&I=@gIku!zi;U9HyGsIL zBkl>*I2c3GtZBo_f)|}dC8kEhCPF!vsTDW#hHzdX^;$dmgvUI3uk^JpNhYw-hSbi- zxT{O8IC}iyoI=4$FO~%5c6rP98R{A;^~Y_6cMC2)EvGBzW3$aFmB#o9f94W{q>nx@ zcv{BMSIe~cu4U{erm+hbGwdZ$#G^DbHix)Q^$~2PlZ}58<{VV5?v5YhE@3e25quO{ zepIoy`8CHUoCV(aC{R1~^KuHob-a>j->cfFc}h7tGHyQY&RYF>pS&S^^v-<{I6I{Q zluxU8BC-*28$50KUh!7=orX`D>Xte_KIo>Sk@G*v- z&()4Gkxw3$^d>>@x4>A)jn;Z~Cu|t+W0jZKu#zk$fGGtVT z(;6ovw&YZ+)*>`avUi-aVs%`;-yXldU$AkFYUWRjIl}V_HZ0179dKG_Aihj6r1_T= zUY7S|G+PMJXU(t=S*T9G^8GEH0M}1gWwuY=DU?h=u1fHZ+j%8#WF^nTlm7NAoKfD$ zR-V6bCBHtwEm2g=Undkfjce-}GBm1O6)wXRU4S znRfC)52K%jzMMf2`HOdChW$D$*GEP4unvH9e= z7#|mJzsy=hO@lIEE~|ca3i8a?d!!%XM1IG~E5dS2Li{1`EF->NwF4;&q-l4Gzq_PKuG#{IxDd~NLn4AAM6tUNZ9); zz=~?Kyj^80P)b|hN-X7QnOt+7ycwx9QBwe zn$+J92V=$TSyoT5aU_OM1L*0R zIjC6M{GmH$U}HEf(miZvy!Yn!ZtQ(+-0mTsy-yZK>u0#sOMCK+qrpUk@NdKSMPuSv z^^89nvZ#yfXSkNfac3GlQyc1ff7_E5os4qX>xWwlXVnX~g^H~v*H?~w>Iwy49_$tU z4e+Le_3_`>0<2UNXCUCqml2&8M!%v5cjX7L?4M}XI{7pvix>_cgY)Y>O+ojfyPl_2 zQ%g-_fe+mZ-Tb0*t)1?_`5mfh{BJcT`&+ljWo<9MZMRh%;Y*UlOX|nT6`KV=obY8ltUt`NPx!)@ji19TaaPaa8Dv5%9~q0E>p>`UOM(aM(n*5r)KbvSLRFzlas z%@0A9?R%HHaKvx2zz4X4)5eE-ZNT7HzrWXg0?Oj-YReH!_FERIx0Q)S>T9hIfQ5r^ z;kJ4I>~U49IKv!jpuHz%sL}WIJL1&9#@W4G8uo3utIX^nuD6r_=Cap6$o?+n=xLZc zP~xoFQ=>CW$6wLN*Ur-=E0FExcT8Lu$t7&aN)a)kY~Vg>wzqVR;rO!`ePJ*8`;FbD z=apRl@2}*%)OwkD_Q>_EmlZI)QuAl_+93PCLAD?MG_a(stBGy$Exn}!I`a+l*G5;1 z*G?zO3g3}v-JGc?{Jpc%w<6KcVHXsZU9O;)8GM>*nA%{s_c;T99L@LnJUwg{US}cx zm3&TrW=(QVr2J_m8T7fEzw^7ZeT^z_we8gaIyEe&OufToOts#ViB& z!j}m@3Kh!6n!nqNT~>ZMCBw_!jf~@H1{`y+ zWraCf@)C2Wmd}C9oIygz>`HZ)#PIzW!vHN*YjzZ@_*Pl#N%AalnyFCGi$ODwk(AbwN zpToJ#8q8}D+a9t@B2vgE1%Pb@)8C3eC??Mv__uzHI-5P6u6?ytsT>+V_qJTLGKkFH z$1zFbyToU`FA}=*ZXbsYp6|>0?JbG~7FrvmXtF5Y$~~|#XYCpqzYiE#3G!h>pRP1> zU>EL`&|_S|8UYER6o+kkC^vCuezLU0X;Pm1Tu}GI;lx=;e1)Sf&vT>6ez02)^6A-~ z@_SQU6aR0z_JC!#51ntEOl?{#m<1mt7!`<~@RSpoYVog~Jc^e_nqjA3erozj@w>2X zcrc^Yl93VyaE&fUDC&*x*KBoDK!I{N!}Epc{ry5j?}lTs*0vk#4;7^PK-LvnO!bCC zCP>_h|Dn2z#d39UksKH*X1@*|_hs8SaPVx=T3)gPvw8V}Kq1-`Td%LgBgD{QP#0N<`K$*E@p^#pfA~qwM-O207i2F0_pZ z6ob#4+J5+kPMco*tK{skWir$rf8M4^YDaLx7wL4}%-o=2Oa16^&NcqQD%+mFwfto4 z;Nig_l$WgF;bLKufnTF*U17hdCjQA?y??FVt@&+gl3!f4COQlQ9Eq4|KBrQZ|EO

7_)5_=`=318s1u!GS{K7=Ih| zF(a)u3J@!daRK8%IUH9PCMGof*boU_t$Dc1NDH;|8@2lVB?4bTjglKqd~{3KHJ>Rh zXS7G#*|6md1}=LM&EBsP|9B|J;y1zrKmT6A(Z7w!{PouVir(`1*XY27pgbM)Gx?g~GMq*oagbFUuSv*~#U!nQCz$eP5Oj&6F zE>|(X%(kR|0Ad^69&Kyoi`?tEIXe_?dFU)Y(!P@G5FvmRh!Sw(yCYX}AQd8nn(K6{ z=pRG$zB8461lDhH|69&*Zb#L;X^du+K2o;D+YOZcK}-Sj|=|9EHs*`g88gK2+#mV{7w)#k?jv#Gu!4wR#9y61<%uo?+dLC_C>HwE;|#o@LRgZxHfnA# zAp@kNPw(aOV;CiRB!HYE$YtNk+#3Zz_yM>`1wgh+TNEn*vPKcIuN5I3fLxENbpA*H z@p*JA_dTBef$R;ofQ1~rzgFY4>l5}Yir8+6@n4qiX8dAC3h{P2Xy+79eR$;Ij5*8+ z=e6BIMnq?MMoJ93@$|*dp&UrDvx1mMZDy6C7f%rx&vMa)%yK}ubo`O z6Ho_DSTVhLs65lS7DJatLe7^?5q>k;P@mAr}PiNsX?fl#6_1 zaUQfyhDn{G*r!~J@N`)^t9OMyWzkp*6+LZhn?nu>o}SH9?$vz6g&fFk3ofkHc18v| zui%2$JeQZCh0gLW&t2Nc{i#`%33|Yg$MzV?RixBHx4|%wW2pGsSX_E8(pK{ej_(xL*IPQpKJQ?iCL+5Q)qYdATA#DC3RLpU z<*-(qd-DjUr{5>1!uX=~!{M6Rg`NgYik%3vj-I~Bl0!vXvfM*Yj<_(Mz_+XQUwaDfotBeAJmRZ%LR!|WNii8z>U#$GK&>#JAx){hq72&U$qReV;Wd$U+!mffGjaZ}P|Z+7#{rPXLItqxUEtzSPPklI9^v#GNx zL5#coilGzCx2Z52Tb>Fl0jm!_jL#d==(rJdvNP8NkPw6Zd12_1AxI8M6<3X&@ zr=-Et+D_!^g+4u*ixK6tkOs3Rxb8aY5423lnN|kxce~c1HBRf2Iq&#k#I%F6(j|z>Cd+=uC){{eaO6*7$!=)B(G)OnE(6%U-6>Qs( zwfLbY_ad@2%N1-prUvh5-a3gX>%dub^-;Lk_)Uv#kien+%%N@@i(!Wp~ z3*os2i=RjZ7tX8EyWwsh7dq153N?Bf+Za+JTzKeDsP_oom6`!PkO1U{cwt#U*I&>E zkxMv6K)4pA2yxu9SOE~LQ15YA__T-2o-A=FA3Jgg9mFe)x0P7^>!a8f%%?K8ozr$! zjV#TbTClB^%S!Q&&2FCOe0oHHFuK;#!6I<$$mFbQR!VGh z5-R2p5GPyS$YO;YLT5q#VOSx*ZIGrDvX5VIhYIn=h{CpMlPbmAZWUjXm}@K8hNnFE z91(Bs;l|u(VK`$x63cUt6K@@lP|vG)yKK9fwH4xx_1kWV@$eIRB=P2tvaHGZuHT4` z_1d_5gBZ^5({)HU^!9DaM3+>=u>dbck-)8$e$cj2y9pgHW!a82h!{($JGsMODcw!!$bG#h)xyYHEU`(6 z@n#%U7+IUFwAvHBxrXzwVY_S_*A%h`HKM}EI->?J-LCKJVNkW|uI?(2c%j2zMED%KJ?#DfTPK zcjq80#2Zf;BNgHeZOgO~DNu|z<0RWyG_F*bRu25|Cw_vvOD>+Z?w?lF8d&6{{0hHK^$hls| zS+FCE;b|-0(6%n~E=v^2f~OQkDx|@C(gw80vut5Wa54Akmi$1nkOo)CrscYq*^+Gp zUO;K3LB_&viTZbgBfQxT0J)+@`EL~dKllKIn>%m|oki|Arm>J~HUJ5ob;Qf_F?M}s z0q;FEdQ+{qbRoa(SEDO)tRebHCdxf(h)Hq+qeOIl$NMq+gwdo@bdu4o;OUf?=VM1N z0LU$AI~GJcf;@GWdH@Y^64w;gUeJ1Es9PUE^j=plu=u%>?JGntllVb3xKrX#NA%+9 zrc_w(>cmy?5DUGY_=S0)>)}<$6I!%Q$~OO}&xOu9Coi`sEGM!Hh0fY9ZD%E#4AZXA zS^L%CSru>3a)l+qq#B!4qZ^nvM1@qt_cyMoLr>*|hHV{l)_G~0(DFE@L0{&Damtc_ z6(Nm7k7Ul8lnd6J?dz`3R@nM&VAtPL53s6nPrlx0x^}8{A%9Cdjw}l1|NfYm} z?as_XXYJ0G@@+h*r?V(NlHUO=bQX6Vw`JR5WJNs-j7t(D9YXW#tlha6unDTiY1In= za!q2qO0!p>Acn(0$lwg~!h|mU*PL{kCUJikmTM6;E^6DR7fU?HJ(5g*S&d!_F;~ds z8`Sl9%(pC|LUJIPZq|0jq#DbW%t8SHbVH3^&*QX^99XLGqQGRXT8KA)Xythh+px8D zzmSzw2Lg#nykb}{Osj{ls!u(NdouZTiTP@}j*v12DH$ z9SZRJ(_@#b;jP9gy)Y2oDSvqwpIheZ3EuQDq~{XS~YlH z22N$U!dUQEgEnO`Y|{v;wCfUW{LBiya5QJ~f^E2PMB%YMr|5!hu%W#bXLbCPKrj%Z7d{Jrd?>I9ZHjUF0Bsq zf~TF#1Q2Fr=7ptMYfn<8PhG5rw`u@w=c_9_nIF7+)gT`kMnF1}>bY#Xq*HGclIj&j zFD@L-&=;Z?czOD>H@i}ZUXtpTfE(j>?w@CI8%ulG1l90n-z-zo+oUvlaww#lP0}=}Y_!vAmQu~7+`@^F z29;ymO9DFUitbO>Wif0cn4qwJBXO~cK4>L6bG zxWMgdwrMRgqWLWvw@KS3HR7K3*Ry>EK+bB&tdz*okl#9n46`#4d?L5dliwIJonu6 z#*I4b%9Z`mOL8FL@6WasqL=ST@Em_#3c9a*dpy(@Cpj|ba`oYs?{?Sl4_3_Kf>YR8;)Igk2-xzR$`GwN9$U&~_i>-wyu zI@DcXv2b#S0I3){sk^=c88IJGE?Rhw#G59YHGW-;&N?ZV{8~q}oe}G%puLs(h0dbu zcW8^_wBrL8e{?vWwH3x`F0BrQRKrS(2=VCcNi~dm7c0Q)>CaoO;dRbzZrJZ=$n0qW z(s4(5F;7C5bFUSqHs0pIit*fGrP*0QzEO?(*OBPcjv!}hJ1YD3X2%La&SR4!YS?e2 z<{soYw@;e($u|Gb(RgZOu@Sul(oIFqo(xRE)7^^At@73v+l5rKSY9%T`--a&y`&n3 z^Ue%NA=PYRK#0!g98!qh^|I@bmU+8TBP#U5MfrVC{W)NPL7%z{bMJ+0y&0AFg|To* zfJC`^EHpZ6V5(tS+aOPm};%w<{80DRnKcq7K#K*+(F{moVMeyxrjd0w!(7OgylQ(v+A?gVyEd|A?Eao?xm~IbW_5IZ z$6W6(d0v%~NEW6xx_(N5bT;2R)Ab#nK%H0DZ&%lQqlK<#Va7OR=s=I8>-}EFnw;;# zjJKPXYrB;Dw5RLWNz8vR3*2%Iw}Zaik+Y=p(56VOLP3|*;*km86`$&#c27v`tfsc} z{l9&{k4l9zlC00pg&;qYAxA4-6oUMoQZo-rxAq4)AG7XOkb9#&L4H^by4WKLaz5zB zL#KNBs6Ymbm_HCL+J?qmSv#D zZA857ljtIe?WUfn;OV4nBSDx@u}=XYQo&Q~gO1ywwC+`|PYtfjVg=hK07If{vRI)P z&^D#*L>4R976Tkfw5e9*xi0DTT^cfH#NMEDsG#kZM7AN8`!vp3RxxS2lsnM>Q951E zI;52bS7tkQ>z^%Cy8y^RtwZ)J$j_rfgbPgMP?#ur3VKAM>vQ9UbqJsGERmRh_A&Q` z8Qs2FxNXzs_cC~DDLNx$@bXD9dE_iMiwd6ZRM+p)>~kXAR#bYdCY_~xntmx|ov})t zg(#`T1F0|ocS&ro#O`Em1>25DWM|MuFLc<(z&kFnEqPEB0tt~znzN4PMhmu~WQ%;b zoLiz%+zNyUW8qk^4Q+TH#Hd9tTo8MG)>iP8Ubw94$3vqXp3)0@BU2W=FqmjPU8;0*$uJ@=AP@)y0)ZIO z0)arV3E7Yr1Y*R@e!r@7y3ebv(>=RAk9U*m>biC6-0ytn+`m;_ozw-^Xx1fmY+~?M z)3q&BEKPW0C;;qx6Hj=vvU)qZHjN>BVX8x#!Fff;qq?>k6T}1>t}thCLKq|Yz>Go} zv{riOOUe2#Gj+DEm#MIgR5AUpnLshBq22WXMuH7`&xGyHR44S(88I9GP!yT=r@gze z##KV^qBK28WZAUUM9BYODe-o%%^&x|1iTXx+Q7m)TgL|1dah(4X5YjT6F#fJV~OE3 zQjH0PCW+0-6YWweYw+hS99Qgjo@ir8Qi%{#(%aR{oq+=(7rNYMNHw!v#E3P&ACAfi zZJ1>ik;T^rUqTvfNrynXr=$?rug|?)Hg$~9d zq|6H`8UUQDr~pIv8@Q(tCz&afaOHk zo`mhf7)gBUeEA+{$@6h7l;}T`W1FGPq<&dr>{sG?{y2GCSKnHtj`b_dL7EcnD&Q_? zLX=|t3eihXf2L*dNzN-J4G#U_qH61g)z+l$?+V+LYAg$iq=>D|DH`foeVDTjF6b6mO`ba(Cf$A!`mley9n8dHkQ?xi?{6Z#L@++#0yJ!W~pmSu<h4QWKK zW_U(OveMO+h>usXREKqza(Da$RZ0UaeP;zCHo#H}_cXet6yzzfl>W&@*keNQCt1)N zagmqA8^hR`0ARu5*=W;vKypA;z^O*zQ!|ltiygT~bfyD}GL* zms!KBfGsiMUQYcYb0@+;)LkF?EN*BP??$U>21B34Z(1AD$fL3(ug(E7M9|oGwapho z<(NoLD+%h1r#vv(|)bUxdt?cntDjDbMt`Rj6x=&I*<`rD;W) z+=S6AZ3KcSII(m_g-(e#zju-Nlt6ma0K-j)mRcShklmF(7cI!6r9tdj+F0$ftU*4- zx88jaC^bGEQ{J33j+@1arF7yC!3&9{JJQ5z&5*SzI&mJI(XL2|rGDD??o&V39^Ts8 zxJBCJKq+aW>5TSuI<6)O?$rKKKLRU1k7EJwkeDi$YiXR~2FjA?rGc2c`?5 zv_)DH{2LAPd?q*=A%0JGM;23VLdcmsaBU7lj0mYAgqJ9-ixlsa5<(7jDOx-wgj~x~ z{+07~@a?KIHC#W+3!5;ZG#Vk>s!^=5xa&tJgqy+CS5iU$i z2V8{xXoS$H9(=b5iHl)iO9)Yn7bbWhC4}%aC|W#4LPAG*sUSa38r9HIx)$FjjViCX zm{QIEJ`oZpofI4i2`22SuV>2Rg+@Yx35z1m|3M3Fkr0lRs4~|wA*RtHgc1Ila67iq z+K`U|7)^+T7-mdhy>V6N8kQFc31Ze&Sx!pGW+jj!A;AkhHTES&NbmwHs?k}a5#qno z@WK;9wkjq>LV^ix@iu2SBG4EkB$)85D)Z56pTr^|1_UouQzXRBA;vt*J4vz!5W>K0 z5gDakw_6_ai8dxqvucqZ4MC3(1j@vj4b=rdW}gtklPjmXvA*%gQW&HCd6+;5^fIU| zg-SyFc6^}MPy0R+611_v@gy0Rok)afWxdzV6sP?I-u_iIjXfOjMH79d%}4ls-g@;M zZIjv_#T$J-2}^74RCXl0mb8||b)^^VYi}1VwM?)rtH4;!|Nnj3ky*gJ^C#d*7w30`Fq-rk6}&T!s%hs(Zrdm7H$&UfQ&?Dyb}_3>}0r+eb; z%W&SdY2T9HYlPl;LzZ?#tw+dc@kaahyVX;_EuX|2FOXsN$7@znPq)Nd!yYR}G#MjN zPvOneXuPo`{NnYr8O9};k?=-a8&l-X^6Az>kY}u6NnvXw8hhAD^@tX)rgfB z^gomSR4y%^c%Lyz9P($r_f=9T8=||MQetfR0Ny@gA6u>}``CT~(jSKuSMhCiD~7m%^<9fWnuXe}v$V!(3C9uixDz?kMiwm&? z8|z!sD)h4znqccx2HOcXMl{tvtA@8JiSfv|rM2!jjGtZ=Mot(-nGa2!XB&m05%^W8)zKFEmC- zn4dOve!SuZKeVck7Y?i?+P8p8ywDP7yMd6z(rqi@!6?owmD?RY`{)HT0c;z>v>;3G z4P&%4bmH>**Eb^N!Nd}5V7U#vW!R*RMt{u+iP)4)0viuMj!D#KyAfL?&l(bZ5Vk4P7O@3w z_woQs>YgGt%Y!%8Q+c{^#_}RI%RnT*_#~7s0YdLEnWG97gNOVq{r5+^auN;I`MjE;p%+knsvO2=V3g(0%NP4BpD+2||47H8iSz+V{zn$4~p7P^ei> zZ;HH~r@jfj<@7#gyzrwKZ^MEmOdvnZjPSx$|0+&qUU-l{FM>31 zXfeHSNO*%Yrme0m!Nx##RboZ%#P7^_DN#jFy(nG4Fvg&h#@7|?sSTHD`fPMV4IeRp)Vv8Y-pm|xVFTn zh&&6Ni`8KJ4*&oF|NnRae3V;A6j2z*kGImiu9q~ssF^6XN-uU9H+6RQ?CjCGtTVIe z5-~)E2(fs5QKHN@gDC2$2zoHepqHSc-UP#X=qZB89-@~DDkK64Ec(vp9NEpqWe;ce zoZtEXzw>?HYy-(4C4`WSKXthoFlWLjhM|OlE_|usSd7}4qzcw<+-x@mQ|d8Fghd4y z&qArjx1W9stkT0Gj9Rj#MB*E4Hu(Zx-+Cse{%2DpU8YC19FhwWww&B_M^0URQ&(i+QXSb7V@VU})|Ef6aPwSiREC!y70>|}(HCqd$ z3mgUVF#3BBMgm}r#mVOpsIqGYyQ$*rAWfiL9hp1D4fyc!eW;x5n7V6FM`N~=Pnux$ zQRs2MphSr+Dwu*4r}+XxiaaG?*3Ak@7c8~seJ(f#I$Vl(4mSftWg+w|A`w`mOLHqL zkUS10>2QcC#RSyJj5JyY6C$FUFlYva&|MZP!Kfcjbp8}r1w8bsnk0oyi`q_LC^7Db z(c#0uew4IMaz8$*Ver7BeU|Gmjk-2<9A>D{B4M+X)WWv-aGb|8Ha;LpW8X|}xtbh; z{ps0}=2u>|L@9vr3IQ+RZY)Lp-^R8la94iaB+Oi|7hrp^4m16~UUOHDmBa8ZhCQyB zh5>E6m)wDkOH1E-U?Tjj7EQ9=ws6ILv|qYe?@<>wzj0XQ&~+qmv;JKV7!^LhH+xwXt3Lb70pzCac;T94)TpP%>fWIlzF!eBZNU5 zR`!>y9o<>U**W8aF0Djbx+2}DuVZHWbc91=L4t{x-wCh#F4BzT#JWIY-+%sN z2>YG)dvn`)Nnh%xl$0C(2iy<(8rs2m$0+*J-aS;0AVb&Ov)Q#d{W%|dkD-6|o@LN~ zj}!c^kfpiUHrq;#Hljfkl0kz%&z=+fM?yh{CaKRa5_%c2E=q+q{BotM{yL3w)$1EkTm;kTMX5+*?JLT z4uot7uwmkE;7cfA{&@9tICteDP$_wE#PztVXA(Wf^{vztzjL0l9mo+>#crLERl3t~ z(tt_~!eWm8JIuO0UFWK^+iYS&6GoO(>L`)Omt9@EYfWpVt$u?P!XqcZ+O+fsILooC z=df#z8C5h}%b5F&+M~&XK9%@JFU)r2{|!UY(`W`>#Sp{c%=E!4YINeb@&eHS_0>K& zY!I2mYd(h@6HwZSc@Asy(oj6AV$f0mb~#-xwj^~KfrKaDPE5q4{x~_iJE=ON<3(KM zE-z(hdw=-6Wa1$?>2&wxMvKeI^i`4#7-u;%U$C1bsleyozTWR~BhG${>HSMFBnf(MWHYktu0V=o4}A1MW){t<|!uBo#2N1R>!wfZA?fTiYzH&u?GJgT+E;^#9{c`HMZfPcvhcI z$ORxHTIE1txqt9k17n)j4X?lF7$;I+q`gOSEupD@tWO#@U1 zytc@mbjdh??eFc!K2V7fKXN=2t+6Ute^Yzr;}$mqlSIN_IWSZBW2l5FhR@cWBbx)V zHl^>3^KJ4u@8W&D7@n#}?8r&>>X|T-`y73wvXZcdb>a=*kREs6if1HI1C#WhN3LBc zln#@wJ^V>bhU>X;j5o6`1KF6NXVBSo-O;RiaRRJJmVf=g1lHDJI*$@s@-yDZ)2NtE z8xc*995mldQXTYJ6ctv1He)n4HxuA}^eZq1Di)^HnoDiYeD$V=x8RknE&7i7KR08OlBm|0TMuhSQ$d^0go#&y>;bQF zA{1a_p`%>-bdG@_}--#D5wS|7EdEEIO>i~xX(T8|S zC&H&8xqH_|4{yYtTD#U>lu^cETVI#zZSSHJ6gE$8ECx}&^reK82M;ulAe~zh; zdp(R&Tkca#?^YENQeXo}o37)`i1f(&4~G8!@N*aZjlTBVv+YdhjQ7}s<~#_CApGmA z`&K{MmF=g;BLF_cDDIWkl+;>RLUgY#>gf2_*=!hEtoDG&W#q-~Fr~hS2dTwuG0=PW z;VLdx{Q2XpYP#ItC5ep1%t1*g4MvC2J>`-4%exu>;og@qNXEv7h+kgl9mjhQB=r`$ z&%V$n$}Te|sAB53!!T^K`(VNi_40iFgoINNS-Lyz!($dc;yNmFt1#RvLJQREta}>< zm-MkB5%wu}C}IYI&CPjL*|Vs|B0$f349E*ey#u9f2+J#|%Jj;ildyYG zG}yHRCn%3J1N!x5@D;wJ%!=N#I;z(~wt*hN54pSAr)csowi1V!~xfhp8 z4$FArf#fMUIe$-e$KkQ0ZR~)80JH1^ZLVX+;Gjix>P<=l^_8olzL1$O<1bMo!C2c0 z{k?$SigKxIgOh}m6h(VhWVw#%p5`LcTHn+}2E!#K;Y`W+A+3$kLe&BJ_EyA_s)RP)QO6hBTNfMs&*nP*Sh5(NW8rOWXuqR}_==1E zv_uvGdHa(!=`&nTy7yL3o$FKd``HiwE|;D&cdv8c7f3kmI^NR0GgI+R+U*o0<|@8) zNObNh@HJV~7vb)bDCdbq{T9A8{fSuHd$qI0GIbmSia&a11S_)fx(pmEd=)Q$rs#y( z*nS|1BG;4T)EaSTdE%~iH~2MPEqmAb@7Eh$VCe~bcx<$OBZo8u-0lpSS!IM0+;+}ss+%#Nvk5%_&n{1j+-sX7yfW`~=EQr79?Oz1O?(7@9#&gN| z4eRke45P^J+{$?OL|pPA%g09x?WQ+`)7ZVX;SBNzciG)jb&5Clq54$TE_;mUFB;xP zqNAWfZqb@tK(E*7UHUD4ol;HGf0i2$z4Ki%lM}+dNQ-^%y?fxN+wo&euXQSh75y`hnz z@B%pFNc0}w{$AyxV%4szx*mdYvSaC4UB8cbw-(w?^>jDd$V!mwi}cLDISd6w)aLGt zI&N-pzF{v`w{RGb)!sg)nbkdXiDo@TLe3Gp-Y`6DJepzy9ovzFmY$7H#fjr=hpRJR zuZ4Gg##uJlMOy~W^WGd@H%sGe?;Ov}2^VFru7-QRbHA~NzH02+qqQ}Qm}E8D&$ckF z81q?ZkK#8>w#D5mF^XJ}*E!7(ii@1SWvTm4iN-YorDLA+vgdzg_152sV(NDa1;~#z zo%<9#J}t3PMPfkR{Xt4^j(fA6xpm8$g0ZHWu^x5$S4&|2kGsRA zHp^C{2g@NqHRr2z&7UIkN20#i3`Lp?H zrpbs5xoy+khLLw1I|buLGWj9Bi2aL>-fNf0)hdA5knIhB(Q>~!z`Oo+bP_{Eulx3r z&t?e9YDJ7e{TBG)u_2c-()~6!545|pbEn$?2C=xTRI|c8=Ni4GR^Ihrb(>g_+N z>h@5swC^;o9`(Lk`agTW+2}Y6b37^^FglIUyQ&cKJgp?F@az5nkNT#*s_Z{#j^t{B zPDc(1bau&8eXA~YyW4i#u5#n=?3XPQ|Gk|%J+u07R=<1$`+)e!M4J(f%MH5^8bQMc zLj2^tXds&>xWI6QfS2DyZB82#%{GDB1|~p%)x+ zM`LUCaT>>aM#7?X4Mi-FN(-!HZaPWJT>AIzv#1mvD0JlH%HPB-67%{~;!97O^$g*t zs%%DR7N>;!Du2X@DiJ4#Y5Y2@=9~DI{cZ|Pj$o?p9(?n#5l@cl}3er$el)OWn zqutx|`twgVE)Ic1w9WB+i;ajmKnK>(=@Ze`Ei|K+4EXhvfdYRHJk@Ti+`n)fF%y>u zyxT9Qr64-!lT61cyI;!-E$nz5Q3fs71r8U^_`qSt?ePe%>5bN#Tes7_`#8bZVq+@@ zm!i)`ThHC-_I}o7ugrl;t}c76ErXtnY%!!(Ok}nXv9NkrN~keO{si29QQQX}nHCw8 zkX2%_flsl}SK0@H-+A`^5se%ODqEW%I5#`(E@q%u`zP5g<@BO{hWUqp=^+{eL=x0Q z4gVkgG+3henI;MIWq1Q+hcT6Tkolyq{-in71xxtaqulp_X^&-EW$Xo4BHj`5GbY@C zmL+^mBKjq2qdV9y^^HQhI=ea9nic-PwN?V|J$^qhn`AQNhYtamsG^tPzok1-|;qOP?U;T2P`@Y-RUzSR(OL^Cz`M+;emk8f@68RVNsx9a1*iO2^Tz zasfjeWcGMAKlgeU3N2#KO?6TY3##DsANVo*Y)(H@G0SqTljUx|43HTP5Q3xDz)`b4 zgl!d3dY7fhruWoq)V^lS1#9hJH$<(K+X5F;f)ZH_<1~54KFg@)oR4r>f>~#?9w!u? z_r27dO{^xZZBIxu63-g`x}|73^B{VYswlVZECtPSygYwP_kE=)UCUjakjz&!8ZS7! z;~BK9?;Ep?--qt2>$~N*%CT2>WSq3S-LC*o?{t8Imb!vD+3p&j4BXEnpQn!OKC)N0 zQTDZ;m0(_{{Qf(EeLJsRur9E6JK#9c!0Fy7U2&!pskN4jSKE5bWa6r+-3O_v4V%+9 z#wu?EQ)#%nN>|)1Z*y#>@)7XyIpsMX<14p$j?8e>N{kW4aMPc!A!ZR@%#x6fB^c?J zPa)K;L`oN>Q3MDYBr>LHBKO!K^cuC{a|EDSRv8Jig&X~yjq1l-O}DRAswripXzs$* zsnKq^?HE}HHqtlekkS^WQcKj{#n@p9G8!_GKg{C}rf-~myo29DMXSLe$Ah=nCpL0S z_4!>JcKU^OUW7@zZ-U`n25I-XrD6lf&zMX~Q?ZZFl8n_(M({_~TN@AvhfZu|su_EE zcFx-KVRL+VKLZx*9W})#lL1l%3_R{wHpUH4b3Inonka=G`q~7ir^`K7=bCsjUY+v` zvL(xo{KnNv5sF-x4*P-()YxEX zkBO|Z;!bC~Dl{p4oOGehO@^7%%w@r1=&))mZdyN;vas!|q>Q^#NYq&bD&g8K0Y__j zJZTvE-&oz{ot~pA;w=}=7>8v*sOXP8;*UIkR!6j**jimfquk~^f2n3#yWM&o)iD3d zj0R`TMc!GB0a5`6uBl8Z0M@K^Yl`Y6M&=rPCc3_MH?Jeatz!2~V5BFWqQsC@s+I8= ztFL@P#|d8eqp%1qd*@&&!L^rn#QzT{)K4V8O7aFv`PW>jIo6V?v(A>Wl-+NUN;`ZX z2=-WescLI$-LEDTKJOMJAs83Qt@rD%;MP*c4=#DUK#NN+oIj~fWl8MDyj^jZvLreW zWT+hTV86JkxDOYd@hi|P-)&`fIm5DutW$S$QnB!~PJa$od6Mqdup$}?m7}7gvGGnq z>i3ZA>L*M)Wcu{s$E92^Z5$(+ljmW~h;T10*Y=5{;|y`2K|di$_WV-%3JK@9O_Ktr zy#UWsNCu+{iLmXSlMv1(+{MTz7!^AW;j^QIyKmDnZ>++5W5t%)A_{U*wv|B@fz;#i z`2#hPb7P{>Ks%WcQ~^&r_3*02AEowxKZFpM2jYx|B1|l5eC-$PM5wNB-A>>iFaA6A zvYH*7JVM{3=jP-n4PJerLyn^Z=pg5Oxxx~F1!GG*2?gIJV@4p>wKILl?JV|K9#&v@ zXb;{7N?1}GOUGA7eYa#p3!b>g6KHrvo6u(eHK9M>Vd<$t^f{ALsufYe*yXEbxQu2_ zozr70Hy|6blp~9{n*9yMHRd>D$+{{DAixwJV0(fkb0EbMHIc4D;4C}qLVLMTQTW}g z(Ag7n&Y+hxGpn9XGF*RR8B1m(|Ze8MmMGqc4WTg)kqj(YnTj!+mXr&ic2DT3nO zE3haup!v&rlh1Izd+U*`g30$<3q!{AkKrSbHt0iBc}&zVk(BfPq0&A5sEXUoFDCew z--)iH>hJ+{HYxOQbTg#Dprfj1pBC`biakHtiu~MsOd!V+lQA^A5czM}?siGNZXUmSKu?qgn z0{6(RX03N~%#cfZam}dZ^Mb5l*cmXlP;GR3`#(|c$!c!~$>))j@io>q-QY;a)kcda z_Rvg$y|hYwO4|^*Cb#$93gA9u+T?~bKE_H&%QLy!KPHhCytllLnpCWJ);&gR*PeXP zB;0?_m9>_vxw&RH%?|kVXCfoESm0P+t8~S74`Kl4E;fFh;4LxwHO-J7#}0WwO_Lz3 zV!uxEn~^l)J>WDEcAZErY;O(_V}E-^+0^x`!pf4QUS|dD>muSpfO=8MUZbNQ*EA*U~HS* zw0zirVWEdC7nUtUU6Js(Bx#Ia>bjUcaGMo0g`=gnd@S)mAe#g8*QZUb69u2x*j7Zx zVQ86u#g4U}Ht5bI7*LI}WlFMya>fEsP%spB;OAH|Ak}fOsdgn>{dPIPElXW79!g$> z7bKTsevhEQN_m5TcX9VIU-P0?3!s0(#DO*VQS!>=SgxN{4@H7zvVqt}yL0oKgVzpL zd{ki>{Jl&0_>uJIa=Vfah?{D{&bDe@qv|2~Y0~!XVx%l5ZP2a%!NE1}SupQcvgT4< z;$UBLE}kApxW4q)?PiZ88&U?}!e6mGh?z`FN99$09K)x@(;_D}u;l8XzTVr<8`{xG za$#$nbv?sPncLAPXFUZiE6Why%A&!9%8E8!TGPgVwyt?OgeH<~Pwu)agVjltB=X~@ z76-l>eb$Xygf<3S>>QP&#^Yb?F0{O*AeCfO64c7aixztgt>2snLIf1Z>r)uO_1`Pz z57#R?_#qmYw<=9CfHx`Pu;o^~b!+^pY;nYEP7yohIpJ*ISs9N8M92F6^;RA{YZesz z7(0fIbHR@47XjxK_vM5|6H8lJ-)o1UZC5DY_HA?8k9PB20b(B-Udx|zERh~wtG3ac zt~HiCEBdzY>tXF`YRw(BZ55kN>UjqH*9DiSpxoYLk}0Yd{uMf%Dgr>ZDR<#ra{b;f zi_#Vj`7KzE*lwEcQ=5cOc9yt|XO!-03J$5YWSFi+Ehm@k{qKzSL}i~(9}Hk` z(}T5Wz2)c+V1E*)99aI@SSYbFrYsd!3mq*OwD}lBP`V-^ypJr{r`1*>jd9)|;8O=_Gs+86horCh zV_H-)p2i~0o3rFgF1oB~2d|Y?j_S#WvH_xxNH?BF`TgqPYhtq;b*f8|1`HHUJk_?IS~tGcxy7g^OsC&v^{(=0z*y699Fv! zQ;^9}yc0}R?H&w)fiSF1W%p=_G}6md zfOTc}PPR0rFonZ6%=TWrRk0gVIrfU?o<=OnM*uz_dx}uVK2XgW z_y-o;s15?xDLV&EBCpxNHw|deEb9@hjB3R#oGg`!+lQ-2QLfIZnc970ovS~ZGr(Gm zShURfF9*BDiewUri!zBq+cc**kxX3$4%Ey0c3Xri`3>X49!?o)S#)$yy&I!^UBEl< zipElkeXU`m?52fE5!c(k@G%i`jOeA3QxwXOZ4YzGUZF=?8yLIEiy$Fud~7c)Gm;Rk z3r~jXKo&$LAEZ#!^9&WMBdrJNrrk)z0SQy41MEbL*~05|i%o6rYg`qp95Jvxg$9kL%G zUaedSqsUn{cdqn8S>F6X4z1(POxaXhG($TwypqXZpd(X8BXR6K^BdNql6*84tz=}O zK`yqea;jP%h^>j?Z-vwu6HPDGFA(jSA$2FE6X_}N!wgK4gs|NH4{phqL`cbx!8~)( zArBj$rbcarLfVy|tcNz|DyudM8nEzQ1<9^}80r2T%jzug&bC_MKXLkl`7Z`^%zN>z z#wR_cz%PM3hcyIy2Y3STwx8SRcZ}MNSK3)BB&~B@r~2*{XRD!lGZeDwTlU<_JwM>(aPG( zcvnL$7m1fyi2!P;36mvJlI-Ac%Q#6E|;eTkXM z6E-cR)SL7O|AvuI3KUEepsT6Dyqs>8`9txgI8;v1T?5-+ee85libC~FUz(7nDr}-h ziY1FMT&#z-6@xvsZ-Fx(K71bgNcI@jFALi7C(I-4+aJ*G?!zBB|GU2SZapVRXcSsN zpCZMjwDs3;LObk=hze$@vsdW?=PjpR>TYY-Fm*xMMx+r0+zu}BPvP@;SA@j$tlw}( z7!vLC*ri~wtt~?mS(({s`2IFI*0HnE@IAidM~yjLC_7nr%lB}Q5T-N#+L+Z!ubW-o zcg#;g<@$o-6mGaMK@pWj{%yy3%X+|uk#2B<{@Ju?v@3V%`HyIYiBMB9NrF|#Ht_2x zm;G}3CSB_5{C6|U00pxQy_Z;rV}d&O)(S#rsSU!!4UtRIi@gf9rtq3aZEBJ z`3c4WkrMn_R@5pxjCcD`I>Q*|2*)vM%NyzP2gxACi|o)F@=UMXEYFB2(UCsp+eeOj z>1SV(f6hqT?mGlx8C!BihM}gPCJv|#LQJt{1}S{GW9ViEsSTn`5v(EY984Q*Gyj~i zO_n*yk5IM}IUS$zb-G2;lsw9>S&9VXz@$(SMBPx;bWG!CFX3&ayi*h~2qydPI_0{$ ztA>7NhyxMPvg8frCQ$qh%OvIeukkZt-;Po9Ck}%EEeFwFAilD1^uv8vC$b25@#gfq z=-=J55TDR)FhKn2ON@FRrF>BAc9c0z3-Gcnu3KnA$4e3)pTV2#2!(>|1Mw^A<%gOl znFolVTyF49YTPUAOtmaDQqEo?L*xZ+rc=yQWpnY>0C(m!>V~SwUhH)vj!YbSEeC=- z0>pvIj)>z#h?=V@eJ$ml@E0#llWu#5WX(>z(XQSQy<1-bem;pVzFO13M2`p|gYW(6 zI5{5a#JsSuMP=IsO=fl^R#S5IL^7&em+S7R&qY}Cr9p^=~9hv;h z&DV)=P)f0mVf%o-c!9m>6ji5tG8w6Y26UHP8g_<@c@u{!C#4>cGF(qOPF!@v-EmCO zcoTC$G2{7-7f@6K+cD8ck zR7Bg+*u>R?1#>S zNuFtAbYTc!E<@s2UiWMtGV?ND)V$wxFR(>126?9lN_v6B@BclsX7Ogw5uAN2t%edakeICtoj2AN+4bNHhcQIEca> z1Y%?_m-t3XlvFInWSw&qUsT*4>2Fo$JMG>M(Mv#gc_08ZaZZ`;>A>vC`)fllefdl2 zZ5@bXivGy&Xw^pdEF#U`TnIWdn3@<0V6GzE&43OqJJ&pK{n8H=P`0Q(Nf$1!_p)}M zEL$p1nbZQ8m6{%%6f?G!OhR(NF2F0(=7uO(oF|LBnfIGP4`?CQrPW%#W~bF!0+-1j z$gm-D6?)U`&UYi`oNXE|H)Drs+&*`86YBMr)rUIkjV^O_XG?26qgrI5c{yS{qt6N? zdNNrp7N^5coY5Z!)K2}h>82)bFmf)n-DYe>9b{Th5y!REwpg1T`Dy*njOH?`k&)ur zc@NU!AE)cr#ES&u{i}l(&9p)PqT)7X+h;IMwJ!?I=PNd4M=G?tV-vLN1IQ^AENi9q zWd~;n2awu)TvY2Z-U|Gz$Mu{`eSbwsbB(R8g!csIQ)fPRvsb44rHc}N_Gix)=Q3Xc zeP^oq2ZcAG8+{ElKAA6chBgrSI;WS(;QaiGzWiO3^;1FDI^on4wu?NQ%X3rte(d1` zP=h$TvnE3_*S_$&)Ops$)Td|ZnJ%vOW9La_3(z@~rHd8%tB%0>>4+<0YZ4}27H(Du zPcnLngB{mlw*hf~=33o(&vAh%Cjm&AGEUi_J=$c2(Fb8oMc6CPZE|@WX4L$bTBYB1 zQxT}FEnHi-zO;n3c10ByJ&bTRHpl0rC8j@%L!fv5QJRfFU?wDeE)j+nch9f2tqq5Q zRcURF6PqGkb?h1hl!m0Ich&5r8%6ZhH!`ZAeiLt7O*nX&ZsZk}>gg&o=FD-D@y$-O z=MYj7?n2&2L$`aa1=JikUgz8dI*|AUs!ygq@lEJQ5JnTX&c=bUUe49{L~#$QB|WLF zvMy#2Lo@yiNj3nHWB%@RS<_X7l3dC0;fG&$xdvlmI`@Y8^IVyh2_1-MC!`P*g=x^{ zPNx5uM>2s1S`RE*M(|W~>0iY@SNpy11ZT!U~k4k%q4HUIrZC;1}WLMFN zdC7|DeEnh>W*GHQ8g?)K472TnwGo@fE9o2cV7wWBBe0I-b8@f5P~uidEes(Wh~Vo$NZ$Vvb^XxXU^Rd3~@GQEDMU*rj3t~UnG`W z&6o!peU6q|Rk?mLIs6ZyMZn1e%0BX*T2vRPvB->Y*pVRA-*ovdOmj=ji9^wIlkn6Z zWy<{w9pt-8^W`*|u*MJa z1d&{=67HjjK3!0eAX2?)Q}~iUr&7E)kyQ7KgJVO|l>02Vp0!AxC-@i8UD@J7dGYu+ z*5L&PRnI=fPndqRI-uBBQm^86lNlS2k;DRFTs~nrlhQobi?8@OPl!Gv2rejkQCZt_>mk~wh(YN?W0G=;MUpG2{ovOvF?B#;5cvKQ zx?77gL5@5t1QMB`RWanPMz};igpC9qJa}Y@hB?P<<-+buWq~jcG-@P zh(pYXO}Q$;bslOuHha+MqgehM8yK9&bp&0YTEdYbOm7%A6#65b}oy2h=r#oC#y;0A?WXFK)~x3*Ed z8up!(c8a5>5RsSgt1m^&F8?I4nY4$`1{mCZ8xWEaqn|XTBpV+7-%EyxWF9)6egL{E zl}YI_#E&fl%YF~cVUyzCSlgVK&M$5PCL(z*L?%^FeD1z5?DxYKRQowYTVX)`QQ;(z zgGijheHdT^)zP!eh56gbt#d=~_+$D*d*4_1 z)970;>i4RGgB#{U;xl{HKK|h(mg)(91j4xyFDvuihLNFOzXm=CMI0^LFr{Dc%+|$- zydgRbEl1IQdKM`uj!#bTbcM2R9Qx9naF5 z{Zs$fouKcI7X;xWax^$r+-Dv0m1!1rW((b7rcU-u=_==fCv;})Eb~+zQB{}5t91_M z&z7*I58Lc9QWp=;lN6%LX$dPJS8e_k0|B{gym8fXdXOp;{;U6N9Y zuEpvyfj(P3&ox_r{;-z)#Z!=g%%7TNwI41)P^XuAJRkhw_BB2~I1mLo@bS7_x#%`e zmZP%QfWo68v#r+NvAHXm{O&Svj8S4?k0`i8Sy;nhNzPu&Z5} zvd9z;DGTuB0&B?37p$|tjvPqMH9<>qBsI%c@I^Y5pMnqaMb#jf6eAx+rWxyfl%^Ko zMvU9-rwMpNDk%L=qqQF(gdGG8vuQbsv{PRJ+P7CM82Q<=?epUD*0$2Fhw@_D1ftnx zD3^1!5!`~R*vrJJw{3n`0wxYc;2L|ISE~+tk311BJ|VsatKT9`4itF<&mLud#VC>n zm22j_a+2M~8U5WeEmCybZKn?X#?W=2Lvk#=_ZyOe{6gPOKQ$-3_?U5YHUkdw-*CQb zYJQpVRS7#k2jEpT{mylAd4NS?YPOF&kM0&lNZO7-8TS>M`(b|cJvuLSeo4SzB{>?o z$==EX38^;=nnflZSp6=s$dh5x+{1bmcs*xI?Cl7+Fx3rYb?iOjAm6Wl?kJUp_H&tI6W1tA{>a z?Uerv8c=CO?9)Lhh&l5s^N*JBk)2;N(++-yf@^7hqDd3WOC;yABHZxv+4Dg0P-9Hk zG=Sk|2I`%St!IDLL%|&aHwBO>_jmPrfgAm~eByG+4SiB*4rD5_T$1$=0mh$x85wYZs6;r6QSikDDTP}wu25(l*wq3q8zWg-`Ofh)~ z5qw}|NL)>b)a>^NLBE0AH@t|1^;1iipWl!L3TYa62S#|d@>>`$rL;|rY%NgCv(@p+ zy_o^y1nT=F+G;^D|t=Vg(-%(N@6&a)uqr>vh&qm{tr zG(QQSO~n*`0xIg=4(s7|qh^wwwZgIth?Z0dACW0Y15FGJvwx$%fadZKG(%raT@OKU z5u&Rcxjd#I^`-a8PbtF>I24>_WqXm^x>do@Gn)Z|Wf10ZTa~agwJvlKP@ROdL>R)w7#H&REZ_8J7?$EJ z>h2WpRQnb4=gfv-KN^LdWaNj1n@N<8Ji40i+WS>3@{ml4&X8jb6^23WWk5;Gjs#|x2 zGI;`}BYp+T)p<4b;Tv0Qh!c;__SWi;V>GvUyr>&l-tNa9gqsCCboAK!i*ZaGtuHX? z_O=(K=iuq-ZeVZ4&qUH!YJBpG#OdI#S7z>$$l@WHMB}0C5y6S$vL2Q+S?il!c;4*= zT2ONjmMK{%s>qlX+@MhFhg?9KAyeig1#~C}H`+Xjc*Nj4)02nFQuZ__&JDHgAR>8$ zw2E{xG;MAC9-x?4|7G2quvn|v-quaJT!M$;D-yWs2h$73#bes~@Peh{<{hQ*#JT?G z(ftFG4l~)t;hbM)JDG(2b>s-8u_tGT*ojvRndI$XQhX|V?Vu^7ot(a?S3ru|f()N( zh=)?`4Sd(WDbSLu;^K_ZRl=iGq17IcPWEj>{M)(9;u*7W+4KS9k^X4{Sz|wG^3BmZ zMC%HEIa>P-zri+l$-1x^$0|dK4qTVd4q%OmDo~soEc4@o¬f=iH zjNy^+G>k({!Wj|l!wYSlnau zw%{_HiqF^8Gfl0&&{hQqG2xvNwRs0mM#;c5jZ8Vc>o5!binQU$|BpJeP}z5$%2p3<5)kdc6zYIPCsw!MX^+*;T={scY?Mkt&454!IXOO~J&t>n4=gXYMlJ{R_OhOBIRP;sy2;$_h^$zC7gEYYeftG3vfxtnqzRMlH58a(zlRe ze%bra2(oY%aUIVvHE*Csw;4Tq+52Ut3p;?TB z05&l^paBmo)!@Bkt0Srish-WF$>g4kCYLW-*j~5hpU8fUz?LDWrY{D*O0oOV9~nrN z0x@Lp6>=e8Is}Q3_Th|waX-|#)ILOm8;J--S+O^S2DHn;^#+>%3=bk7Hc@u^=iPxi z8#w%ynA=L_)~&6H=P&U&zvoDBhus>7Lc5P<%~}g^7pJ#VHgO>Rc9$LBAu%CF>xLMs zGY&2#fm)H$`WNcR0I%$71X261Wx6!hf2_k<$K*)#7p+jECINS71e~!j0eMypuHRP4QJtYO z#5*KMGI-IohtZeuTbI+|`~kgZ87WgC2DlH|Aqmsl0h+x-bbA~4N1MGLb|ORYqkAUy z6E1yM2ZTMJ7vuM*eUv-`sNGH>PEIYC&6v@3(|W6z!wOJ*-odAXdo&E*F;04N_ee#- zRIiw53+Eswq8dlLddIPX0f#roo%emsk-GYuS|@r7Q|_B-x>~m|R~XUu=+^I-t?62e zTx-Pf0X}*5y5CD11jQ$To4O-+-oC}W4c+BMjueA$?#Co*)$um&Cy-h`00w z)JEm|)odUBtL>%@3=G_nv}%kK3F7^Qc!0`6Kq4691j5Lt%|by?Qa8b8B0A3G6p6V1 zizpMbx2f($K&6l|vbOc;Gw%NyA3WIoFPM6;pl6DSNWn4fO?$SNvkN4h886h4)S2yL)#WmMq+Pi{Bz`(YQAP)NN)5kHUJ5Ai{siCC^RRRbJB z_ljYI)D0%q6n6<|Y+!UR8c+OLVLkJ%Zrm?>%J#hpTrA@2N;wDf#g#~vTBU4& z$&QPw1UbAsAn#^JrlCgL@1XWtMtkQ_?=cfU790k7spDh?lmh-g99GkVvpy%-X-Vqu<3(noLt_Oo@_j^(QRE@Q)e4bQQ zwQMNmzLy{ktoq$}dbIxHzZ+!L;XErLyLC$(*wm`DL&~~A&~T{WupUxEb53YI4V5qb z5SoS?R4e!}*b>YfRA%>Bwh)-M{8d>&xLN1;6s_;_EpqznQILo5QHCo*rgSS^vNuho z+0;CQpL(cK`#1ypD!vL!l>CTjiaR0OKp%P7HJ{}-Sr#{!Hgi=iFZpnOviR}8UaL5O zb5%>mpCGPnwM~b6F zFYV$Xfyn2eQ6%JL#PK+@c6P_ZZD>Hhsp&pknl_0VK?7IE;7{cYzy$;SICoxB;s7?s zVS+*F{)*F#$A-VSg5bAG$jG;PG*eN37ujs5s9jyQ+v(Rw$v{)n+uHrjc3`xHkAufn z0ei-v*n4Szc+1Uo`PIIp6za^@I<j$!}fd z56jOn~?MJn9`L z2!iMU1MWRF47b_r?^y}|ll6aqgK5fO_A1eKgU4Je53CmQVcCBASLXj#@jrF_Kj354L>Mw!R%6!Yfb;arL=tC9``@b#gb>ydKgcfWa|6kP(PIv%qFGG>LIgPFHCke{!Ali#sg{X-1x8MGc*yx6a69-GR&IqX%jd;(P6=wHi|hszRBS0|{nAm}EAmr60t%TAT~W6rnaF3K!9dT#mEE%+y)+i10as`E0A3-5b-+#_T8FZCOfy znSthu*)2Ax?A@v2fz;FHv)A3J%;hh@LE*xB1khFPcLp4N^1@4(YT+{Rg?+2Jq=$pf ze`-D-KuVrb@*c{kbY5|5n#RHx-n(_MOnV4*`vmoFLs)|mZ$n@n5VGz%Ku7P-@Tz#m z@b<0sDFFYg+Fn~^5jV6m+_L+Xs$}S&cc<^LhHCv@l5r=pRzLq<3S_EUz3ILQBHyV> z>gi?fb^bf**}~PiQ(gP$FC(?RG~;&6*OKZRqo1N~4mQ3oi!vM2x4{p5C;5?Pvv?=N zacQGJ=ikKnn98TXC!D(K$eA6F3zrppKS0EX=aH2E7-??H!LahGF{UQkxA{8c$WKCTsyHWTPc5wQMx~OIq27C zSX?<*uG3|Jb`mqy=5Tp45mN<~t6wL8oJ1LJYX)-;2fci~-ihl~(RD!(-=j$YUVv=S ze3bwfDh(gs%-%)g$2S+i#pHX8X>(PcTQr=Hjc-}Bu6dg4oPr)AEHqsH3JUnV@46^f zv9c+Evl`m=D_cL zx&i$`7SS+lt3@_gdNbb~RrHa+Rr1r&)$u8Aoyjbh?9?IkRQUG0Y_l3x$9k*T(e`^*zQKm(v0K45=ekvy^{*L+mFr|&u&s*@BJAN#XC(eKC zqi7xPs@(qxM;N&00Mtnyc3!Afy*i{&CYc9aSRMMWfYM_ADp^{coad?K6W;Xu@JMsW zbB!P(5r3{F^z}fO=!#130E$biUopI9Vx{`MKE;A$UYUo1uDBQ;0koRQ3q$18sYxT_ zvpjQ;scvqwI&`!;bWurYZ|sA`C827FJgy{EX+6FqG`6?)s*+GOFuxj5K1Xo+>QmRB zvUJ_*H4}%ue*MbR*DY1z6UjsaVJi&7YpUCD0-*S-b=FTiUBsp1gj(hBaRB-33$|zm z7mUoS&mZs`eU#;|Eor$jZE1DrGC(;m4UdeC^FxqIY9g5^xXM{w1>YMBn5b?#C-Z9K z&+AJnRTX`DNoZWs+Im2z;T#|St2dVPHx`c7@h!wTgTvf#?K9fF*&l2A1jp-LvSN@KYP*q3o458f%yJAz4DKR*` zXg&wS1JLGUElEUtCRj5Ps~PEyC9p=X5fI4zDhNC+zjty=W#rc?BX6yY{I|-;f3J-E zkIKl~DkHyM8TpOM$lEI;?*OvmlKz{Om42%-^4pb>cUCXxcPcBrt1|NL%E<3kMt&bi z&$a;0M0m0W&o5Oj?2VO?U#^V22}n-|s|mt5`YY9;H+$O)#>5U7FH5*&>gt--IXX5_ z{ukr<8Yv%SrGV4dt(ewPU$A=J%6enPYF@P=!x|E^tl}6(n(vW!b{^h2Xtn|BLzct z5WgERuQA-bJ9ePn{M*D{R1?jh*fi zty$T74v>y!LBSlBZuo2bg)x!vMmLQ8Hg{DLf-XRgvM zksrq=t;CMJy6|kE+j2@g#_WIA2Pf98Jf%K&;k>^3L5o+eU46=$6JLs4Ye*D}eIX2U zA+n@F0!2KpCG`B3(1R_Zk7@~hba`}d?6w8v(P~jJL28x75+)0Flgc8DlVH6FuqJN}cFzoSuvzN01dH(Nq~t30|FkT853 zv12UB6kJu~eHGxM8u9(D!8cWa_W~1!2N1VV_McUNi)zG=;Hci>9&Jte6pW;0i>_?n zssdasZ=Ve~{_>yM%``csds`rxKPz`(kwL3f@pd6Pf1=NzmG0-Zgnk~-bb41u?kb~5 z`5qy>V7Xfae?e<-ej%%c7W1Ok;Clnk!j%}Fd*gcB$F5wzT#@md8szGBcq~7#EpKYs z*J^vAawVES(MrD;{8V4Yb_IV4;P^{+wL;y!F?zq!;22xmKQuhmTnlw8ryp#GD+Q)O z(CPR5B|D#Af#smN?c2cTqZ-A}6m@~oEOAxQd)(^5VPJH>4hxXMi}&zW`8c|J=~vu_ zA%i;zi+O3?IeWI>CQ)x}#t*97|NH9D#{i`#&F}O%uWO9sRF$(rNt@uRJlPif$fd6XO}cMM%E5@L&0I( z^xz+}%jOQ4M5~KF#6|qxc-n(_rfbSyUBEkBoq_jKMi5q2Q7>S`Cst6e;I9Lm=H=IR z#(0HZ-4dE#G%o8orTk>TS#dM)nw%mhl10X!5~}Z-Q3-x(`Le54Gf^I`3bv*t^l3ns zZt=ab`n4@-KfOF!`E4E0W!sE0eSLZ5akU$gP+%u?Qd!ceSS;m3tB7qn?pE$u2)rMB3U#*zXfov8}Y5u zfkplJ(WORHK53hf!IL667q(``TU&#_tu^@DTZ3N&IG6pn+toMRpZ~OHk^kP&1{v>c zgN%2zLB__aGJ4s{g7EIDGSpn~9>~D0hno4#coCeAiz?;fszxX!mq3OYl$(t{MNTA( zYr_)Dwcdt>w74?OKFv3ONg@WJxvSanT4Ro*>I5fjNG&s`Dfo}PP6ScLviOXv@W z=n|Iq#s#}FM9=hJG%KHfq&zxSUHd4|_{+aRSmd;ig{nJyl*B}`2z^zkx;ueTCmC>W zoOyMqx>`H^czJZJy7rId(aQ2`%A=L;Pk5vMEEm=a{dq6+&bTbMp>Jpj{e_m$Uj*7` zv74}6^^z}D!K+%kvAp$4`%OT5T;(K;SIWOqUb(9Dn=2?+wtuw(T-pA;^0q7G-)~L% z4=ccx_8(V(E63m08vOp&;G06YV^crT=9KZj0h|MH@c^5L{zGfF|Ir`5mE34oZSE02 z_%;~lwiCFj_Gh8o9=-K(+!l!7%5&QQ?lV;7;kOOdJ1Q;#SA~C0sNS`Qm%yviZ&wAc z^glN=KXp$=ImooDgnE_vyejGye)~{;8Sg3hE`a0D(d-k(E8p!JDz8}f-2gA$a(ZLy zU)Y-ZJ*%i!rGF9d`1A1H4$|vl+iNcdl=pRp8Uuri3O6MlMaSD+B=p82_Vu*?VU3UB zwFA8DPoP!l4=ImUx(@}~W6Vh?SMUyhxb4ZLUO#x=-RJpUMbASYRY7@gT=q{_fXC?C zXZ+!ZOPf=*{*WL1PBghA1g?yKG3wl-+V9YCW&GY1;L7;@0EhjK4x3P}Y`6TZtuoCd zDLesJ43^)yQn^m}fvpw&rGVr4=i0G@17q{Y3opF$3UF`*3sebT2Dr~)pHSZ$3pk_- zURC~~z?ZE2Sb1$)OX$O_==5V7iIOYb9YEu+=p)lb4X?8`cvoxi>8-)LTZ7MN4c-H| zUz<6>GT2?>zm7?d*ep)7H{-2u{;LX?g!eZuU-S&R|#I({yQ)2S824; z{t(dkbG7#byf?=GsRCTtz7+~yR(q_xwv#{nB=MYr-|2>fdx}{&_ARLo4id||GjT{| z#u-dKb2D*`T)SiQ=FPj&3pmjDYJ1{f|7My8-%*r2x>(ZBSLy9lnzt6ogEuiY^A!-L zA4Qrot)zEUX}&uqbUtU#+cyt`pSsWZ-R8}6P?JaCkP5{GyWzhTPvh+urVmHv{zy-S zq6>jRvi2taqrof;1C^8{5MB&H(@b(?2u8iOg9R=YYTo115c;|pQlW5kWUI|%HE ziPB~Yw=B)k4z+F>?wWJUXa`5e4l_jQe(To45ij9n^N43T8E!3Z4vx+VJKUoK2j~RE zt!0aFw4)lfh+$8XQ1@_Q-h0$-EYsC9re{XnOoQPXAkiG$?IR;JGs0x-s6fcHj|wu} zkj$u^fox1Wh%2Rp#}=juZqqX|%##ngZZm6#Ol7|$t=4RXXc?KZR3KCs9Jfew$e7N8 zCaPh1nvj9;m5BtzT~Qes`=(`NI3j}SNnrog->|g37Cq0H48n1bfza-Xiqx7yK?}bp z*{YUIRqpB(ni*j-hGklEhND8gxFxMqd_Hs&3swj&br;%5-x%yGqG8np&<^90qc{;>cV9XKF6fZ!?=2Muyg68lBei z1=?}2x_z}P)npp(8R)FyayCkHYp=cXJ+6G0tFr=NYXy=Et z3l(icNV`bU&JJlC6^%dObti1TMA7*BWh~oeiZ&6_E?2aZLfVyzwko7urD)4S+BJ%H z0%*5E$8Cys1ZdYP+7L(1%+PuQh%!8T4y`}Oz8wq1z=7g$DB_#ocZACAinL}=MO_fS zU7*J(;ukvkjfA@k6%7MXvP5g4h>l=jiTGoH)1YV?Colwii7L!Wdtz|XCE~*&mqS5M zp`umbC|RPPq+G|JuB4(P+O6o;lp_92=?zdcw@}gX_Fn50(>iPinZ^9bzc<;ZO{{ zx-)1GV%-0;qU{j!J*sHifwntLcwEu80S%UJ-U@msi-C`<(UIFI+T*y`{yF%zQ?y4x zy9l%$6z$KTtp#>xMSB>u9?*7IwBLe8cL>e1a`sGB&Vvxcv2xE)blb+ti&Bby4n;dd z(cbM=^mt0qPoQWRil&B&JRNgqO3Zx_vlwCyC@1E@l$d)%YYr|c2JE`=-vcr1L(|HM z;m|=S!|q6%md{?yfWie`A(z1KV3|)T3&*i($kH@{V|qf%)HETZ*1Z>ck5fiQsGY!J zUM7dD(eY{PPZh6U_VgW4@?FTOp5YI&_!h!mtOx5tKsdg@i%ctrQ3trYDl-p6 zETu8!gl4q7hx)Y)v!d3745S@|d?$-PDs+!_7*;_B?tLU(m|0z9gl3BGCS+u^gMfRR zNz>aQw1f<{Glt)#FU}$v!qJaim_$2`IHbWm!wH!dRKg$Pcu291eYe<4lKU?Pc< zDxeh4IXic{kCwzqZtix@H|Lyt&bjB_YHS>v#29=3gB|rSvFh=R&4W1>4!MTu2t#*; z?rrj{#Q$JjsVI+#jw1c(M;S-5*{H@Dv}G;Tyw^+RD0ysXh$ryPwbN zK=U*<3!rD~ZnVQh@NAedF<#VdS2g0(N#(80w@Z*l0_QXep&1Nf&7RwIayv|p%x&Sx+3YJ2bhyv2jO8;XV9fMETSRq@>&_fj4B=fr zbh=0ePL{K6BrKJKS z-G>+sxs#ow$pKhMOZa75?{^?0hbF^}VL@OKdma9|`QG3Y*dy?BKUJ2cB#Bwfu*ece ze%>-Mi-{Xip%Sx%m4Zw@(v7a}_F%B9duK=I)}^$ZLvNhuK_Lzq$?SY%d6!Zo_xJY} zN_q)=LB*U^9Z0(&Bb;2ungEdxezpPzd9ZzR$IkjRUny_?X5Q%0@# z^9y~4r9Ig)QyR-jN&MiM?@p6VRhOm|an0cD{#Ft@ejM zm&wq`SSz+{RBq~|dziI>y)QSOSOpU;W}#;v`lD)bhi7i^M_3HxKki?5Px5m6X7qt7 zTGsBH_X;E?n^p!!&3rZ62T)m2`JMrY76wdiA?%eS=4~~`HKm%Oe>iaN2x`W(OIlM* z*NBYpn9ntIkZ&74?r+aU@CAlYwV)ZjU*K7YT1Fzr{TfQm zr~Us78y+qX8>k(HEnUKR*KT7iu%BQcsM%51x0nKhwDjb!FLnSN3HHUFKy2qo3xF+oE=%s-6;fRRfRs;D4ujxS!t4~oQZmVJm zB?SG3P7%CMG?KnAj@G|~x{#H-nfj8@BSursQa1oL`cl(+&zS2~=;#VQ{%=Vc=qZOG zTeAr#V2Dn-{+)pU2*{x+Frzg~(W6|YU?nc~QEQQFLvhCWNmqw3W%SbJ>!wsCTcp?J zadkYW+sbB<-ERUB3PeFc&t0ico~i;j8*IIZ5zPr!&~rbxF+FA{wPxxsCtuxr2X_!&Bjlxs?sstEPvFhXZpra{^t&h4k^E?+ qjxz6_@tmOIJ&3ZD`bQ+7Q66tJp6LQXM%|f;1;K=Wdj10d0RR8`X`z+? literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb new file mode 100644 index 0000000000000000000000000000000000000000..608e6ab64864d051317409553fa77cf3495c1179 GIT binary patch literal 2988 zcmV;d3sdwI000233jqKC0001P0B!&`00011WpZ@6CZsjQe0000003Hee000000ILE300000 z000000IUlD000000C)lH+6hn;R~iTK4;)8=AQ%ro!5spN28bjmp6E29n3#AVp6ezG zGAeQm!e|sVAR>~8XDmfDDB^_*BB=460Xz_oc#9?|DRnjCdJz>+dE0N8aXbG~61P?> zm9ne4-uu1pdq=A&{Jb1mH;j|V@6GMQv%fwSIp1jUWZeu$yjde>&y^*AD zCaJ$8shdmc4GndBu~dksvv{@e-vu`TPd5GS1vQ0uGjMaT4R{KSXA5yVa0_q@^v{F%RETedcrJJv=34{(%^*Jw@>haWAb%Ca)4{93 z8Q`t3o^=p6f%!5az7f0$yczrm=BotfK>lus=RtfMI0y1gVg0)xe>eCs^sfT%hx}a- z-vV}p`RjoX!gzO~|6zz%L%bN`J0X4?;@J?t2R;S)Payt1_&nra0B1q|C5S(T_zv(D z$lna{2jFMm?cf_Q-c9f($S;R@4aB#B??L`Xh*vor5SM}F;Pue|9f+@k_*!sd$oGfO$3nS z?}7Ec2XQB`GuQ>(2D}Qc-xcC+V0Z8b;16NEAg~8mfc`Rw`$D`YxEI(D+#75T<2M9b zfGxo-!L7i51KWcgz*gXu;6~s9a6SIu0PrC2VDNGnKNUO-@`r=}frkD=!C!!vfiJ@I zz7Md}Fk5OsvYR~-?pi3$vf&_qwJE9kE9nW#}KdWWfl z0>cymA!8%NVnCEST+N4ZR|G}`D|)JeL&RZK!G<)CfvT}$P)t-uDf|MXHHzmAzG3Ro zp^8r;A}4AT141IAB{!tMxD3AjXN>8;$MCYoP#2qDc<}DVod2cd{akb6DS5thK5pYWcv)XO`5O6nyyS=U zdU#)H+?Z!9dA>Bx*Ce$u?`0*=m0kz`2LWk+KE8B4+|vE!eWh{!Y)G$<&nq?0m+l9D z)}(RFf03pAdHnY*y*_@wrR(SY>oQ-PG|ubBXONeC?7BV=VoII)JYLuO`TWxTRv2;^YOr6|0 zk@vjjIbPf1-wymncEC@Z_g z%pT%Nwhy|J<*kS0F=9BA_2^2wvpUm1%QtJ?O00yS8W}y#QL|6O%_zS5FpC_1kg_ta zGn)p}sjqSpE7%IgNIOl|7W&$ znkyA`acA1hOlp6~Tz*meezjFpJGN+PqRuR7in8*{MBU9yYoXZZ0qb}#m$?laN^u#x zSXi6Rx@t{3K|bf0Ruw#7Im@vbHIEsg-^ZYx)1iT8AU`kM+na-Qkuu#JgRHoL*tnY38l z2Cr=8u;SX><3(mdyN-{w-3nush2C-c{+7i;Os*?uf#yot$9MPmv`c4bLU9Vw~13(?dl_B0@$K3sZ& z)l)_?^O>_KE8c^09geZlSKCpIs~Mf4Q%rD3W+(bjppVa4(4{Wb%rABnd*mdepy;VO z+1zv?`P-J{+I(dF#!>OrgQmBlwIvGnX;=&`ar}XWFEnM%N7d+lvcKjG=VtE}i&H0>{VpG9?8OLl$&3oX3H)_b?0 zabCUYzHdIOo@quYv(0F|lRu@;?5F=D1A+M)ny>X zzzf9uKnznJ9~|Nz;TfH<4W~n$-9nia;&R~}TRoi6dmDq7GXaMED#Bcu&3L21lb*Ne&e}C`L zfOudS`MAc1xcUb80K>?pxFp5Os<@;mGcVna6GN{qUcG@KK2}yvPW}<`MlN8>?YJ;p zDgiYC)ul=K`8jsn7>eOxiQ=uaoczQRJ07rV@02upE7 zU;zppoomwwQ^E>l1O`X?@_|#q>Sa2MVKRas8JPQRjC4R@#}Br`{MC{=m?|NdssMja izYwT00kAbEl`ZchD+9$UP>pMlpQ8^r2><{90RR8tccOm) literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.cmp.logdb b/cpld/db/GR8RAM.cmp.logdb new file mode 100644 index 0000000..626799f --- /dev/null +++ b/cpld/db/GR8RAM.cmp.logdb @@ -0,0 +1 @@ +v1 diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb new file mode 100644 index 0000000000000000000000000000000000000000..efc33c39ff831f9d6740955c6aca361e3209287d GIT binary patch literal 15775 zcmb6=gKsCm(zR_~ZM#?7wr$(Cd$n!b=C8JG`)Ypo-unx_Y%)k@CbP)yW`G6+1XKeH z{0{;F+5H z8A}&aLQxY-mw)0rUjMVQ#r=g-2=3&M4JmyY*h^iN$y6j-Q(E^i-;7WE4mnq>_ra1O@Z0UR{)`t>LM zHNRI4Grqqb%Dq%6@q7#1#mCZrnV9xY`Mf;wMTj+`u+y<_s{)Me*JF!`at%31KgH9-|FvS zj-W(?{tRB07cnAe@$tcK9~eF9qYUi0qUq?2URYkEs_N0DrEJ`e`iUD7H!4#CvLF}YcDyqx% z*lvY6l;&6Zy5sRX740?p175azsaKVYIilO2ek7mxm}g}m6cXId5wYw!cmHw015@Y1 zwHMO8?1}Y;X*k!TAfF4dt!6$~+IQUtZmPln!$5p{5hX}82UQEvTH|7tS8U32|Nd2v z?$NNTc3scb{?OH6sag!%NqIcs(}?k{&WlvB+oAR~u_r~Btw(%50eUQ|%NN#Tk3!8i4*VrGW)%?Aht{{4a!ruLK65avC^ zg)!foVz$carx+;Wi6eIZ$tx%SILFl|RG;{MSUOtP;nKqjK=sD3(zJf9!H(7HeI7B#d4J8S zsm*v}<{Hl*2jm!cpzGDV8l)amoM{&xUb_yuS2DGCJ``RK>V@}@_>cUgTTFlReut;x z^@rWGIV1~n#pIUm#;=R!dI!Vy)fvNlYwLWzZaobi?hT*Ln0sIvMF#E)|q zb%Y)6+rIM43v1UqffIyom*D?w;kp<-b))7Q^4Q1>h8CS4+#c|+G9BOY7)8)F5khN` z9NKXELk4CJxN+;%A@1)E@1+5w5k&XJ$7>RL$D2Yuwydsv#NQQM`fjCj&M08^h{xP8 zRUxN*fU0){-w`7o^kQOeM#IAPF>}qHr>rVVODEj6$bYoD=UHuEmnpQTrS6CdULlTT z=T)6P)p$KA(6vH_y&Z!0_Ix0`a!NL1?9Id6xH zy`V0)-w3G(>Cc+t*vIK?j_rraUP!o;p-G9l>{Yeh#0nD;7cR z65U3bC|d>C7v%+}H|mNEinsN{%{iE{d{9ZRn)i&F>MJYkM+64P9-P^0Ub!Dk9gJR> zdsdUWLS=a1!nYllj8TB1cZt@|qBo|wL(SLRdNI@qI5(5m_P)S+1uTf3x*5mv$rDE} z>cPO!W5Gu4U`LI1%?Zz z!@_9^o$a6NhlwscY2f3xnD2txd1tKEd-2&YXfcc#_{!adnK85!4eM9&%&EU*s3I@a z350;&ko^$U*0vW*7Q=ejPZ}8hlKh~QoIp4$(P9e&uWUZ%O5!!!gfrMpyo6#SXPpp@9lL=ZW|W zvzS)^MbRZ*F2OODPL0w6T`n=@vF5<3s9Q+yZq7^`pWW14l+%`AlQT9zniq`&44`8_ z?-O+q^wmK{x}C>RoQGiaqOk2p5u`Q(*L`MP=(5AoID%6vrXPt`6%oH!P|h&&3yTR8 zwG6W-5U)ibVFQpPFekG+gOn(_%f$nXAQ_EDeG&E59?fGAtt7ujDS`Ud?dvIqknVjy zuiUf?c8*p;EYDa<;<~;Uq8Vq7#%Z4fCV$qJioRKw$|b`5Nq_>(M>T){0E1k9gUdQ4 z5ZmsT9u*42#j{a4` zadxh6_9w%COZW8OlmEyzNjMvk>RCZ^?cNcI(e$Tbp zLO07acvNbMa|UNxWOAgZxwyp!vmt)AS;RN804D#ie^S_f*61ut&f;V4y48%5b82SO zk}UW==c{LY=1aL}JkF}H9S+B>;@@9SvS*6@Oot)1x0-VZg^{hixl)?N_#dUbCR(qa zC!xhTNv3kC=iJ-b+9z8Nvw_;o#@KvU4x=?(A2fiAjMXot^W}NRs=^rUqt^9LBsO0{ z6!Ywn;LR-$s+q{u_e66)Cs=cPBwK_~LJ-U(5wkX3{e2W1tb0D!w3WT#F>J_F`A5wu zmM!%lZpT0UHfgZloOlIwI|AgKN5~ab_16s2J{Z0}=U;bk)NvoV6jgw^MZ@j8 zzf(pP0VC5DN0dxA?s6rLOOB4t)W4--K5~>T@mzJbvZdls4*gD5aQ?q4yO7k9G`L?Y zcYsO8g&dCwT_!IiFI!*Wn2uvyvu?9%jdb!KmPG8}J?V{mhaA@0(G%I3ZqhLI;_j!S zh_;43CA6(STkITZ2RO!hTZOXz&qkO>pDUMe4#6SX{C8= z_zwo>tH)Eg3gev8w!erS|cpxQ+8PJnQBw8l(@Za21>jThZ+K&)O z42RWX{Vc|8Tk5!>?Q{5C$*b7j1_>`A^6p}9<^e8eC;qMo&OpNBFL?-iAu&zWfK90@ zWe|QvfWi_<%jy363Dw#kZ=})Pyl)l(xCVR%feuBmJ(d}x?=q<2oQrn!#M|;EM^z+- z#$2(K6GhKo&bw5vO&q8Sfl{~rg0&|j;rD564g`SJD~HGAGobsJCeo8-o*7$Vf59K5hNo%y zA8ZHxYLJ0Z9kdy!+aKdfU#TA7=i5|wq+>@5q*}6U4I5P8eR+Mnv$vJx!(ct1^y+<+ zSEkUo;`FX|!5@`0Sh2;M=227XxTi;vhnQv&>Uf5ttLF$B=zMMDe|;H^tmYtLW4w*} zpt0|UL)l(%U{4iQ^r8>oyb|31{1nHth=PW~27JJ2z9M=o{RQ!VS2aR?VefBh@Yp5_ zd?ScB&6E3ax>d+=9b8Ex=M61(GiDMv*!@NwfMGOn2<~u3!VUyBya^ll5kf$e11+@7 z^=4-<5^Tf8Vy629Lyi@!3I(*!K;m!Bui@=A;QYv>w5e1)YIZzomJFMx(r`tCUx2Q^ zcMn^5TMd0J(QH*Gg5<96zCdX*m~#t@_-Pj?{X}trZu| zRUX1YJ1dFjdSfRPrF1Ya1T;LFt!ZDp+TY8})p|0TuyQ8dda4To_-rR{hb}O!{C7NREz4$>t}U&0LXla%lGjLOnp~+4ONB2W>CW(IY{dyNy?4MylPOVJ zieoyws+(CCfQgIQB~GWQI!!xk^u5 zpWpQ_kZj>l>|?U}skHS845d=Go441|>e>N>(=)ctNCVBn@C|U|Ceu+?eYOiplU9Im ziVw)Hr^V6lzeQGmO?_Wn^2kLw^{6zc)2OvemTOvKuUn26Mo&>tz^E)u?OgwBDT~ z^t6aS2L~zpgz)T=_vt`f-v&Wy8UUxK8~n^1 zd>-N6CGxXESKORz_8EB(C8;-|lI3^K{v%&qg$FZ(z-_!bdC%Ip=+H0P7l_eP$De@9 z?1z#|*lrmM?~gAG9f|Glr)5nGa3BUzGFRK7oZi}7jsboELly-?RvGt)>+nzmL&Y71oHHH2_J9zYXQEx#Kd|mAF>h?FuKf4Q}=A znjm&c9*&xMosu6tD(P_5;A@*9vn)1^f&$WBP9L9uwQAOVfUE3j`_!b^c#~kQGv#=K z=CeO`LM6=E_N>_N^Y6A6i{aN7S8v>r> zvT`|}k56@)iCIQ`rRcn~8dTT^#CJxebGL-&QfhuGx+&7 z_q+I1)K41g^Fv=Njis2k)U|QTaILwVh-L#`QtW{-chpx%H1B(1umJHdst|x%;_*U; zv^>8sjSUZd^9U1vl2X_yOf5Qwy3KFtT8{ikUm-+u?N-QoUfIt-_`>$ftp-zO6P~z& zNqn0CNIdU_1ivn2e|m?8X&>Wgx0sr-IUB|qos1VkcsNLpP2}hWBsJAm1h zjJWQKzyMkO#EIO50dY_FyK&Mx~IJ9xd0qkEWEf+wcpQ6p^p6ZkKvSN6BwZ5B` zQ!QK(LnXX3b(9^kN`21xE^p-H`upal;IdsPOI-W;x~~^%t;xxA!~H!6291XiC6e}h z_H*dvAdT?(hQ_u25G2t0^FhaQ{0y7$_k2~F&uqUh3p%@VFpL+;qpJ*8H61u5Qm+}u z-0*ax(r7}c+G=`}R=A#gqdc0VOk|TehXs}qY|?ryz3&>K$@BNI=Nv2__(#%2&87p& z{{mkv`S8jFTNx@+Z~Bq<(~Rs5w;7oR1<=Qb?KH>G=Sz>wT{#bF*2O`za;a)9!Hn11NG^@MGp!MY62m4>Vo;b_0`tn8GSvBWI}r!4SyGdK+!ojO zaq)jB2}DXYeyqXapH`$oqJpnXDdC9(#V>$;UD{nT!*uQE*JxhAe8@C@5NsUIVJA0-Q;z)%M@7o)ogXK|1 zJ&$*QP(>AQMb^!G!eg>Kcg)(67l9Cjo(0KY_c*f!a}?$8cPY3?X_`eKiD-V0h=H+$ zD|u@3j`*`frYh|Sv_1x_zq4 z{z&acvqxN|Q+mlz-?(~JdC5!EdFSCfEx=JW54=|504R}8Sq~N1df~i8X0jo4+4K%z zd0&4n>TdV_DcdUjN1k%j{uB4q(v>z$N`WMo;j4UIyY@1lbM@8>83$22LWz#wY0YU` zDsNraWLJTxa<);2EzWCF)&^Ph(0JmAH_jX?hzOnBOMZI=HtH~k?%JUv&j`OnY!54M zVoV~hNksEUO-PDcUFZs5y7_%I+|a0f%J`fvLd)IIY06jrM^nTuTWxlZ`?mYCx3QS< zR*x4qJI{EZjgHGQ4Gw+`wRMGWN=PG?t(ft=J*3IM`jqVCv*vL3WIPVK2OICHx|mfq zkIaGjImKLRnlSw>-|oQ&$ZQY|jyt3v*I{BwouRv}_g$MGCW-oWVrX)RXVL(M(L?Ga z!OyJ*b;^_^Wgn;uH8ohYQS2F5AU?*8Yq;$2fHR0fHI4OGnuQKt26!Ws4b^}d-Br!!J_!|iHk4?5V&~Qo@ zh-eTfET)F?jpN;R)<)7u*6LEsZEM(%T$FJTP`Wel4@LNvlzm*}`&&{Di&K0U8LG$G zYc``>7%ZfMZG{Eu=&fHy8whtp2{lPxcZeDWxa?|rwCx^frI zvVO!b&`!}Um@#mN>G7+{ySwSo5?5aVEI9cMWTpE`7FC+E_<%2n`f z0Dk2h7U_D=vKj3$dnl!dG5kiPhv+`UF>2ZE9F7qXrg!+{*(duwhk7!U&dOG@dj#KAqGpe#v@YV5 zMO9Nq0w-w8{$#^5I%25ca-^}^Qew+8H*&HKJJ7hPHWZS zVx!1f>u~$@FYWv`$(R~MOD%9Y34RXQt~-cG#ZiBgfk3sWgXg2?z4T2Q&OW-;H!y|H znW~K!s##jB!ob*noEOvfgE*gpJni^M|I9eKIa{Y{am)doLXlj_VRYd}krcQ2Xc=v} zTAhga>@;+@)X5d8?6zx~^5GGcX_-Dg_w%oaOsN1SCl@0N#c16PRgI`xeY)5OGir*r zaDcCxDY}FC+v`rwFg!5sNAz_Rhid*;x|D@$e2rBcAp4X}>&bkkPtQBlIr_?~b3W&W zJA>^$cmrU3@tBFAMo#q0M4!?$SAE&siiBnywEbDywG^*kl|_c}#8ZC#OGcLx zcgbjzbI01uF(sDrE17y!PNhU9-=VkPU{F%Lq&gSej)`wEfrWsyjy0^Gc(?NkV$C}i zs@;D7He+>Pr>h1OMriD>0Uws`6-v%-j{~kq#C7R)^+KM^oX8**r-VFoytkjbCB;Mi zDXFegvPpuUUAqIz^Qt}0N8K(@czfC7!U_$+Upu`;EEyBO&={Bd*vY+Y2;nXz=*fa6 ze^3y+tvx|0l@3pdW2fJbB)s|FHI!FF)z+)FpyvK~X;c8y*o@p`>Z&%xeXVy$S;Fkn1_!zs1kMH_c*f>H>G~bxRrN#}cxj6DiB1Xr)5un3;xapeN&at355L+~ z2b7t4qG#Rww%P&amI)t)ZVhJ^aTuHZ0E82}4MDu@VZnD%C1(+hY=^u#@0hC-J3o}t z$t;fD1vBlg$L)^(gb_cmWLoU4=3mdfCB`yj4b|vtlk#jQ#+j+S^Y@~6)T*ZBeYg;_HK6&X76+L zo^=r^$k@=@0PvJ8YYz*jJW7w&gp%#Dh}`2FYDdo|G;gtBOg%1;H3ibpNou|II+%mS zE!^8rJsWd$_sN$gnO@O~v1YWWC-@_L< zwCMr~)*bsK$iRnSwC-ia$tf5sjun0rDkK0HJPJ0UwhaOzngl#KHGy}2A)WnilSwsd z^G@E!&4E2B^NI`&KW9_OGQd+%%OOpD8FoTt8&-lus*5u3$C#gS#}WGg#cr$Rc3ajx z+3xzQiI~MGOLT8c06P;eD;%9k;_}z){|N#&LKs@^=3&( zskW2G4P3CHQkzfB{;P-7ou91TjH*yQ%U(A1B)NJLI?mLn_+GYEc!g|9&Fq_cwlv}$ zHqNq3cEFe=O3lYsR+r)LXsHxo-8eB4j;9?fd-{)GZk`m7U#pYn(r&v9Ojyp#0c05&u8wW>AUs=b_W-Nf z<9TvOn$H1fhD*NV28;wL57EEaI5*(>f_*&DOizm3v8ZHix6C={RRlxUmj;W5Kdrs8 zE=EdDdi$1Bx+^pcYI^Hr407x=?ZtZl%7(_??gIbGS5Z#9^Gf-O z9brAv*eq_-XM0>^d+m@UR=cu(V&LkB?UHCYk1$*046tOR8w2!ngG_o*p(j{vz_r9= zA--x@KlP-w>F|)LD?19_D7q*c>dX^7-?FvPT261kJx9z2&+tK6fqj@z$KOov>1#)1 zP^km+@P1yFGS+#5Jpg=(!HEpsGRzPUL@HbJhi&y!ku7lqyBpxF$B{h5g5tw=X;Keo zq872J(=T%{BvI8+l95G~-ayYKaD2TblB^2D7M2))kp#$w&OJG)rynItkH$xIH$Tk{ zt{qOBamxv6D5*()svGx!pYxnTq0u^G7(n$(im*OIx)k?RqGyZ;GeT55Km-k1M}$a%f{l?J;VX%e^Uk<9OTyC z(hZ-6<_(O$n@PRFlb+6i^w>MXvi00?UBkA!~&HHNa>GAME(}LfC81CmF&(0wQkUjUT zMk>wbcGJCz?UDY$SP%n>fY|}^Pd%G)+OhRZTp?%K!ywVt!Bqs`_f6h#IQ7BITF32L z;S?0AiL|3eLQ_QpDzpsw(5|GO1>F{rp?m>u4|5Bz5%0Mh|5V-VsLJ*_$s?J(L@nV* zTT{7{3v6PV8rCh-`1fre7Ik21B~*#RD_tQ?r2IM-1={U4Qq3t(;aGxgJOib+Nwg~Ogd0uQpLtyS4Z1^|**01uOZA{96H{7SJ?L~^&y&832PP@q6RL;YF zjMDze+Wt#L-PK|R%I{$E6>FrcuA=8KOcMX*+&V@Cd1&Sty$MPGCcB2!~1$<0jz6?8l-=3l)b1bd=oQgJOqM>V7QOX7_sY+s6$+7sC)18L13&VNW4+?r zf^Kgf5bsZe&CH#@U(z-&Lx-b$|3XC~C6ODo23|xcA#Da|$ydKs+s1%+RrL3G(pva; zOs}UiG`hwkpX1-<%w```6@tOw!Foc}{|JZ3<;N5+Q2tCoEe_&>`7>N(kj1dV+o01x z7w!zwIH4_;zJxPoh!!3IizowQWr6`yo`I0#d@)EPI%p8kte?}j`+ff>nzIUCQtz}S#2xFZxolzNic9- z$y&O3dAg2fO}cH_@ma#2wCcMnxp%o=kt(p(rvq!&m`6!4m9L(2m5N@g)e332E9h`HL10 zP=Um>Rv1*zVJ=^PD09Ha&*!+ucA;g>$f8E=Jvdh-$X3U92y(~?O|i8|Ovg#U;O5KA zD9mpYdNlL5w9iMWV#YfRkU^;Bj}ENj$UBa1xSaXJW(q$lVBp6%Sope zxN;L4(BC<~9qr#|&FZR?R8@@i6?{W4}lK>m;CGeB8Z|qF+NID$4i;xl-zEu9~{+L)} zbuMQ6&7;w`heJ&KD>V~e&n^pRa~>Z4clYop`vF^)n~S$LtKN{a6fsD5@i!AmcSyH8 z!)AgW``Q87a{T%I!J79Wn;goR?d9Asim)jLRma3{*y0dcebl5eS|ulFdkl%ljQ-4Y zZqtOs_h;HaC`~{V%i*3x z!;;ZY&+ll?lFLDZhG14(BR+IfTiCB)WdE~WDqb3{{kq!)WPh-BOom9^eWxl7*=^3X zM54fHBUPMWe9a^@-?CffE}W;BvqBYKY|6>wv5dey7u?0hg%+))+HUr6g)3cCxe&XZ zZf>wvNE=*`ax#2bdy+lO@JL7-aL=73TrY390yBunNF4`GBnt%`BkVHqU{CxHOD9Y%N)aq|VmmIIu=9Qky%YefzhmrFNX&2N;d) z^gM-~wxOAbjyc4p_AC2boZB^t$w_;ZvBRiNP8^#=Y$R^wTtmZGJ=($^Z3WSk1nWd# z5}pXP`nrB;HT{ivv+*i;gu?}FZ&m+0#rM@3oh4olX?`cIlh(r_`%2AdXqe{x8Dt~H zgap4YelHHwA}2Nacoc+Au3O~PW*Fk={e}-7wrh%?JN?LyK;!#gb@k3$5aJulZZ_D z4LD+mM$~U6cIjbSeak3xSU-iSbEbduh}jRd;HeI@*<_ZlER2W~FZhk>YN#FY5Ja=$ z2{PSnL=&3C_`$Qr4(=4ab$YBfv#i6)gH;;QNd=~Tr-+1Z^>K2yC(&HrA`zt$cnoUi zxq(r*Q&AaoNUKMZ`9^e)lDI=v=h^M%cW#C%;tUbY9`1}MHS*(N^1H0(gh~3AdiH)3 zRI&Q?4;5SOqwe(WVb!^~y5MSbaDBA8_=h0>b0-}EvO{P&vhV#3>Fm?5(1yz1r=U3Z zl?F*oo)ywmy^3IUHavj=iY*sQ=+GvvixfHMV3CsJnoZJ9L&-&n#&hb4Y!6CFHmx*u zLA)xnf7SDQKDT~eM{e)g4!XvOviaH%qI=?cOP$?n;)dGICxRZx%_`HkF7ILOHf6zD z4?ETHB?uq?3UYWaLuwdou{0sgvqU@91b=%P6yK}EzUM~zKT##}cxE-|gK5kmBg+p!Px^PJ?;fmKI!-&)GT#pLE z8IcBd?hIf@kP3Ia-evu_Li!ICCdOk!gOn&QojP@1I^p)=q)CgUqnwrnH zyAk7y^KqwVqhI#%!m6+&`kF_PknXakt=3sxJjkHpk*5eGNWq_PS&sE@EKEfPY$$Jk zp^XFodhY^2PG9cg!DsSBvB0OuYt7x2;z5>|vr;j*cCQAi6yL1ot~^^6^KClB>}-uu zs&RiZ+|Xzh14M_Zw3GvO2-VB5WD}~gzGQ(fPK=cUIK9qDj#b=5ozPk#7IeY*kAcnx zWvO|)HcfSM*U1hX|Ez1HiwQc_Ph_wC0=Fm@T~K{{qvfTPLE)qGExZFYH`Lvf2ff_z z!_ipPE(>(scKt`_|0D3-{t?C$gC}mnaV7S4kXNK@73a}DWu^9ZX-A}ZrKJoHIhnly zIZ?ewb63A#8qQAGr(k@&)0dsI{KN;DO`kOcf4M9_5xPxIrM~f)wAPgfQ+nE4Nx=c?m zQhYXW_xDAOZ^DwMQ$?{8nYp1UTWn*&rp4|nIYBV zT2<+x-33FkmdB6J=0y`!WXDK_CYbbg`g59L9<@R#iyV|=^xIH}#O60*6apgw5F<79eO8xoQ33GYszp%68JMHYlZ`t!>}X6THee(`P0x^(9JTp=C+wn&%$=~pPXk+{Bt9)8NKj)e(ugp_Q_0!s<3GN zDRwjUp)Ir|fJ_myq1y$Z0Zn`%wwt`DF#0VaVMnaJQC|1$5d*dVx3 z=?usAv1e@6NEj%15j#AGgOFkV7#4K&lH}y$-W;%7!#Mog++5&kc5 zssWKo^**rNPap5{)gGKeuZ++Yt1a*?{hEcmz-UWxrB^;_LRNA*lIA8FoSnR-2vE%y zt}6?Y1M~^}W^hALxm<|uR=W}xh4u>p^X?~o?z*s#Vd)miy#mY&1P>v;zGLePjBq__E6zp#Yjvvd`kSB5=9;Q`!P6%TjA^h(Cj60n z3(Ne|I@VqD929rR?Szzi>}A;3ZM2f2rqv_Y)tzY8_CT|ZZu|JKId>$Vkym}1P)Po- zKjEc^ZzY2b{Ilw=Cd*u5=7!^YCyu~N0s139c~z7o{Rm??jwX~)<>F6tmL}Su0S-GWa1yiG{L!*XXMsm6pG%&$=xPFmCet?{2+M*SR=`{cvLn|C6UL1d8wFu@{$e zcC0&T@GG^*Vw&w)6&^<=* zpaHmZYx-?V1GP2M1E*Fvli53*$YWd^Y|p#dtcf`qA)09=Db6Lf#cvJGPS4JCUsK7? z1(dOq4kdl4@Y3h%dMTlH5kme14OxY8pY+jAuRZj=EXR%ex^#+mralcRIt?k;&0Mq) zS!gIq)~r(JFYQJwEo26zylqY;sbG<=6$e?Q1j~i~q!;fv-jX?y>EN}J7dwcc;|CYq z=h|Yl^h1J;oVs~PLQ{1P-jdPQub$>c(MWn+DywO)|S|dm;yn zXP5JsqvB1L6GJbeFECb>a*cPqpG!<43VLKGvo5o6j%cmQD{ZZ2C2-uT9V&xOs9D<9 z9`1$R8GPCU>&U-?112g6dAFY{ZwXy|(V<&gU*|@|VC0!hD17J|2%=+k_q#9xc68(i zB=dqVSkhA$dbFpyMfP9_1~h1n^Yz((8%nyERI>Uw7RA^>oS~%?UjQv#7?1{*Zo_ox zE(xi0GCi>wJ5Uoam)VQ+75*M@Kn}S{o zWM#5JS*q^P7OOfbOVmKaw2TJ$hY+Z&PF>=-u~S5_pPO3K1Ln_wKu7!{349=`LFM~;t^)m&>$mPtlJ_6@GmI2T*}M-6j}nN+py5OWvXoH>r(7DEvO*3E9V#D#*SLu)&;bG zkK&5&ax(o%jQMjoED+UAUUV!RcRqTquxar_v5C|=uTvG^N+vQSsJ59I6T z$t|npRet2_7d6*_^szHh}T30w4Hr4W_17ulYcVLqgMnF-EhL zxrGIXv^<*!-<4QI4qhGAgl7>QCk|Y_qodD#x!(aXt8AfE<)@b7R|GD@F8jjN#cAn? vlr?vtS*|Y4!n0tQo6h1Rv!!#_p9U0x*#ajn&vIlb#x#AGpMM?GKR^EmnbP&p literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb new file mode 100644 index 0000000000000000000000000000000000000000..86282424c882e5771a771c87a6aa7319d775da2f GIT binary patch literal 103149 zcmV)MK)AmY000233jqKC0001P0B!&`00011WpZ@6CZnZfK0000003wh9000000J{YM00000 z000000PT_i000000C)k^y>*loM-w+Z0}H_=xCiIX!q(uDKycRtcXxN+MHX1xCAd2T zcUydMcLIUnA?P9t%v-p}Bi&s=B6l=go=t8aW;$HDzEeD3#!eif+iH~;s~G5>>(FP7p>^{c*D zz5Fk(y3U$;KXQ80lxIR!tLE$RS z6<4Z#FIbA&7ssm^#hbcE(M)8-61^deOyfIOhe~fs&q^nXpKn4<^{b>)pT9YvpgzYI zJ{A2pJ{nLoef6g7qIC66?o24S&*Za`S79lh)tyS-4|0$C=7rDaI6^^j@>srCHd0nl z(6{ov`mDHpE#D*(T*Zm{{I`6cT)tPIl~&a~N#uLQ&l9;bjeMi@_LqF4BvN-O3Mu6t zr5{DdSHr4bMd77TP*ziVPA~1mCQp=qSx7E35l`qelBA z@}c@(aiYFg{i?`Py(n!fzfx9H-}`!|(w>r9_5Q!Dx?jnndQmjhXT_)b>>Ha3OMRng zDhj^3GDS1$8>J0jI*NkARhISDyrQgnR~q{${-ZRh==f#^1y$4(9n~sar7J~K=~Gcw z`cWJzA5eTMe$<`5xGKujH;S_2M`0A6y@*#Cq`7iibG%RDV-?kG*{`_A96+h zv-y8qvDJTWn}R=8@iPqk5C18^|I%|ZeB-D-@b_i@948W9g#Ry-&}5aI zvnt{XT#GRrRDrIhCm2T0SL~*q8Gf+ZXyrBd#B?8iWa`(G6`(ZVk0-xgjjiUo?;!M@ z7utN^peMzvc1rs0885Q$ZwxkbK`<@%(hN;3>wP5Wrx{@M26)efiR8(wu)BX3iQV@z zce{xDc9oiKrk{WRulxnBAc(w#8>8Eg@$Gr#bK47M53{ao&I`egM;s^6c%5o29r&`3 z4t#55jc3j`Kx=U!6Aynu=8!kTXllAJ;k<7GBj^`sZ72{~_CQD5d-J{CUVHN$k=Mh9 zNvLqgB=+r!N&L@(8C;i5Bgjb07BD{Ck7qCLTGam7G^W2lB*m5c8xw!@nVUKX6WGNs zc8ZqoIVXZg2gBY|LbUuq4BVbJeyTtHfHk7(wn`9>R z(}exp=y+~2JtHMpxL+i8Wgs46&6<~*C$dwfC}wA?UEZp|U&_@M*y-4yjy^1>q?NiDo)EzQ%zkrwQuSe~??G`Ql{yv{%Ld}H+jb{& zzyj)W-}Eo8)zX#K;*5Z9zFhc94aZn^*msq^zV;1DT^*B~KFPXJ?Hh`CQ?{6>$BE*C=2G?f$C!1o=V;0UMHyK7v1+Mh_D&=WX?O7V)(1@ zAFf02GD^JM{LgGsA)Z}sX>R*&(xz5g?Q0#NwQSs#Gvo064*?_{Th4`e)JlST>O3n zg;dBRj$A`qYz?|yi{{0=ZnW&VN_)w4eAk7eBxk`)|Ie}Q4C``>ukF#;S&-=+p0~%xI9=ub$XaRh zbly)3)*SY3#*LG5L43Q^nA~=y$rG&oOwxO0=Tu@=&NhY87CAwSl4Y`*=)UEiTOS#_ zd-AF@chp4s@-Ck>Lfbn&k0r);XdWzRM8{^S5bUl)kPu@X$Kc4ESOX0` z%w6x7)_<&4i;WR(0Lx)cJDJ2T*r|lQvsMGEi&%>JI*$=kDBVJE66f4x`{ID7DgvF%<8%iqH#MYk#qmI^*G~4JxO#t2M1+ zH|{#tx~++CLpoiF#2T_>1x@d|pZ1UHYwblQ!&L<`HQ>hC`er!osJ)YRj!k2A(Ms(L zFFedTH?}1$Lu2NQr@l*?SdBGng}4{>EStSm!irDG_}?A$b%G9!Q-o z?2(i^Q`@;KvMTdt;FN6ImYD8#rkT{G|t~&~;y| zI6%S`kL7l*0eeE(H*|EizimyNY#|TIl?L|Cjpj@6a=LlpDCKx?!qZoC9(fo4ccgC$ z`Z%(Zl#=zdQcFPRpJBEsV@Feo?^ISys?~BE=TWn&uWn|lygg_QurZy8zYg7B}cT*m%xvfA_NES8%^ahtFfe)E~%O#Gc^IW z_7-G^2ijYvBS2n&eVrYi?f+E1~WUx8)N4un)x!LeY474>!7g2%?UWuFZe5K z+;f!jbbNcNUu`Rg<~$UTB^ZGxy=zCi^URg(a7J_90nsNUDpwyvf%TO^|Iigzde|l} zNF1VbllRxzC&2EZgSAYJ~CFR5ROj8YU`XX5KIH@MH*HA*14C2KR5Zp6GK zK~#*(*k3U>$b@ks6n?I;cZTeC?d@pd?ZS3j&9zz_bbA_{UwXfQ0)p<*oK?Lnf6gt!`5^S26`3=1 z_Z+Ho>!oncB%SZMS0f#GJj5n({=7biLH`JNv#uRFg6>eh**v`A6&*dC(MCBpg*lgU zt|*8Mms*Oo`$v zci76T$!zWg^X!agWVh0UBd6A^RX`AB=f=^jogeR_dSMtF^_)Y9<*9Y6xrvwkE$3~b#%L)iJS9drzfjK zIK4Ts%_^+>UdB2%uQLhfRQL6?ZOmWvuF_X4g$6eUc1c#MS!wbIYCP3n78a5*Yhtm# z;mAB<7*5HyJ!!*dH5we!7R$$UjgcItE^EIJ{@wEd-{QItwJb)c^!%KXc0PSe_Qx3h zaka%-B5%w>!ag1vL6c_q+b658w+d*BEkNGj=>^vgCpXaRoe!c~tZ-aLEQIFSfx#5e zP8CJFwbo9CGh!GTtu@RIdTG|~K(Iz=^xU9WD#JgGu+2>+WSz4x$%O77>D}KM?fSi6 zS>-k7D=_`N24{~*>`;+`8SP{<4_du7=QkM9=?v+DM{K34&pydm@|}(`rs5d8xp5-m zPp9KyDkJY_&Lukt_y<29U(3C$j_10z_iA7_!ALabc0|CPLMP}$sgu?TFpMi7!k{|L zoBHN{nz}linw0hP$KWE@11KO15vzxJ{Fd z7FG=Ad@b_YdHC=HG%r_;pgiMMl)xS8Ag^6y9?c9Ne01J=avjet&3QOPUJZXddp9DW zJ@-6t?$VAgK*nP@~tdt|$YR(u^qQohSrpT2k>Ds^84(MV=Z zM&QrTPYoXQ+(Gi66)QapwAOe+V;G`^A95z6zFpqX=0#JiKzxgfwdR;`Pk@AV7-Y{we?P^9o%BD1Iw+7 zl=y+eXu>d+nJ_aJ&KI*YIgb#)^@pFP&=zxO-Q-dhGZiE`^)H}y;eY0m{#~eD&tWoH zWaj%Nr^aDVHM8?7&4)`+^`{vHyXG4wa0^^9 zA&6h${+)lq{i#&h0IV7rC0VJ};ELPfg%jb;+TKXm1K|BpFP*U4+>PNM03X7&6zNnx zl|TB4CItA~x2Ny49w8s#+K$=W7?eIsCNHA=zrG`DP8DmFo=Nf=SD?`!nw>hL8Re)p zn+gRRRzWxkE*?gLj4K#o|MVkT*L9f)R{U+GU@?(Jcy7lN&0RkPY#mZsz%{gBJunb# z#dJ*zhP;+S3sx4^7>OQqUc0>Kqt#do)*TFNVD62$&%-IWb4Gh}>s{7G^oFZFBy53H z@*wRN3eIp}I42E$hzRY1NXqnAacVMrLR8L$gftaH3J1g(lt;joHRn9M2VvbjD9RYR z5h05!91Rw8+%YuX=a*I&&3SHs*TX2g-+iQ)ewpkibuU_P@GY+C(0P0IdULY5n}!zp zLJtq^vWDucxEaYf0`6L6-CFwi*JrXehFQTnO1d(@Sphm*OI)JfA5z;>u8y>9o%YtE zBJBZJe0ppKJuPL*69WF*Ne-NXP|E^toWAvE&?tWews>fgb;Z)0H_Wl{mSp~WXvE)N zM5z&4sZGFD4)l<)VSb94Z;EK`S6BjT7f9xrTrkY zHhjVtJf2O`5K+Pb;y7nP#}`~vV>bsR6&hb~GJJvSDkB?xVG>_3f2lZM4DD{@zf4~+ z+f#x2G1TnMjpGZB%U{U#J6OAnkG|j;n)5u+&;00Gvo+_t0sa$>FSZ_^jbEU!TTq=Z z7(GhgJU!=8hP4)jbL}if7()9PV@Kf}W)y61<4fEvEvjHF>4qMB!LC|li^r1af~jQ0 zl&5ny#_IpkxmHUECWY64?VBCC0SVV`L{ri@{7GkrrajYGMRklhxGy39Wybrp5}k`N zohHV-Yt_?g8;pcq3(GMd|JX&bFMntMRi?33M32B0XC~E6o=wAOXYYsj%?!OygEXGWFShHWbze>06Iu zQ^IRo%uwW$9$0=U>&6^%pfA;aIa;vU`vV1g8AD~#<7n*I*=Gy3)Jz*dd(?m^Ke*$*9Q#a*N+3~Rt8n&&e8605E}4@@EUu2 z&K_1r?G9-fYK8$^=HnQu8m!8>!z{Pt)BqO2xq}|G+!zIu=&{5>Xitam+Rs;H`oX%b z?d{)J?mk-X^vEsokhrwmh5Q1nWl$roH{7?Gg+DkMxG;I~_Z%wy z`X6hPmYXNAtWo1G^OW>L~wYVMDuDWg%aA47OH zXBZg<&7!5<6*yFLz832aYtU%U{mhk~9lFXr>{eW@-hEF!D!idb8;@9nbeI(9a!$$6 z@^~1%Y#Y-K%l@~;qq@4j!w6R!j{N7DTU2Om5_?aI@>XN!TxPMK;1281ck^4rDNZ4k zTUztDEM@Ewx9_Vp_tCj!G3_L0UwGGg@*)D_LbDBe-J%$CGTQJ|7*rA$ufzm8Jmn2E zJmzE?zE}BqO`W*b!xQ$P(N%8nqG6ucI>?&AZSb(jJhr(!C3%;P&i}sMGPPid(KkkF z=hfLgv^K^U@{8HfnxqB$3xa`yc`Wv58Zkvh$!*rT2*v=z*bk4=I!BZw(B9GsTI+|A zNPElmCP}Ooy6;+SS+g@@=5X_Jlyt#lIvo~lt<~-@hE46{M-QDSn6}ja+s$j@4l=wy z1AkwFXVLzp3XH@h0o(`aUM|gA@P+f98rmK5vf-UUFzBK&S?EouVF#yNYPqx6&ETph zH)hD-bu@G1ecBLy+DfFA`Zu~w#&xRGpu~C_J~^Bg7MNlEt}S*G)_B5=*=_A=TG#89 zaQ>@aeJ==wHie&Wxww{|CAv?g_7${NGPcZW62c@rlXT2eS^CiZoEruEE(<23K_Un> zj8*6Q`S8^%J-f7Ehz}z^|8pjLl2Hww&CO`I%sQu&2iBR4q8P+)4p~X*ADpLyQ=41A zao>_W5-`AQiG1Gw%M3c?sO$iPN%EKnlN82c)3Eb1DCr#qzN&SON1NtJ%pbJQztr63 zsi;?DTQQH$pTNGEAPrU9`;Km%d2Q9whT>U6ppgP{kNJF;n#D_N51ew+>Y^jG2mI(g z*e`$d0^JKvU}H_Qk9H==Z6=3FE;hYH`!;{2b+sy3>sT;}(1{2lzJ(VTZv;`Yg6fe* z7B-1E@>6)~L-f!j_#)*#nZQ1takzC-8+5cEBUXk_^t(5O^4EMVCaJ1Ja4baNipyI& z46YmEX{2>N3E&<~!MSPGpvj9YdR+1!OCHIAq0eY`fq25|AE@hqv{Ln))$VWwURVhv zo@VGvUmmF3@>074mx+#SesgsWci5oap*8fg0qkpcm_28S9 zPEzbKTdX9$N;NLx<{p`j)1FO!l<5AYrf7oY%*!hH(u;C+wmr_F3aK=MG1SGYA%Yv*-lP z%=b4@q+)n zvW60WizVPEnsYB$bDl2jyyqG(KM7>vH4d{(BL%ey`H~YGzFa zXfFrf2Th~uN0qm54pt`lhB{F0(dGN>&@vX&4iZ-^$NF)qLFdg5cBcZCTEu$FN|gc} zt5xO}m`y7cL#(Vrg63vcpK}lnr-#^X1yfmnX{G)Qci72)nF*#>q&P{ZQOt1@tf|bo zWW@uZ`v>kx^LREj>ZqKFwW2Z#IxtqlBqe9fre&GEV1d*^^wkCDSMScIo9z_%m3D`X zh`u$xoMY<16`InykdQ{Nkc~ea+rNW$MK5@CuLwGO$lqRayNQ+Sf8AkGv2oO>ys|gM z7V`XVfMHH?XiNphex{{_x8;QR!-wMh}j zpsDrBITg;-toj5>Sx12@a+l(31A({{VX9}6V|R}96w#>7AR({G1RCX6SEVIoJalZr z0xN~`5MGsp_D4dqv(n8bGiewt{bQq*SgU0qj5VDpm}PgbrZIc}psqj1_RpumOTi>R z1v8u>nd8~j&lRyBrr+#oqWeCAMazxoJ4;Aus+mcxuT9`Zjcq3wsTmYD5UTS9_hy4u zL5Ya%rp6ygW4>S)dkOlMrD$KUi+vn@hobQXyV%Xq?X~EA|H1(*eJ_F^dC*k&Z4E7M zc#qyxjOkxO%RPfRH?u)&YlFVfUx{*y+|RXAFEVFNUW9Y8A_0`W#5ftK+`fg1-0KU* zmLusKWs8vvRg5p##TG<1OH{Bc_X05e8=8N`ws>w}5E@_bXU;{^_jrWM8$74h?l9Ps z*gpom0M{@ce9fh7UT0kFr1s6%KiXI*9;g#nD>jL9(~obw9oUQV=AADqgSqepE^uzP zgh`H6%uCPCMO!Ft!w}WYyfuXb7JMrvTHDFXjq=B-|d?9B6vi_Xt$U+WE9 zro&^T^d|#oeD=vvb$%XxB-`|S!C9EN$o(;bCB!0I6ylY&y^p|$zekw*V!I|0)}-+T zk7bU8_!y|07d1G(BiDM;o!aYh_d-uW3DO((&N;0E)f@gJRee{`I<3cVd48}E)T_^G zMBy(7i&C>|UmJlBJYO`NjZO2@&Aw_u#a(^B4M*YWnqjP+QaE5)yRxE|w9J|)713|3 zWdoUd{N7unoQL<6sw)l~)O>-(`N95$sZK;1D!(m`)kK5W0lgMtVR*G-^lZprx|jZ> zHBE#0kpZumfVI_aFH_B|acn#{NUb^NC1GB#L^J%`k5tQCF08qnN3y{GHQkdXz#Nua zzzHlv*4;XnW6yQO1*7vnE?? zW3bMR???Se8~3N9?Yj}=Ay{1$jG@DeQj8AMMXAR%=T{lq8~_qGOXj9e5o$%$bwzB1a*#aCrxS7ooS3GR zx<+%(Th{`O-yyeF>dKe{J)<>ihaea~zzi~``d6f`8FSK*+q0}Rev$exVbEMCUOv8F zMQ88brfT_3D?nq{jtrt8V_m{h)ThX3;hdLa)YTOuf%>D8KaKfqL{yy*25U{wG(1{3 z;B*`H2&*JZDmtIftQpl|P_6UiO9y#6Vp@)?6$;Tp_!PfPIV#&cs}Oe|Sx$o121D)~ z9kS7H!RnFaR;`vr7$>e^{;i2OA3xZ0NDCGo!N$75jhQBXIXZeNEA<>d!g33;TPT>VWxMan50kkwA2HiZd$hxUnn+>&WXIjQj zb>`EMji>1Si*Qd)4d!Q(flg&eSFcn~`saKb;hgJQb^Qje&ZF5dIR|CDHzuk<+k!P4 zP0h1bz~YL^6&GmEBZ=^TTIb=x2R*!}H2O7bXnRW2r3mG@-P)=m`GW7*4$kGyZSA|! zxUm~#sL7yN!${=t*190$=(`e>c44&f8@HK~Och(6s3h*2U|S7Qx~r&1**biQJP?SnR|z@ zmPNA`c^Cgz_GErKoGT5+XUbbXlMr`9kj=#lNj%IM)1LU#!zwBSUAg&Hr$8e;);u)vpB7K>6xYO00(&=_ zmmjLq{&BgY5-$ww@@UeuDNPUmCfSnkRr}g}bUTi*qeO{2j{OAv>}8!hgCnEl%9RwB zOh=%SGzhO<(D{Z*dFjKh#zghMS);Vh6CthK1Mw20KGWUDIi+^U7e(jtN6)i`s9n)$ zqu_qX1h7*pN9KTs=atSI^S9y-za!WDX(qESLMS`(iS&UqVoRGnAT#38%7{#EB1$O`h&Ig6q=pN6qyBXW!8ylC1D zo<~}1T`)-UI(H!Ner-W(>*k|etwvY}yhQb38ILq^eD!uPEw@$pN3e~=rg$D<9LD5ihTl+{+)aVwuv+AiMpFrYj0RdQ_s*X3bkeif z!kFwGg*P8L*MrLBDnW?`EVTF)MP-QOu=h6PzD+~sQ-`frsl=ObYn{e!#$7ufpoPL| zuG7fiEcWkC73-p2>*)r_VpR8sj*g@zMbz?oX5Mft%Wu4%8fdWn4D(y5n>0BzwVkc> zXe+nIW?bGhgr%SypJ&tBX6nI!9=?rZoEVh;+uoQ(#U`i~vfDh#72+J7Lr=yt6L1p+tmD_X!8bbmtj4=l8rX0y z{BPufUr3SeOI)6s0W2>YUuNtmtTD2}4neLCh2nj&UGNGh^)nh@uxk<#frXFCnkyJS zmJM1-pHVZQ|iiU$CpSzUX%zLeLlNVrK$zsr7xq;00Y9%@LswqPv5OE%#(Z z>x=DjJ{{@)Q*^=HjGGXB%gw&nF7amEx5IlPqFT&lP5OSse@5xM49A#kj{6OMR2;tL zOMHcn5-?#>bgj941K7#ICW|7si#-hEM0@<{OI+{rG6LaD zx!E71U`OS=B1T|eY3STfU4Jcgt)D2ck6wY0p4@BDImn{OL5O=|G5&r%xT@>Pa zgf(_@*T&C?a^Jq+LKIy5mL;#BM;FZXRQb>rH~M0`;I44wDh!R%w+jZIQ4!6*Vgq1A zdF2ORM8!r%j)Lv#JToG6H+xGIu#5c%Sd#~szJ0sSGzZvgqsxurRxW-EOTFq8g}6J8 z$w2{^qkF2`cT?`hEQbQzw{I7m%B@pv=Ga{s%%YajwrvFkkfNSQt@(H zRIP2%Ixh>ROGHn5KWUxwFcM_!0{j2U`3017?C1aCOz*K8atsXkVsoxhoL`2>zp`Ea zoj43;*#D`Px`3O|jW2QhE!SPR`1a_2?iPdkwy4;DYSsk&0y8RK;x2YAj0TTkeqS*E zsRS#Gfglf3qc51VnF4Qval)ggdl47?;?ca(n6(rI8(+%R0ub2>=1bh|yAGHXMt#Aq zS{iYD^Vw$69Qmwv2kD`E^nog+b_ad~Bgm`^;eCm_oa0a&rz<%11-pK}7^`2C7#u~Z zE|}lS2{N&-Fp5%La8d3?O$+~(_>Tx+d7JoO!7JgUMWPQ>ZV-vw52M@L&1+9!tQoMM zFLC5pK{Vl{bHIQv*yTJG`sVj)qN>GB_vtZ64u%1JvGKPM|G>n}VHgU1!Lp|Z@L#~i z|JWOy$;GeDau9(PUu>7PAHdop3`f3TcN}X7d(Vt+Z+_cGu_o)trO|^gw##`CAToja zS8y8iofeHRm|0VW$rhxZrdTG^D+l9tE|Zl5*=tb^sb>k6$>ulWHRRI!WrpLrVp}lH z>jOdNfkN|WLAV0Dto?>=`DKPcXJplRl+RIMS8Ek`luMoz%RS4z5JrHXl)Y%99FzzY%H*wjBJ@@gBtg!)?2vmK%5j7XgvRGCq3Kz zgMi^(-@tn)l)4&99Uo^ml}@3sK~gl=nQt+JS#QqmY`f`HMg;~h4B&6k$m7pg?RL?h zcNOv5tS4D_xP%1@-YCyIH6jJ8-tiCbDpU&&K{E>mlv6{V)1Z<`>?P9&S?RUhy(74r z5C|4lZ!8_EdyS67ZEii&a#u#*|A3S6Lr2oWmTI*om0qp64|m`LqIgg6!t0bJQ(8NI z+9lQt#+I#h7ZLtk0&w}!UQYm14J1;PZMp#$pMbSbVp+VfksQkTJDgGvi#VqZaHUyh^IQ zE>G9du5W(An(|#{>k8zSrK+n}*HNPn3Jj%5|DOOpfM!UG_0%hu+JTmr6Ox#u3c9_4 zq82*o<*d-&Cy>6E_Q zpEUUO2J4bmDkf7#4#?fU=T^FvH@R@`rrZYT+wo)J8_e8Fhbt*>amUcdV4xce8aI3k zA7hkEuu%+(ryc@p)0w#WBxEan`$-XBtnDp_Yt#jC&H0hu`>o;3nygClBWlN72Dy8* z+e^!A<;Z-yy2PUsz#U?j*-webM)PwK;T_ze{{FpmsGJupW+}$4(*@JiF4Ol?>Yt(! ze+`YuUde9eK6+P2fvfTyN=&j6Tx5mns>aJ;k1Jir_FpvC`o>Ft!Z|@B5wJj~$$Y@s zezmUXa()R)R%mz(YCh$?mssBseUfxof#8 zZ*R4jxO%IWw^=xjtk}*PHG%#dx>7PS48a(@T^V)iCp3R0UqHi$92T(7nZ|6Sm00L| zj_tB0O#ct(@c!rEy%Q!h0xo!MAw9~a)WT3DSbbzBJ`#zS{~s=- zM#U7kBb!9V&~)si&UW0R$I!5Xmpzm8YnJH%ksWALuJ@ut)BDre+QY0TI(Y;$lg1k~ zllD!g;u)Wa&JXCZWH@B%gGOVgF8$m>sU-ZSW|uX(p~?N=76lzmYlkmsWG&H&s}G`N z7nImBMSm)JU>A+r9cuOCzGVe`3us)2;ysggQ_v@snOsN4d6fn~c?>dMx7$Pci>d&2 zEtZ#&9lUdC9xt+oviU2po7Y0&;@6nC({$)=YX43VcijP98)aCjrl92DXTTHf4)L5{!n1PUG>e!@$pm<27T1zGNm1&z@a=?h*HafX@4*< z=<))}7UMB}?f9F8Q|rVX79sqvz+%NV&7e?!^-2H)6V@u>Q$HlP5;14el=2F!EyB|A zeSD-BpYL#O2DK}qz;4py#T+ikKzv&I88q*6EK%wK9u0)GGSEl^jKKTq#<9bm7LgBEh+M;%xCA%#51(Iu!yCCd+bX6$j z9-w^9U2jC7brOA^N z*tHloIyx1QxGEexOqZ`Ha5a`xA!kB-ADH|H!q;r|njW07>?Q#m28=Bwv>eCB z3o0!4`aKl4rZNd|B<=E3MZ+iwwHyiGO^?s2!URt66%Mf(oDWB!U8=O39tJ6J4>qV| z#pCGq5)8U$;X$g|Fs_|r#9m9tF|u(6jR$FO(AphTZ(mArhflAaz?B$@I>V9upq6oz znzAKcErP{OWCS&kM{>Y^z{E{o><^5+57^-tEMhTn#}}LbbY6q=8YqfVgLsFwVf1dK z>KkB?^;sH?lQWE#^io0Ojzq4*8)Z`Oq{1H*w)-uV=J0WfLB=a%C(VAQz>D|`F-ZWc z*ZdLA^!LVt)U0V-`)d5}{rL?+b%n6p&Ie8wX6pwD>}S1-+nL_&vSRQVJ7VwY@T0Ft zv5$WVrM};kGxMKI7F=IP>5eMwIQr{UNVzo--|6hb z^iw^B?S}tT(A~s6I9B_^RHdr||G|zgf@vz=lImlwjTWm#l~i8vmo4*Y@wQMgD0D7a zkq^TRGI()5$h_EKK3$*Y1q-4Co5aDFNAqZC2L;wjGeiLb{_f~1N}5BJR8dS~{D2I6 zedQ{$lPmB`W=)F08bF6nxEol8k6Gfud8=}jg^O=z;hi-<)uYr01E}1-jNa3JZomuW z#@mB4*xy=~v?o;^Y4IaDjf=aF! zS4K|Llh*?3=a?!wSIjbEG3cBRhUL`-A24^Ou457)n8o^nUF_0u@%#|X7woPhi-gHw z0$(s59+yD?4}em4qq+K5YTK_$IXnKY64vs_u6_P1Tdq3Iy1tMWoL%5uSn$Hl{Ih7- z&a0I4-YIL0{(3Mn{SNTgCe9(cdLyn~WLp-iBpX8R7>V3c34IT~QJp4Q{ix;eD z)rLejh#E5384Ex61v5p(S|Q}rzKAhju#1iU)#VF*#U3b170|C>%{qRN-Y<-x1OWrB zOxjxnydJD|NHB&9XTMAV&*oTnO0xd3~19Y=OJ8=bcMg0u;C{^D1+}EYLs*uv7RQqNms5 z+TV9?XXVzMW8J~b&Wbn2ZW&Ii8||X8>v~wzH0N>@c2n3a=b{kW{?9++=cBpm5#sgX z$RE(0cyo{%hec4Y`}?es8haw--hx=je{m-*=^HJl@+;7RPBmcPPr92rJy2lqE28tH zF$gQcL1wuOyQ$G*1>V9((o5f0VbC5R5fHMA%5GEOirQQJfXG|_c->)a8v1#>8s;GO zE07=0|FCetXXYf^MV<)?n@>4X#MLuiu%=T_`!j2;7g}qTxo^d!r`R%D^!85*E6~&X zekjPQD|zN&wD`aQx>{h2)lD1xtDD!p9$iAq%$K6na?H6*6?=1}8~wrgf&PyJiuO@c z#YQ~(mqdJTfVZ^g#u(i&qg^?5Df?;M5mr1N$7C6*FK0Ys1d?0Gym@rsog(fkwG(6t zVq`P(hIw?|QDBx!$(;mF%7=~`?r9*We;I+ISiMg83TZC^;=6qNv9veUT;Uw$oM`42 zuz9sD$cXc0Ecv%nV0e#|HQ9mv5M$ks>&Md2k_wEsQ>3in+afPL|MtIj(6bei;_3(D zU6>Q&K<*ASI&KjfbK;W~p+|!d5TAxxvHF+KRQI=B_PP#tt!6s)+yx@Pvc>zjj_jlU zhxw#oel5O`uJ-Ui#9RkXFMAr$#X~CHSJBn|87A&@0O#it@28-<@ok*`f*&vtoeO`` zY-rY)zO+){i%SFnoRmYc0aJ(eM2f*sZYV!jE_y3o*-%;+ zuE0B4sZv@@z+i!6LruF(0z1>U;+raC zfs;k;u!Q@pZrYJMF}9*J@B1B;A-iF}Uf*2adlz@$vk-at8qNH9AvCn9I+0^9PdtQp zbvTNRro+?iv^9xgFIce3>dKBRCV7Ucg6s1@qwIvuRKQegn(kon5MgjZ1k1CPK0Hn; za|2f`xuAio%&6Hq&Q@xFM}b}Jx9FD7jpYp&4YpF@cM2Sbp9_jfit-}0aRYa2xikL$ zht*5_IlouK$E!kXJ-FH$)vbW^4XuDIvQDJdGGxC;^S~OgWH?Hsd2i4xJ zz!3}(cee@-?qYi>OWY4-5$O7{OR1I zz$THL>-m^~P5#T&si%CK4OT9lkp=AVUrydSd-;`k<;dK1^uF)6-b+i$D_`^T_NhO4 zO6{dsH~$m+c;&s+FYTNp7Kx1a`u*$MW@^xu7tIMj!>dE-`U+4j*}^} zB+aJmD8^F^7J-vj(V(fSw15MOV)#*x;Yh82D*h@O{!I;3!}PMmUbei!C!O(;UXjEv z&+BdV=P(fBlbDP-4B`KV_ac8AuXeY(ex4E;PxQL2^dxFCNP)jGaZ!ubIPa)fxRw@u zy-(OW8=#4M!PSz+8GN*4QWcWM_uD`|gu?E_mi?RDR0D({t$N zX4x+MBP1m#>C@xZE52W@P^3Mc`tkbO?k&`j4~I!md%pJ+QXb)Wr4=SgGh`WEtH$}| z#|l;v?a1+9+SCY@i9c7OYD0gMUwzr3hni5>CKa?ct58o`6rwge@8^L^X76Re+65+V z^y{;n-sQbVYnH9CT4r$=m}46_s%&cfdtMi~Dy z&!LAxi#Jj7&e5>3)xr75Iy8TrxrsKlRp0^~@RG1W!Hk1-5v{c%r}|s&tBmqfI@jP# z_lJ(4yKy$lLBL-$=PyxYHo$1mci#xgyiSDy4%!iC!m+Z>P8P&{G`~HWB_~tJK(&th zHpYR!v`JdggB3l+9dHzuu$BUzIst;|DEU%rvFC!05+JLqLP#@uUn3v2Ils=kwx@x- z+SO(!g}1mvr{m7Fwy+~hao`09hHs*=vDB1_w^FM0mMN$-yTUGdQ^DC$CHX*1BS?a% z8!FAIFzA>SgJ{i&K;ax^tjOJ+ZK=$s%UHas%)6Y_#dBcZtO?@!Rpn{+Anze52QZ1; z#QKy7T=Y_a$DGvDOK!S|D@KoJ*-%l01TKfb~ z<$}>MCT1=|IcuergMf!3=i>5!?JBTX?n3;NE(AvY4KKP!EFmun8qt285Tq zz^hHN>|#HQ#ZgrcAMC^rQW5^44aQQ|m^j>B&OTDk#OF#znnbf!;O7mV#aixu&?gX# zjeobIcW(+&NQ~juLv1mENK>QNa2m8>m#kX2udddDxA6N0ybQi>D8tLAN{;68^#_;S7d2X3UgM7THM1~y?6P7lX$<@pM1ep zc$?Sq6B;7_`-0^ifhbVJ7rc;b#YULL7KXw&dj&-S57NX>La;(?-Y8%dR#B+?5_iF4 zpfC@5_*d|*2sTL`c+|gwKlyPFS3vAv!Q~iWN>{#Mx0K{fSb+}jwfGOt6R^l;Ky=pJ zAbH0kI~Sv457%1ritgJtHuZ~1*e`hg9i6z#n)v%PjCH=)`eCXOiyhvqh5X|Sc9j|d zh%oT4;49Gi_tE=yvFif!f3)U;%L4k}V7!&C-h2PozVWKT|6;px2cgK2gQM*U9XTORTL^n%DBQMbh`6MD~TS*91Jx}(cB4GMx#=i zGSLL9f@5NsYl5c#@ud{^gCXGG*}bC;bDFgtm#+X*K&-!c=S1GVPoBO){@YX8n;Y)1 zux1zSn$iAux;Z|A!rry8I_Xi+dr!Ubv#C`YHTR#wrKAk+Y%%`P2xJg@!hT9sBbALG zyE@DTnUqv_p^>pz8S*4;O7Rk@;$Q}IE+v%^e~(tP*3xf>wIT{@FCthA^PB%pH~8ID z{B8>l#FeUtYiV8z;@ZJ!S|XTEUQwfeYz`u+dgKhL@~D`%9X~){7pJnD-<@Ff)wLpT ze&y|gJQ5k(U$3D2{%W$v#FWl?Fy%LT(R4RgQi381e2u#l;_cg zPc-X1ot{&~I>|a0;#@2T8GmtO7W&Yas^8flqd$J_Mu-z!JRLXAl#=tQ+t%}RIc;;R zH)D%h_~ectqXlzfHo85XVkcCrxfbIWa`?yMz<#Eu(`jxx1s={GC~BF@h{ij_lujPE z|M>;`G=s!b+n@%Oqou8$y(w%^u(0N*#n}zZ{sR-Vyfd86R2?N?ew?lx`B&U0N3iO0 z?(N;jo+;Xqi!mLuIQTpHc>vYeP?k0f*k{d_(T@?mCm}Dh&r#}HactvmMQfnW4tSl% zISBR(R2e~~EHy$++3V=qA@hcniPSZaDw6n?-{MyeB)&A*-ymcWV~G;e9BM zkv7Q@3Ml!Re;}os<<7?vfjIv@QJ|6W{a9L-N*$PaglS3;=}ruHZh*rZKWVLb!TRvV zfz^9jgSFPY;GZ{*qIOl!(VQ21lRZ8{l(FHi+Mt*^B-fRR9685x9K+O82dv%i*L|mf$YC7h&dJyVsOog}q=%KJ zEH)OlOzk_HyOgc2EK5jU>kI5BND-y(_N80l>g*Wad!@9-o2Y{foWAFdf^)Kt^3G!A ze9}2^*8)2xQR6K0#8V@*y$8S~na~_QHi7oPROgt0HE%{1&FUz*`8dSOnpfu|tO<1f z?R+8b4pec$+ARq7B=saZaC?D(D>1KP?+=LY><~;R&H9T^d$wz(dWlyb*o7*D{YclY zHIaAECH!l{k@?I7-b6Ock3O77 zMM@~v#%O0cgn~!tXp2=JR6?GQN#8%i3k95bSx0JfIY_j2mrWv^A9k^|NlH{&?Onv; zL&W%GNS?i$Coj~aI#cse-*Y#tK8o+ime1bhpSrBIgAz`SW8(malX?^!2xCoUMvW>V zdo84K5?(xab(rmT5Y0ewN6ZRsA z-Zb7$Ph)>)r-|LnYQ>yO@{qozdADmMO-!%qH9ky6ErAInCLRoEZ&{Zlz~Zsb2b-5J z_)U&xZRtVmJ|cG=&ADL9VK3TS`WM>nIjdRwnN4lhLN+)T(TesgEl9U7_P6*1Y<2Mo zCxOl;a2_XO5arsjk;ZJCZ}oRW5Nc_PFnBj`4Skpqt?J8Y(eCf%&&L zq9?Ai9S2eJ$s4J4=vix)W^Fl=62I`kzhznP5`A2iz^**Dq18n9-3VMAX8!mMmeI7O zqq3N`NF@LGZ@?@*CpfDDyAS>ffbJ?*lCeMj6&kW6r4+&VJ!lERS#WV#0eP@uAicVJ zQk0sW6PJWwMhu_15i?qAW%FJ376+*yaskW*@6EYM8NSAsUq<}O#G~0e&(1Lv5_zsg zPHnN|@Iv8V`x?&M`%_Ey0Vy!5X3d-R-64+sCI{!EV3~^f;l<* zY76=M#kRj66WhO`2J^AZj?onvB>%Zs_OB_5+MfoGvs!C|&P0G<7oT~j$y~~iS0!x7 zEOMWLr#3|5s+W5%-TNb!s3k}z4`DwsRQF~^9Q)$l-1hy$^Q}RecqxoB$lpfF*UKpQ ztJ;~vJu0o`<1S2|Sp+(_KQ5zRO+~yE6BlPfYIer3_07&Pj!+DGOD|V%u{LVrT_Knp z?z4UD7Aj<_)px8M2M`ME*OJ*ldRYr zoKHp==9@GkBDirr);>|*TvgYu+KXy3~* z-pZ!OQ2y-#!$58^?)3PLsy$V6V7Lc3!!Lk32Jfvg%tVWRqb#o#7!yws3@=dQeSgQ9 z*lrHRj-%c$>CEG|aQ+pk{4_i&-P)TJz9g=FBHL`s9SzWdx}Ij=L}oU%*%{R2Ti#L_ zWXwY|$lOdrrzW{_P)i;rCU|>8MV6->Tu%j`*jMA9OzuWfbfP&U+6YNex%4l-q_S^=1cQVCkVtl(%IXd(G6- z-rvE_09)>XQvdjNohmnbq3TQ+j^LsF8yznwWqYo{tO>?uaWPop{QFk1cd_W?RyU4az>-vg*Q2cuQ3 zKM&A`$_l(dJMwhUz?Lzi^|;G)yH{d+%d&}9AFY;Pb_cb-R_y(3ig>M(hZ{sEz;qaL zswc$;>iIYA_z4?S(w=M=9DwZgxyL1% z7M8-k-|@M%QilQWoafaVc=O}~A1FZy{&it~io7d|uL%Q7{YTTl3aZkq$T?N~ybgD( z44|=bH2XHjmJxWZ8==X69!FdHM?Se{twqz@%&@UE*HOz7kC~=$?v-0}UcK>9i!|qf zC@rR;XEfB79gUm(AcTvK~v1B&O?TwOgknUKq2&#U=vY%v|VRh4EiI?*UQy0f@2ndA0SaI#qblbE>I9R|XqUZ?m( zb&^z@m%mq`>G~Yew$ibNljtCQoYxhC#g8azntuN95_Fz$i?- z*getr>D3=8;j3!@()UF4-MjlIitX=ZEwWGjUy<04soFRNb^};|??Alvvqv;|xB?^P zdizcQ;$;VYpe_S`mA;ed(V#DsYO&Y5bN_p#N?N=zPibu|lzJ6|S*oF3=aR6uXDm6W0 zo4I-%r|R*N3+GXl`Vjtj>he*lUptF{-93X|)=D=xPPH6GJd2i_f8svKn1IZwr!A11 zhZ6O^0l!)jX!JtG6}V#%Ro|;7xlB`yp{&l^``rHfNRHQY%59uxS`5nfV z2wmsqHC@)cYB%0H>8TB4CB{8NV^yJ^-+QS4u#Mgo(J`2&wm|kDzF-seYjBtFQ?^(U zmAOHGPD*&{z-w^)LwXlGsl0ZPzlfF{H0ON^6!%WT??}OQl-xLpUa+e}VZ)$0 zT{~2}Fw*l#m)Q8tH=_=7X4I`*jSfA^MakP&vV!zrF_K3E=ZYVPbGkF#=uHdtZYoYL z_GX7V5MHY#*MwD`FoYvxvtJyuP7bJ=HZ z2DY|X>R-CcGP9I5ZC;fAex<3sl}BGPxzfm z7;37$9Yg6yD{usxu^IY(3>W7U9MwqZ<%DVCk7Z!GIe&U(EiI#En4Oa!36@ zNlk6<`tbAb;0{{n?T@VTG|_^u!ak`_aEG4d8_=!_dFlI*z1ABY27HEKkZ~3vxUt7s z%9ZFl`}*i%Ru>%xrO|gjgkaxzQ>l7tH7A;?OG%Mi8jX$Tf|wI|>+=e5nZ;YjQo{=> zLJMoo`6mE^jO|do_SA!mf<3Qw_@80@87!S)JlR%_KIHV!gE6ZudG>@Z^Mk9k@a9T) zwo|MXFDX;#IIE}TdLU+8e1-fCYH znWWJs$sQQ&eGpU_#IHehuXs~n+llIxfL1J+BC3D9)5a_YK3E zd|bA7{Kh%|a;`A?&51>Vn(%Xd&VR0ItE~sxTllq9LoF=SE4GP}rF<=W=Mer0ZbUD>W9#oqR}FhhXsB|6S*b9 z#t>RLNP(Ynj7gMm{P3QCJAJM>FcfG%5xxpR3x5d9fWlyBCk@j$&hv@tl3}J#^GWHniwL}&FG1v>Djlh+6 z+@w+M(%EC0p0W5vI(02`iSH=3jlS;xNO=BzUmLWsOFVUf&Xj0_nung_;D|Pg7`%IkaNluOhdE!Qb$zPQVQ4*qbtz86$G9Z|nt96#WQS+=1++``&wXmp2TK z!WAn)@lT(fp<=(ru@{V3B%^<{0DiK52Zg6qO9RZf&sq<}cD45CW{rO#1!eF+eS8H-*sD zWh%Gm0QDjH*TwdwVibar=r5sVK$ zQ0_1qh^xV$PFz^>%%|xA=u8m=+D)@ya zt|wPsq-6I~*x`egTCWAh&1lFJ6VvfhTc%Qmopf_CeexOL&vdRi+OQ!4xd1)yQoL=u$UyL(xAH!(v{0Jk?4>D=hlwAA9Tw=I_=2q zKQ{N=(s|8GJVnS)^kd|lt&~P{ZAv$~ zm}|B88gEGVnFD`7-qn7N1(iKg$uQk#5G*e8u3k`Cw|Sg(orz0)FF|Ji08gFs+aelv zT5T}rE$=Fba3r3Q7{X}cnC#8d$s-GD&_pb>JK0P7Xu0)Ld+I%?1tYNYwb(}L^7@%f zu#f26@&l}K070I**hotBOjSKN4PF8my{b$5THEd=WE+TgHrEY-HYr&r>V99XJNPr_ z-m+#20!=#;_WVcuZ%WpC{!7;ju?A_ubkBxybpCX@n&bqHyx-XxpuNQlrhcPnd?_{Y zIL@5^ANJllN{Xb31FXh%7iY1-r3=X}Y*^fVad-Dc2DhDN1{g-LVbI0h-5nO)UEJMu zareIXMUquLJ$!fX{}k8^d27gv`s& zixl@>%edt;M2$q-Ljye}AeQ2*=72jSX;^}DqEEiWu3xlEdL|mEa1T5NOmvS!Wjr2yQJfoB zkY~uCBV4Q>O6h=K*Qy*68!8oIu&MM@;rItpMYJ*cA+f2r1cMseFgA}n`hvvyP8Y?# z5J~(zH6e#J>Csp&n5p7?f^)T=2DTGDmCC^ZYoTCm z8v5_}U|{I)E5*s?Z$!L9E3GfaWk$U}!USi`+Ase5_*ua998tl4HPg48xH3u%e|c6erE3Gxpv+Zp?~~%m+OPbb z`-`gH4B;$u>(OHMHEBfTx1<55faB^25284a7VSNQ)c!=-#|i|9L0@FFw>X;lGjZj( z;1z#SF4rnsc}09=+b^1ZZ$X0={Uun4M{=eJApy%gC!|I%EP6b9hEEipND0QS^p+re z1vWR@nSs^bB8w7b19+r2XQ)vkd88=N#e&1CH8T8 zo}FeT_=pE!@ZU6RMS90e5o^U6oi-9n73zu$h}iLE*acC&Qfimp?U_!yD`eu&Y(6B~ zTH=Ohh0!yKtFEId?f@36!CzylPzueogj_~C|p3Q$>L_h1*|Q29DmvZaNZZSo4s|iDBM|s z&3czZu{bc(GwJ8s~ONK<|ET=|v+cgj1b#I(># z_lt_zwbO|msVnPklrmW*_Dd5Y3ip=_19&f%m8mv3$AH;%T=;mQx%FlpxWa~Uhx#mA zs*h{+Ov-UF@rKC5Zf_As>Yf!R>+aQyDR2)W?udfQbgsQk%!>b2oXj4f|3g;7@mdtj zfJsbGv|I$Hf6g-BOD)FTTuV&rwLp)dTI}aYMI6%EhnUCL+e#Gl^>du^#I zK0%SP?~;e7IY%nixLD4T&Tc4%m2-*t8@F4>H(B7e_cw~ZcVCOQ>4)ekqG87+g>z)D z9U>a#+QHlMW0B0Q;rVAWf6v!eq2lFVvZD%6=3f~yZ$cGwxbLhNbq2o@Uq%hq8!GJW zkoi)WV6`c8Mea#bET*nmtX&S7D@wX0xGEVD`3)~13^Y0%iU zzL!WkO)fgp0p1cj9^| zO*-@{Q?u>5`TcL^97iHKx*gakBA0w*O+6H?n@a{;c$IpDcvx1tJ&eFuQ;!j%Msw1Z zI+W}%+1d>G7H;nxoI&OEQ^oL}(gfpBnLN!L2{UdCaNqiqMY_S(6j*DpMW37WXq9l~ zn^^9>A(4)R9jz6{lOQY${T1!4G!?T{^sId0_jgE*=}s@*Pt-3ddtl6%u%1tX)EKO= zqYdsYhJHA>x4_uwxn^T%=T9L~`cbM#T7NseXtJE^p`5bS%WBUEp0lAdVlf5Evje`lw8&c>=2%z?(5 z0QT=6Yb~zlp-&$!f362AMYc!|sQ6g;KTqqzn=vC)_ilk}?GF?y7t2WvtSYithCrUz zyfw9FiwNt@x6v@|?9iy?f#XHiPII{4=sYaCeAOXzoEY&!Zp$A?6=UK8bT=bY6&qb^ zf%O^&&C}8sol%c%dD@7$sS1ht>0apOIP@xvvjXb9KiNmoY)X3G3mu!Esl5ak|YW_yLZApYhH3F6}?}XeGd!clxYMFbGC;5UeT3HKfT@=X9m# z&pCXjhaIDq))n(2^NO3zDznRFRs0KzqEqPOIk7)Tlnwcdo8+Q$J+(mhCOH^$+Hv~m z{5-(so`_B`cWW&_Qv-fk(_VDUC#M~Ykkq&*`Y#R4mo{aZj}5|vJE64{1JFsj2oH6yfzX4~A_m-d^*g$)vn z={Ux|3vD@p4&`93&7$*c2{suIqPBF9Sm@CEkDJ7y2nk+JGUs~#fd>7Vgk<*q%O?!u zc#S!4Xll#$8(|__effSUHIa1DE6|M$!!t)Nhl?6tB^WlwR@Rju8oxU`K7E9!mq)%= zlY(T zWR>`vHs;k{Jw>NO8D;thIy@HFxf{+CO~0HGQ7x+I^~p-OV#@$=gU?nq{}9y+Nw2sR zzX74owR#*+DZ`IwiQAEmFQs4$ta+!I%1Uyg?A&NE)zvtgjj)2?D?q%27HhsSRJ0l| zr51-sajSg+c4uTII){muuOxUONsYb33F<=~`%$iv8a`y7OVyj+sGv_>VmU>9$o_WQ ziF$K%+em&W`zGAe;Ca(Twy2Y$Vv`%z87k$#GzFscZFHJrYJEO|Yv=08dUNHt_JHXq z_?FCZXNV+cwCAX(csn!q{+~(tn0{MwI}RPP`8s7A9p;yX!5H*#;m=Kht=_Rs z#K|SW%7(O*a31uE9x2oHqvFw1N!*m$IOxSzWckk?73m&JFcFqsaV1Kjz3iH)$N>o} zSyAo=a8(dG5uCs+0%pi4H!WdvAIki3rp%yV>u}2qEbv}2PV5;bdtlUA$@Tja`45Z} zu0vJ_&1PU>tDXq&Ne)(KV1dn1JUCUcMod+yQkix=a+7e8e`s_#)13qh4>*RkeU6u4Kc zKlGM;D!c>x)YH^%jy6=bXJ}Qo__Md%o{p}D-91lfcUZ0vE0F!5@k?19aC#RAhap-lbgUF7`fq|}y>)L75If$0uVCMC6F$J;uil#S6i zoi^TrM5&XdMeX~3BIL|})o|QGD(>;*p)NstlJv01ojIXv&$s6K zD;hd5b`H?JhyZ-Vz)>Q(E@hsB=<#;O*gxz`P#PC`9!%4T3vS#o(+*N(9`xjfnl*!1 zl*};>B^(*u{{1Mt8zz%v{Sko>~pDof|-zFAhYN;<$6~lCl;~=odcNrxz zB$ImHOjV5cTF`sVnmM9+@_vY=YbBv;L*N>3P6`u^3dl&)99f`LnYI|=qQ?RWcG9ia z(!v$k`@ghVx-$rlVJg(sI}yJUcMN<>SG0?FGO@jHTG#&IK~= z);MP?D=C0_UjqXt$95E(*Ax|a?|mx?mHRQPy!pl5qU4TaY$ZNH2_A|y+byyrIL_dI zNCDVFEo?=+L5>KYIZb{hqSr)mtNaC#D|X{wh`x!H*l;`qXvj{YvtjMfy(Vw zAv&CQsSJu8k$3*Zv>$``gkfxBrYt~0n4kcQDj+kK??y=t3|Na#H zyL0H3xLwlEgb6 zArdvW_aKsrrvVjZIxf7OLVU@CX_}#JXd;kaUH!GK7*Mtmn;JMK)?G`cIGsOlBY_Yw7?0NZj64NfR6&z`1wA343h7TT$f%@O`Si zamE79k><1w(Ntkn{<20ozq7FwB9BgMuSvO#IAD$V}{xT*oEDd!Q*fDLf<3H*7V$U!H)%Lb+6Z|IEy@Ta<1 ztoui*7K_i=@Lp=&e~K|q&l}Ux?VJ`Vx>UX*TGd#kYYN*BRn7<_+Bar_7#ey}%m|&N zSEq_`liWsFa~CReJ;8Dsm&k>nW#o~4+`S@>*C>}LkIbUGiID1a%i-cy4msE}=dc-^ z1@1|S1)(HdF6$bDIolo@L}zNqC5=7=w&IpnXmo`g^G&Gv5NfO>-Nd>$a!1ldCDeUz z9-C2bCDbRa(&_V*+baVttd4p=8yqRB-5ew$T5Qp2D@!f1%#qX#mOGrx#R46jpJ8le%r+G`4UX@gYtj@hs6bJyy)p%F|+J zXxuceMz7}Ro|xD}jg{hX?Av0%{FZuaRk1KK0|#x6pfA#{9VMdvmEPfvQilNu4pI>x zW*~|w5jsyv#*fFUok*c5iTCc@d1c*Ket7%c)Lqx!!6QW(-$8pwPTw^$eum(j!gOFdFiwO9hg4oM&z#agrhS3(o3#o++4 z?Di<`O9GUYPzOy@+*G#Nm$h znNgzNk{$ed8G-{A>MDqvM@T=73l?4T$_A}Q!2$c0c2FBW-$4<7nIS+AQR*<5kR8FO z{Jn~^#JMFhPF<>cqNm{e16+^79#wjs9-U04Gq*S z%lBeWLSRFX`9-uB7t+fuX7H&Tc~~SBc1)kj&v?IGYWh?QTX~1&yCa>nNGX#8E^RP4 zZyT?Hh&M35XwqBDJ3z@il-kBagEx;>{qMKp)vgS#ou`)Rv~DcZ05H9ZD(0A2Xu8O^ z=@t*}(~wX(q~eFRL8H#lkrzAb$Q~FYFs|5Y*v~5}%A?9YU2d)3Pq9`H#Lpq~9GxXr z9lgi?e3NRi?<~;2f;~co@%`kuS@gR#Ku2NUUH_+r`oq4bg1C3;tt`~Ksd^&pjV-O} zZGqL^*vh9)>gFDkzLu_yKO!D9PsnQ)4V0CvK|e7T0mOtXt3~ho(s9w4S&sd$qkHd* z07A8Q)jkb$60+}C+t%$25+%OKaS0}$cx`S2d@4;N(kDpo^%GlqN^l9~Jm|d|Iz9!% zHSdZQ``;D&w12{njz`aRYMQt?OnT&|R55lQ!4UTvbP1*Yo+OHXlok6&dF0^`cU^C{ z*C(FtwV1Tf#n~c6vUqZ9JnGE@kI7VT2TiuS@ocoj z7TTTa?FsR1?~1CuYXb2$M5kmP&BitY(GQ~Yj$8UFp<2Z$8$0b><$PdvCMMj~ql38c zw1_y_YpgyZrXnYsGe=Av_n6)NQj!J-Ciq^qbUg}td(pdACwanjd%M0q6ob|#^6W|r|_e?myQ1D8DATME=*Y*R=SR)b-)$ zcI!9*3;gSD`nJNtTii*eR6@1DDd}MM&^S^oO-Pxzlcckh+}dMe)C_{7?d$mfuF_Dw zJuNE?60a+Ta(lx9xg(qh?A=65JOB4!F>am&e^z=EB2-r$+IXi4zX!KD&YZB|H6lJVgixQX>=m_Fn%NG|* z(q$0Mir&%bAX(ou;>dYXJYxbEwqgv8DO7FM2=Vrt9G9FV6=R`xhri4Uv9wKnUi7&g z-&OEzcRfnQ4xLbY>dfd&tTB8#_Cg)$;rgUn*x$-}yzD*GiQl8uV?jI4KFJeiQdwZ8lay$cPv zxEK5xWtTv<_s%3S`+*#;;W%BN?)}#c2SxgL30>prwd6DJ7<)Gn=c2W!RH5Q-q~siT z*xi>Wy3#9dE)y!2Es)^#F+G!V_YaHAa&C)OF(O5A^lDmsyOm$`{=84$p{!)1>3TBU zIUz1SjqeJ`ltu5ZuxpyG=Rg^k2pZp?r?^{Dy{)0*Ca_=YKr2!7m~2pU_Zt^m1MDl8 z+rrs{djlWW^R%p6Wc()IxxXB(#h8RL|9_J%bT(8?vJl16*vhR{JJ4EWI#)<+Je5tS z*&Uf$px6a4qS7^m7))=FU;XW!-dbUQe~SKP?D|^#dOV}++uI<0uxd~XoO9t+vE;05 z(9$ZbvB0YApc@->8ZpR3T19KT(B@NZSBa$+??<0*tqetph2Foyi$JlWp={84gv(Rh zdw~4~cIchpX!&5~FSCt8&&=G1fS$PxK~Z`KdhvgzgwKIIF6g-T1+cZ z=HKYhEoW_|ij7Gk+9fE{jJAEnKjnvsxYNJtZB&yiqt?)FllykOIP_jruI}VBEjugw zPK9s@r%HP+g@=ovq*ACMbeDy?2*Sa)x*UpldFOV$j4HbdYbu0V>gjUv{Kyl2k>WKG z_c8xFXX%WE?EI6)84wm~T-d{BUn?0$ip;%WQ7YR*8!oK5+}b1M$<9{U z$6*V({RfI|>tqN`v}I>OFR!@iTT`S=s!4Fl5!F`4=Q&}!f<%u=!Vi!SR1yF)A;J$?>INK}Mn!~M}MhQtC)Dw%M@`)Vf zL-gWgW0usIe7`ocMDu-*SQRn4^C|n)tXblA+{X-VMDD`sf%!p2eDUQ!_0LLMED}$y zPZoLU3k6uC8cAha15JLWf4o6r|76+K;G|feE>^XeT6ARWI;K!3(Bk%>Qu2i%SOIsj zYfYg}y%{13%#mQ)gCwPP%EVSu!>91pDkJ)2vaUXbwAAYHRzEx4fK^tk#n2cqFv}FVqc#jx|Rsj;ZxbZFPXaL*NEOm z+99_jM6Vdw(>`{dES~)>!Svqr|9Y$Tw`Se=bp#(P$&>yMacY&91td}v!Bsde@Wh0_9r|9cT)PUya2eD+yFA9L618A(_m{?FtmY?%%)Gw%d(`G}rmKcI> zxp<|>^!AbH)GSgoaLdZRw?7%phd(j#e?fN{+;WCn;o_CQoHPjqr zsB(U=ul#w`(Hzc=%4sUTX_hLX5V4LpM59Z=dRC=O3{D80c(i+rsQf^BMbkSl_&s!@ zWz-Duxs?Rt00-7Ji?}K~!HOx)+p25x~zlO7TG}#mJk~w-oYB67rTxIs}qSN{x^@7a4 z;=I+?7C-6*v&<+ZioDDu0vrSN`^33VS5r6XXpyttE#@3kLCP_Hm(1#klSKcO^5s*i zs8p_|d!(loPMQ&QVlY`orI*;ri& zXEvPrf9)5Dk4a?j4;(%j@)jC2HudqI((4w8{skr2eEr0#nCj+2QwKZKD_u)w4iz_# zttoPs&Lf6~ALZADxy81a=>2va5&N2C;;x2@mOat0W-oYY&=FDhN?r!%A|wu>znS$m z$$YNz&w;T)E!~zt40!JZ&c&83I_DNbjXj zy$G?;=fSO4K=67vQS(|4t%-21)Qk?IS2Otv$R=XOve2?%-+R6Vr=qiG$_pC{LWkm= z%y+oXq3qI#k(HHfE;Q6h2k`l(qz@{Jg@dw-Ixn*84^=;AJya%R74hxrNKtWM?7Ze1 zK^A!S#V;bHy6&nzb%5SGrj7mkI8v-@D!UqJix2&IStp5WQzaNuv+B(yD4Aoo1f<5@ zTw2uIiR|^UK4QMB56gUylKCUl`vNkBjrB3Aw#2qLKf7{-IQZ8n#)d~`E2#@rj056I zYU8Rew7lXP=-L+$FE+oi*f3WJyx5L6xUJBFOQ_srgg6{mIuF#_r2Z_PMB>0-* z++s~}4sC&V*mHznH|Rp6K9Sx7nbbja+S!;n&q;U7`4hM)dP`iG^^Uf=y)B7XqYWt@ z%}G-tezm1%+Dp2|z6HZNM<-x=>smRbYrml0hfr^y^uN5~shfe^Vm>Z+PpdEy?}7}1 z_o%Whl0Pil0()QK2nG%<=(S?nLW&kszNN%p^PL0mMj+B1^fLRvd9M;}#e`%9S!#9Y zLzx>O)U8Cuu?~{mbs>+4=u@x&kV?~y-yqAX)Ha^ll$pfw9u;qR!E;tp?@`@)R#U&> zqvZ6|tBZ}r$~Y56#_L)0zE$AgN$DKb!MUiA$#u`D#^2FAuPn46dh*TSe63bX=>N)) zYAk&ra1%IhYq~ve@LJN}8mt8-ZxJC**MiKAnD}8uyaI~tLkwu)yDLygHJ-&{65oYl z$79Uq4bDemVdAuf-mUG&_(941#)osxGH{bfZJ=w5s8e**3JDf(CzKRh>-gn0RN1#c zcRH2eac20%b>TooW-WUhiHwgj&}ly)-m6Dj(S62ZW~~&}n_CQGaX6sJiPrcRm~x{$ zgE7y>P2Uzr>Q`;pr#aX^B);ZZ+ao1mB2dN#V3Rlxq#qe3SjWH*4swNyL7A9HB%Jeri ziO*z?!p2!(31Zs!^btcusYw!>w$wxGFm zu2?0s1_jiXN5&TM0m?$_pyBbVrMX2Mn<3+>_U;a*(<1A)=%mPzE}<*nU>iNXYVSv= zcL&tF6U|}2EF#^W*0 zB?k%7NyvF<71^gr za=uw3syF+en)=tswc^cE2{!9(aS-uvjR>v(Ke4+ET`Q(7kYGacYVX^yL)G4U)0gKs z)e0s3NGzV?DSL@24P?A#ejzX&qMiseiNe18B9yT?;wl1b;?{jycAWTkoSd~lJ7Ghe zwjNHi4`jZw%{bwnBf)+oa}Fh~iYb}nbf=$`o?Bpby3_jhRrPZ*O)&TPQKI*$1FYv% zw46^Jhbl7(#d*~hLs`!`$_+r38JsEB7;)sej1}pIFKY^W&g(H8BeT>ZA(3!obBc`> zr|McSVWt4ho~UwvWQiA!6|+Z5@c#*M_l-I{xcAYPU0M5y)DAhdA1kSMgQ65OgkFEM zpYtkBpz}>DyW1?8@b8w)74OAco!(vc1y4`=MfCWX*L5NIi*>%Dg`MV^M+|!7#~;Ii zZ(*rf;8_`aiUPCb!k#kXSfiIKW!dupX#v42)^^2tW36bmHk%}N+;?>H zOePnOt-{*772PiYi7wY7#N)WKqqhl%ZqB=G+EDAOYpU!mu2lNGn5rl`RS`q{B1Nl@9&3-NRra{T zpT(Fj8C}@MIgD8IQN`LNB1PTdD@;=w| z{txHFnJ?4VOjlPAx_YYTuDXw=8rbrlk}A^D_R&TRgrCR#T(2#wcjO0}TL3L?8Sw+l z0g+M2t|38<_oGz?BD7raM^`X3oJx7AN>@O!c(va7IYS3^k^Fe%s5~A6(AW! zA;?lFX28{7b6+plXzisRY`8l;|C)eTmFT~8A2{>ROJiQOgCn)gCc)8<)ysOsDjU=n02pJ({WU&8kt#48b5ZSJ>-u}rKZP(5tO7YM?iVjXX zor0{tdl%^a`LuX8s1wstDz6l9DcFAnj?9?=dO}cD>gwZ*TmP2pT@&XUHz;#MRTV5< zP=C^4b{3(c9|NKq15HQ&u5Q6_6bfNE?Cl~Ook4+OBDY{IjA3~UMalc;bdDe7UcG7d zw;og(t79{O;Hqdc>n@AYD0%^>qyf+V={?EC;CeEE=x#!RCw~53E{y0pZ|+;;zSb>= ziI5JPb1c(N4Fy5Lh-~$FFpqwYx&GqF2N7132M+c6ZCox0$-1^pn^voh2L~Jw+Iulm zn?DZAo6ugk>MQM&8Rfy}K~O!U@khaFv5!*x04+Qre^`m(h|!9MN_XijEuI@0=FEiGOpPI@*fWbN559_3 z5zwQ!EKgmZ-0J$?q0la>S%)WiG?-ydw4mC~K0bK}+Z%+74QyDEqnHR@GC7D2p__4g zu;}#b&I)jzQ2JI|gg!dDHT92%7Qe7(^3gZ1x}lwgL8Sc_IN!(|!|^kSWO{^ zX75Eu*9@O$F|;uwF^N+!&B0YJ+Bqt<5BphTGLn} zm&0XJYr_GF*e7x-FI%pn}sg2G)6A%o)|HgDdiq&Js=|niH7x78q zvzF?-%NT|@qF087hd%I_k&B~IW(tiJmpSNOd!!<0?&1`ANujV8JB|)po6(d6p(7s% zji_J8YP2u=b+2jeuc>aggtFm!_peT}iAALEwA8x%et&}cabuF5D^EZmH%7PyB~eIf zqEkn1n~A5ZxBW2bi(Txp9eXksA&LDtSPKnaSzAzu@d5h-e#qqe$@}sMn@A{T=Q{od zuFKbnScj$Qtw6C}2wU;}F2B?WdW#2&V@h)pi&zov}pNn5yAdEU?fWcBWSfVoe; zQwMy7#24y^iip0j{h_1`&UfqU?fPX6A~Z{$uPm~NXHJSM6Yc8$ok3Lgh_YI)8F%;Vyb0<AB6|7bt{YH1WzW3j%{R?YEVR674M&SfY$RQ zDs2Q*%boC9zM&ucYcI>myU4cGsiE|7cnxUG1wY|HwOkg`8V(L4gOL?Bo%#VPm-{y< z4>^S0JydHGq*dwsS^z=n=9A1S?TuMVXhx4{){fJ(kCTUMFZ-}5eMR!0C|f0rQ}1ZX zY(>-%1kKj0JXWkK?rY6?-B`2fFq^%lX$+zBaij!3rvl%1-akgY-prrV*boNa=K$V! zMSDRfPpu#dJUz#35J(p$Ov+Q|dT?o3C={dw$0u%nq8{JWk=9ffH1a``J0?~Dq6{-A zUn{$K`#1D3*j3Odn!_vKAwsk3rp8%o=8;`eK%TBRfN)8t%zKvS>wlR|NzYzmU`KyzdSpdbXOg@Bakx`@`uLOKjfVzbFAExO4dsU~qs#Z{Y;g$&VNGW*KDe6p+U z(=2i@pO}7c9^rT%Pip8GVa4PfRR+!N?Mtq-H#7|_bT1{t!#}UL(g>Hs%c0t)!e7D* zZ1j3hSmt#$_d0@AAlAuU1%G4{PHr~@Q8L7tB&Cg|?B^X zLMzO}BG2UvEcKeb@gT@hoWfz0D9-h-`NZRQVh$M@`_Sc^f!9zz^}Xku;M7r>jtg<= zi>BP0vZIg?9Y30Y#rE#;B64TGenGi(O?>9iT)x_%Z7>e+?`6kI*=i+0W)9>@<7Y)< z*C6fg!*~`By2k4! zd*~h_09+A#O+(Oe&h*u42URqQb=gC37L9?8G(66V*~=U7XQis!uPcqiFz#(Vly|kH ze#%`q*7^>IS9JeCo<2al^Sx)ehNJ*3P5;+*d3|+owXEbwsqlf!_EAo z!`QG8wk%_iaq`n3mE|2ORimp}<)|_jJ}@PA(+%?Azuz!O&`6tH{9iRs!u1&1!a;Y4 zR35?|P;Xo0wmDG9naqCq5A~nzTu}`TP1E42qry=1{6*d`Q9>w_x|#W%VfCdTH&~^! zvG-@!#BmL!Ip3r4d`v)ETu13~c%{g-%;S#;`o!v)<-G4mZB6J%m8*=L?X6=1NR{P7 zXB0D?q2d7CQ}UkyRr89}EZ~V?#C5nQN2hCo1nN{JJ*{vSyoZMpFq;uOy~;9EkyQQZ zlyQ5RJl$Co*b6Hi%J96|i#c5M80=+EkdB#I93qD%Cv7<8F0Ed{Qjqu1$0N#-GS^jv zGu)Qg)%f|+?I>|EC=JI9Uv7#C$Bbn~`0H%-jl$B=XI)xzD}_fq&=Sn5v(JUq`f0R^ z?VHwhIdY=0hKzz;QT$Nc5YESfNv3=u_xi_VJ=xy3qq0ojRF~4rnPCoJ5N5W(Nkf!nKQlYvtR!k%td;_3SS>x*8cu7;gZ_X%f>xA2M{KXfBolZWR8}|RT#CsK>O~0Dn=Ryl}ro?Q^ z*)Ge0vL#qLnwur2&G<l4k4ub_#7c`jkmWBX;R9l@LOD8Ysue<%KY8NaD!W} zNRTks%2`B``E$NMnTFP0UJjI7PNUVQR)xVRzRB(!S51;^Fcl^g_YN73${N9>aUK%! zD5P5G?tZ&Op;5TvWV9JzYOMIPRxg&*HEjR|Wa*Zg#XHORcxOtk9I<#S`)VTnbHI7D;eV<{q)goVK;I7vIrT3D^FOk7G$k(^BwW+C~ zNeXE~nMdFi#cU&pQ7)XR%N$1Ro9D{|#PsbDS_xwI)NoP>4y<=FN% z99BLh3#~Q=S8>godCfnL;~IwwYrt+jgO)T{-#rNYIWz7-CMVn~twWVBRe}+2WiG)^ zKa)|q{B^4Q;rA6CAoeF1%+Xbx8i$Knlp=CWKT|05IR3Gk)&+$#^70|-csPqdp|%1i zRa-vmPp`NGT!52tK&6(7L&nZ&Jw}z(&Yaa9M|LY7S0SE~LbD(#6^!eKOTlW1l?Mj^ zlP8#rk`B!a>o+_OX9;?Q#&wcCTVzs*cA=y&JDD^#(&Q zMu#Sz2hju>baAQ)coF-tr-Abe9$^L>#%A?tb!(DW0|#A#o>Ve4O!sXI-1uu1&kvx6 zgQYRagLReYk0T5m*D7bW_1^0k30;IodSy>ND9P>=R-~4Z^pr&SXFxmPU|s7 zhJ(>R7&f?O$YekjYLI6H)473vLmPU1w0#}OUx!}U>4I%O&_BVi$HZ2#Z0se55u#at zpWJQ9 zDsOI%KWI)1g%W~ikBHu);#LlL$Qu>el-Mya5f=vzweXj8+oth_SQQbq6Dq63?KE|| zd=zF5K2-y-d(S3pR4Dai!Rv7zs56KcxioRMA?yw^0s1|gW)u6fStDkx!{fd)h-*w& z`c{4~m-C5rwkCXIhnPezUQqCaGlOWFP}K^c1`69iwr;#?#1*)R6MTq~9s`XRZ|QFi z4$r(&Shi@iXy!f%wtu&Y>eZ#NUPlw8?|q%Eh#>mVo;0G?rr~l3Qex9avcCD#+nF=S z!Ff~llqbbBP)IV#wZA3lHPg?%0VX&27HY+d!arbG(8NWfKoc-HPMsxARKo{VeQ%2c zJc6p&r50C!L=21m@`*Jk1&c@aY~fOfvWi~7c|%cN6}&TILl!~bK~4H-cQL0nu-a?+ zoQ%k}jy-#1@O#2$+$*MDsy9C2SK4pWi0R=AsU$V8<(eF(NQ?Sg<%qvXN4_DvF%9SV ze7gOT6*#QfAi{HiNj|w7b|k8F?m;_%h<3_=NsP+SK6?tgxy|TG_ePHd;hVBMX@TzK zg8O%&hD^8Fs%7|#-Ch#;W=+Ait~=RzJj0My1SVT)IG+^UoZ}#&KO@tjXl)(aDn&f6P0q-HgDKhvDQ5mUw!^Q=B{3nJVWf}kl0*UXGU~& znr+cGE1BEsoj;LziHgBwC1yK^wkNDgmAK4)tAj~0`AO^at)_s5{&I?1u0*?(ICKsl z6$0Y>*{FEpc*1%De2Ogo*^;z%Uxy`zgad+CBzT{q62ghTff0T=&C3t}7I`^y_5+Nu z;!||9dWi&}D?u|d`VzmUG#XRT6I>2WQ)}B_Lb(cq>?@4!*>9n(P`5j{54z*1C0_NHP4edn zf7*+adQJ;l$e@9H$>L2%Mb~ZjH)wH$Rv!5+Vp`err_$h7bta7O62YS9iFk*%I!(xs z#}LTHIzwRJRg0NIUJUH$K8j4Po_un2;*X~avMjD;lv)N4(atw`lf!0fcImu~qlTn`Ewvi~J+){tJySZ&tI6^fJ!9 z&|u>RL^?|q%B!1^NayYz+H`uwHq_K={!yCZ{*1a0@Q%}Ux1Qt7__f*Il*~xGVn4foMeo5e+_u21lmzGMh>R<8yeVm3BOX zeU|W6W5b_daPGNq`eVGISCpujM8SrbWR}74(>9S7iQD^q48bcfC4Hw4tc0#@K}Vf4 z)j$;m%rcdx<+t)9Ngwrq;w?maU?;kQT%Hq`R!!FOsa1P`Cg1E-ppT0!N2sN^4HOyA z6Hokr*^%8NIc;ANe7DK0ZNgDIy=saz__vtCg}V`->%6wgn>hUwP95e;p*l3TxkW?h z0IISIa;YJ_S686o5Yi9z5e$jdSL$5s9K(o>^<%W%Egi0C5NCS50quj~Ghw?T7m@IO zA~MdxTXR_V9#JuE-}@NTYg5|Ur@$tx z!CX@*=y#p~)2Bepc^s(NJaS4b6y>u%D`%P5}=O6CN2p&p}M6$RR}Fy zt6H;IlCG-W|CF8U3`IOg53Rxesx@^LwD7J9L3p0*79;%S{EzgO#Cmp7D(Zh*dJ><< zlkm{;zeKgJJ*h@`n(f2mbUXb~@t@kR2`;}+jlupa$&=6|mawg==P9y5<#8U!<5U+4 zulx3&4*k@~F4~gt(D47SWGu;Ld{GPPs_xuV#P*vW0`5hAU@Gr_mAd>kcTLb${r{50 z5}qWd|JU9Bg?D%FdoODl!4qgUdMo%Bq`qZF4W22)VXZQTxRf^37y>Wk$y@q+;%-PrQM$W&k_)b?OXL z^*oA_aYs2J4`DnUpFGbf6b^>y43lz)CV`;0#Np!bEUj)ZY*wU#xaJGzTz%89;)Q;j zSCw*UFJmEAL4Bx6v0>V7N=0G2VVEP*_S>OS8MW0@T~Zga=`h{P{knrVIqFOlZH9)3 zGIt7vk_GJ(AICA=u4VojV_pdhJ&qeEn23c{WP`3{wU~QkN_v75AGDu|AJo# z!81G=E5abxYa-m;H9Y#B88zsH8~Mdd3_n&zRChP6Y2=j4GqAhyYwJzgRihgEGTjLF znsNA7u&o|1guZ!?V0{Z*rtQOrB~~B?$_)FH?-QuS63Pry0$i@iCh?iD#KPZsosX5K zJ6PaP`5r9J2vK5 zTU!-%l>4coY4|otw@2;`pZOCkN^X9S}il z+g2nBd9^{iVS#_3E!lZSbY$%ls*Z2iD(JOonzGgV|YvO4r=TD^6 zH|?AJXUp}sVm!6JoMI6rYA-Y5@()cmWX< z(Q1a-!^sbJN>NsK=lTiqZS!ma%`1JeCUhBz04K}9?2#y;>t_sO$VglTXF+=_u)a#v z7|dyaXSDaj1^xrAbsTWIFMgMY}4mVpBGkeylGT0d5zXPkqt0P+KRq{F8S;4wCVWS;UPEZYN3W- z4_xstjh)xwSLcN&{h*=tdIZSyU2EX!7`6%k466kSnpzt*Vue68+Beg-xCZSifgGVR z2EI&kJmburYp!-19xrK&1b5+HivXgpAc@9j2?p1GECV!f2s4ruweVBjHo(v^pJCXu zpx3xqpi9#))zniBTk3rJU^f1IX>qY^zj(Z@f`oFwxc-EbUvw*8hXPF>R+A?Rm%3$N z+D;x>S*;|7{;Qf}Q%??4+I)We($TNu+;E);`2N`OjF z*ziH()w0VJU-(BoejqA{~OmVq`2B3FUF zULm7#3@Vc(#%trd)|QMZ#=F%xHv_-^Ax_inC;-wc->MqF&Vx`2lM<@H|JPi}K|nnq z>>q^uZzH$aeEt(kbp%T#26$o490OcSY&B#R7RCjHniUiJ6$oSFs+?gnJC^hzFY>z9 zT9rJl2t5)f;z#rZ(LGd&s9>rdjcnUrMGT;8-Jh>f2$&5TpdLXughg>rFu|%$*Pt=p z-%RWILHy{!%YZN|+uJWJ^%(HMg9P|5l}NbOR_M@{?exHisP36V6^?VA(8w{=iQ_&W zcyso4jv7U75CBZT>PVU24ojI`L%?A9Y5aQCuyXvo^P7@@k3>I;(~Uwt>d_fyYzxLu;8jOKdi;7r&yYx zfqt{t8e1`7(OHk#XeS5+()=#%J{DNbq)q(!{1`#qPUhc=qVeJbWx^59NEI@mEHp{d z{F(o7uFllG{VW-_^iZQg%gAUKR9^=EwX?1|hdji+|0Arsx$A{?L}ku`fYG@9FeIN9 zeshdkJ+_thP@JTXCdbdW#PJzWms+7TSuFgSGBMTNex2z&X7?!6*eV#(#n&kc4Tc_T zp)<*9(~QqGl$WeSGeXS7K>8AIVfqGQtqdp_GgUMM65+PER9?`or1XHdwc^NA%lPKB zyp;1>S^cuFVu5;8BxuYk<00!s+_%_CgEPZoOKCb|8{DBvt#k;@iJc7mNYA)psZgmLVtu zVFmipl9aAa*4MEZ5i{_>WTK%)sH@CDh88h@=f-4<`~iz9JjMVu91OkvLWnI*-@(?` zEoqIRjM0`5f_cV7p2|i}xTC0nW{b#)L1o-qO!VRl%;+2lK4e3~LYV%@SnuGk;v*0PP0v0wdbtG6mlVivSGc=32nE^z=nB6?^y zucqaYq2Ra)$*O3ecpvc|40b#!@^IT^8VF49RiV}nP*x{xE)5jQsh@6@#yAP4>@b10 zjP=34UrxU2A#I){#86DZ1eKwp8~N)WhO&CSet^sV8Hq93@-JnGa1YDa<&h3uZYQv? zBSl~qH^{_14P(D%t5Ic*xyQH-ZU0Gz3yUx|D#D+(=k-)MY*f{c$UA5Oenw{H(cxg= zO|bNg!7Aal3V-p8b&AK+jngN;qbTp)H1zFHd#M9UI>pe*1W=SghjvqcuB&TM=c^df zgda&fmBIKPG9B3Z@I8q%xLxhRWg-}G!qPbFHw;Z>gOf1aa|k}^p`xZvW6y^9^ZHRVedWxS z36)c$Oe76kB|Qdd4i7S-<{^OE&va0uBq69_&*nr3p(w+|lz=jVwO6DaYfe57uNU)o z=tJe{Hy~|o(7TJw8s+4as-tdI0jI~DP-QqYyDDYic~aEPdYEXKDBU^X%kXGM{5&D< zSR}AVk8Nng!Dov1fJi~Uq&*%z!V`K9W^ZNOGCf(_D$R;2|HClmN&Yl-2o;S|f;BUb zliE7GgMC&!<#~GJFirL&PquLk5qfMLHy5`?ffdF_U{%zLhB5FF(qG8rv-e{gVdJxg zJGYB`Lm?7%G%OLs{TnF)S;e`jcmVIB8vAT{F$(k~;4 zqRUgsFb~4PH%6p)efs`&b1Mv2qbN*rr`n~};iN$=Zkxm~D&og5>aA$gWiTw9MDSO` zKQr~i!_%K=jCm;$orL|m4`r1=3}XtFx|P>eP7m=D9r_52mW8H@%CYwnuAfn(WvJse z0XOk+S`b=5#T$u{k&5c(NyRFmIoE@)J~p4T47XosPWqjlCdBR~Mh?koLBq()s@`Ae zuWgL$4rjOOeoq3@e-?WjXXBUhD|EU^VR%f(QX#yB;m<55K2ZruDaTy6ujJ|M6l}G_ao60bQpSidY?J?1a#&d%Y z4|d1B$z$b+BF1mPGJWAS<`YPGzSVpC1+^}~r`}0jpSnx_7{@@HvEVpI9Z1rOxOGTt zbo&x#mz9Cz${yN8ABZq3~C|cBYs1? zs{SPuz#V3A#{juqvH`E2Ikxvl`OPz|1fiP!;-|J{L^O0>w1lY$SS6&1bu<>r0}hf1*v)k-gYDardcGOu zv@1(a#Pz=9zhxJ;lWVGryDS9Zr4HY|Bm%TzTS?#k@ddI92eh9?QW}2nu|xtbE;0!8 zyk2m1CVFH8-Sfb<`scrhU6;E>O$~C+ok6WSLta{HP7SS~&n=}@L8biA+5j!86gqx$ zPZEC3%Q*qw(LNPb0P-WahS@M)(ULxIxK{d3^}H!rlYWVB1ckNQhCT+W zoNT!OyG`Iw|5!>)W^A*xcp<6;!pZ;;46s-=)-P2nl3&1p>Bb%rQeyMZ@#O+OWhqM` zM6vamWQ++MFlC@ObioBiOwy=q2&smVBX`y+S4${D!{@en(H+o#X>d-;ol?F2RC4*3 z#!;tz|5_C=&JpZd;bgWf-6;$URF~6)XT1FZW08t-ffvr#fZ;{}pCQ&CslW?vL{&ni zJVxa=DGE<+zoa%bO3f81{nL=nXsan`P}U z$D~%+Bxx!IrfOnDI8%*)H{JOWvP4CI`7*^&QU}at0H8s^Ar125^54aUa4xoYMQ+tX z_^T93>qUFO0w_71lPdWu;!`S>gIKK1zZ7Z`M*?(^mT8#4RG&z{3WPyzF|RA9N)GO}u$>E?$-j zf4DNbY`TX;QHd_qA#R6%(FlMr@iFjwv0*`Sz5vT{_34{59T#9LMb(ig!7>GOL9kK+ zUs_d_53@2183gKZtD58ZzR9t$vgR6;!&PTOSkMq;;gy$QIZwzi34H;bl!Q8){aG%|z=_Z2om4x4q^)u56m{YWd<_DdQw6g0n{j<1DOZax7@a{TPSsVv zqxmlsDbq?^Z%*XZj(77n2^m#IP+)R?60^-;;HXD%$yA|CLl2jl8nd?=vz`H0avQJP z-dA9FepIU0G;n^ruv=J&6#%Qi`N`}^ZBQ_W!fcrxSg1t(rK8eH_ye_d0vDiedjDP+ z;UAOpm;+K9dV-p%>wtaj(ox--I#|j<-d_yQa1TlR5Sgh%8fnTgm>Te%i(me&JP9bO1-^ALQcc$ z*2dwOCNM!Gim!sU-~m}-dH0QsbF7tgDVF5~x7L7e7~Qco?8r8*LK}Soy}WmV z&OP_?CRJ3gsvivofqQ%YvJoz-E?+b!pS*;=&VkZnz>{sJm!1;7w>I88f7;LVxglJr z;70Q7K^=S9Tc9tNw_KdRvHG}feOP>~j)jn)-K2Q53=a#*NQ;N1uS|?c#g7@s3#AMx zael%2SE(;bBvJtH5~z-m2bwYF9n7AJNfl5x4@5OLV`tTHD+W2SkfRbFXGCCGy(9)^NQ7-8;vL{%xQ^+v}dm%!@zMa?TJ2#AsMOfo0 zziGKo$|$7IJBOkXFC8I`-o+Ri`lz9qd#s}-CXosM9E&ueeg}Mh^e|4oi8VAQ19fOx z$K%LzbZ0&;(0WKDt49cAW=(w^5NtMubsiAqXql>X2^Y!}@TCewS!QCOrch-LC4l3Jpw zO3K@`_gO81LJ)^(h~2!gi&_up>!A~%d<2NDDfK=*b{Nn=cNa?}eUza;n4_S>U)By`!?L>Ke=URbiAAtW02H=7?OZGIN zCLXg1RR|cZ+sXUhQL0ki&}l6A+ioVKJuVN#Jmvh(hMtmZKk~awJcWVQ`ts$hpZp|0 z)n#_3h`2vWEF9wQC+@@Ic(49d(to!37H*4~2drTkSH8F>PXRi~Pl4Pr2uA*aT%KrC zpr1O$3IvRCboXDn}MsKoA?O;YPLW>;H^M2lw;lU>d2XIyD; zFL(@KOBoZTH5fQ}7-kfxkglYVkw>0=Thj`$UtF-|KQC@MTq6QP>&-Zb9#RAen=2f% z<5`L%?N^dURcQMyQqeZdTYCom8hu<>;Dv%rV2! z^E`9v=;C7H(cba4vGo5o?|U{#ywsTj*gtVtFR4qk0Pb6*?p**Nul z_6}cw@M-qfoqrHA2ol9cCk@lshu*hY3r7s!dGP|A<-H$NP#^Nb5i6#jSod~ADiz|E z8Z#5m+q|@s@KmUHrYBoiDnVDhv&V)bXvY#ljd$jZ%0*o_W+Gsv+QqZO5p)h~<=_Xc zRfVL1%#tC`sE_UMa14)Q!p-f%W|R-Q*N(J(+aptTSFxEvo0eT~3wSHQ*U=*Pql3TY z6T$C?}e=#(@+=2?~-?lthRSMX6`_3#{*d- z$Y=z*Y%+hA8~!l-nH8?6GBQij&8WgLf6B!$!T<)ut^#|rE4TB&Q9e~O zD7TbkOy?u;`huR=$|S1>PH1NdD7{R3jr$&!qXS-92Q0#(GJ}YE9`Hp1UrA@+uf_vD zTwx!kGXKFZM}4hW%?31R(|-PdP+J{?PQ|Y%XvpyYVWx2TwFP4~h>nhrTek&rEjWl^ zRUNrgYCcm>ERt*9Ts&w4eU)px$`^Ly`J@!Y zH|v6#B{{r1lr!Zdu4e4AZ$<+Pg^19|qvX}0sn|T2rRKR6)3y)i8;u%Rwm+vU(|XnD zrt)us1^y;Yz7vH&E>fsXTxbV-YQ314v@{4Akc3-&O0PC3V9{4Mk)lzAYzQ6Us{c(?1aAm#K@ehJk zi+)&oOik5gcA21*4(RE=QyseVIa!Xy0A6M$$DOiysMd8kq$AFhmxN$5DR+R&xSQW< z9+cqCPmB*^GosP@K&hoew({z!vsso$+%C}_YIX7`m62cT5v;5t9VM!Vw4_DNu|gT8 zA5Y1+z`E#_sScF0u`E@Ry{!A)E1uFeG$pKv`^}13jLEq2ye&8`>r0Qhq`GYui3(bn z;Q$rMtH9{yrWro!ktgw!-QSJEev}SV#9{OmDo3)+u-*p=i>X)%aOk zp-!EvX{H}XJTaGhqNFH51&%SIs*Na4MiNN&SV4m^H^td`kF52qo*GluuipNX=T;Y{ zUh@zVb748-aYPl9><%e-;DiF-*0WFgIpfuW63j7-O{%h) z$o7Gv{kMHZ)(5lu66{j_wWs=GNMgbn1Mf!=DEfGCG1@?GCd!&^@UnI)_LrX;ll1Re zin!bP<({c1h4(4mF?p2T-h+vKcMrFFo%5;Bm1@;sCE{y44i{`b$xtid;*i@L4J@yh z(~r3lVgEUkHX}DC`yGXUCTkD^q=iHnDsfug`-bYu=gw$=r^M2_BT|{>F6}N^rHwZy z8I9kJ>WDEDrbrucvDCDOXqAQNdf=)imXMeYd(`E~zTcxgoP{gOI>PMlT4Rq3uit4= z4!@JulB`33f=ph{Ykf1Lk8vV*t)+GM#Z&fbxt*KM`L3WH1xIIXA48Us?S{@rCbK+z zMW9PFip_bhZ<9^IwuZm0H=lx{<@4Y*c1LnE|611c1Tw@N@<+{$<(JIqHVzBiyc?i2 z$?}%yN2sJ7af)4UyHD5;qw5eUlIV(JjdEBG#v(vB@^n z78waQCvLsd`mK>n`kaAn1rOGyfdKL#yxNob%t>rm{HnWcLG=v`wh#L6b!lg&GLyw8 zQF$EOJAaqF!S%Vq3uv9Au}IuYLU5+za>PL3pBgm8Qpo%wlgqCwNb zo0$1mkh3G*Sit^ACQyVmqHsqUv{8xNinWxea~yia@TH*Z3r3g2(D{J#!Z~%gB5aG| z#yt{z8pEERstM|=fWyGm(5#T@dG}tEzJ$&Ihq6ACmE+CHRG(q&7RF7zLD=hP;@7bV zHR%qe4qTs|Ic11+1nR(;e4d6-NY#A$)m;&PK5VcWVk`{Q(NO8XU;vS4#Mn9M7z_8Y zUG{G6AB(d&ppmc)1kvaD99E|$Hdo+5b+dZ?Vxv+ovp-?SQ+~xJJw5Vb1tj`|JW!ZS zKAI+~9$}mx9ULaziLj^xs=Zn`jA-~+=IboDRsfPa58VB4zbF`(*S^&m zSuAa?Cf!R3l_;#y_Oe&Sx5g)3#bzXf50D><8aV8%vH3)Eqi(9XJ;8C#T2qeZGsF2g zJ1Cjp%Mnk@Ik3K6-wBb4zSsDBy@Qo3AAvec-?rU2uTp0%Vcq@x-^;cd0`sv&ZXTl~sJ=+jB@yDB#yrAZ zN`WaXNKxP=4R9jd0#vaHpkvFgU$?R*MB8j zyrPH#bK^;;!zDhzj67vlX z?Z0a)8~Mf;)v+{zWN^DzJJo2ty>1I22i?LL&O$;oSjBa)UOx&s9HW0V0^wqO9zhg4ebz(nbVArIlnyR*IsJS+KyVjEUe|8EH z`V1FVGABmord?D6CtM+O+GFeln^ane#XNLpxoCP8Z7Ph)ZMRUcdf|@Wk5llg21XWL z;}Z~^n-9R_hxo~vfloImi>u~;_iiXgoJ_l+f%VNdN!uA{m zqB2955uD&|p`JB&|w)QVCXoV;tQ5+>%%M6w$J0OB;E4x|&%dh-Tt~x(T~u@L$`971X;I zJRV8uw(c>Q$BU)TjL5Hz%r)Ewek)z4;Ee^tvcUmTrDiMzlyu($@ukn+YG0R1*7que zHH7D28YnK+DTZrpY&^^bG-&^xN5{2D>H{J-=w$DX$Em8-0M4FM{Xl|f(2d0vZz>17 zgRO6zs%L2p*+@@18H_CCC6Aa>*mI1m+|+}XwLcj5w*cjPH8p65E)~LzS?9c~9S477 zbsSssMD%#i z)RX)e#9S-h@czN-C|vJIEa2qc$~{Zas;tt4y?XY6hH38vNeUyh%WMcNbwv%N$ypMI z^njPQWaU<8?%4tldJWw&8j;Svpe-c^5`_f8S2BPIQ6L-EpkgA9jYa&f;i2R`w9b^) z{d%U)oj*YmRvc!eE|vuWwH8&Q0$!m+S5|qQ&DE+py+iyGH5UP(KHMe4E(W>Q_BrrE zM!810@_X>aX||K>dEAOr;4ijOMD={tIrnVSv;??Wo_bs$vTu;h2M|94o9WlmY6h|@bkcU>~x{2b*%{PoBq;-|8Q zIy(!c=@ezT`SF>4t1V0_dufkuhhROwP8H}m?yi53tMAVUOda26wSl!J?BG!RO)Ytb zd%GVCua8N|Ry<%l&})(SVenz5K-ag*uwy^QOC|(NkwSPc>TsBYpV3ZOpjo*p9yakM z?hEqfhcfZGofg(Er~!?&48L=w#D!k5TLqfW4`@2SYF_FdlpM|Yf7pBLpSptXd$>rU zxVyW%Q{3I%-Q8Ww#oeXY#hr_Lad#-i^#a9RioHC~^Z6&<T9(|fVTRj!^`D)y(kNnr)=Y?#PjyF-o`OgHd(cmohK^!^#H$q81civOY; zfBZU5AwLIC2<(wKP3$DrchjH>0(3i-^bk*f3v)hNRudL1z0zXuj7OyIarX*Y#6lNw zCt=alAVY8meP&aH?X>uu!JAt|X4dbET!@rwt(NJSSWMkUSzCFi0qo zIE<}%^2LpCl24_DKV@bc!@NMeR;>0{SCa?jI`3(FV@ED|D!J#Ys12Vsk=L_3SqLQ< z+nd#C%o^E_ar8S!by=(oh4VR`__U)$+4P>brQDVfyw7_AT6|6Sa_bY?@@d?b8ql!m zuiV864-*b@Un`ozzH3gX!LO96?SNF^ol~5<@hY$O{+86n*&S#eFi5OS77uG>`YX1; zc6Nop0Qt1cSf``}fYoQpY%9qqyO3mq>x|v|`#zwyQj%*JE0Vqli>U8)$h|m|1hqG` zqXaecK;oT}qJ=V{0G&j6I~Djg@eO2VZUbtbRiD8D1et6Fdhh3BW`{@v{R_{Z0(OA& zzmuNNS1E}I(5b<*B#hYXoXar(Zq^O1WS@IYLGaNIiV4&3Z*W1K+)4)dsm*EU9krR-Yy zn1lDI+Tb`$uaNe7b-EH3hDRyO^L4GID;^mmOY<*;5f25tHdR(dE){9uS!Q>mhANrW zor=;cQbyW!*@LvnM9*_D2onT7A{JXONqab##bvK{OS$-J? zLI&t$$JUTSFJ>54Dy`_%3pVWV7}WekBH=if2!^;EUP8d3uyWilksyK`+L!j?v7*s} z>k4ZP=1GTmVl-1#l%RnW*Val~w%<;NC-&{l;zyv1Ael2FaeD@N_nW+)KSTazaHbws zp5xPrj%Sy-3810y`}(C|y4s#d~s-&c$NSfaLsk;?psc+e^UTsBRWXzx8| zW-Y@edk{G*E5+tMPih@&*}BpP%)~o8M1)_XI#)yGz(0@&(W{CW1MA~l=B{!gFP>@RO-0dTM2M8 zskFlyNjTL)ZR)>EVOxxd3HZFv(~N3!87*JTrCcru_C0WFGpOqB$ER<${>+X*gAU5; z)^c{~)oQ_RSJ8JS?>CJnl7*H0^7O_DCg{O=#v{<)HMm4enGT%G_>?k+S;=n+E3f>t z_>dM|GM(OHy*JV5&W$F~l0c;UDl%o$S++LVd*aL5-UL1Hc}furpli-QSZuTKpti*W zKs!9%ODF+ggqx_FUiAz=iu?_aERQS)7&#rzkJV)Nv%J(vF-gZ5{27?}9K8S3T&T7i zjI=3LO*qW6;iIKe{7cwMW(K75@z3L0^DzI|-rw5u%M-b7j)v|_;iCZ%>(JtMR8640 zB9l6x;c~HTE?gdoU0gj{RDv+|_^^7Ng^O@;ys9~fp*j$fNd&FM^ui3QA!dZG_xb07 zPC;7&MwJF*`HJ8;xK=VT2R1>-OlNFtq(^auiOVgnL5nY!o;(*H39#uvSNJVm+TeLw zqeJ<3S{weEg@u9=qH}%cJfiTw7`d~G8c`3$PNG=6Q%CLyJW-Mo`-hFjX^rZeIyx#< zJ+Qcp!`nq$tPofAx&zNQ_w+~-$ZaA6wQw~ZSW;}4#$7|%Qn}$Tv~z7f0Xu8`;l0VC zOm}{%yOLD=mDOMBx$K071jPVexarPK>rpzXIj!uz@CE4Gk)VI6yDy^4CZ*bRg^YAV zx7ew>2J9C3ZLJ0vw_jX!p{yED;oCG4igHKUzqR~~6PNeO5kit&vZO^lB~{}%A~o}u3_n_JoyKD0He(bVj)%= z1{kS;U7~k1$@VSSi7?S7w3vw)`|5PQ;H?(l^y*UjIE#{T^-~FZX%m10P>>12&G)hNTiJ_0?@nqw3OIv& zv+d4k-u(LB4MyK}#mfs1@74Wt&i z2J!Fkui!|m0~ba<4XZiF$Eu;yZ;V3-+RX1;mx5D}He zO!&Q@z=mVcTxh=M;cLaJqQN4?+15+ojc;1V#c;>q=J}`%)Lb5Y z)yz;t`S-_9(iOJg8`3FZ&*v;4XQsyk6`GA_rF^!cE>l&nBwKr5?FR`UFPoS<^^%dc z=-v{t7`|UCD;wH0fMgm?b6yI{rtM&SW-h>-L`+H zVO?eJ&crt$g_Cg*&TpsujJk&m&NL9)m~C|F1pOZ9c{3&G_Bdow&abSV&mD8Y!l`y) z$5RzuN`YQ+*_DZQ6uhl&8BNJ}?)&wJxfA7@CYPQEYS7zathKC3O}7 zalEez7e@?8e$(N@Q_je;(8n;(vaesGG$%d_407EHv0Pg}Fw!kf50?rTJ0~g$J+b}`p|hWX+=07E z!1EMpg6lpZT>+iIP8-hKh*k@eM3icescDd?fw#?8&5`*!=M4f&`VI7-zL~jbxn3Wo zhJy*rdr~>B(-(9I>?@9vwPvzDKj}QOm?@#B!0qe_Gj}BYv)QDR0%lFmrdL-wq1=Uz zr;JLgi5c0L?u2x-2p?&a_c0oq_Cp0JAkxnuA>XjJiEUHXUj++B??3K`mjxK2QwB_V zDHv@4o;)}RdMxARW&YFB?wY{Zc(=-C;|hTa&lS-o{|D{lZ5%6T6#aN>paDG>#h8{Z zUj#QtdaRg7><~_)BhbU_8xe>TI|ec>WtYvj+KftgN<)F!G#$!0#~a3B>Av1UMA7*z zhVTA<(i#%JT(7mbAPKRFEcjHtKIb>WiY;LEj+T0d@ggjs_1!^PR9GC)v@NI(Fgu;N zy1d|AYY55Y5-;#`#r>}Mmt8=qzB+~q3I(H8d|^Nj3|V-MMNM?ZeH>}MgCt+%kbqi_ z+F`>R>l%_CGo(J~fg7Jzx)=xb{Audawk%#2qM`ey<{-A}bu9*aJ6G(47NLrXpqh;aZ+qei=YoaDlks14F-q$AkPHTdS5%p?Z`&qyv;SZ-1MqsIC7o@ zzOZLM3)Kjxq$q|<7Kx0h5uHn@_Vfn%*7JRgp&a6fQm{AK2yi6m6GR+B`i9M`L%yI? ze5wDDE$EDh{_H@u^&;df&Q<<>+7$kzpN(v5aQpB=>BA=7d5?_yDn)-nZwRD-+no@P2r6d%Bf9D!s&bfmCL`~yp=eOSr8 zak(n1X1ZPGf%x>5Chc6J*5zNzKdgzrVyqnTm(SXiCVJ|6lom9M$A>>&tHFmW;)&UU zDRO;G49)+Dl0!F*PaU%MI{n^6b&EG9%uZ`p@H0e6$@F`UspRdvwK%M}*|W4~s=Qj% zvf_aUyj+5(;UJJ}eTy_&X(fHADiREKmHqr2sP`+7r3h8t?q31Qrwc26Ay!&o?<|a= zQGf!C&}wlF&Lf`JoWPriEf@bA^_az}AMSW=BH_-Z#D!az4E_ef8|-F~k7E$@6nV*#>4#uc*N73Ge*i zXHnT}A`?C+&#=0E9|6E=w0dMMfFsYzh2q?p{tX3CSjX9Ev?3BfC+5I25Oa5dPaRZ` zHm~wm17DHC=VEUbx@LA?4$J5D$s?M`Q)(IG7aSLmudlZ4G_1k@TU9CDz$MD>MG}vlieOroaj2xTcepDjOe$xROn&m9Jlydiy+B5W?}(m{nvaSI-w$p7&P)6G9$xZ)NHt$lDGDiy-~ShajOV{F z7Z(9kZ&+AKMnbisPuwR`oE~M!=5yryUx)+h|G==36JYl)b_)OV9`k=l8E`0WJZ`*> z`~N~jZ2T8C(0?tmK}3kz=pqwA{y$`;0P25IvH!miNxA=p@$wQ!b%lqMVq1V|NrZXk+d=S7g&~^O{L=oY8`4XKYysvUVoN%tTH_9ta^p;%Y@q$x0KSI2c83X zpSnWJWLGQ8z^g`5IAnvlAN7>Sj5r@NsXST0PeW&J`KsxX6PWn)gW1Vk?g0fRfoYAI zbIWE@&4R_09YH#VGoD)D^J!*I8PPTx_hB-~Y4+6jy;K~TW{XK@^DdbO|KuH`%-{V3 zd2x_wT#qgX8GNt8*ajM zWq1Jtx*uPdERmrxCTqoTYBift^fEKD=;>3z~SKcHsRJiX!{nu<-1_C zyM7tZ6%_yX&_~az>VfrFE@Vk?yMl6RwY9lBA0_HP$V1;0P6OUuk-cINPIfgD09@!| z=OlW1xfqGje8(8OJKTITf{~K;u;%Yf!oh8fF76C7)Y5?0d#g*eIHdKA=hMsihw*%6 z*#OiN>gv#x4n6$bu>PcKC0XmQsBDW_m1jR|O9tHPa~q$hEr64Hf2)jl>o_-7jBqMa z9heTkMF99>D66yX7P>h5{Fnf51<}qszHUc*Ge+QlZ0e@i=PKi86O;4E;{U_4yKCHKA^zvosr^ar&x|-4(+y7F8ypWt2kr!RtE!};g+tS)hWci=r!G9F z|I~y5GC)#CxBFBnxX~0&XVVd6R> zYv-87tQtKtj#>GYDb3B^>P&u4 zkNfsgfxbb2>{k@^TI5z9Kgb|YbWVqJvF9mhmG=TSkv zQ&8(r-?zPCRYxD6s6&*F5ls^EL2>EU{rfE1gzjPKU(Rf?$*+{FAwjNCT zm*~3?iE7Aj7h31jh{K)q)QY+KWaAgm7|22gdL1+D`f-MTRONgRQ#6b5{${(xh-aDY zFkL`%%3$3HMIK-(>_((#m!%tEQ-VjOspa@gbEAjw8pz+e()-$73p&*Mx`iuL9^?Cz zkA27#ySv^HL5qO*o>$JUFltiVv2I7lP72sE%VL9t3P8S=r<(&5FhX@Bb{&O@IvBsN zKY$&{S6}%XTx$Dkr;dy@*__)Cu12^7;x}9yD}80v)rZlyl~#!wUYdpTMaF_mqNS|> zFT1T~Oc!i8Li|H#jqnQMIU?mu_f8d+6sqRE6dn;zg5*5i=1nXd{??rAU6g}IswIm_ z+-+{Y&)e73vkm@==BkkOSognPa4rlchRgJ|72o<`-veM+XQ zk}(w7CX0XW47#a>&RO}y*4{Y9_`)%6jwN6(~zfF(Tvjsg6nRRb&1NMG2Rwg2QR$5KKyBNA*(D? z1XgGQ>7X%SMImQFU-;Cmw4sn{9~Cq0DC~^M<8$S+8uv+l@Pow5fU0OK+!3{D+=V^F z{V`d%5 z{6oxfVu002cAU=w-sdk0#&m8g9g67g_`Y@V6=T7w!dYGJ3#Gw|I%n*S(J z>wBN$gg+Wy{lc`dPisQ5U9Mj%-6*-u+QRsp+T4+$`ojbZoYTJAX`O|7uN|8Kq-$b+ z@<2Fh8)f=H7gGfJmEa|8L*q%Cf?dikJajy0I_x1cJ7t(5t2N^ZOy2nBc^92n)yBRp zyfyyGQy&Eq;Y_hxV3$E5y_V7XeaY0Eza}%89u!83qL{z{*^0A-A-wZ}$(Lo7(NF+V z7bBE_lo5+s{ltn;>Qm`$=h}K<_FQzn8^is?a&^+3+-%;geHcBGkfy0#DCKlRH(Rt> zPz+Djdb7vY)Em=@A+?s+ zcJSTj(@5@b)<*Wh5!uM$P@}a|>|9Bf-^+HK=t&UsFu(Mds@h%rP`6jMl;7RARVxn@ zixocWtZoke>G-n3(hQ18EvG@@_AXOoPj&p@M?kb+wBe(D%~D#3rz;<_^I0RBQi&CM=dU9o+0>iE&nILfCB_Hs3Mub$6cF zIv4IVRyt=(q0&ta^Wra;8rIA@H2yN-!tUAYF~L6ILh*_Q)3V}h8bKU6Nw}cw6_jR8 zFXNfiV-$E69SLA5>2}fn z=NRoiJtg7Ze?|Y~LXEri72)WY3p`RcS4+Ay!gNY1?v~9CwLuMQy<^vNlKZUsX+J!EA}bzz9h3~_!>5v)`+hNnZTxioV4^utt28y5TAKZ| zB_q_FY86GVmBsZE)|iHW&ChW9*A*#8CEr-Y84#oi1z6G#C zA2{Q1Kjsp(kJUUsqjQAjp!SN87hpOJJYY;_lVliMSbUL#!7CZKvc15w<9|d;Uxn|H zo)f4CPFw{{ZhiJc(#UgW_{D}$adVlds1S^&b`g8n)@ zjx~%p{H8alDoHg`t?)b5$#>%5@mi6iwa79=88}fl9tbNv=k!n~0~~H;roR$KqE9{mxE{zaE&PKl=Bj zlYxy8d_4IbcbQo6d@_72vH|;0dG4boV`0oIGRfI(GPSQ}@G^Qc_$|48i<}D?LZ9FT zrtI5azp^4ChT9k!S*v&|Pw+L{AyFf7h0eQ*luj^?#UG7(%5>%&g;K#EVra<9NE{w; zrfHP3D5#_Z*|usX)BN7lPcLY0aO?_WXJ7p!Ddb8%bg9{fQ(U<=Z<(JF7>omdc2r2v zs4K#2u`h*i5NF$sV$umz&Sn;?;>h>BJzkF66C3+xJgXIRE~}#hZ_`-0(&<^NZK|&4 z)b?xKr)p<0*|oTRZrJZmlJ5-E=L9^1$bim1*M#voqH2r_$7Q!q%%>@BBPD>ev z;Pi=NCqMbJag)g0)B`14GOA}aFybW$&2gIOQp5ewNEz{;(G$Z0+G+p7I~VzjDb*b6 zy?$Y)med|1=;{LYPIIWwRWx{zeqgXQ-CN{ocxkKS!Nr$6n4 zN@3U#tK+K*$XlB!v0qd91MmJqzPGj6I)T3|4-hIS%}8YC&&oRXV|10@QO~HdLt(L$ zQgiwJOODiP3d-whGc1GL%YkhhzK>$=GRg1nly+oaFe!#;LOKRwOv-NJ4R`kaD9Hve z=UKXSf&_TbGeaev9*;O~#K6pr*rw?O*g)N+9&96ep!-5~ma6xp38pp>-j@mM<7%C_ z()*K#;{4K@T^DzUwwLa2oZ1$|Bjh0L*1me87%f%YVVhzHVatkC}lOandIepNFF5o=zE86f*emX-Kx7dFY(0KzhOh)I{pM=&P| z_?7o5@YcloXnsQNUagnCMO!mLF{Z&F6I{B6B5irKLyhP*alr9k)gGh9`%XyGf8w#0XNff%bDCLBxGE?@ zXw>M+M2d{)>>5s(DVgt<9`20fjKYIA^gQ{6s-3`&!AQ=jOl)7op)H6t0oa>9cFiEF z^Z~EmPpe3KZWNALD4M(5N47YwkmRn&AUNcFR=?~ZND;i_h3wAMM$_sBp%r(V&USvTrJDXp_X0y z_4WKw>9^)zhOe5-{?;NVtaPh?zwqe!Sfg=CsEJ91UGOZb`Jkg4kqtVf)^Rq>L}ozr zhRo)qVlJAkc&1JjWI#wH!Vkt$TFwkdWQuy#8l3^S_rB#JYLSf$jIQs(Y zyYE_)=wY2}LZ4>UeD`(bUv*3om}b$07tu-Nl;KDs5VBhPR)jKL4}0krCbk?6>iE?( zdxW;I_dFFsV=R7QOS-4@#9$Ka`g-@VO$X#ycRo*}lSqO|dpWJZr^goDX9CRWl-(l! zwNR=IYErr#u8KL$dvHWVdKq)RVD2K7u20w7T!lmn{{XO{>dH%2*#;dB$q^C25fNb` z66cq~o2~M9=)8si9ZU=3NFEDAPBK3Yv(K%5Xvzl3*J~Xj0>&}NGCiSyq_Vt$uRJO8 zk%(M<`a*Z5+JKJZ9KN|@8RHCHeVF@|t283W-!{e>-o1v1|HipXgLpCE|9UaH37X8y zSPfvkn6^QwE9%|JU08JR4jOBCrojK{i_rJj6cz4>=yjURf#Up;`4^64Y`E`jnz=LS zQ-4uKbgqi?)V85Ff{42?CqQ9wyi+ZEA0|FMqx6{5R9Y4j@O8BKE03bW70t6HZBt81 zXJoht&`5dqQ>p4~R$x*Qb4S5B5pLjS;=>c~rcDn>)J0r@H!G?WSTnuDi8aN*-7)mN zX)HB)@so+tE_$RLj(Slzj)=TqtC>f@EmEXacTAENetjx4#V^IeD$3Z62O#2}zrPJm zkxhQ#_^Zdx#t(H}Fz{thGHDl8-!{4)2}(eO{yReZ;7!rXpUF2@`xXt2$?-29e~i17 z)QLn0nxN5NFu#IELa$TNv?89JZ6PI`;G6N3(hyrzXT*9k8p#Kx*$51Gj0V5q7P*o* z49a1v75-e3;Io5++ba54?JyG0mB5Y`tY?eC3zUaRl!z`FL(e4^5k^YVfzWjoUeUr! z{O(*=a;MDQH)m25%D<>N9Q zk1$x$!*7D63ys<%A|zd9r0~o6$Sho5GjX{z1~ZFdnMAGDr9rX(!@dN^Mt7f%8U^Q{ z>!K%EQC+{Drlj(9QWUDZMUr4WXPZf7iN!$~Nk~9z=-|27L!&;>B6tp7iTFxr0d!H8 z6_%7uvE0*8xI@Wj1*2WxSb{%JC0)h*#Z{ahpGH#6M)z@Gq^|+jJ{LVg;WnSt<7HL` zORQ%5i7&2^+%kmI@<}`=08mQ)0Zv!oBL22s$n<5)bdv#hs%)m27jexwQWz#)lNS?eNCyB9)zc?E}rWe=A1waoc?b4stA{4otrgIS{MjQh%erW8mnpuVE~Q7&!VbH(M~0(Px^Bk(P?o zwpu8J3|^MNGWs>u=r&zC0E5bx{wgax8na4pVsBdQM1`T@J<1ksO&T!bftm0IW%(0Q znDCORz6q_17X0BT41iSAs3Z>s$cAOe%}YHasVL6;)1a1$yaEd_4fJ%s0xFraQbEWrL+8UJR^3SRb+t>5up?*MgW@{^rWX(+S4tU`D*oG`F}!+`dBru;g2; zasU>&m!WgU0nj3?$S7TOGR4&t;wI^e*D_t=I66e}N_!!rl$(^T89*k-xi_hacX-c2 zA!td&d}|1~tUHN*fu+suSITs1$*_7t+ItAWLV*^%&xD_Dig1%E`4JBH1T3Z!-#+=; zLyak4NI?;^@PN)IyqH2}kAat8lP0yHzw8xhjheoBjN$Q{(Y{wX3=sDVFW>Oi^S%@A z>HYJB(T}SU?_IRYxx>U=gd`q2SynST)<=fA%-A&;a$f^9SS(YYqLJYLGp{eMa}t`| zW25?ndAasm*XJ!WR|;c!18pC9vzTF*oaUG|Ty~>}dNv)?6CK1Zgs)`wu0mpoY*VIB zP;gI5-E6_~&1dh5#gW~r@Y=wGS2_PRdSl0G1A4_9HE|W>eBb$vxq# zG0d+VyUpO9hH0?EgKOuzonPpfYY(EsE&Rhy0MmE;nzqmgZ&=*-(XT$bvtCzPg|u%o z1K;P1Rl|c+zbi6(DRj)+rN7{M3j;-9jMy+JXF&IUUoHe7BfgR6aeYdirVs8hm2uB; z-A>jK5hmrGft;4@+bm2osX-FEsc8`~haBi+CP#Ykk7MzD0I8;{hn7IyhXD&O9s6xm zk_d~e7-COc&KW*~QI-5U6Lh{D5PbiakR?m+-Ke;!p&JzTR;~~J!$eW_iV zIEV(M?siUj4L(2!j@A(ygOM<{E+nvomwH#vc#zu?Q&PQnwyP|z*g-vrjB7ssrdeg@ zZAxOf4rXE$&khz9Wqj^Mf_ND+JU>vb;kOr>J%Cr~i(uSHiiA)lh?(W#AiN-{xOJkK zf=b+#x(5_YMYJ*m9nAHdkW|>?MW$WVSD;<%KD(;%M#-N>q*@#sGfBVkQu#AC_OsJ4 zMDxVw;j1BMCFxccu0pr^*mqml+3gQ!hD}A4#j+Hg*<7+~vdKV}n*3r8zrdH4CBbMK z{jDp-O(qPI=_`)if(FQ*-znD-Eqn%(XI3aZtxDq_gYc;pqvL+Ib$R)teyldp-Ch?)HkuBm%G+oyV2k-y`@@K6cQ?6D?64BaUW4YdxLn zMSR!%z|R*XWnG}olP8%yW{@AVMLejcW}ctX^^tzz5U7p~;cIT0&l6L}BS1w>F7C>l zl+!0JZV0hahaBTlkWM|AsQxvML7OoYclNMI7O8K znj)A&a2zIDR!AX32~!IK{WEvL2#20cLAmaG!E3)?Up(h$96tT3jDE>j0P`?3gwf*i zX(92rN7V15%L}M_aLVLDIA4-^`6J)m+(p>eN*M4dbeE>~aNHcb(`06e{x?}%|V|xMJ#td8gtpfWeEnLnm zjU_%lGAmvf*N<0N+yobEEK{sZ`stuW zf;mgL@nMO|rSoU-Qb5)ZyMeE6`>}2Eo$?Qv@p^Jd)iKa6rCkIqggss(AZpP$gVCv* zLMaiVu3eC0lvJHxXeiBGZ?rs~lnvfwUfJ~#|C420yCS?-WzlxazF*elLqwLWk5=XN(!>fxoKdJ*fa^%nN-s<^vZz^wpMH- z+Lj(d<$R=LloG4sgY=6F-X`Z0RSEFL68(48)r?T6;VG`HE}XXfxq>lN)9`y2vD<*>g3i7cgmj`DnHLW!fl^uoc4&y{gz$BTq>x>c!NVE zt6oN-twT#WQACD)Gi4-g^#>9e9*_Schh>P$-sUKsWvw@~Jda0$Ip}Zn3JtN|5|gSb zZ^*yKU^NGk9e}^Wzg}*u)ZWa=OPN%Gq5bmFgn=%``^?*Ea}S$`oXl*uMmi^8T{3i# z`&w#xjI>e)4}oQ7K`|LI0w_M!fWdO392w@$zjmEF=?!|<<+;Nhao%Mxc#f9gW-k&} z#hIs58K-7kO8q|5##oD5P&XxuLF(xxe&49}Cv(xp!#*K}zQB}IW#0^gw)rt%$XwIV z_k0Z2lDb|~rSlOs3OPkPb$nzhi(p+_fRkI7LTL{JjI~qC!_Zq*1)l2Qv*B za5ipY(raCa=wWYuPgzWjKZBr3AJF##TaEVGUbDZ#mu}=L5hL)=XmRE}b%uh54tF9h z5q~-!W_Hhh`cATZMJ`sY>om>y>#~c;WkxIA1BWM0ciJ^uRuQ=Gx^8r$LfMtwGpEKY zJEmeki$A_rAk&lZ&_0_)=VPZ*CqI`~{xHQU-0I7^Cr)*bk%OMpyTvXK#M~QkL$c4M?1)3Gu(kHY`!3VShV3jon9Qv(5+9`LYU;zD>l4&J{@d^r3#NhITo4! z@E=o!^UOzSydW5ry}aDI{DNTYpIEZFD0HW4QO*yGyy8pHXmls{*Cde86o95c+1+tL z-Q~(Gy^cR);o~SOVENhgXySXS%tX6Pb`E2P(su{^d;CqE1bTEL(J5zLwdn6Ae(eZ_ ztPCJ6i_*+81tV7H)RdMlTxF83zvFyc4d0`RC4{If)IEwzL3Q1kOg?y91s>$MNXEt0 zmiB)L)+wv-#A<1Wa16Y~i+31iV|ST23{0F2(nvFFZd@*-q>BP@nH*Qy_o0nCl07`jHc+;J#I4|9aE|(|=cfSa{?Jc5iV$JCq%d zR>v9h`P1Fw8i;RlZ8`}KoXE^jnF===*2EtA$2a}kU00|JZ6Q>L!&p4b} zmRo(+RnJnyX(+Ie1!Cr>o?T35v^??=84Y_VIJg2!vyl7)Kt!=fI+YR2!L@L`iYUDa z(j~-c$dBXEOsXlE_35I~V2tU#X*>7f1tI;-C)tCR!k&*WgViKC4F9CmQ0b_^5IwvU zXHop_LxKOYcZfvZaLs=N!-=szuVxe+cFlIgdG0O?Q)L9w!T}rM=J{;wG8Q4F@Nz+4R)y zIH3)ML!gl;#nv5vyP5W5HANx%u9!!fLZtk63qJ>4Sa5TSK}}=)Sl)4z48m&LuU1a= zD4{D9UGp-q%ElNC8LuqmKI{cA@ZFm^&t&MDdS?2`TjWyAfA_faV&pk_`qkGa@bjPg zth(KHH!NzPDD57No-O=!Y6V+D(KmJIsnoVU7gm{vX*-{UEHPZkhh`u&G+#qoR0NZwcd4F!hTWOoW7`-c!b^7!I~ zLjqO-MW8~Bo>68JCxYxj&p4P*LhkLwnVnJS?N&SMofEx_XUa=ZaXM`L@U5Qu2Iq#| z$plVhkdIt0F!$s~iQi9+Cwt$I`BO*w4y2E;fmX!3(Xk}5+&ZPS!}Os=@!KIlo7D3?|&W{=Ct!GGJ4fnV)hoenG#=Pd(LIHC8M`v4DSE`tQZvFCUqBbY=_vm8zvGoL5Ss&RfA6!nn_ z`jhzSUw!?}=zKD!kvBPeJ%|yzKUXRNe|U|SuIbmQrge?UBP>6xzNALzUm5nbMJ94% zi_tXri7>SemAkp2Y42s__Tj>&9o&gq-aSOeXYB7Xjr)S#1iSt0gmux5cj6s3F<9gQ z0o~`k?7(EEQ(0OcV;i{P%8?x*s()JS-4i@t!Q;{+P#RRKl4+9Fz=%8sU?6QdLJY$U zMlwD5d$rk!MO1@oiZ8nW9F$DDrO9}{+)Vb=Ri{{Yyc+<&R+5dhMRhWQN^d^86LJZX zIZvJJ-Tl)lS(WbN5bKq$KggEHH*U{mbePQ}C$I(6_J*sO)%EOM%5u>_WciQ&-$_kc z`&*ViYMpXp2R4C|A)E`81|(@z8yTRg6p$^Htb2aLj8+IEUl=Ps+Hbn*USc4gZj;K9 z7No0X%MPT>q{L&7mV2y=IC4yrPuDGZZBmUaF=tT0=OW(P#by1hdg$u{FN)}{$$uz5 z>RDEDVUCrNwHj`e?`PI#Xse8@9fWjRZ3hB?UJDM_G|F(5f$dS|nWAI-@LjS@ulmm^ zx-8{acUUANoexOl9BT*nRdc89kalB#p2D zbRugIu{-d53>K?mm5RcN$;;}`F|Hv1o$m)`{Hd$M9l|v|-S#^Nn2_k!zeVuZwoCct zwBf?5-jnG4GuV^D3&~mR+XAM;jt~3uTzYnPRfUZCe%hRf4C6;$i4cVO;Hw}w!*1fa zodR!AshVj{HF2!=6!6=Eb%o@e3ZK3tgAx(C@}I?Z7xa$GJ~+(@f2|D?Tj?1@o~hrF zWOGguM+`h8hN0A(5P`s{Qzl2orxkFfXcmZ>DLuvVdel$fElX{TY1>gY4@c`$HG5aR zZYd~fp;cTkBPF;R^kN*Y(+x9KhIiev^!Pt(XQdXn6Ng`}Q`2wrY*-Q=G!fIWdm0GB z-wrjI9);l71)xV)HM*$MPq>{+-~xJVb-0b#X!+B95Xp%*n!lU4n~c;J;SY|lBwas- z$XYD|{w9~TMbk&~m;n-*W6sNpzSQBimeBQ)S5gf+8Z(7tEa0j7UczqP#}#sk6)8O= zBBc7v0)+^l>^h%q2VOrHgH&=0cUnk@TF}GQSJjnCMVfzbMPt3W7$h^XRy-ea^u}dQ ze|MqW%W~_e4s$cV(h^D4|2WrMU?*gK8EZs7v?3GNOwJs4!BAX1TJ{GwWOk?mu(5QR z43gr)=F@-=s-;*W172eU&Ox*b{_XP5o3y0QO-3<f+7J^Wq&W|X)+R3GGZL9iX9{;X-<*h zoplktrKvEkhvj~sI!#78!+}iQNlpFquOp>Bu|>3u1lQ!{H!oQ@*_-JARc49{C{csd zIXd?;2U$y75D^(Gm+v&1a7!9FnMS<47Ax;m);A0NVV;U>3oGQB@`zw)*W)OX(%5 zcmJ*wc76&vDbD`3)C9<$=O#}fT_nLcvLSe{Jm4f=);OWP>dSPbDCp2qQc*b;!0S9- zgoM8TN>9=#`>Bk=K8epDe>p~vWZW|*SM&SAa-<35D_O6ghK&8dyz*jFU2q{b5Jy;o zkm|{BagJQ3!8n@=7>@x9V#g<;p&a!odezi?!KW;8Dg1dbBCQy7Li$;I9bbp1jOY}1& z9I)=ihCpo!%*vujf%SW}r+bC5JcfZWeyA{mFs3>$MZ7Y|nWOMG6%h8^u7JNi`l5b^ zbd7^bqsV>e-Wi$Vic5O&!)LOmK^?{I{q1+?US6SoE{=mD6al!Fj4NoMdJ%P?0s-AM~>hxT35=-W<9XB6?lafjq z;5mUW$*-0S=PlO-jFc4o%w;QeLN)|t4=m5J(c5j48Af{)gF=q=Ws*?Z&Qt!Cf!>== z4on_P7JoePpnRv3$Bh_zdc%jdtZi0q^p#X$Ph=Dn;>CU1Mh!&vB8dE(``&6eV)E~_ zR00=LgDcvV)^q2s1)r?Jo)YHVnWAXx0@2|}n7#~)S^_*LlZ zIVk)j^{vLb6xr@HO7K=EDH;4;kmnkPptRvP!9-?^vO(l5e_XGB9iR^5kLGOU^9Da%83 zdCFR4mqkU1$_mst;TG*FHIV*a!!}lYNxLVf?Xr0!gI}OXZe3v#E)*wKkxi1d{|rDf zh2g~2u2vP1?S8VpEHQ5J&i!6MPu?y@q9fJB4I&{Ywal5LSk3qDJVO&Bh$E5S86rt_4Q!)(qldH|Jza>7i zdh(C$;rK|sCm3pmM=2&BJ>{0AeRb)Xi3aBD@5TLXVlT=z|adF7-CQHda zM{{v5p+o+B;OzyOoO4gqB&*JC0*wRkxt%)*P|2M^-O-a)(WTg+#D3T`OZ3~c&Oso> z(8})Mj>0Xcm1F6(qr6%F-}*SD=SrkFibJ zR9=TaL1xi~1J(A$+)CT)2hb=7yf(v$$xJ-&LJ}N;g*oDM-?pMgx3m-eJqhGQ3ORgE z6lo6HLh@@^L@WOxWQLq^i(Ry}ZLhIHYN$J&FpA?k<@EXA$?z>MEYEVl(OZ&_GPcdw zbGqn17L6#%?obO^eVK|{S>0>5`Hl!y(oFj{p9Ao7s z2;w!B;a7sKY@-ynqpxV5UMRY=$1&Y{DCH(wmMqStdr!k`{M<>2bFX5xJ&FADzOqa+1Rq$znn0y2^s%EJnPG>!f+m%w%6xWITyrRLA1dF z6=ldgk3aUBY1fnGPC1wwk@Eb28}w&fCRmXowe@`YIUPN7MpG@bt$d+tFAnI1;Z9}| z=31cLp=J|#BOu-beoVpYT4&8H&7|_aY?Vy+_(h|Xm8Y+(OR z;MMl})upnQc4t@+`=R{q3w8iIjEdPkB}|4)ez}f?C%0E&37{{PlI2%CjwYDLZn8-A z0(4l#$CQqph(SsPtY#~xtRyFo7=s)dpG$`R7vy^4tpVDX{}!56_NL26p@?=l##?+U z(NxOXvUJsT!6{)_21Jem8D@EdDV0B#R2VQObscA#SR+O?CN>u{R>A;`UrwYbh38^* zq?^+)r7VjsrtN>jgkUH8`>aSoo%07vCvu0&4YCwAq7@&ODtnmVSiS8f6zV{)W$A@h z#!FH*PAAO~`ZfU>wv|Orr=%)~*yezZpUa(PIPWYHdIu@lw(^ITjMmarzAXF5Ca-c$ zbl3BbR>0;3qX(dnQyJJWKgxE8UGjZ65f;UU&Gt*zUf&`Y(y3g<%cm$%MTCd42?y3; z$#j7wW1HhccA6s0Z?a`Ige|n*-_{taha6gT^=0ycOBiR|xy6Nsu;6w9K+pU{v*h>M zwCinv1$9QtXpVYtz6b}U@B)NhJr#PHXt)9Ks0gAkzwo>_esy!hPw$kc_}9;*oE3KBWL*YTYk?AZ)i`s3()nOYo5GMEoQWx zCY%T88ifbf@PF=))^7A|T`*<^23wn(SBk^EER9LM)!P{}w-d`3(P1~!o`*UlGb|>u zF5;+eWN0beVw{R_mn~e(9pZlWojcn_+K;fF7X5tjm*lR>(mhc4B4yd3O;pTe;KY9aDyB=Aw>b~inK9erU2&1HjYls*O}$8XLm7K4 zrFNzU{MHTKk7m^z%b${))ztgKLKAi2iRDElUX2)HI1fWywfU9O{xW_kgZP>vSJ(Dh~f55zAenPDqRoB|m(eOt+0hwSY_xBnVE zfAG1d6a3YcF05o^IL5Zh(z6!d{PeGv7=dMimEdoPWwwW@@)f8L@P-&$&1u_-egT)W zNs6tK8HbzfkEXWA=$a_EoTU6FpCH4W9+}(Bh&nlfqM;D;RP zNRF}PC@@ipkx{sx;hRDcia~T?HFe3uGtJeKy8N_k_N>nSYxvBnHg+3?_KNhaZYC7*2LvZYWx zT__#((tC^xXJTD{^?A`R@|+`;_hl(YMtj-c!%vajW68J+d8fo95AoD-?WJ!QQUkW* z?A!K`St#|2xc7EyfaeUybPtjYBU_3l1$9!U$&-T65YRt{*|2TgUURnTW;5IdTS(Vt zwLOn|_`9FP)x4g93-%0HYQ9{vP=_03Y>nyx7qIMX-5_m~X{;P_bLcU3Xaw9Sl}0uQ z9u<$fg*x~~sUD%MBd;f;kb+UF-jv01`eSD=P%<*hu>UL>t`lj*g$SZ~BOf(av|Fg+ zD=O=Iq)pFH9x+9qm~V<%Df%!#;nM3Yf#Q6UlmPtz>P3V-OQ!rJ(_40svP6ifD)ZM9 zn%VhRHS+3$NU;;uZ>7Cdr0gQE=MWzJE*v{RV1TH~vL8Z@KpQmPu%(3AO+@^g@DBPl z9m)B?L}W0VCuK!juanekHPXmhIAs(~`isgj-RWK@G>6W2=F#I#B%kD%5@l#p$qVK>gr{0nyH}EGc7e0V7#{ z6yI+#0?{)+6*98*N~`Kae75eugl_Sn6NzEcUYbgN3o^rh3P|i&`Kwj+Y9r#W6Dr)g zd4?6Rw~7=E#R0+d*{p#(4#z@8y|MDHe)S{8J585kY#99~}aSbOZvq|L^zAJ@?ML z^EP?=*q(DgpLh4})H7#Jo0&5+%%#tbfcZ1dJYiIVAt!SwZ6JrAGapSvt-)NJ1mZ8F z+;@o$+=-02OdQO^Umr9_B=(gQm7lSfm5H*|z=@>JjmZu?kBHXZOKJoPTsZt-O2QdV zQt;DCHhVAw+?1LfPy9upQc16$d zpX`xNIf1|pN0_Gqd|(9KES(|=-y}A)Q&3=FNBtdOipK&O76)lg5zJpjxJe*cSE62@ z3zu$S;T9FjRc@Sl9IX9yEa~w3Y`3!c{@SopV3a4%kN}2WvXMA=W9HgFud=R>$PT=z ziR+_dl|(UX52tIg17#r^P(D}9o-{N5XfE2=xqWyjyP6l<(c0TcAzYcV_g3<j-D)(^Ai-p2iV#zp;N?nj$36Ymc_Q&jIEspo#N=g zL;pFhMHXa~b_zK~C~6-T_!Ut?&(Ezdp0_*#z)P;*vcS2C5(PfucwrHG3n%z1DQ}S!sx4Yv2%EtW3Iol<0Y4(L>^2!)N?pr*Ivfd zVR{P{4QXzrChLib#icQK2Qg1mgbqWe02?AIS7Eyj5jY0~`wVUegP}i}+Svp03y!L&ANWA|-jR2|EhcYLeS_q>AiCG0CIbvYSwz z&-B+KYiumHuW~=E^w(+>@sg9%ES$(_Ko1srnRjw>ukWvv*dEIY&i&TSg*d2Wj=?Dz~gYmLNX)oiSqOn93%B`M6?~j;xb%5c% zBpBf%*ngIA&qFZuSr7$^Cc;ew@FZl`5c2{dt`fky9PWPV&NY^uNY?M5_p`X0TRYYiaWRIAvvuyODJr+N(h>-z1_$xV_2(hf*j~d{HJIjwVZi_mb;y zxIs?6V(8$1_Y500g{-O6ySHKki;_vQE;)siOQ*(1RYu`1zbWn(zIcz%J#QXV{-??) zY!k*!X# z{Zb~$X>C?tjR)j=p!InlMSI`k@FXHZ;glqK8S~+j<^%m?0q!`@kk!vEP z@tDsN3wr6^Nz9877{#()VGB6>LYKicmDqm6vKrX})kH6<+{g>$LD6*MJ6EH=ro`Te zrE+nc4svig@J}yF?7y+pziqR&?D2VAv2h1-37NVJZ<`=y4~K!D5dqs!>!l_5KRzM8 zN3fcM@XA7X$(e}CE7|wE0HcX=%GqiXKh_IbWi3uk;)4K&NYORO#!K@xX1!tMi`O1f zhBIO?CR&*Ta5Z4=&_UJ`lx#0?0Iq}L=TM;Vm)tkMEWsRq_2}~VzZ_YHi=!uO9&h3n zVT-iDixg1_Ib~rqDjOjDS1D>PQEg~k!h4r^IO_e%%imCLT*R!TC@RIDfi{GRSc`vD zRHA@j6mTvH|3@43!T|isrZoUzuCm9G@Ra~&#G*}oIJq=mczD`656(SWdpVEi9gkdv zc?e+SbxFKJh<`lw9s}wuO($2v~Y%(_}(`H))z>5ta@wjucIXgM| zAq9RJ_QwO18#~^3OcSj0rg;_kml0YbD<|AgPA7xq(XS%sPh+Krk#@Khg8Ngb^`x2= zSTYzETdc6m!YQ4MAaOERk{ktqTDlE*&Lc5O~Nlr(g=;QCi)>i?Fojvyj=(;(+6GaRl!^j%Cua{iD8 z?v228fov2}{S;AmkPdlLOXa zg5HJLiqlw!681LAUk4KS$&^tXAaE6CeQomYDc$rt! zPz?$Ww3&j9mM7wz@ZE+hc1-S8s#+c3%& z2>>7IDao6}2Dc_qcJx(5{h9@SLZ09h94~0+<=P^M;1G=I3Iaow%|kZkqt4}XAU}w< z5`7QoBej8&%?L(KM|qBG+4Mc2XPWf#FpxrNZNkE-J}^?5xBH1|`+_<{iq@B4o&WS9 z+!`u>v;STuYgI(y>P) zEpwkq2qvP>OPJl13nP|S;UER>!S~&_&L<-dZncuCW` z6zKbaD_J~(;a*@~WK=V!QKnGJD&|3A-l%BiG|CB}C|rr#AosQ(0B{QuTlwo`1tWz9 zf4BkVlqc41!V+!q;l5E?vEQkP>IVWTk?nZgc>{2mv-xviy@d7#w?s}*)*DS69!sNw zv){a@cn{6>E8-9bjKNm~8z_03S4JB-FpQ$2+8(qdy!=xZxD{V2=Rraq47qD|OXj6_ zBu#xB^yhQEPU>uCg(~NH__92U5;&Mxel*G}Ew0q44+-4I93b%SMegy*?*Edk%aS$e z=RmRYV1){Ji@nTa+c+n6I3N^?`X5c=C5l}s1n^(VjivZy-Y0lIS6FuU`RGI zM4pGyE)hiI*i|?>Ah+kUtItbB`auMf`@iTUKP85czK678Pf*pCR)9aaBSRL{xLP4i zzL+?|5%IZjKzY7XMv!_Pseoj|3pgok^RncNo$|eJ<}3dgz)OKc*`(+BQn``2$YSFa z#wmoC$D0uNY@G5u;Bm{aUHjZIq)eZ-xzJxi*gTZLVYq;kYQV?b(ft_&no!i$=qlHn z5NfW9og40FY#bdKcsS2rlmGe}q~oq_+!DU?wgpbC-L@AX1~vZY&Eh}YJz#YJWR2P9YO!(erfp#>|s?jX6}fvnkR zbRq%E9w9IKm{Id((-9K=AhXga<5-XWhtjScIkJ^_`bP06MnWrP-ogwLb^Dkzol>$mRPCz^l<8B^>jKJB9vq21xW5XPBr2`S5|!q!(2-O>GVDhLURQjUuCR}%u?6HW+EH7n5%^!y*%>U5CeQsBu29}h z(R&?3n+emq{~KTa`B8_Kuf?}Wn<4lpy^j}@WRJ8IR|!l>wkBEW!wDmy-tAe}4xt1< z5-$O6XNFq|Jhzkb*9&oi{S}$v=gdm};vS!?fDPeF+}NFzrm-HyMqh0gyk1@MET@8X zu)i&t}OBD(`--iNY-^0UL{WI(y3-&U_*q%Ma*>sUUEPLeS4Nu{|zZs zURvNHnrmOey$|V>5@6!sqsz-7;im-TYBu?|8J!};Qwl!TjD$lL^%S)=lH|_r$Ea%Dy~JSMn=W1;cJ zJ`N58cUH2I71dv(YGERJNX`JENSTL%#Y^+B-8`R_QxYU{sqDTC5%+v>CT<%%L#F#A z3XUAjZUA5OjvRO7>ACfF3j6d1zR0kQLJr@q-?L=+FuK_KI-3wI!v17`@wW1%kxO)n zR4A`mSPrU**e1nQnvruG^dg{=5)#b|RCDfVCDA-e_i;3e2t@ckKzeC1G0)3i=s=lS zut$@y&m|%`D5lf(j<9qE__f%iCCK!e>uwbeQWx$Uos#eXF&^r5y^?4S1|5^RPEREz zqnni@I%Hy@#k|!_d33mqJFRK`hcT0td!z3@!spmYG9WCOJec? z{E|QKyOiwyxJQ9+W|Q7WwIyN#a~#5zB49bYTiOD3C3`F|u6)hOhl^MiQHk~blu@Ft zlx@-iHzf_{dr6`aa4{(or}#9>xd)WJY^cD093j|=bKA_0_cq}bgitAP;GYPkLDZ<>w)dWEp(Wm%&MrlNPc8i8Lh*RQA|)CTygHaUaYU3S^N zj3LYm~eH0pWz8+UX4 zQNj2r%pUhA_Gk0*KQ8m?Zmu8{WUrzZ#T18uyegTlIRA$zq2Bk1jl*b|DSBU12x<1X z6DT3nnIT(2c!T(o>2@l_KmNE>&f2vMv!Ba&E`4x1;%Wt+&UU2-SSsD!>8-nu0xxT$ z*#G1*%Nzyxm0}-h%%!KoM?3zW_J z04|>geGhAxIMyf2r2+ZhSw!KuId7%l_>N|`Eh|);?GmNl-Pyrhy_73Ex!Qg^5GCwz z8_>cFf~O>$2IhIeR2PM3@bDkW9=b=+dBQ1qJSR(7VC5W<#PhOr9{9##pa_S51HuyS zf%Hda(dB)Lij-A=`Q#m9LvvjV2t_QvO>*CaVw)nFKQ74(V-?#`k-e{SPAbJqko5#P z`~~7zA5OxHNYQ}YfC1qJvse<(iO;P@&Xupx_sztY3L@r`cD+EkAi1dVm}@oEB@$pD z$sPN$^RYS7d1CvVIete7X*SY_6HC}0y?@IJ)UF(*y>dCSyVF>pUSCMxCwYdkE01D< zSOJ$SJF}g4X$t(I<3GItndLc1IbpaM*`<#-vM<(4&gCM00Um z*Z~d%iQ5U$*VcdYcIqLX9x{#!W2Oh4#V-!&ZOxt$hADnlmpf60imdXUjy@8 zZN~}v*jz(3`Xay;+4}+4!eykZ1>ULV+)V`IRD#JZxtsu945~!f{8(cCK)$f;y?m70 zpCsxhxI8e$xAfOx=*7}b}tjDqn7IK>TT<4B_Hv+pZ5e#~|~ z0_V&USIy>rdYSU7XtJ>R)udioMc@62L&{V+;61N6J|>QE+XYrsaf>p4fYvk7!j#w; zjZVQ?+(PIB~}@;$w0Sxg$Ryv#%cwp#W1tx zpB>nw{w$T=5j>)62LLbVy_G8auPl|@f4R5fI!LyHsMG?lQOPF7EYlq(OE}ZcZG(#`r(6ksEImqUrRd^sAAHLo3(l)a z(ny{NA0h08*{;jjE`5#!4hz~r1<_x$$(lBw{A?(e4$rjjZC`$9!PU!2oQoq4dczM> z;Qv5D)J)8C5`(LOpK4d`Muo^bkuzfngBJfBLJi7hBs#o3LiuZJ2H(uy!vdF_AH``F zA<@T~`De5Nh$MO;<01pUBylnk@i5~fvN@5o!4bclFif!mI`q%+#NnOH$|ZGj3XLV1 z#hS_{jpHkP1oHwNlxSEjrV6p; zQM#)olTmq;svAt7`DYc13>;d}ERN7rIdZ-+BYy@wCoueF!;2g_!oz;$*^R*A%nVoJ zA{UQ+UJ8g3^FZRKkqS6xL&v0Ff#U@Df03r&K^JAKOOq6gCKh-zU!28yW@%TxMKSMd zmh5_GnTvGVHId zwZFzQLvNxt0^=NJm_hU^%}-QB32^dKn#U1}#fRfB?v*+rCbnNLIHLUN&=KWp(Na;q z`(|vexiZCZbtY2umK?H!p!Zag%@Eo?93XIB^dyysM+5le1`-JDC^=u0OGqMZayYql zh5JSpLy*w?3K!dP7mvaT%0{Eh za0Iv&3#7E6Q_Y)--n>96s0)@_pnPnMD9$YtS&xH61yN^`NmgN#IVDE1a=uD{gV?%0 z{Ew5z+=-kWtG*y1z&T7DwH;hur0>Y`Ou(EQoJRm{nW&VjF*4``WlvnzN2W|y(7LWB zMV(GL&ubs3WeA%Eso(?>mHOnrheL3SVo}0=+7RGV@>65YfY5m`9 zqWA2N0AW6?c#dH5L>$VF%a&aMZL@yy$Itup$XW9||q`UV#~2C4uPU`yBs$mZ=+! z!YtMlSzcTqQ#UHvpl$+FL^5#Jk!C8HQHbq#1Y-qiSViv-6j6H+jC*^59k?=rnf<1H zHA>1_iBv#I)BBMRn`X{PfmgWvf;Cw$b7;(?co+r8vGB(CWOF@x@oQ2X{fIAK{kZb( z2$9ifBk_zQwJL}0LN*%`LL74F_hoYlgaC^2&FkVRVO*6adSyWOIdJH(MXxzegD*kx z{4|!9XQ{zc9MH{zdZ~O#y)VVot5(XcN;W5;weD*qbezt?+4@bx6}?k{S;xblO1GS~ zU77A~2P=PV#IE``+rrII+`M)wLIhEeHWOMh%1=gdt z9evE`aX5_mFh`V18()fl3!-LHJFE~=@0lPr(GH8Ft^}JkT(-;&V1v4TM>^#WB${(5 z(hJy`l-M7jQ?guWjkgaQXx2cA_&tx*%RFf=7nQwPp-Q%wb+Xz6%eOjezJVr-DY9SI zE*=GTrhOks+q7e$l##C)LuvEJI za5L(rv28=o>Qm<)o;&~N74qcdE1n---V<6s*F+Mp1)K*iRT(D z$Cg;XXlWk@(s|5J%n-$e4DvE5RMgEG8KP*|lJ&5b@3Ao>%ca=3Q3)H4Qt6}D7SLLj zXZ}p5@KRQKE#l~a;={e1cipr>`2;O+8#2)qs8E*FDbQDj9Vvu8h#l99CGg(BE&qIJ z?&q*1L*?T7C0_hZdHDQSh&KxZ-Pz=yW0-ZGg)hOztE(iJH2Eb^Wby?Q@syhD9=cf8 zI7Hg~k{QnC>&@GKQ(ouo%Y~vO*P+ZXp071GKUeOpco0Ugbmd26^9CT&?eLR9Wn9fz z-Vng&YIJQ5_Gu}T%~5zjhfg43!YKOqZiDuV1bC8&z@2y4D~;PixFR@1z(eJi5`q(f zw$f`auP&z;y{@u%EbY}z**Gq6aa?&Rm{AJs!|~O0La3ecdnL9VvRN*=5NzOpSsoz5 z`5ozHX_CBDe?b6vcG!z$VM|VPHsY9pCQE_Wv8^Yua-eLY z6xzrO)Vf~Nx`wjJ^q9^zl|QAl@kcfp_Mt2BM9rt38k)=ACg%DC$VnJA`OKl^7nL^t z#a#c7{iWCd=8KYzbU}xqO6?$EDuh+E14nI`gl~Ea5Ec~T{%h@5#)ViiY*)%jTg|1+ zL%-TvHV)^SNFo(NkZ7?$+J;2h&4q9oTa64U3Tg3uHZ*1Md< zG(V|8R|9cOo~Ogj*ues!nj%ZjpSI>!4pB~Ifr^dEO7lb5@JlEZr{8^OdHFRD79}Mo z@Z8N#c?HCl@G4RcmonGiv|RjA6|k(*#-`b@f|nk{5NzUBBf-nmu7w(!`Pg~^aBpXN*T=A(nTmC6!VxA@l!f?vPQ}O zw;dYf+#b-Rmr=K{$>*Wa%t}EC!FtN-G)3rr#7z{ppyHfUMr7zm>DvKoA^~l=j2TD z89$X?E|f_Z5}w0FY~<~dQWb~9hS1@rY}ZbFaUGjS#onVhe30$>Ghdnu&GNZ&2w z+7Mo*KY!^U=F$hZBzxL;pE~|Zwo6^LktDEtC4=ISm@kMgF0=4=kJhC%tG0PT2&S zEMcEJp7~9O;WKbk!!3kxBU)d-BJld$2pZc_dQd|g*EgD1+OhnQ7MR7v1?ExuwI-S@ z1-?d!{XUA%n%o~9CeJ>k4SF?Vg>J_t>>6uYN9($uV}~OFVKQ>5ZDc7^<{`Co|CcjM zyxMXLw98|joN_R+!FzR*w_a@GJb=eMIb|xFtW$6|DH!)Lmz0RJ`PK6#0p>1}@5ezP4^01c%(8e{y{MpQ`$rv52$v);Yh5=$1i6U_e8A8$JM!snWrl|+Xz!{@}-rdtdw z^Av;SK%$~yxoqK@SRvgYslVv=C6N7)aQ}-H(hZWQp!ZU2v@St7@^CIS%i^m9` zsGd%FE(QM2@c^6~l-?h=OZo3WZ^3lbT=T~K#p!_oefBKRr#NgNHZEi1R3RR$Ce}=1 zV>lb93h`cmA$mE7kYG@!9%uebKsb_DKy3SiDHbB=yl?XLydu2b1A&gkVC^2H&=yj$%mwymNR0L1TUiagf{?k z;h)4-Krhd$nPRr&d-n@9kXRUu@QSYNvW0?&k8j^NTW(iOqbT%bOWja%5- zKk}vbA(Ak0$s(?WW0P?EF^eSI}lnmj1o}mphcHSF)pT^7uhN*t&f1 zX}gxm=7Oj^io05Q%eDiA?5|lt_*Vk=j}StfL*>EXgg|Ni5W;LdWn%&_6@Gu_#+Vjc zURLOGTlDX2H}}HuR-V^z(-7_6hG`7lkXa){ZJ8CQ&A_NOz{aD@%H0?^2z%{!v_a>A zAmtqGWa!k_n?C!+^rrKH4H*Tj1sglLX$H!WEuNVCd?CH?2tpL?I)P?v1jZ&27@Jjq zA;2jZKT^r2Aj5wO))#k4oDs`jo>t58@*4nSc4ULA_izCLU;5xYCXbD+0ioM~FD08y zjcQu&sY|E)^J3QH6gMxnc^P0(9ySaI%eWUnrK>V&R>(b{)1w#W+KuW!r$J9Ih z?suEkT=(7lVxT-1k5%VB-=DbomgDtSPoe)BTHu0C; zG=!6&rtt2nDLepEd@6W8Th5hI0Pn5fH0D>pYGFP~3%pc;Z=m5dl@-zk;5n^pY1B+= zhl5!mXUlkw$>_K)UWdzT3#yo@lu?YD6oa7!W=9ArzP)QA-GA?n2m3VIIk)@;P$M%H zGl-+l_);AHNpaYlI9h-&9r$E!#?#nUqu6A+)Nzd?6Q8nqZ4eQ_9Z$S9Y+n%Dy&T;< zMrksXFB;Og`5G==q4<&(XjBz!bg`(F(60l)4sLF8-Q1*t(B2S=`ifll6{&!$a}WG& zMiYc>IKvrQDX_5oY|j^D2SEU5DYzmyFM3F3d*&rOBs5^Ozq0X{pif>Lq|(}(U+J(f zIec!G%0qLxqkDBQwy1y?$l+hH)M+>ZpKA|QtS?%WR8lA}n7EU=w8^~?CBuq&*d&S4 zN%*f2B~~SalkX5)I?=kk65AI<)PMQn`WJth$AXk4FC9G2qrm4;^k?T?bl8REr{Mb0 z^8S)dRtTZdyxH>{vb%ZMWZG-oQu0YfyS8Qv)Mm@(0i-TLsG|1}MQ<-Q>HksA@nQv{ zcz#qNcJJ40(jeN-Tt4Ee&%1z7x>5?fm0hV2CMvP>cJcN<+K9;+C2^+`BEsmzLdzW%pZu$8q z;$iZDA}WvK;XHJ?n5RM$Njw&In{a19(;46>0VB_)U>u}mlSiqG(f2uJT={TSpZ4e_ zaV{PzLznl5ASw&v6rNSZ^TqB`XS{Vu7E&^u)~Gz*R}<@>>_v&9?&3D!wN>clpkR`< z>50oOFKAea?~JG_vbP2>u*14pgkIh*rQX|P>ctscvioB|j173|;(+uEs$rvxMO8tR zLU=1UMX>P_nFJ^6`AQV4}5Vz#}(DRV^f57FISfuQJ0uKPqJNm@TKzb zDCOm~$o#+KOZ~nw=l`5GC}6p3jN3^HCKgvz>27ft_^V)IX@N%~SAi>efEcdPEFTC6 zb15nnDmJF+DEpT{MCnxmuTvk{F>#P=F4>E2XMu`C9FtdkaKlRzf2%=p6b7n)KYCc1 za{*Vu=qgMsXfEEkS9q4iF(4drmSSDWu^!Ky;SjIz?C|!31wG+l{mN`8I{l^(nldo1l4^j_ z5?vEHD9*r;0;eKAA#FUp&~r__6^z%34T)DUdTFP?;Uh_gvqE)2&yKKIoU_}PvBEVs zy1QxfBOlDckh0`OH{%!#iBd4;RxtKqH8?XZ@05v(-rusC^(Y2(@h)rf0z||Yn0hR6 z0h9*Q1Vz+m9D2=*;Zj0r!$H=H8kIxHP5E@v%iR_#T3Fkmm+GiLYPM>gnx*m zHvCm@*+z{UIEoKl>gYe8Z4DboQ8<<;Az^d2CxcKH9pNOOIK|=pP)kBl7f?zr1G!YO zzcQDHgGm5j^YTAGTTB+L|5It}ab!b>y!+5((J7abHl`BC%CNhNza-$_f~y^a*%103 z(&ZvsqP?CF;AjCSb}x?fX}VKcZ!AU1zW8OVmwDVRL~Y)OE#RhC+N0~m{YA5pv#Hn} zU3_?Z9cK{U^u!!SlO5R0*%Iwkwku2_E~&DjdN6S$Q9SpX+qNr@&6Fr!56XehcrD}< zcGYQo$=$)YiZrY1SW@p%eCaa*6G2kflnjh07w3yBAKob`xrS6gNb5ZFemt8H{G2c9 zF8a@BU~qej!v?^7W8)+RV;F(Mnaxv@DA!+E)}4ImljWMmUR(lH5Z5L8`JPZeX1OM_ zt|R!0>becpC_a5{;}ya|S(Bq&lJdGREBzD&Q4+Nmvns>ht+tJ}CSTU!-EcXlNIXrE zyMBK_HBNbB@qNpyow`r?Rq$!S`ckuJUM11vi9TpEO!@5ac@xFTdMW{4AP!HZ9Yq4* z+;Ya|*Ahp&Q-&#<4_8679V+#R(stdU?ZRH@Bv};P#xpQRUnYFvO5P4=Z$~YnV%g!~QB9#zH$J zdo!2%5oN*wM=)VIn7QB&*n~?Kn|9ZBZNk=$XRfGSd4FlUey;7xqv%Cg(JqPN-tJ5u za*jx*StLppY$=whJZ{r=T|wX^O53%cwu{os@dz|=@2n7d!wL5`cqQBXV^kA`-i0cb zuV#Uh`BL;YV z4kQE;6=7aN<)xVCnFhHjB2fw17+Q&SjHs=SvYaN@;!^f#04l&X?1n zd~Y=>&SM5l@nWOueRnrCUH5Pk4-pmC8_Nt&a)y&LJ@gA)3SJ)tqg@NkC@OCkJ%VsS zaMS)uQF)X?n7OU4jV>tdj92DLno-m~y)e4@33-%miDdI76bxMEh!b6Crs+XI85jcy z{0@8(47jW`{iV5#cv@_``TNTyDSIjo zwHSZc3%6d<6TYBRIE_h0+*Z!2v z>Ts2Lv*ci?Es9;?#fOt6ytbS7>*exLQc>&iRDoSkS7E|L>pCOu6t&qf$}T>_J z$H3+?i7|lfT8^0K%^GNS`E@i|)aYI;`BKQk3f}{P@D|G6|A+X5yW!;eB8BkJ9E_Y! z`%z~&v-7cYV03sJ(;5yPx~u82)$eN>$SNuydYF=eaW{GK;r&D$kc}@+|H=#G89^LdW|sowQCyGW1Xs4ku^xw%i;--!u2EXoqa0Fx zK(f)gX2xtj&wM+`QG1inuEL}f_rr3HV|7q(ZuT^=LZw~|89nuGK|#~R5hVMHW3b;M zmr(D06f}>qzm%e~dA7V=IP0qk_dGVe8$(D@NoVMLVmjoi8GkQmuH{K*U-Bh~U*Z^x zb#%`Bb#nMTd~xQMzH_4A3Bq z*a=cNxtKm^Bs5;uLmm?3<8BXE8bOi6Ls2-KX0Ytmxr%TLm^_(*qwG^50fbY=lLUv6 zqLeE?P~^RJSR2h7_xn_FZ_xt9t+;!U;!;|QySqDqw8b^JOK~e6TvFVjcyMTd;I1LS zNuTF;&UKyt-v8h0b0w4Ao$u^Do86gvW_M-_?%xR9x_wGI`q4s_U&rM>@(kCPVY=eI zri6PNTiDY@jztIGs?1*&7)@lZ9}PnO@lj+*jY9`~D@7NYxHIEaYimGL8^0c z^c1d2&`E_{qpoqEI%2+L_&OLPNXGi=*GRID;1jR+J`TSssF)rwil^rP!B6nm(wmvn zPRO4z&$?&GC?%nRW^z_WsS+>T@$(w=kcbA~UuwSd|FXDlXZMJF$C)R z!MsqZHU}$ydBi00LheOd-G;y>>uGx&wDi~N`(@m5;+-Jjx_q_t#dEGRi?J6xVhVeue2?NG;j)vSm3mNv_={A9g{pqgNe^dnJ^%t)OJQ#>}B*PlX+-k z9^3Aeq)?|A-Y^SPKyUuburZh0r`Nw|-ZEkOHfIf%rhbf(2d}FBMr-9kGpfmJ5s0~q zk`B+zyNaYSa7Es3B_`DOnTs9c-R|9;a*o{LifT9dMq0pLL!G$#mij)c54^6h-fqI_ zR1xTmhu+vj&TCE29bCCt4~7eg7E;=>jf~VH*sDKA97^}eq3$9E#wlfrMNWls%s}5! zr|A$@^WmLCVKvknMsb|0GrurCs(~?ayKp}*mjGBu7eWYp4Gq@TL4r81--%c4pQ>?; z2f|ZwEM}i_PI)=L7fEDR`X^S-*Cp{)c>SwsqX)bC?BNT(t(cde*53}Fj<7i9KXs<7 z#w`F(Za8`+nBt0+tj`%bHJzz3*Sr_dTNlTqxq@x{{6MS3RN>1S%Nz?T9i(Q;x5B+m zK4APPH&pSRbF+G+;-Qb|>+`i=b=ziG0+LtKF2lkt)|dDxmpJ-dH}Pe^W#OB4e4M9o z{1YkWCzHt^YXSCK@ANn~IMrce8ADbRRwv=;(N}{t< zKU8VfN#Q!DU0MMhyPiDVdg%-u9nB#-sJ;_=|KkDP`+3w?$PGvnFCY~3Gu+qFw0MmF zErfUz`$Aia$_}`(nD?jW;Sbc!WSOn~Q=I)1W!Bm)-^a$D2(E~USED3J1bBG*+mdjt z{a0@>JJ+m-8<*SP-TImPzOfYFI5=xb3+Ai|lJ;St*Y6@;Ii42pbAw`bAT=x{A)eDu zFn}R`H`O0P6u2Eo1)@LmkaKwre*A{l6KdKMEF<@rU{E%;0h;_{wShvM{vV*F2&=7O$)5;>qk+h2d>BQyn1%)_u>-~4CDf12)K znQ+YiELC{+SFVdohC?c9QTi+FKSTc0Fusx2{LfNp^1t#?w?Ug{|6W(t`f3w@~;Dpl(LlvMtz z=Sw87mNLJ(fmU*K-^(Yp#w6syKhUc_y$aUs`$NE|{Un^#GFgd(WhNXmiuva!u}@#h zYQuNz`1^6B%O4rAeh9Mg^{gG;p!}r6ur(KCceCdSaQB~fFG&kcm=tUvn(Pra3Bz@I zBVK#@wO>)5TEegQi8;A^EV=uy$?z;OCkxn(YfNGs;gFoFjTrJPMk4tDH9ZYoe>AnL z4y+KPK+bVa$Z4iDzCez4;CY0I%|)SN0ppL@gR+;F=&vF2f8+=8x}1%WzuSigFn3Rd z@`UKvqq{&J@BQ8yqQ)dNV@=CeNI83k3gkU%%`fg@uRI^sY8v7B`owx9E|j6?6IR`# zLV>D+xouM2v_Q-f?y_x(RGY)RknM#Ixr_NgkDZLl75-AWbeTkt@t1QYUM?DCOx*yU z2apHnvosrhxv8q9ZC%LxmveT>1 zIreHpBmeA%k-^WW4v~*a_UjGjI}vnpF=Xz)nl)fd>NAYp2r-ibW}OtGzNzJaCUGya z=JU72ToKh53)nzq$$tACJe%rJ;aD+~ZkrTAzc-j<+=0u@8gz{OYRJ<`lPruz8Ji%Um}G}Vfx@KHn>i7J!?`6 z6Hmkyav3fC>XycLAdsi=@(hD+uJ4gxa7^X3n96UdY?tTdk?R<3@pNEaMxi>r@3etS zRYZ->mP{_=cmYfc{n92sDX}mMYb1E&()rW9MQ!=fcp@(+pYN#?6sIay zh!lO{3~ydJh212k1V(8|{8@gFR9fr7D%ij%z@-u=C}{1kEs$3sg=k5fn0IIVyhw~c z;X|rfzFYnPJj8c64y8TO0;%f9-!SE zl`{d@$bqu{B^8E9%_WOvl-Jo?u9bf`@}%4Mr|wcsix>MlEYtZPxpDSX9b(w{EnhV6 zx=zDTi9OV@jT*Zhvt*6nQG@OW?Nz@|i%6b{N278{DYvs&B-?Cp{Xp`A0141>Sb3(=x8BCp&=w%NO6d;28|a4GvGj*GQuiP0k;H;qxzU5uC!*P9Cz^*Ya+-(F z<-q2X`vo`H1T|x0dMJ9l{^;j$Nl^z|ge$-pen{Y&U>rgn4|TvA&s$^n zW|_asMh`GQRp5%m*E``XKraYiR-;!X{qUDKqD}4*o)5i+7o3l2(f<&R#+HWA>b;@E zlRa`sBSt-9OP62%Ouu`E*0*Z@Q#J+oRjsKqLH1--ISwQ4KE;BU-1x^Vfzal@CNb0R z66iw;^f68GfI&7n0+xuGfhUD!8GWizZ;Ts=7F%|RKNv)P#8u(`@QZbO++OZ|)S&}G zniGdJPO9`fp8$XIG9|I>yl;Eeyqc;p@0AKOx}OJ>Gn7J{lC=DpzzR)YMDwzvoHT^M z=v%+=J@Xpxt~8;vT#RK3FUM}Oqm7#fXKBYSG!3*IUGB4%$b@C2X2Kunv0tmF{lGKJ ze|{-5=4WR$Lu~neR?P;UuTQ^2ocD$_f1LhxO`bB0{VI1OL36akijmvEFSsaH3ZcGq zmN{AUXLg4$ZSa+uf@QW8UABgGROIiU7Cef)f$r_e4jzi1*3Hid6}Ws^z+bgXxm1h@ z*~V_t+n*Db^F+kZd`q934Bw^7j|S~hz0G6Q_k0=SA(w4cfkg+#;3Vb1No9HIFs`bY zM|nt;m>Ov@4f_?m>&k#eA;Zde;mj-HfQ><~X_?@aG-*Y|f)VIX=Ge?)#zdZlfLL8L4A{;j*{D(2zYamDMl`obh0LlZXjF zA$?Qnd^MjNx~eAs*1A|mmnxU5%i(xKoRG{)w;N=gYSMi`sFG#)J-%M~V^zwJa9BCq z>#g}^5IfXru8k>BqgO@i(|h01WH(z`V`jI`f7(*&O2&aK1j9-H@fxxkp0JRG0F%-=L;P01JhFuMQf zt1nSbN>Xab@9VFw3hx~cA>7Gv@V(DercigMj;pM`eAzFBFzrt)&~nvB@1Rp1hKrPY z^}PH4BG1jQ$L{3DmKJpQgD;_#|3>v=PA{NCl+f*Qk()T5*@`oY_vtBk) z5gmT8#w2+3f{XakLcrR<;AT52M3p1e1T$k~TgK#z4HaO2Htv zPW@qr@h%~AMekV92&ks1efQ0%l8%yi<#kEbPUCa`- zHu8G7TF(q|?1w+>p||dbi-qb?#Aj8KCoE0-wElb6n+dIxc;PE+CCoeR^Hs211XaoSP1S zC0cCpHMe{;-k+ZNq|I*2LDvJD)Z%O2;Eg&#dZe3Wn6Fl)Fgkn)(%;WE%TU+QPAlGQ zeC&tm*>kq^(K@Io+{sMyX?BTC2QvsZe@jC{m$71;wa1%ITE1st1XrcFg^=1~Y}afG zWd3(_xtuzhOHu@p9XPsrg zqZtIBGZBYgz?L&##2haRua&9r^0ov%DPyf6N&yyqg3p;f(koU6CNrcizkFm#aVM76 z$!x6Xay0mT+yK>3bhAG;Gh|{nAmicP9c&dL45QSY?>Z*AV{4>Qk31NKVQQw*SIgfw zSkD+Uowbi)E<&yR;sdRms~LyL*D4Bw6ZCJQ%!CiWXeSt)X;~WL9Ic>J%)gS(TBIrCAwn|Q6eQewUybGHhW{?5%{004E z#ZTZ><)b_Xk@70~g^I7aRcj=QXVRwhvzMkv3W!>XLtmaQ1lSw_79A!ITCnNS7p+|} z1Dm3lY%xbe`SSnb_5_$!R%$ukwHZ2ulSsiRsN%#-;!!DVnm9p8mzxa(a8l3aFf?h1 zoLAHES`v$^e2&Uh8G3CEQ9f#ABTn%HTa2C_pKJ7GeE&+zsruQ?_~)nc!7T&GEZe+L zT;84^!^m&96JM<@KTG8nd=5Ov2>KS_y5I|Rw6|jKS~khC+_%5h)a3kGjtnulX~O5> zZE;-~PRJH5itBGF!L%& zP&kHcEKJM>X%rDddpxSL7`bK~eRF@f;8x`>FN+MEb8v6S{%Ox9Ea}jaKZ7{9Tu@|( zKRkVWeu%f%oUr87*s{IZC_1mHGoYR@LU$a_15O^Om?vS-zKC^k(v&J-7~&~odgm24^x$Ez)J9Gz;;kw>(N97n zPT{x-Xe8&*@_h{N+=#j zWW>O}3i`7lixak)m)E}PI;CcPWH)8zlt8Pxn@20HgSTEsAQr|~6ysysLU_Hx@3daI z-E-;zAp)!=G#R|Pq(#2sm}S*jdCJ;XZXJgr=*o9Ajx+jd`Wq!BjTM!M4*TRF&knNN z)~HJOa0G z?vA!g!3<4JTPB`loD`g42ETLK0fNO{J*`SdJ#bd}d&RoTu|AEZ3{Ve?cmPtz=Oh3D z`E+amv)0Udn??`BVx�*zD9kDUde|2{2)}X0~EwrJ2bf-LvN$*W6?`(aUAbIc0w2 zR3G5G1^QNP?CJ>NxmKJlNp^0&_*RQA4e$5jPIKlkS#1g6qOjwlaQqre=VwHh4>xQj z6+ix%R^j2Kw-G&CH4rr7g5`qnX*u0hHq1t|#^XI=RG8xLb3b}K*-j_`DxsSuy!njo>g4hy$IdUkWT_4{ zuzAQeu%T`<=vyooJ;`{+NK5RDP3kvqbY5yuF86vhes|S?D)%VU>p6DiBenx1uD)r~ z5S12r6w7oAZ7zAgRZK1YZeY6up=c7u_?VFyg)x}eeB~QwpvG@khHF<-%GJWPpGCQ+ zAM9_txvWUf1-$NJIHUXj(z;l>);#quJeyCm;>pIiy)GA&6U|NW77wHt1QxAAmu-F$ zRtU%>Pv|wxvPMs)O{OiFTY*9hOs;gc0LS^eb~LWNo*Ox}4^9b^g}(JBY_+Du4o>!- zh1$`smJE~`W4Y6L3rHGAQteRa4kq!tN=AuQIX_-+xN^tt_P3@y^^IvEL7K$?<0wwQ z0IGxbFnMa}on>+Yu>s?bnqK^Rl^_c9&O6&K@1x`=4~$u2F&2u(orhx-B*%L#uIEbB z?auX(w+G&X!P@r^-{0gQyg4*e00RVl3Dr?zGM!JgdJGtvyf%XK=odQHBlO(VUnA8L zEyl>F-qh$@8jd^OePqzez8gyE<2&C7-$k7dq3wFu!YmoUK+=2NbbFV*$>G?m3tp>B z@N|6~{|0xJsl-?|K@5)Z=g&66%Yu2P67#o{Rl#c)Rl#UY3Z8bx26|u7M3XU3gs#!} z$_c|LWPJh)T;#&s)n#qH!iQV<_o7NZDN2j^y{Xb~9_npr=9GwWqfE|;O-wZp*zlh; zpGD9P$ngtX@v!!)!-q>z)fka6vr?dVHe0G>ZRdI?egFBX!H_|6!ra9NwXkMx&M6Y} zojG9uKP3sqFS0kDm{Q)J>y`5 zk5Q^i@d33Sd|o=}E7~jr#O%>n37cpHoR4i>87@bp(c(RBO1RoZ#Ppj|8bhZK&3E|; z*AXU129)!&2QJu+m|}z32MC|4=&kbp^J?{;Y;S}IiO~@vz||;twsz7-zIGf!b-t`o z3c?fSAaC%LHwt_iQ=UY~2y_e3SjtkFFS)%+l$1LcZb%!)VNe_x59kv~^+#Ns5{go3 z+lf6Q_-5U|G>+JGn%i`W?lJzYvXv8jmM#8QWxJE~2mOaIiRL*u&&r{^T+U|^H>ZV7 zceV6G;an3R0kKRfKJhenDP_Np@IkSL<)GPL!}#|gcMBDw=JsM5U)X8lV=hfg45-ibO%sIT3U?}nYd_;%)iTL{zfb>sPQ03hTK;*3&gu%QmZi1+Sl zo$>nBG@slxpp&T50FvJL-v4(?`ioqBct43vPs=pz<7gCC#U|9BaQ5;G_^Ddz(6Cn} zMKUiJtF`f{g7)^FflnYm7+oEHHGfRIwmlwFQZWg-OfAvo9Ee_%aqy78oxVMb^NRzO zFn7=i;>8bMY)zl!Dv@=rBKzVHUQePaKfNpI%%+5ZjutCD1*I(8a-#M8J{3-u`%iyK zIhT^!_e563fS(nmCR(WAtV(1A0nY>Hf9r;5Hm=yvC21^+YewWADN!U>>X>!X!ZQp> zuG$tfXasDUG?fTfZw<)uA5W`O1wb#PBrFVFKKncayXRgn9sjh=6`zOjT%g?F?TTjh z#iFJU+N(5&%fF>a1h2ENOdgdGavz>j%jh;yn}^w+`KS_o&D?f7x_xo}TX*QA>g=j5 z$2~awS|dKVn^DUbY{)QB8D+X;&3G+OH(`&7^6a)|o(XZne|4)UB^V_CNq>!KuY)la^tU)dp><31=3$q0Yl9@X+H-YR)~Gafze-?%}yGAZu02 zZ?q=D9GuS2!PW{MbNx7zIBP0&ChL8RiG{6e)|Q4P>`tCr9S%aHErhH2;zfmYK7w2ytKj)yRlA_TEhC*5Y4AEtyJ1WC2(RTFDDk&uMndIK=nWM?m*5g!>whW zU4)6+1QxNDRpRF1Ad`7W*VDTHv6+!1np`CqXnV`+SO{s*M%AA6)UaHZp8K?TAf=#IeAnSx~mZjiF-_zu&?I)F55;FzOFJ zf!z6UNC~np)`A1h5sTR0VctwZKfiuG{>}|od;PWFZDe@hTWHK>Fo&GMKLi%5_4OkO@h$8cvDf|K@y z(u`-5#o!++T_@KJ=%^(eOsI?Lxdq(?IFbCF9p_nC1b+tZ%$+tIIN{ z^9iK;9ekp~5iOT!!LzQISY+-_k-JT*OgMd%v@9CAIVEyVY@6c@nCr<2@X*f=zWFb{Dm`XAkV0Yyno0|X=@npD9Lvr8325=i<)!B9j4sx>~ zBu}Mr(mu*9ETA(jZiAdE(R6dXsCPQ|#Y#T))6Dr$giEq zA_(XW1_eg(Ms7JEKGkN)W4X(Y-+!*?H(tB)Eufqe{qT^kOag#qXA&G1P!lD$12ol+ zzgvg9JAcc+I6V;~ncRbxX7$7sCFJKY-+)E02QJ>cOgU115MqUU69gKCFY;^eEu^Ko zdu!f3)fhA<5aawHaRriX84jh+7e1^emGqVam?F1?yFT9thvt4oRpkB$dITA2;58qI52Y2DGE_?U{R2z#^RVd6 zkFXbs*S6$}1~uGd#M`J5;QhC)0M^GVs0c@CEX}y7VsTBQyB7Uq&{oP!mGD2pY#Zr> z$@K=B^Q=-*omWu>eAlw}Z_(r#C`P9|ZH-BDWE@BxgP~lD=(VO`0<#_)x?WT%9*!!| z@ljw|Z!Evt2*B%n&D zKHxT>FHY*5SUC`zgv$GFM`O-_ z(rwn3hY(LNy)AlhLMnTMO&m!+ufLY8VR9v@-q+tPf}ecc(65#4Cujg1D@}zoANGSNo=GM*4Z}i}a$h~DzpO?^ zVcC{n&Tp{2Yc2ITH84j3g=dg zW|RS=RrZj{;K~O$U#RYY$8mxNB{%-(?4i~;J(ZqN=*LytyGdF7LnQ>qLs2(g0vX?lPB{H+GJyM3|40{IL4HNjyPvFIV#F;xD*99!#Mw|V2l`noighhz z4q7Zhhj!ejK573BO zjewJ)NtbJPFSq!?EhqAI+mw#tN{bqHvbXZ*8x+ohi z&8c@ta4-?RNe)P9eE>MWi+><#xJdeU zY5B*c?Vz!Efx7t~KQ#zo@Nul^_YL3X_wmc6h_a@V;Z;xC06(40ycyn$T`vt~5J+#4 z*TPwUce={?y76^xW0A48iC7$G#Fn z;CNo;jmJ3Ih)2MJC>*N5=+zu;b?1!>aLh>Oz1E)&drEL;Nj2LA$%)9b_#@LH#(kBvnIa>an4qJQ$Dr0rT|S zYvDu&yKu(rOn$J-yny)D9JzH{!hqm@$lwoDfrR~aBh3D;zETh8M%C&R4ZkO9m#rGb-^o5}xvxhjo1u{>lDzhAqH*X|)gT{HlF}$LzqrHIYX^w6S1;;$ zk*|xMsgBOl)i3%QT60?Wqo;r5a^jk53q#2vg5LLPxTh)Yae?WMH%Zd0v5T^;m%7RS zGOdDDdfMTr=85TII>u*?+L5`}c?|R3O{>~DZM$m-dyX}8ww$xoxFry^Ui@53a_24J zjE&^JBJK*+FL1f!T&)oTF3GN&Nc8S}07)-i-XLuuPkyTEYq-%Bi$VG(B>~O(uN9^7 zwVEvz@|N?0IGm0|54$44RjgDAg(6;jd=9Qd;;&Y;^G=cbwNsZeUO4YBxT>jlD<2C* zlg_-d6A?Oa8m(CQ!hyUECX^GQ9*zU6#z(awr5)0iQQn0c%Ci_kc@>vKF_~UhmM4e& zm-!X1Wh4MqJ7p)ss(o<~4z1DT$7%*|3x&r=VwwX=T~|rGf6g3%EOq+=QEruJ6BvF^ zPT}s9Bt@W}HdkhOZ7x?78ZR`{7bFt|NaUxS{a)3ny&@bL zm6Wwr3#Ts}8jV}Ck56Z$k%{)?#}J0woD0iKXM7=ZGnj8;Rh16Cwj8$*2s{#*_4Los2(&JWZKh1B%qQ8XIG)Fu;&~m374B};aH?>ILAg5K z{2RGARmLIB2)A}}_QGvKhZ@YE8rScTEz&F3zC9JVKO+}LvmJwr^6n@@p*Czl42qIq zokKg=tHdxHBnja}*=H_c$^>{L| z6%!JCBG|4w5Nk>vrfE}eFy0s)cOg87Tg_JT?)Rpt0y`s~j6?4}%OMjUJoca`FkU_6 zkP_YBT5WCwwMA*ij%v|;T&Qm|Z!(V>;=L)cld}P|IS->bh6*vDZ0&S_CE-gx_|MT; zQHS2_A|9ZOcs&n1zNiDTiE5CYH(}IHw!k-08NKTUPKa2HPmWLut8t5D@z3@p3B!Mz zih&$asWm{6sMw+qj^6$(K;Q~ebnDaf#M!L3gawSl@$-1(WF&NJvdj`BXFDF@#U_~^ zl$f)9{XWU+BbbWhn6HIhMEE3buw);$^Snh$qY7%ifv3UN7%}Y2VCS!|c&Sb65FN#J zWjhn8r^S>D8g7v&-_I*Oyf0|Hjt(?tveRa1b!{xUy7Sanf+fDT-@Ue^vs)1Pv%H=G zOIo`IP4yxJ9h0m;;ccR+YfE;Q?AzDc1&#CG33;b&BtAy|8CiI>-0(r)eeZp5&L=2$ zge8yo-suVuUW?E=HT(D17m9%p=+2<)a_S_hmz%}J^~~v=*W{qL(u^1EI*!M2l@Zk+ zL~x&$g?DOx?nxuy(8z&)au2ifW>kxlG4^d+HFvLa5OlPHC`dZxXyo2oZ)yGNqKE%}6q3^Z~!B zy;g70pXt>UriDx-dx~2DIKX>9V5=qbq4MKV$mdg}BS^Z1P{dQhmRp%_JVDk~PVB^% z48owz?r8MXoh^`}4vf78v$t1;*y`mP)9By8=*zIlULCdw@n$$f*p+x`l+3q$+Wj!O zkf`<<0;ARx`5JXmpxS1MsCF5uKMu;(LSR-P(W0@!T2AFR>@VYFyb(?J@r5)<61A zHs5rt{M_a)Rb^>{FS4HOyCi(COIO^JN4*-X)~%?3KGF2eT`j19>WyB`ONEHJ>6|U@ zb`33ZN{@gy(Q22&-ca2#qumhBS)kFea8qXCxgVT&=Lh|173fFM6tPbb?J;%07v^58<=lY@0*G_sq6gc{y;^^Cci+9P7Y(v-i?cLxv+*26FM=VK4& zk65j-oeC2599Rp8P$X6fj&pVL{mX?r-CE#k_h!TpQ6dwgx=N1ALnG>uz6|6M_O0q# zngb4jaUo@Bt>BGeCSJU{KP7LW{g=CZ>~Sdwo#pLiMIXalH8l_AJQqIuZccYoXk`SK z;o5Jm{<@pRImv(p5`~q9?Ni5{FeQ)dM9;dwxjU6ss=C*mvyh!5Fd)>nRj(%v^@^#t;r`f)BO$gWvhBQ%xO z!_vofe5XhR7n?JbWO&`q;be;$RX@c* z(+I%VO35Tf9H5!fSikl1PTx)uzdv@g(O>jdgUpep|FYr8xfN0+CTRg<=d5>Z|9I!~ zO@gik@7s0Jx!eO?_){rCsEJ37!L*x7I-j$dM1-IH46S*0abv*bjq;)mQ{ z(DM`6U<#*`i$LTfjW#X*|cH2UZYsExH1{kZh(CCf_X*5sSMXpX!ZsB z#5bQ70X&ZBfxy)$i{(z@lYU$80DO(*NfcwC#aGq-zxLsf{U(Q0~8>JLyO zt3EJMNM2}C^xfoLB29#NvxMg#U}y!rDC(<(8wFSS{^fwhJ(+PrB_~~s*VpRoXM?-B zxOK;{$JgC|rk@l)Q$b6^t+_NqBz~kG4Gb8)DBe|_&MX#GbspdKJCFJ`p>$8iLb1We zA`yF`UD#*>ZCY|a7-^Qnyk66z9w_iHph75hvR(Q+8887Ejpim%kY4To!jZz2F z7vOZiJ%D|AR(+Z|V9bB(fV4u&-A<+W{k|EyQFTn#b0D8~E?%3Vs-9K*$)CO3qyy2A z$W4u9z314IR|-@aFa5k@0yY}mltf8Z*Ppx zJ5u`Z+m+r#CbdNOOgFnT@5x5(bl30*MduoGqm61c5m@I>IbX^ zR!i<%F8IkknRvBMMvHw1nmL?ij}Hxa6-=O3Tu@B|DSXrZ>T!`V)O}oT+J#DXcav?? zQf!}nmE`!`Cui#XS?M)6tC}o)dGt8DJqWQ$7cGjPsM);%c6TA@%@m4jHOMIEo zrXxkP&b1x+9|1n17-EMLw>Rm5{`xEDi0>?qz`IM435a`8mu}^m1Z3ztQk3n!&$D?q zj!A_VFYw(rQ)Dhg4$0@Bj4FPrnYDXjm3uqmb4ns&9hTe4(KRpCfCs5{Ls`L+P+>uBc+utbz+fWVU> zC_bn?6F5#=s*@v;Be62}30w!{RDRy9>)Pqw$?t5Cq5wgWA!Ek>e#N~cFO&PUhF;Gp z#?jnqeT9-QkO<>^)JkhV5$X9WICCHLW;?PdCrEAOc>=-_EN2>PNj+A0~70``u ztkTjLD)H`}?|dd#s6EE;agKI!-O(038Gi9Ve#+Zg3XjHfHr5n1&+V-1;dYfqc7~h_ z_)y{XY?G+Kkg@9qu}#xltLBCGzx=U;1=~Ex`<(&9>2!y_RRsIKPvl;~0XldaRyz8* zxxHsi--ECooq}_7v@e>#F_~|pNllP4wott9HEmNhLEmezrnyo|eEr>gAC;EwSRd^C z?|y9cE=)^7U)n&;x9`(E0Z~V_x6ZXc)Cvg{8 zm2%!__*!B(MjlmKWJ27ka&FCFYlz!a59_O_1*&d=-HH%cLJK%YQ&*8!`LD+&RsyF z>DKH_ni_dCe{iR}d){K;yl!*p;pm3*Hd;tj{o&emqR^G3@J5XKQ8er57vND1Hm(BW zK>uT;1`E_+IBMWK0<*e07rQc*xI(%g_0}%1y#@w|s%TDIX z4Wj;hmU(W581q!U&k?-2vi=8ganG>4CVHmfCqD>$!AN~Y$O`4)k zIzOO3AMn`wmCwM`;-65l@vWLyXT?sAQxkeiu2x(uJI;#mCu<`5bhc zTQFV2`@JT@7HY;rdhK-;wu>Aal4{K@+zliMR^`~V47e+)(Ohz_;rKIq49jH>+r6iH zevFpq|2p)=Zk56`{|i$;M&@e?8{gJz)B3ju-}sI}-)lyuxq>E+DY=nbd=?k*tkW>} z%OMg;;I(B9h&5~CPYzS|GzLWY+L&D|%iUOE%4gwVFJu4Ozj`(7zIoku z{jG`2d`4dF_o>=%CW;hamO+lj@60Zmu_|%_z??(tb8<9U zKy|NzE%meuB>N)SL=63#$$d$=Ps7kbMnKzw;KDVQ%BsT`?Fkj{%SYoC-p_Okjvub% z2c0b(XV-1kKcJb5*X0u7~U+<8c&cpiD7Yk zk6O>?GN3pI7)$PCKH6s$ip^7ii~lM4Bf3jcQ7;#8s}&ULT>B>glOWJJ; zSKk`q0|(**h#DnjMJsaJ9H*V{$0N7DBv7(%owbRT(@ktuF$=O^`W`KxE_PP0?SQs! zsqbssuk?3Mm4Uyh|NK8?lzBW8jvL1v`Hmd>CO=0Sr9(yJ?2EOfMClNU z$TQDZ+WDeCt9YZX%FY2GPzU8plG4KP1REtD;75KY510pN=#lu}7zI=a#EP<#93mIjrwcVjD)lo9}xoT8q@+d0W6&}S8PwVm)O^p)3F?mNNa>E#M~ z;&lbNFgyJFc`4i852x1^X|ng^_;ws0t%647e;WCzZyWD!WPXxoCiMmcmkvvRySrP)YrPwO^HGHL9M=sM&~;9Rpct2(><#@aa7pH=JQ6v*5=HUb^G%~=O)Ig003+U7o}PS#jw%57t1bAQq` z+N92VEV?I!i>cSh@NDOjjo5E=ZkTw|A*=hfot$&uVl>`8*LZj4tX+zR{b3YeiIa#v zog9+itgK^DYes~i$ZATE`UiO@?>+aI?2_Q{b3a0pZUtC+&jXP1=`r$iJzu@uIeogx zJymSLK5xfIRq~jOXhO3|*WU4l`2A23ea`b}*ZvPX2813c6Ud393Z$uC(6$+Xuz8~n z>;QIwB(p&%*T}=GZm_5}s&8k~Rm_GWJ#JzJwNu<)Pm@@HI+9t}{>*C* zVoDJjhd$yyf~y|1`MLIyRS3Nc2<7*{-;umgHSLfbR+|;fCDPV|4>^!%1$EvFs15nN z*X5rNKDw=7p%9%yrb17ZrqD*iGMy-kj;1qhMf1mcH>;Q1n^xNQUN%(cVjqf0PCIA# zzX*DBnY~zhct4F27v#p^`pb}1+24}PnaJ@k--N#W<#1drH7m~=;oJSIe2i6Z(d(3A z3PkY2K1#h)#Mliu`AE$O1b5mV2lGN&j#D3Pn3&YyrG2!R>yhRC7yVfwT48o}bybawfSTjKWu@26Pk(&79>XKNdt~o0TK}fF2 z7AAB$AY0=KMz!W!azwCA*MpmlSat|Pc@2Kz;4*{5=n@^ve-oE#ZxcM1+_5=g*oo_w z_%nf4R9>a*iQvUT3eJPOsbG6$cC`Qx>ZuIsi!SsCDK~PdxW{rp3>er(mty2hbPOI) zQ`zr&(xJCl5u8>umD-AvkfJAzRElMewNqX7@9E6};le)l3{p&wOg7_FJc~6Mz4Ncj zu75mqe0}i-@&l^Y;L9N`yPE`3>Rs^SEiC+u`q{FzYwOI}no3TV4Yss&fEcS`ZplbX zOlMX8h?s1f@dumtQCl@4# zyABr;Z@i?aY1`v&@OqV?AE=?{@K<`ywyS9Pb-WO5 zcU?65bVSi0yv&p_1uur1s!W#WKW#hF z9%X)gim~wYTfEc%K*!f$DtI>xA_;KwG}!Vy`VzPZy|sob(0Ex5(mrLp7uNkA+!-Xe zMea)}o)i&@7D_u(jN8edII>P-ar_{DFx(Qn`2 WcGk!W0B7e>UFxyaqM43gtNd@$&(6*O literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.eda.qmsg b/cpld/db/GR8RAM.eda.qmsg new file mode 100644 index 0000000..cdd2c87 --- /dev/null +++ b/cpld/db/GR8RAM.eda.qmsg @@ -0,0 +1,6 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1677601290645 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1677601290647 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 28 11:21:30 2023 " "Processing started: Tue Feb 28 11:21:30 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1677601290647 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1677601290647 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1677601290647 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1677601291072 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "GR8RAM.vo /Repos2/GR8RAM/cpld2/simulation/questa/ simulation " "Generated file GR8RAM.vo in folder \"/Repos2/GR8RAM/cpld2/simulation/questa/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1677601291254 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13024 " "Peak virtual memory: 13024 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601291299 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:31 2023 " "Processing ended: Tue Feb 28 11:21:31 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601291299 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601291299 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601291299 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1677601291299 ""} diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg new file mode 100644 index 0000000..efe5afc --- /dev/null +++ b/cpld/db/GR8RAM.fit.qmsg @@ -0,0 +1,44 @@ +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1677601279685 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1677601279686 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1677601279701 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1677601279826 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1677601279827 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1677601280022 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1677601280063 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1677601280624 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1677601280624 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "1 80 " "No exact pin location assignment(s) for 1 pins of 80 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1677601280753 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1677601280874 ""} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1677601280923 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1677601280925 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1677601280925 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1677601280945 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1677601280946 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1677601280959 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280992 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280992 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280992 ""} } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280992 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/22.1std/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/22.1std/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/intelfpga_lite/22.1std/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/22.1std/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 9 -1 0 } } { "temporary_test_loc" "" { Generic "//mac/iCloud/Repos2/GR8RAM/cpld2/" { { 0 { 0 ""} 0 418 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1677601280992 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 94 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1677601280993 ""} } { { "gr8ram.v" "" { Text "//mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1677601280993 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1677601280994 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1677601281004 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1677601281114 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1677601281203 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1677601281204 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1677601281205 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1677601281205 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 3.3V 1 0 0 " "Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 1 input, 0 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1677601281234 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1677601281234 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1677601281234 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 38 0 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1677601281236 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 41 1 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used -- 1 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1677601281236 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1677601281236 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1677601281236 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601281325 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1677601281341 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1677601281559 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601281888 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1677601281913 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1677601282469 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601282469 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1677601282537 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "30 " "Router estimated average interconnect usage is 30% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "30 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "//mac/iCloud/Repos2/GR8RAM/cpld2/" { { 1 { 0 "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1677601282887 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1677601282887 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1677601282982 ""} { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_TIMING" "" "Optimizations that may affect the design's timing were skipped" { } { } 0 170200 "Optimizations that may affect the design's timing were skipped" 0 0 "Design Software" 0 -1 1677601282982 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1677601282982 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601282986 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1677601283019 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1677601283056 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1677601283164 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "/Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file /Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1677601283280 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13746 " "Peak virtual memory: 13746 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:23 2023 " "Processing ended: Tue Feb 28 11:21:23 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601283368 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1677601283368 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info new file mode 100644 index 0000000..554e4da --- /dev/null +++ b/cpld/db/GR8RAM.hier_info @@ -0,0 +1,220 @@ +|GR8RAM +C25M => SA[0]~reg0.CLK +C25M => SA[1]~reg0.CLK +C25M => SA[2]~reg0.CLK +C25M => SA[3]~reg0.CLK +C25M => SA[4]~reg0.CLK +C25M => SA[5]~reg0.CLK +C25M => SA[6]~reg0.CLK +C25M => SA[7]~reg0.CLK +C25M => SA[8]~reg0.CLK +C25M => SA[9]~reg0.CLK +C25M => SA[10]~reg0.CLK +C25M => SA[11]~reg0.CLK +C25M => SA[12]~reg0.CLK +C25M => SBA[0]~reg0.CLK +C25M => SBA[1]~reg0.CLK +C25M => DQMH~reg0.CLK +C25M => DQML~reg0.CLK +C25M => SDOE.CLK +C25M => nSWE~reg0.CLK +C25M => nCAS~reg0.CLK +C25M => nRAS~reg0.CLK +C25M => nRCS~reg0.CLK +C25M => RCKE~reg0.CLK +C25M => WRD[0].CLK +C25M => WRD[1].CLK +C25M => WRD[2].CLK +C25M => WRD[3].CLK +C25M => WRD[4].CLK +C25M => WRD[5].CLK +C25M => WRD[6].CLK +C25M => WRD[7].CLK +C25M => MOSIout.CLK +C25M => FCKOE.CLK +C25M => MOSIOE.CLK +C25M => FCS.CLK +C25M => FCKout.CLK +C25M => Bank.CLK +C25M => AddrIncH.CLK +C25M => AddrIncM.CLK +C25M => AddrIncL.CLK +C25M => Addr[0].CLK +C25M => Addr[1].CLK +C25M => Addr[2].CLK +C25M => Addr[3].CLK +C25M => Addr[4].CLK +C25M => Addr[5].CLK +C25M => Addr[6].CLK +C25M => Addr[7].CLK +C25M => Addr[8].CLK +C25M => Addr[9].CLK +C25M => Addr[10].CLK +C25M => Addr[11].CLK +C25M => Addr[12].CLK +C25M => Addr[13].CLK +C25M => Addr[14].CLK +C25M => Addr[15].CLK +C25M => Addr[16].CLK +C25M => Addr[17].CLK +C25M => Addr[18].CLK +C25M => Addr[19].CLK +C25M => Addr[20].CLK +C25M => Addr[21].CLK +C25M => Addr[22].CLK +C25M => Addr[23].CLK +C25M => IOROMEN.CLK +C25M => nIOSTRBr.CLK +C25M => REGEN.CLK +C25M => nRESout~reg0.CLK +C25M => LS[0].CLK +C25M => LS[1].CLK +C25M => LS[2].CLK +C25M => LS[3].CLK +C25M => LS[4].CLK +C25M => LS[5].CLK +C25M => LS[6].CLK +C25M => LS[7].CLK +C25M => LS[8].CLK +C25M => LS[9].CLK +C25M => LS[10].CLK +C25M => LS[11].CLK +C25M => LS[12].CLK +C25M => LS[13].CLK +C25M => PS[0].CLK +C25M => PS[1].CLK +C25M => PS[2].CLK +C25M => PS[3].CLK +C25M => SetFWr[0].CLK +C25M => SetFWr[1].CLK +C25M => SetFWLoaded.CLK +C25M => nRESr.CLK +C25M => nRESf[0].CLK +C25M => nRESf[1].CLK +C25M => nRESf[2].CLK +C25M => nRESf[3].CLK +C25M => PHI0r2.CLK +C25M => PHI0r1.CLK +C25M => IS~7.DATAIN +C25M => RDD[0].CLK +C25M => RDD[1].CLK +C25M => RDD[2].CLK +C25M => RDD[3].CLK +C25M => RDD[4].CLK +C25M => RDD[5].CLK +C25M => RDD[6].CLK +C25M => RDD[7].CLK +PHI0 => comb.IN1 +PHI0 => nWEr.CLK +PHI0 => RAr[0].CLK +PHI0 => RAr[1].CLK +PHI0 => RAr[2].CLK +PHI0 => RAr[3].CLK +PHI0 => RAr[4].CLK +PHI0 => RAr[5].CLK +PHI0 => RAr[6].CLK +PHI0 => RAr[7].CLK +PHI0 => RAr[8].CLK +PHI0 => RAr[9].CLK +PHI0 => RAr[10].CLK +PHI0 => RAr[11].CLK +PHI0 => CXXXr.CLK +PHI0 => PHI0r1.DATAIN +nRES => nRESf[0].DATAIN +nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE +SetFW[0] => SetFWr[0].DATAIN +SetFW[1] => SetFWr[1].DATAIN +INTin => INTout.DATAIN +INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE +DMAin => DMAout.DATAIN +DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE +nNMIout <= +nIRQout <= +nRDYout <= +nINHout <= +RWout <= +nDMAout <= +RA[0] => RAr[0].DATAIN +RA[0] => Equal16.IN10 +RA[1] => RAr[1].DATAIN +RA[1] => Equal16.IN9 +RA[2] => RAr[2].DATAIN +RA[2] => Equal16.IN8 +RA[3] => RAr[3].DATAIN +RA[3] => Equal16.IN7 +RA[4] => RAr[4].DATAIN +RA[4] => Equal16.IN6 +RA[5] => RAr[5].DATAIN +RA[5] => Equal16.IN5 +RA[6] => RAr[6].DATAIN +RA[6] => Equal16.IN4 +RA[7] => RAr[7].DATAIN +RA[7] => Equal16.IN3 +RA[8] => RAr[8].DATAIN +RA[8] => Equal16.IN2 +RA[9] => RAr[9].DATAIN +RA[9] => Equal16.IN1 +RA[10] => RAr[10].DATAIN +RA[10] => Equal16.IN0 +RA[11] => RAr[11].DATAIN +RA[12] => Equal8.IN1 +RA[13] => Equal8.IN0 +RA[14] => Equal8.IN3 +RA[15] => Equal8.IN2 +nWE => comb.IN1 +nWE => nWEr.DATAIN +RD[0] <> RD[0] +RD[1] <> RD[1] +RD[2] <> RD[2] +RD[3] <> RD[3] +RD[4] <> RD[4] +RD[5] <> RD[5] +RD[6] <> RD[6] +RD[7] <> RD[7] +RAdir <= +RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE +nIOSEL => comb.IN0 +nIOSEL => always7.IN1 +nDEVSEL => comb.IN1 +nDEVSEL => RAMSEL.IN1 +nDEVSEL => comb.IN1 +nDEVSEL => RAMRegSEL.IN1 +nIOSTRB => nIOSTRBr.DATAIN +nIOSTRB => comb.IN1 +nIOSTRB => comb.IN1 +SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[1] <= SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[2] <= SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[3] <= SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[4] <= SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[5] <= SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[6] <= SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[7] <= SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[8] <= SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[9] <= SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[10] <= SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[11] <= SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE +SA[12] <= SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE +nSWE <= nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE +DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE +DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE +RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE +SD[0] <> SD[0] +SD[1] <> SD[1] +SD[2] <> SD[2] +SD[3] <> SD[3] +SD[4] <> SD[4] +SD[5] <> SD[5] +SD[6] <> SD[6] +SD[7] <> SD[7] +nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE +FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE +MISO => WRD.DATAB +MOSI <> MOSI + + diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif new file mode 100644 index 0000000000000000000000000000000000000000..078099c5cec49e756cb6549a347d4fd4b74c1fb5 GIT binary patch literal 427 zcmV;c0aX614*>uG0001ZoNZCTZlf>|y<6IUu+&TU5Nezd!I7mTK_WN{gsbJkkXRzn zHBO|e-G5&L*|t(5jBLO6#xwKg_gN@??(t5^6QBZAFS`~~YT3Q6EP{WXWm93#cCbyJ zsPjY#r8o<4EH&V;lG@0T<05-Gw<%())z_bl%bZpPAGR1S&`?CKUy){8ZWP64+6kJ? zaHdT;=J6LL)EnLjeeCgUHZWmZ-NmPfV)GndX$jv$ZixpBt(N)?ty4Gkx8~mKPKg7c z>g8j?tVi + +Hierarchy +Input +Constant Input +Unused Input +Floating Input +Output +Constant Output +Unused Output +Floating Output +Bidir +Constant Bidir +Unused Bidir +Input only Bidir +Output only Bidir + + diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb new file mode 100644 index 0000000000000000000000000000000000000000..d6f342bfc2d0020287356556f43ce9b7dcc403f6 GIT binary patch literal 408 zcmWe(U|?9w%?KnJ81jJ797ugBZe~2BqhM&4ds$pkft_bNqd|4;k>h)zfO4@9zIs zm(RuVLbtub4I^e{+1Roh>#vu{DnB~w@cYvDN!L>ni$q#2J<7g{Uirp1aiZY_-9{O! zg!_G^_6ohnM6!2otC!34mvi2uTC`2XVsXE~{PlLh#*N&jvd^EpE#SFgBoKXceM^17 z^{VAJLuyws8w4%=x%0#JKK1Kcjy_q{y5&*E>X#w*KeH5lt6kay10H2Z9bKI%x_fe8 zqN?jrNlY{ht^!CnQdC=m`*$5qk3Sxg38eFEGgd{|5kKOrRqG literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.lpc.txt b/cpld/db/GR8RAM.lpc.txt new file mode 100644 index 0000000..a463804 --- /dev/null +++ b/cpld/db/GR8RAM.lpc.txt @@ -0,0 +1,5 @@ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb new file mode 100644 index 0000000000000000000000000000000000000000..174f70214bc94e76ee589e794f5eb8773c2a8f88 GIT binary patch literal 23492 zcmeFYWmh9j+ci84?(XjH?(XgmgF6fk!5Q3PaMyvs-GjTk%i!(=mzVQ;e#HCbURg&5+b)Ut4KwQ+JJVPj)rb#*gmVj&T8x3M=T z;br9{VP#=v<78%IVPhjv7bj7$akC(iG`Dg4x?PY6;(xVR>;CWXP3Zq!{_kQy|NoVF zft>@96EN5Pwt259D9CZ;WyrXcY6UvvR~MM-65)rF;ZAPuBSOvZLnurOFvuu|xK_!S zY_ThTF2d~5$w?Y$h%Kt&+nacsqhFZEn%ZLf+~AE5L=$Qa*{KZVbu$@HNm z&Y(AJD?dJi1Y2I;ULGcZ2zN|Joy(7Ic^{yw>Bq;T?8|jm@5O&Eu7(Vpciv$Wp@%X_tTYP71&EX9fI&=6=6EY}bhUENE7?HOr%qp?dr-!MK;; zJmSnEDA5GH`C6odX_gY>p9!b5dF6Sy-U?dlj++n*>d~MolW&(o7R!u=ERx7 zS!VjaUZS03HRfI#cH2^Hp0aDzR1@Fwsio18-tqv&a@2oNobJw%Cdaq0O5Oj1a^LYS zw^LEIY5fNsd^(Vmgz+Mco8w#3Og+C=srbcmVRr3zm-?@%x2Kj>uVR8w`N zY&GV8P*#8MM7zzWXO895|DcYC?$z#!3jgu^g5Hcr8=MVIm;T4|3(8*OT4iUN^dHYQ ztr%mYeLZe}p~?Sv8dPL?=low6|8ErkZ=(KREdKu?H{bKBXCBPtt{=;ZOD{@Ba$o#? z?YTU0+40WWQs1fD7{g+y{{|eso9~$=Kj|?o_?R=4@DP+R^j3{OU)tV(+_@bL(rn{O+il;{{taS`mtiTIe+fv&>#->?wyh3d9(@aX`C&cOpy5GK~hLWSaHxO3&SHwJLTVDi8F#z(W>INq( zxiofN*N*PjE9!c+;&t4VD;W6RA!xycf?)!pOdd|+ycLn+R+f?Ta*v&S0F;ta;m||T zMr!rPqVUD2(ogCTZ*TL_*LgOm?*PA76U83^@ekeCgfICKYnDe_@D+WMwxdoLlK zp5E-+-F%N#D)9ID>N38%41lL(`*i$=&?*92;ho7t4y8#eJ>0tEyow%cJ;LF@0WMRL zW@`#o6}C6Xzvh8E-I{d-7e1^r;&q+hi0r<353+&){ z`9&X}YOLToBfPCg11pyBk%A;cWc{{i!#aral9zH zhuqqWTR&DpOteIJ`n%@(wcN$gVGoYchA2f%` zsBajhz-q)Yl;JYuHOswFcfCg7%2Pp2oAtyIB{!>meh`ZIz{6hdd#k$$c#4{J&roHw zZauQt-xZdZ>FH!`4C|-qh_G11kQf|9W-b(qr8?pk)B@H#>=FupR#Wg4qk=jUXVn^P z4+8##cchHnK`7^0Ed0Mm(o)^G2WqHn2Rp8gn>bwRY0 zGQamR1q<83x^XdPW&P%W9sB&o8IHp=lpQQrR9)!wtb!cdo#{OLgYGUsznNp54+(fOTxeBY}#!gwd{*eLUH!Mvr zyc#Su+IZ#4nuL*ZYK`C%&EZ_8L%=-W%_1t&y_t404)T{7+62 zyRBW&Av?*=FEBFtOk%{eDO!;@9_sd5oW`=d7aF)}YJE$ZLl+P`w#w;c0x@D;Rl!4+ zPYifDx?9WijfLX|?k_wdqK%gz?S8$4Nlf%d!#Av0vm#>@T=C>}Zdd0>8~pIze0gym zY;KXjh?^L||I%}Fo^4$$SYo0OrfGFs@KXgAb? z6$q6!fXTj9v%HQliHuQfXpjpYm>6qh#h{j&mJlMxw)6;=uUMww1& zoTzt}tCXt4bC`ii!G`Dj$DD%=&j@p}4s{qRK-9ST(hl+1;ljr62V3nKOGkC`k)>S& zeM5=EL^^0l=N%H(8g9+(Jf*>I9Ix@a=}G0#?Ak&fnt0Dk%z#)T4^*S=Mp{Vsg+f)U z5|XpAX@-d(ZS8wQe?v?Q9Z6S9^DZ04amNsZ^I+bH*eA~l65e?9aP}zZXj+efiA9{k z#;8iX>M5AuK2)NLg_j7gazK>camJsllOF<&c&}x4!4&TTTnutRAdyAuE)ur-BoM;< zkCuJYpp*aLA1QXjnJtYb)g%F=a7zSSmGRnyupZQ1tT3*W%8-*pIzQbNj zsJwldh->b4iDDM?Aucu+@{%?m!paE>_BPe_yEmCQ)LEb zF$dqkAasegAX6pOjE}R)Hl7KkTe{Wsz*V@u=Zwxpx7YLcWvr@Ky79`I$DzMfSAkG; z8O(JXU96c-20i^VJ`OXm99=}gso?B%gXHj;SN+V|keK;)T~Wu?2v z5_<#o+6b;POP0I=xAR{c8&<3Ii8>Ez$dN9MPY@w+5hdz^vy=au5CCSTPR^kLRvx6v zTq+k+-^8abvfS)A#y8FZP4d{e1peI^Ti{#(T&%WdgGriCr_|0Mn*IjJfv) z@x_pgXJ~l_DuoDa?C&hz!&^5;e2V)x%W(QZbuUninFV+N6)&iSr@^n}@=HzEefmnjlCVXqn|LH8g||OYAAblU|CoQLx6Z7}#;K_Jqh@9>&6)iQGG3Op z7QTrGSWEPRJulO_L1|46!Hplno+%pWZ)?#XjvZ{j7TdoG85_{A9VWaGcKp3*Vovo5 z8K^%l^`jq`|0m35Zjj!}hexnHoF8j7?}s44I55cecjVRAI!c+5>0FU(NJr7xVjXAM zl?b5kZRfeW_~+Myo%2lwTu$gPBw2eilC!AyYe3N|M;!dX4?`fUlx9ftR@dk2IO^^$ ztN@5J&*!72!fe<<1}7G9hm4i!9u^TE;)9I#y<#Mx1{SGF2BRZ_JrRAcurOq zufiTGO+s}uoiTNiDMFt7_!%l{hZ@#^H;>S%zQg!gt;xbnpcd*|iS-B0p(8>^3n6oo zX`EgiZ+T|QK?$}N5-N5?omcJFkU)sN(l)hNb8DzOr0@l>9Sb{w(HzJ-?LNq&d@m4f z27mVI&|stEbh~$G9J$+PsXCtAyt-g*R`+?ImnuC-aGS~|wR^WlEdelLV-ar!u;yx$ z3@`NAHcSDKVXq>$(mvqg4(_73yV}A-MrUX}jFJ9%>S!}85w3Qp>TRnv` zRt60*@gFyj+4_S2NE-$Pvoiavol5=-l`9*PLO^gOHeGhyW|Ass+Q|FBVvFWtk>7Y# z-kn{9~o20A>gwz)q>-8(t4t*u#Bsry5QRT%YR$)AlX@W{dUMc7R@*{?*LxlQ3H=v4MO!lqMx}J8g`$9^?I3-X9~aKc zPfriga0aFs40wm8Mi^(-w)e?3ni-NpcotD!6IA=G6g)xDf&;O)J53q8LZW5%`1RjC^=4EfQ~ znaC2xhAzNYa1!Rdt=Sb5&gfKv20KEB?Cd~&TH6kHGPaO$S>0G424UXj8Ca+sLq(SV z(!_IicT;qjg+SCLK_qoo&~PMh6fD9V9!`wFlqDybsv3Fjh3KxNPFve?6sHmpt(tUftF^9;A`{Si-$M731r-}UL)sqlaxhdvmmGl^zVfzJ z9jFuI4UwYafT3%8HzLoRF8-;xCJcR`JfA*X?tnsqFu8K_A(wBLgZn3Je>BOdoI( zawWhsxnKWYAz;9^h@PSnizr|DeMnbrI->8h7vpOg>}cMj^PNx7HO16O;%{nZfK`6p zBCps=9zm@n+!Cke?^?jXWUSx-N8?lKL<|}$`xt4dp@h3-gswPd-8ni} ztfQl^JMZeeJ~SDbP#O}v2bQo2wRh6!SojyC6RZ0WWzWP9Bq+%QrqE;;B;46g;Yfzay+R^z9RuQq)_>V8YvphXxM5dBWK0udII#G4FU_qmx?-UX zl&q-(DG7c-7|sto{nt zmC@zYP2a~I?&>Kt1y%R>Kbi6l)*23AufKHg^jTQutUEz{ueB#BRAXAyE=CWu!6{*o zPv)TEj9PxQt!~iC85PZgzw)@-SCLDY_pKZ7HFdu4PXCYOdF#VhgP<5Qvbfl0zBw(y zozgHl^AVc*f%4KS!5w1Z*}oQ}Q>699lePnY^z=apy7lVTZ&pnVbz`fklt!TQCx|C@ z`5J(~6wDM=y?OfSNfoQD^Gzb;Q0Vi9X@49vh;Vmz7!&(b5(9f^&a}TGS4tW)bZM>o zBN=L;mEZ1JP&=vKK1WNxX242+I5>!8_Lg2vQwG6546J`ptZ$+36AOOHhc&Srb7sm= z^vD;@p$iVh7IG<*&yZzpFuseAvfU8hX)DH~NNvdiw>isaN*E!J_UouGwIQLTf zX34BF=p002`jy69dAf8x(N;6;k$q^c>lygEoD2?n+q?(F(J+05_#`Q$!~t}V&aDDM z96S@=iF%jcPkXNa2FkQYOCCN$^+Vj$K5|6Ohg)e56Bhu5^msRL0%~82X7~Mzx|@3RULnEFndHH|2n_r< z+Lg9LY$;$QKsX`?8LyT_qSC5T-Yz=&=eyNGJ`Yob+iovc4FJ$k{y1b;%tYeb=>ysy zqhpGRC1veveLC7D91e|SlMtYYiBkaMoY=!Vj^-=PUDO)yrh09M9FQElebIT>$;7A< zPt8xV;T&->%PRa8zKC5xkzZGt&SpQrgFzPlp2k(X*3gNDap5+S6hLwGaiKC+o5VZ7 zHc%POgVizPt$TZLY`o^xfBad3_>}^++ip2SQ?zo#MdO5qlHBl{*iup%#rO--sG&Mj zxxi{psgl>hblckH$MNv1$)uMxr{|jvxt;&!WH(7jU#W`yO3{3zOn0~5=YAyUpaa`1 zS;~kZ(NH^-I>Z-X_wq97aT;_Nj*oEz`aZc5HRaCCZX904Nla4a#rv}O<0M^&>#P8m zPrv}x_ONrWk8he}y43zc3LAGB{A$iBHJKo@Xmr!y=9(u3|F@+2hX7<(ybt8xKP1lv zB~FRVfz`oXdx9g(5OsH=MRW4}wC1~apm!wPTXdJ1cnIpjR9DYMu7LEiR?DC722V#F z5z!XLOnrsv!gS-~<-8N<**Kv*NW0GJ(=R+s534^GvB%!ykUnL62!6migMDAZiLSB` z;2Z)OjJL&IUA>!Q>VxO`QRkgE=`u0mRS7b|1psN5fr3%dCR&>#pTp2{j1kdJ0WJ+E z)`?|-^xq|aHOU+(0?1*&D>MX?e91hk|KQ)xOhZpQrK3= zjf9^i-E_Q%ks+i%fPY!boTlSWZPnrB5x~v_DLT`i;=48KDG9o`UrOHOba0WWT)J3I zV04J<95(&nWElE3+cFUF4E3cc7;G4+Vg#Lc1|f7&=j)Iiy3pAvgwU(n1Ku)>+?nSD zPteQJLFcu$tEq%WgPkHj%T!sP5fSxx)%=jyqw&7q{Y8Z_`jNvz@ndHZ_H_zF&u)f@ zUyCScpf3KGI9lXUpQ#e|n^9ZJ=k+;stagC0AgG3X785lg|AJoVH?c4YvLTXa5M}c& z7kmd9ShV6=e!xVYep%4atpy~`-`2oRdwB8wY9dm+GK?M?hB|&0^n(J_n)3Y6a0`v$ zfTGj*iUeI8JP;0R3b0GuK3@$EaU26V766QxP4_YUi&9s2Ug2opS*K^|E)71!3TL<% ze8#sBBb@U4(@5qALp=MSFJeWjsJ8U4J4lx=_p-s9tTSq$j+DsbGyj!4l>F0G5_me`!iw9Tkg>*h&kdz_ahB>zZ@Y6r!mf4gvOm|UIltJE zYK=l12DW;ysxE$rAVfE<yU7A(njT0~5w^>7}PpO=P%{Q@|ZB_W4TZi{d^5zA#6lX#5QVe)E7W!_p zdPmSI*oOD&jW50P4KH~a73dWu%hIA{D6d3`TI^=-x+}Ol20!%}CUK26Yoe8Bd(9fS zrgSwhJvTn|!#|4$QI&bNTQt#q*lz`;1A`SOme`j{+;uA7!8d2>dXZ4HzfuD$wS4x& z>-pBWs?Wvo-4j8BpZ(#A83hzm72SV15#?jK86wtZ-btV(2Yg6mgJZ2{6inYBCz6 zi850<8Y|36zu#A0yo8+C9hR5_{s|IAq3b8)EO54a~z+8joI6Sq{s!xZf(b3-3Gx9 z2IPmoh7x2N2Sd1{yFSHf49IR)GpJJu!(?dfuaDG4c6DZKdl+621|)`4Fl!J~)7__$ zqJIH|dC122z&3^Qyy81usk|ZtjE}M9YHJ5StiBTr490&_bBiCk*3e!qRw)z0#&vM8 zh5S$W@{>a8t*_sL@J#T(at|G#R!_gJyfg+7#~54e*m*}uk-mD+DulXx*0pdymrhssAWFHd&yrZsXE$dzG+jzys|QGe?H9ErEEgL=e6QDHgSZ@sD0S6 z4Yg&l8G%KZa987<>R@8MvbeQ2KlPu%y-SRPc&}p54em1rIg>UVSz2@6{RED4PfF1#)+B{5+<{{1sI>l z^Es%xuJ>~sB>1D$vsF&@YN$8d*1u5S2EJrj!0mZG=b$hw)VPziVT$D!04rg8$&v`K ztBeDu{Ns|P2?18zgw%D|N*J2TLZS1M&{07Eyun&3n1`l*&-MHuT_xwq08B!c`tAIQ zU#}`HWODHV-QU2nyCI8C)ggXd&OJ}3E)M&JYHTc7yE2x^-yX_gIKZ;6+!PMhj1W{a zFl_Nqn!Isqu#;ljG(zDaYNA77%K%Np^z%D4QKdI4>adtX7I8r^RGFWU!sNgJ@nY=AvV zA`X@;D2n&7%1D7hKi7p}a)h1#vQ? z-e0gkuZfO3n*Hwmn1N2q(84cs@%~TXSN=QCkN)>1N86yopS$A3z9-^*{U^x&PbJvT z`XkCNCdTs4VLe@^$EZHZKx!?iGgYpTN8m>9qLc~H`P`4wiu~&&mRWO*I%p0b&wH1Y zIABzl>_D~p3=H={VC+)BCxFUfBK&C8@MXS)KzKc1GFu0f%iS^jMjx7FyjDHI6tLqb{HO1;0GTg^=mj}~u_XwoHH_1?KNL_M@AP03 zt@!(8@z>(EmeFL2{bw4JN!KN3{E_rvLo0Q)%ubs+cYKSjKq>#-M%sRx8lbxB=529G z;Mt-6Pww!bE1yE3tvv)&|rg%WMkN;8LkA|A>^2@H9kPaT9H*Xd<3!kd&aQM5GE0e%5WKMi$_l$)C zLlXgF_rqtGy=Ou|l9_vM&5{VWpmE9q`{12^4#*&P-&=QW8MF_1=m@~d=ejoEs<|^* zT74Ln6;Kz*JDXs?D8iV&6ap;hPA|JH-DxKYWxmgCY?%Lq*`DoG)z|Fy1U`A{k8$q{ zqqm87*g_l|Mn>gG=&>jvE3U@$#m#;vC4-fE8PP2O*l#ok7ggs@xjS1MGD zLt0D__=^i-tMRUI#(MWR5)8OqwtHVr339}8?q4YiIZ{fgO{doGHqsYP`zZ3y9qQPJ zpN8MH(Vl5|*LQfQ5%o5Dsf@A@-xZedpD(~mPF|DESN%oY{K-UYJNV;eY zXyk<#g<&{4#*F{ibAg-KoU)XFbkN(^IQoAVm}CPq`!UI-k)7n>a0})0+j;+4vRaQd1U3Vz11t(eV@5k zyEz_}xV(#ZWaS9)=`5_!r#kx@x3T;F-*;?|cP(jHdPDF02X?JBi?DFG&C?ORQ?)D* zgs7A6jW6J9fT}or9DU2|B}_p%udPM4ccVrjt_+R2{xqI zmG)AM%YpIqE_W+9QlaWCi2S0<=N(-S5a82-}Ix|o!T-?=`Vj_S-H#FwyntgXBM*W81ZTbd%Ry{g(!wg+a-E)?%;=6I=) zA3{Cn{Y}o;z{ppmRxvrNFX85m@a9~#4w`a(wrAe={yX(69z?_La{|5z=kJf~Vs1vg z3bLR*u571Go1BU^)u@i%Bi}q4v@fAIZ4)W0>LOXwdlgJ$c9I=xrLOz| z+l)b}eUB&bJnWCOMDRkyYVW_@PLY9kZ;WR~i#rk`)g~T4hiEV>f70Qq0|d zqLMsvSX3hqU>AFk%bV{h#CoMcNTG&1sn~ym@R%k81?n6iH zG%6(i12|z@=q7d3Mpd69@M1dmKn}aVOzCh$j9*YccZ~4-N0MOy+~k0M#%am`8M0?q zM!C$95@bMh)A;np{2O3&d|q^LJ6(=<`#Tt@+lxez@F|AoP1IwA0J%~=c~LC6jE?1J zJV&;L8F9n052>{fQNmbnT_1Kt%v~(rCYXfe^jENjm-fAUN&q22hsdu*g)LSNlZOv; z^FAZ~LyZO`%{CV~4dhJzXe^)>;FfB}pC(o~^%fOSqy`X|^rI?w1dp6K9eXQz8AaZQ zL86c*dxy9+mLpU4UF(p=$_rQ#AzFVt z`xrYfUJiA2T8@jbtH{@%yHi0dc@hjKlB*zFM=T*$EOaC9Lq8Fc^Q7=ILo8XQR$U|V zo+=hrD3quw;+jRE{77YqpjF0by71z_$D8(~ROMS&R<=Vs)iUT+BtFR62T@Im~oOdH=ELdqX4{feJlDI807 znKf5xK5IT%XsoE$$Ye4rjB-acos&Zz@_4qiP#o|@0bKS3K*WxHXFhn#oFuR(t#DZM zOEx#2qh*)}jnrd9oOpk=IYb?c^a?}b{7Y8_ELZh?P=?34yT5)qEg(8wy1W-=ik>N4 z&d*jwTqW{KA6P!oDYQ*_2y<&EVv;gM#fTU2d0Y8KePx$fwL~6FpHGXQOkbR!s|rUp!4)ijqc`^gMJ z+pOC@)XlH5LzcU-s>RV z=w0J$RT58LCd-W}rEQU9uY4wy`>Q+O@Bs;iL9)C(p@kosW}tPw3y04PqUbw^190`{ zOWR;@%}t@dUcl{*V%h^OF}Tj~CVVP4J{r#IivfUilkS*wl zRMk;Vg-eyMup{!RM)cn-v2;w2tLr^Q`IwO|EflA~bR-~CenFwdl1Q{xTfhmTB!*3a za&YkgI=S=h$1%>qIw#W(=LtRsfH47wQp3YN{>TF;V^$>ftfZ=!{Xnyq&OP?Q{8=D5 zjX^mlZ}f1Y`q146-Xy)6bF`~Aw0%?XDdiBxI$R{;S5Y@wS#a5TK% z)26ZwZG|$I+b;P%cVF*%gKW%)bBsgoccB5%7CejKd@{XcTQm9rxa4MkrkhJy9y6dC zby_pWOGruLwsf#y6us*(-Xr?*%xIqGWXHl?F1!FYlu(@?0rbbsNWO*sc-3D!?OvO} zEe6y`|MFKtL*>RHT2&|SPv_rxDb=eTt>$Oh_`Gx6>Bf86I_@ZdQ@wn2GbPQW_Iq;W zM0IFEx>W$;U}27nltQoM@oF~WSp_`Jh1|n9)bwSt^uQ14);X_P?xUjj+r!JRtr?fu zNue1kyW)?0M}L4vOBw%xhYjj_j3dyPVn4zX<3RNUUpU^fh`u!`HV^MSUci34fOOBn zPdFt4F1cDJ`#)YA>lsH1R=E`*(^A9^s-bSw64YWEicb6Zx6ZYXXL%a4kGfu~_aA#=aX zo;vzHv4z2JtB-`A-lVsI?43)X%N)OMIX-A^{qk@W z;6I=p{pPr#GpiK_O{^4hT^vJAOIe3c`rAY39*G;CqR8Xt`d^anDnKhcL$9ufnVaUE zvDekBk(Y9N^4Z59HLWxiO=21rC!2Z4S+5__iNSbbhiu*)K93Rz|cIcUr0EIdvLC z4UX!=NLc6=@p%0)YP}S<5dE2zn18J$N{3(XFnv1bHEnTjbjt&b&6m6wOl$lW*=lv4 z-FDS?MQc)3;y6bvSj#c=WkjG1>r&u@nLwQ5nd0LNf4f6PBCimqy!U1%J(>_?2>bi$ z?vABJk2!xkX)-ZG9r49aH+HKF7&$7py$MkV`Vt|hZ1Qxup%%RJa49B*bZq*IN zGF5&mf`q^dIRB4tC~9uvatpTMgSf5KbjbbK0}f9Aqz+C#&tCcNg7I@{qr`81gAbwv z)_S!UI5^FG6LF&vtgt_b5iHs>RL%sCV9b;w<5qU~-iX_Cb0T_Drx^eKL~p9sS9&*a zk2`|cDM+=dGE~8~?2}wc_4qprk&GK<~^b&E5 z+DrXWjxJ+YQA>9M_00uHn4pi=D)%FOW(O=%Q3pfBV+uI|NUD(yL!0YwZPSePv={~* z(tW(9$Ciadgd!K~>`FG^Z$UP4FE`n&(i=MgHo!KnHcZQ@6&bVsv*a*l3?bDKAJ=i2 z+!4tU|Fyg1{^wqnd_&S!uY=b2Xm8RPzE<5`+~J*{{A3$XT?=I9s=KinypBqeQL-!0 z3Ag$sr!m~Pn<=uGs%EFmQ}+y2G&{}uf&XB^L)O=>J4O!rS8tj2fLA_SZN0QtIdt&q zcuBYcy4E_8aoA8)Ymx1fn}wJ5U;0Qb2onf|q@f&1xjn$4T1boQm)yh(>O5X;FTr=j z?%44#NFSu)Sp`t_*lzx8t@0ylb%6cw*mbbu-f(p5j}@-|H@k#X{Fk@YkZxKluYc!3 zrrmJ962NqQcHmFhGp=q0Htk-F1pI|>+~}lf(;$53Ql72&+Y0oX?Pveo^;@X8o?Od7 z6&zIt(705U|NQjkRgQ zC67PFx8)_9oA@m|jFR0*sbsakN`AKE{IsicYiX_f=+hZ4)d8q}Y@m*WwcH1_BG$Ua z-WQZ@iLr7Hm-5)vG@m4m-JQ(pCGe-_s@ywbw#)~uU#EIRY^l#V00jo7_ZoF+PB@1fo8O>eWH+wlkokzaGlzik_-fiXF77( zUmX&ZNZM2Pm;Lc;L@UI*w=TI>fIR6=kB4lbE_oNFB~(9Z$rlk|fc(P~s+2diI{78R z5t*K8cut4;AyFh7*O} zl-jka1Md&-n35LBW=5ST*l(DeF%%6tlFDJu!w|b??IRwL^*ahZy4+2K$QuM!sv62m zZofEe(*qsf0EIw!)@(-&isnVt?^Hj{tQ+0{u0Q|kQg3oW013TzJfu-wsDH|*e}i?3 zpO7nCG)g}$=Q#rl(Y$x?n=GxiR^nT?Im^KdZdigvXq_XlLKWebrb_;1EUZIg#w_Wb`J~sjKl|zy{AQY88$e_ph#f=R3i-?hamyXL zZa4{Yj#Sz|@kqR7xAZvq=?#z!Bp}FULh9jd>p58@M+dOOGz_1Dy98-sYct46nzF&2 zViLC7h{X)2gH^q4qIfidweN6bsx$I$z>uJjJRLM_s!}Q+TW++Hm-4pjUeH7uY|>26 zC!XcV2~l#<$+;ftmnLl^@)3e*bC#0fc2>yIO^5JhfY&5KT8eMM*rV-JHwCVvWT^c9 zfyzd2V>O8#Ie(WMhMy>1-mhfu)fk3<80rMu_3Bm9zfy4UDPB%C zaQZw1-yjg#4Y=-wm9LKZY=VYPa2Ne6U*P$Tmk~H6+r1a`rNK)b@e)WS;3M8SLgg2t zKY?a_sEGuy@y|P9j=eyFIiAjvi$WPIF+T8`?^H~jfzC!kkXz(n>ZKCG2q`k!7?Z4E zq|UAiWxsii%6?OJs<3w^T@F>1rh|hN^3ePFsqJFTtI}6)^5u4w8M#U8f@52j?CFLm?8+ilpR%#BK2#rGY9zxcJCZsWMl%DD{lhFI?_~ zKT@Tgm1b6Ao}ubWXw|e{_qn~ivM@@{u5RRqmt|k}Id6Mn#kk~ncb|f*8~E5FSqJtA z!6s5<44dCKE!#Lpb+R4j&9x8YmK@i^>$kuU2(AqIK+%h}3U$GB%{`3RJ z5_a?`#6N1mbl76k-?~1#N%TALHi9N zq^f#e3Ax2~;b#Z^Iai1(bPGJ6pESY6w!7RvC~ld{6PwI7B1gO^OwkrvM6e;ti}|mo zWr~JKhZ>~Fs%(^)0)N}~Z;S?yOscn%KFN^Crw6K#nMaRYLamke?k+C{5>jSQFVy1wD=DSzl+6K(KLnkXAjc^tRzCyh$KpSgo$B$!Z0TXQTePzq#dpvI9ycFV%& zru{9(QRR>bmJUk$4qyv@^8a-xkx6sSKa_xJimjP)D0KVN98URr*OPLU5QX#+ybYby zGjzEz#0bt@DWtaNpZozi*wzkNh;~|VXQ3;ZdFi*+ek^M+3RPR8@c9NZi)Anh6-~la zHc?IRgAkc-4s$DmEV(qCt%=-KMfAWn|1^keP}<2c1h3K>mD(%!E!vILJz6Sz`!Fvi zCN$z;RDPC4$4S~hDBSTf>GnaPf29cKFjQhO6OmhpWcrM8kBNW6O8UyG*OSAVt!+Et z^uNS~kk4Iqi?u+>QkM_A@_y&|LFTmP_rLd`sZoYY4;vo|C1C>+L#!6`T^DpMTP2cJ z@xr7LLk_egXV>qr8l&^k>1BsK;{wyddK={2&(O1&ED+cTo8i*;Fs`hI?7k);gGOLu zPc(N$D*Km+n`>=cj@BGPLZi?$wA?E z0hywlLZDSFh^V?LkvPQIG{!qdiu9plK)nvD5qf#kH5v7G3+SBpjQfflR?ugHUl}E4 zWA(;~9QMek2vk-Qvsm3QzB)j*y$|H77hXlJ3u+d(hVitRO_VC6H}1$a0y&Luqp)#; z{4(f}A($!gRIK9~luj0sWjw=HalP!e7j~w>ckfkFlMFeajr^rExc))HciD#8=b!~{ z1wE#=R;B$DpD9DNgZ0V)(~ht|llceSbbvCT45IFvo{Eau785{-%>=I@VDXgE zKd+S7A@ggAT>4;{7-bkkFn^dT`K|kOYhoV!Ti335*m#0aje46UBq#vh;@yBhXd;liJKVBy)z4a z2tg88oEHKxn6R5@ZGkhOsI9$hZM)gndAmY2b3f+NtXIe}z10{2R)Ap?mosRE;->n#Z? z^uX$l$U2n4n^K?GzRm@fP8ob4GV*jwAaiD!(?NxGfy{w7oUJ-=ODwkeKK!7B5}q`t z)Xcbml$YrfKu(K{MeVjQ>XaH*__P6~=8>jcTyO7SI1$1`$*t^xlBpLVlp3PfEFEqg zvfc$G*j56vRys{d=@kG?fJ%-LGyH>ZS^IdVepoQ7>aJf@zNaw90HL{j;J|ePs zUP!FT&j*8+ha7g|?XjG-S-QnPKbLrhe@)!*=>TVu&V=RBAbdDy`L~LF&N?Zdp4Da% zf~5&(vASOotsD}ymt43a^$*JJTY|I5g+u~g2r7&wo!5!mQ3*B^oOHe+D}!1^*Q;;U zC?*^dS%;OwrC5o3V!@|PI${3lG&b3G_ypUKqu%Zbt7F{BG z@y}HW6Ds#Ze|r0@c|&aMAl*Da66bVVCBG{4Q`cg8^WCBlo}?Z z-SWczph`=rDOL`+L~Y@&hrt0CkjnJ{vR2!aqQvgAT1pLH9GnVa>E(jJ;M;X`mXpq` z96FR5ZUj249PR{zE(hJ5bVmKVS~=WpzoUF8Zus<|fT20gB2Nj+YbDi?&N|c0Stmqx zR+D>>={*m*CcQ2SjO&4JxOBcB;2ljmQ({;-m~KuwuM&w_N$;#2HVTjq>AW|%{3sEx z=0pgKGEPtF*(JRbQ}B6=cmP&vP9U)ay)MD_Tx8){k8$V3X-c~B zC&)CX;G@fZnQ=A%;#hi8oF)Z^Ijbd|w~ExroY}c!I@=2$huhPczslsEehL%SoZ3tD z?orC@FR45)SUQ^t3-!*6$vAq`MVk9I_bPYBtfmB>j6@Y5{WzySt-4@g;7jMJ<EGS6Co7*X?dYTo?_|B5SGJ5$V|5gSt9_p$c!7oVq$$|04H>uVTgC}cekfA^Oe1l z$<;0C92h~>_Ly#~P9H9a+;1x;gX%{$^+M^?-u<$2TE4!I&($1f-IcSL0Zk~DC+^xv zXL4bt;5F=0>Sjl0iR?692o_@kxf(Uj5Vhw;wm*}9DTz_8@9_yc+SrNS8=!Re!J2w@|89q(uMi3nq-CgxGM>hbO)MhiF zqZ`~dh#QX*X^w6W#EI3zp_0mbdb~NHuUKje%iRh~UL~1s4@U}VwtifTZpoMn!Rj7OZ?qd-ExsDT9!OIb=yhWh3>YnQn3wf;H0q=pv)l*O?= zaMYAI9o3w$Jq!Y0;#P56xO9Jeao8pGsck#ky>Lq=T$dM2Xp0w^Q;lpmFRT!!U}Vub zUf@qxZi#en1W00P+Ytca5hs*RwFHp!0))wuhBFdRL3zf-zty@T1VjrU%Of*}17vl? z3Edn(E(?$?R3uDPqf3P4IdMCxf9mKofu=?m0=YQWhj5rUEV9D^Gsc~GL%`|U^N@rW z;1D-16O6H+5qe6n9@IG&K{QNYJZiX;D_3f>cQBmHx)PjZSGSZwNNm<~%HRdTdt5?y z1$GV~d!^t8DR?iSfY1V};HInUxbcPUUe%FPfee8) z<2`O-7ITAar^4ZiO3khS{VI*sE-#!Vp(kYN=|G0FLaEbU(YWe_6xk!)%%_gC%*`|5 zQpZ{RF2NCTn+jwJ+~CAAj~Q@fKmpx!W!+MW!ye(eJ9xp-4FG620|!U9OF_4XC3VWD z=ypKc{;;}-($S44W?Mv0q|hAQcw)9r>0A=I>yX{zwgKs(Ik@pmHExb>E9HUJ+Kd!# zi5jv&!sZPwqgNxLj&55OAw2!z zo`#mt4MA{QFF;lWGPvog3LqVl3-+pkc8iwa2H84sdZf*sY`0i?Iug=iDLUG1tYaxf z6>gVG)l!zC+W|h*HkF;X?xj%C9t8ay-A)L%)gn1lQhT$Et+aUdK*NNE%`#|@ZYL$! zzMgjK+YM#bVUg_+ISnsZP!$8EPY(q^!jPBsJQBVxORokxXZqM5(r82bvl^cD!f2E5 zrFQ~pRx|TzN!lAtM$Ut^DLb2{7avfMh7RC zLa&HqMx++Kj-{x4N{gSF!v{T{u6bJ0RYs z#Vu}*Zv3lDOd)&Pbt5dNMYb`JIl8eh<8FLKD1(j{cE*Zn-_eZ%A2$cLgEE010<(B? zbi?)MwCxWp9o--!WegBUHvqXJG85|P2HAy(TS7NT?ulfLHd|~{4Yky)azlr>^PIM$ z8lF~9L$}0ydL7!RwdjWMYay}|y44sJJjsjN6AZYVl?PU7TVD{BH&4}!P>#V3c*!nX z?l~r~T$z<$12eBU*lFw0t%e)AO^ef3DPxln@FsL4-cCus!|G{{Zl`q$*W0sb2VOh9 zHJIVRJ0r|+zZHi>SbAPa+)+YGI-d{MU;+q4jWZ1K!|3*p(>o?WwodxlH&BiV%rdM) zcC=?bWS2yCJSc+~YJr4qhT96!L+N;dQ*p!fSRReRjd)ul7TY;c!^dH_I1Y#Qii?f) zp4He$f!TR+yD8kb!K0xYf};lWL)tb5^*QChdl1qn4jp*ci|hz&LQ6yl>fD#92jnax zLpP~f9qSO?wrRVm&6=az1D)R#O?i%PlOj7{tkgTYt(P9_LLNZv=r$dJ0hyy)03V8? zC3J)2tN^*Aep@J!2qhefYswD*S^tX2lG^m61+C}EnIJ~$P(L}p>!4#gTN%>s?) z6xF+uyggdg3@4Nzx+oR{gx-_Tts@hySsv%oL)nz(_+YW6!**@8>+cnXiRPav)^Aafow+B+6uW)99 z-i~gw(&L86bVbn|-I%ks8I5|l)shW$*v@In+Zar6xNVofqY^wNa+>1>YRE~Eu^yp0 zmLAusBO)7c*S1+gw~6dp!OQi+UY))g0pjSkUSwEn&C!iT8B?20NRAhnv=KTT*g3cz z45v-7quV-Jc}p8Q(hw^D#SIP&N#@-7aKaIalXi1jXwj0 zo8^L|8^wWDv^^o81i8>Ne-O+7UoHmN=Vj;4u*5XaI};&e}&k+oQgej5Uv zk&$(*|B=OfWQ?hT&IJxm;l1A_KF4x$#+{X2+M5DpG6;46A?qX6yjkWV@IKHV)PuF^Yz z;HVqYZvY^?Ilo#3;!ryv`vu4ynXwv)Y7QVgB`68XPbWf_OZB~4Y>(!biXTh2E8=LD z4hP6`aoZz6*0uw(ts5XS-2mAv^_M?Xgn+Bsa1p{>&zvlq5ptm$AUx{7C_wH7FAN6=#gw8t8bEk&@U9}nEOh`m6O}+n9Y8jQ`QN@jby9}f z7PsL5VZq39Wi)`03;c`Grk?}I>283m7a%8Pp6TWQ!gLY0;Q+ZiQb71JX#2$LHP z`r)urb#U7%T7KoA&|e=8Zg$#wEUi5~MmOG-;!TrSw@Rz>T68-spI(k`#~j_xhJs&S z=<3F;|7ER_F1P!1rF1(h(p`bw@IJj9aU0&J*TrpH(9QAbQQ@|KFm7c1)(AVtr{v|8 zFY8vZ9k&(2jm4SiHau>zrHg{wapA^a99SSZ@dj=y2jfQUpAl~N+Hqs){tCzKq;TVJ z1P0*7-`m{{4~HOi;tkxUgxhkyI8}3MFF#uH3dilVaN|!2N;}=hbgP8hY&YBv2)DKE zxbbHzuW;P>Ha7k;$jMW3)V_%Y;+A;ZDcsBpPP{!7Znu@nui*MNE!?iD+?b^fZYzY_ zy=Xda!EN(k+;{-~K)9U?9EYD5c)`NFuqgAw>L~fZ&cThs6}J}LVlrp3{ZOl|UBb?8 zW5F$Q3LKWlY{EWD)E=ipCdlUYYg-@4cyRWe?DtqgW~BBN33LBFT^9Y5c(%4Y#BgZ6 zb%>1=oDOP+{^kZ~tDBeH{>S95&2L8sQqI}eejmhdUM zZIm9@b@y>6(oO2tb>oGPJ+ky{K*7=NLeIs8P)D~Kl%8(a<%OfQ8j{fMmP8$j$P&6C zkVme(U}4Si!g`%QD@*O+Re~E#Ae$1rX9B#ArQGuHhgaL$y})Ds4Uq{@CoIMFaJ1&= zb}_ONQb)IrUf8L~y8?O> zJcw{CJrFH?pmcJ9bUrLI_^O2F=vJ5!S?cHp885b72^KrLDU;`RsQwz6quXY2xhrnw zen&T+9CyHNlW^NE{p_aL(d|$-+)ir}Cr=0Hc3A4$A2tE6gB$;F=s}&HghtKL?MOG> zPD-~EgLUI8x$9B!a&+UH&Xdw<0B*b%ROlW)9G~u&K;EO>6(Yp(DS^ZbsP>bIj&3_d zb|-pl;^4-&v2a`&ggUy}Gn#b@Pf>fhz;kk5Te5%I1fd}(xr)Z&Rd5rhjm3zYfOo`= zS2Y5aCzQt}8;nN11ISHDKBdzZmJT3%sWGd~I68nZ!%(K}=L;NXZI!?WgNwrj>2^k> zliFx4y76?|$Q<3yNVoMOvu_t2-H6&-gLOMC-KIrqLWk3hN`6FsUEUt#nU5jo6xwQmtD|K#z1o9pR*3#gn!%7JqN?!4c9|tr%t9@F68^UgATcZTL z)@~^QPQ2}gm6~JeBb_=b{mfd&QXb^;w6S2N4@WoNUEzIDqc_km<@x(HAa`r6>3&UhQTncSbv< z-`&8@v6M2ylTiE7WyexY3v-+GF{??5=2&`Nr&xy+H2QEXy(EDLb$T+;IhKm5_-A>I zo1@!loo9V%+#KDe#O>l>-LRBWXxtp#z>Rx8E76W_aJ!|AB0+O>d!SQ?L{`w~!@-T4 zyQ4bYLASW}I=Zb?Ad!S7)YDBu4@W{B-GCQBMxz_J#qc`1ZITJQquT4}wo@jsI2)aA zF}#j$r1Sp4x^0qfC#9RUmUxQ_QmVc!7YlHU$sFAdNjF|cGocP{8>HJNRm(%LQFC-d z;C6jybTw2jC*GJg9*W~?38y)_P0NJ4A}fj9TtJrHib2|RV|9Am>lTBz7K@`U)wbf|9l785ji}lokv3RM<8e=!fWHWX@(%p$|5E+C@2}r0W zy|f7GRS*SFR>6bf2Y3-Y=uJ@kxC=r(h$j!~!AlWZ@ION)rY1D(E^LrIN&`u0a-BXum# zsBik2e5t{9lJD^PF}=LB9Ln+hgR{~=MpW)&awhj2;Zkn1fOnH|tUk}anA4OVu}?MAqnKw) zCw~J~O2f!AmTee0&!+)TmMgUcBGpT#u=6W2v8>hNc$S`pSzmBwQxQUPV?NYh2(60`u8R*5sQl(m6sGOf4g=K^+V&&o5;<}`ygzi6^D+n}K zw0X2eMa~Yz1LQt#uD$y?jYM2j_aXl~A}>n^$$KnKzTUW1K$iML%?J;QE?y|Xydg4$ z-PNxXM>p%>U^7^xF;oB=ZB4?Xc zve9gs@j$I7CXb{>$YE5R`+Q;J1ODnqggzBDdxrPfiIHPq5|^JuSJB8_#1_Vr%s%zi o7GmpHfT~X9fTX8g&Ur-~Ux$1c?9B|B)%m|HJO!=RV)F z2*jE|h@`}D<1wY~f6s-}(q$>W|C%&DqQFUu=1D{m#G$~0?1!ZIUco^6i}V-VFL^~& z#W`T0yy!wWEH4qmYGHWi;!Z(tU8 z)1zZY3w5$Ik;uj-s$K>agI0``8M?2O&g~PDgA_l2ExMjOLGC3gBlZw?fY&1Gz{D~# zLu~?GN2={LjrmD_bm?$1ky2{Hi%m2bwmd=2TCm#`0jyIAPqnL0;(ao3Q zQhu!Jq&^HsIIkce_nrn#N!-ld;Zlz?LFLX-bIMQ<7GQ*1B zjxDIj`9kkbWG>Arf0pnv9tKb&%X;jr?gh{(C=MTndnM^&tG+ct12+BXa@m{DlQ1SG zjL^`G%&vMo7oQ^>t*j=%(K{;IT5U`fEWul*PDX#(+S$#}=Oh=k8@VcsYssR~$Z3Zi z9JbPkmq@N)roVpBDtUCNI583dIeo2elcm)Jn7O3p5DrMQPgL^cMLbDJ=ea#)@Uz=Y z_mZA8Wk&JLb16EL1mTpwXSkY3{zSe@>P1;49AuYL~rFY2v*6pu=g`Wg_4_HKhap#ty5 zH;0b{{G_Zf&>K-=;=-H!Q0xG4_?DWDa;9G^R$Q;vCaE%@@SI0v6_?UI-ba#3>sZ#u zcpGzkSdM<>;(2d$=|wl*B+_ok%dtBJ=@V(t>i@% z$>LyD3Bz&fS<0Q(+YR*b7dV>HAaoN!-yD(@XJaBYORd#-!EUKO1N81O8HA>pnw-A%@uM3gfn>du!V+A|EFa&kmvwjPO;_zslH z7yB$g>I}5Qe52l80CMU{;q?vvA#lMvdjT8-Q5GQmGm`deEQuKoe-1rK^2@j)Y737) z)SJiNZ>KYgx*!dBS%sG_vLilR=|H7^NnjpzTUR?}w#SBwCvi-F9hHo6S<_H-=;u zyKtu%L($S$?p5PhUJv#mTeam~vgx5_>Hz>9%VNspI|At7W}tH^ea5+g1n_%|${h~) zKV5#VN00m%1j>tdD2`-XZa4{KH6}kYj=uN5v&4tFvPj~c_`s}}s%p}tKF+%2{HpQr z2Th#ij+DI9Y*HTkd3<06#8dD2VdfCekfmnMhzzj{ ztK6$1nD5`Q8L0>ft@xCtlN_;mWQrCK;z1GC=%QHiVD;{SOt9bw21F5%!8mh6BDcp( zc=e(W?G}!=de2u%sN>#eAuSwT%$gw)? zZm*3{-axC^s@SoWLVV@CDJ8wzV~1bwN;PsL8^SuwTTl}VX0nQ5QEpm9M_lJ2`TE6; z%UZ7Pwjvsk7iGBOc1yUlT5162CVN-b7b^12Ys*9R$gfy~am`;sikLpeUyzE2(Lg=Ll ziI{7cylWy0%~bkCZ&bo~YUVVG!{?RCn}ls`N6~J%vPi|F%}S6+mYr4{2TsC+bjls) z*#5p55m&~DnQpPJhCHk4ZDZoyU>`{UwGUaqVHCN`rpoxw-%BdTvMr(uUKq}Z>a%}mCNeS;D{Qh2i78xqggFXIJO{I@xOz3+uo^_X4&ZU|}bXOV0# z_2E_YvZ#Dh{R&7BBYhiWEgCxF<4vCse?fSYP{wDUhEZ<3!)9VK7EG%6pi+ zfkufuKHyD<4SdL^&tXUwVv|=y0&jVqw{JMF4et|Oa91{+eF1(=1HR2}svkMihn@5< zOcEbNu1QW&#*0MPEAP4ZMDiwFXMRmXzI7}WpWifJD++uM#%^bhXn~%6XY^tZ5Zq&D zc3Cx$VqncLV{pU&zwfFaQheov~_{k-qxwl&CztH1`7@*3+uvcSJE14Q0VR@^fG zXmiaqm7^1;tPGUZ zp@0vm%yoE|nz6rAo80hW&$X;B_o3}&{i-oNs-HMRWNG?x)e@I`*hAniFl9(|HuG`U z!E*0pGX?mh2?J&O=hhyV_`P`FxuiJ09{DD%zDs!HFimvpf#n|N>iFxK&B?!bmz_4H zIIg)djMty9RGE_J1YQV&xYIzjA5;+~) ziGosUv)=@YDc&?%L{Nan3s-VUJ|lrx>)LPo44MZr>kKWUW?T@<*Nq=dps8Dlx$t7p z?>Fq0;U3m*RSFj>O}S4{*j%^LUnjK`?^mK(eSFNtT=HC#^X)7M>sv+i74u)ftx)D- zvW#(!l%m{=k%ue(NIz}&luxJ?@o9Ok?&e_p%nxg_rFqrqhpH^a92LJyI_=knYx>XG z9&5cvq0Eoy@l^hd6ahL;x8Tz;AC%wwv5$42dHvw;^6SS1Qv1(URRT$UaVb~7YNBcO zY~GfwKbMZMZa0i7b7lMr4x5%0?LP(J@BpBS=&mpYP(YO9+Km1HM***u-;A5+$}~gi z+g0tS71CR;;l(zsQSBn~zp6L*GB3=W8K@@HQ7jxyfj8%iUz1+)xrzbQ z0=$`TeKU;EoYL~cu$#>IXp)Azj@m0bu*N%FxAP{G_NwV&ztMoGI1gF5YP3XnI_;*` zhA+pr7*=Z8oH0QU`x7G+(}X%5*4Fq4LeU;ZYJ=MGTL`!b$JFZh(AdQY zS&AtzU;Ckz*T6=lGQcy_!$E-=fJvKNDNnWh~AIUOE7#yG*(aDrN)JM=j z*A|#ASA{E`9@}noUWDaSJcb?EnaahDDKhQpm=P)lf|~~o@%}ZhA4ssX=i`3H-EFzH zg%4cc*G*24ttQkG2@yM|=*H5-ed^WarJTvT(XU6pt7F~t0SK7g=HX3)XKbu(8Nx$x zR{6~7tLE-B+J{b0bxfA@x<{$M3=#h!(EYjG<;UBe6c=5q= z&7>f-e0zwN)r?c@a3nyK$VJo2MV8ET9x21xgui9@!*pfOXCPjx6NMWrQ8iF$@F%JO zff_A&jSAwT${fL)@FLxJm2c~dr2p11G$s(A9@SqW)XSOvIg(8~{bW#WNUqR%5Z@>5 zKsUY0WYn5qITX7z)N1nlXDQ@q0RiF*U-&6)PI~JdG}?Qf(>j?*qFkGhgMXSPINnXX ziyJhlPg3fY%VEPV^s|MKyB_((Xbe8PoLt*PL1ey;U+gk(4Mvy`x1*ql2YJM*i!$#I z9h+S72K8UA7Hm=RMD5AEDg_#8!^5A}%+5r&kjlngFm?R%1`9eUr8?aOLs$}O`xqI> zm8DC``P3Ywr*NBgabs`}4*S^1{j5ksVGcgOWgr+GConm84ux!Nc2aWoHTAIIzW*=) zyE?e)|EAkg;jz<-NyhRLoURlWN*9&2NFID@hDWaC6IeHp>X5=<)WNVXt2fL}R-pY? zKcrtX^F#6}oM<)Sm}6x1Bk_QhC)`Jhv#fJwiJcZ*T+!CeBw_w?;%CWbx|Cc82ODLv ztBl?L@obiJNn1-pcSgh7{+YXD2Ehz{R?-Pje*FH9l4}Zd&}8}N7p%3$pUn-R`@ZJn z2DHbeU5phA{Gv+vT}I~Eq?Kz=`m%LRe2Yu9!%n&f@Le5yL=;9X4UDH9c z;NjG$WfkLUH8p2jb?Lg#i*?W9)*x1SsT!%i8I4)jzD{fC!l5yEYFih+xT%j?(a}Y! z>gFU?d9<|0zT*~-kcH1R*ZKfoqQPfkk-kErS!^^lhKI*Cjp&Z&0U!A@?eQ0D!1<9S zDm6v;PRg0L_?`pB$L zJ!Vs(QlqC&2Zu~;8ujl#^)rfDpjXV-|+?M6GK$y!`iNa8J*adVZL40NZ485&(%+}02eeIfi4mttOx z(^e@~M=r~i^vl{@K1BhYeaZa@UVT5lLcXf|D&&5$S#F~|6CnkQGxY;8>hYBFXU3Ur zoH^0W_rH&*x%!Q<&yWQ^gOu18Wc+*2C)`>-V)F2VDgy!{ro zMEoVM9ktTu*7(_-cR!%GsL6$}sT15BDA!2Yjjgki=a*xvj_jlg^0-1qX+RT8S?zyf z(k=O`c;b$0yozNgTxRg_1ct)w@MoY}pWS<4XTn=oj)(Jpc`q=)6-&Rr_1pK1oT~W$9LfwlLW=5*B6G+?h)D)-Fy})zW3!si~7r zhR1x}+M=G0!``(8P0DUM7?!`89GJUf!-<3ZHaCSB-iJW*>ttQS@-*rXWn~5ut1Eq% z+8*W|L&T-r@oyYtCQH!mO=Y5Ln@S~iE#RS8s$L_h5Aa!KWvUTw21vSQPK#DYtf^c5 z*G|Zo?7wnX99PGtFkUK`GpPjIt!I_5W#eOK9j*^i)()u~X~GnE;|@)zpWo?*=H+yS z`+#)lGicmA-|-^6J%YKtEU29GTV_EIW?X7Rr93S-HGK14fc%wg5&)kDT!Au|6>F#A zLxjoMk}T(9g8=eVD@-EhY1T4Z!@PFcvaI?KsQC@DsOb=G>};A`MoqJMW3s6j1W}3! zao{a+c~>!yE0>u}=#TZRDzd0y02WH35ALkrd z^gpICOJYgo^wR0Jn6)&sn7Mp{XY$)S2RnX~R4gN4ZXoS7WcpYQr_`ul{*V`dq$H7x zbs*g}s-pjUP#{XguR1w2vbDj(SZ}#8gw1-=8$Pm>PU`jA@h%!TCt^JN58{I#@5#TZ z#WSg9!E%PFyRr)co=;ul<8+QNDxk>s0ho@$zEaH&_vfz8n?anP?#j@(VmXJ@)nUmJ zjkn-@NHcR-yYl?f+kJhQ()soQ$*#5qllJ&E`I&x0n-5sid7?%u>G8)5zOWx@PN z%d`4;<3@TsDe<$woG)ggw9R6_UR0f@`l4RrR)p(N|vc+M>mE5HNhYt`Acw$BBS0W=>~dKNi`!X&eWlQ zLT_mGW(^wyw6~T2b?9TWhId5^vI~6FaD-^Fi>lG~a_san;+IElZB8WZs`foF#dqu6u%$cJN{Ld8GpOAnpBPZLidUhNa$}X1yD<$e}LwIq!#< z>xeO%qrXBcX-gVio9m{o4Dy;kgNF@g464&Ws2-c&3jCT@N=1}v<9WDNEF045qT84y zOIx7G@j4jvwn@(o9V^z+j6+aStavl$GskBwR(#UWDAv-&bBJ5&JQ+Dm?WSOjYFL}Z zD?FI@RRx7NEm-@h(yxMlxH@P?u3Bu&NWt<2Ock}!1;<((e7DbJa68@ZUyNpSC8Far zw#l|?P96Fo>{U0w))I2f6nzfmmRVOqK10|_kZxs*MAvFt<3O+>bhD%tBaYpHyuCyX z8_zp({(VVT`1rf^&J=<0)y|n=v`t;XsHi3fc7hqM*{^PpG770j^Q!&;2ueOMlP5OZ z7?+Kp)eP50&i3DmbHmi{mc#_@8bWeBo)FN#^glHN+I$(*B-Y5h0(L$244sM(y?pa4 z)c03HXX4m=bq$hYn*V7`86i-?(614s)8EZwssX>1y=(+{GNMY+>KA z>cK~|$EsP0faKecHp*%n##YkWXLN^J#^EUlAI%xyNOfjXwv7qtBZ54CMLo;L= zeL0oUQE&-0_iSv(8Y6!nP+DWPTV=YthU3hrx4(b%XJGz>!zNh54}}BooX*N99@uPe z?k)my*tX=CU)(!0b#G}l=_o7`_r0?Z-g9~7+gdpn;)x~yIMPX*S}!vxtK^C|!`mCF$6I@_CcH^& zX0^`MA6QsQs5avYTw*5e9{9x%9*&T)AH8GP|BJKPgjMX@S#K`v-5 zAkdmHxgtyPkZ`nk}c@&oIT2E$hC(z<+xAfkOK%-Qx;>nCs+abj<<3{p=i|gZz z>66|i5-n69nBZ&{^T24LZZhybk;1!Yx=SKs)l2WEUH>s!t2o=?k0U%I&9_rT|E=R z9d0k4Eo^Q?YM^xJCdxeg^J)pbPD92}*q$k6stt7iAcmVD!jJ=3ss-UHl`~k2gu5tV zpOo~u4u5f_mdk1R4H|XXl;QX$rn0%M{Ury4V4J)_!yzFo7bI9&q^|0Co=o97D2hrZ z`Up*v8N)Z8?vOOGY&LDYuCOru{@C#dMR!@rD7>6(KFAHz>2zxF`2&& zexnOVhmG@UbGe{m3z=l2>X?4Ml9wkk_@vfkqHu9ZbUFQ=r!j&q71%x`wd*yjLSOmPL`!O#ag;@q3?4?))L1bShA zbsU5I`bzO$7;(_D`yhX@SB23LIVoNU0m+-#F6zycWn$O>_wTSt=6}sJ^1848R;Cw1 z&~;F;Mbw|z#^K!i~c8bJ}DitoDxCT?1+~AD(gHBXpWPJ3CZQ1Jo|Io zZzR+3tX^D@VlY5{qVb#nruU&B(%@IZ{2K2Tz>?3;PYp}arBhHM=hjh~x+kVWQX z#x*&?w5*YS=ZrI|xbp1|Go_bUAw|Zn;83g2_VKERq*^4353DyZ`jnps$qI|>UZfT^ zUavV4>e8ZQh>DZ=Mi6aolq;_A2Vq0}KS^!N`b44v3K8^5ikpUoI>Bjy%pLC?I?PdO zt?5$#!QXR=X(UV-k{esb?;=vFG`wTjoP&}F%K=7ENiRK2a7dCHGorG9^N4pYvrO6B zFnNbx$gKHcR2EI=M+qKUN{K3SIPB9aX&9XC%3YE0HyXWdQ5X{8Swro9oZN6MGo)eK zf9@nUNHPl;bVkjC@5ew3TYt5lx$r%n3*@S<|Hnu6Mx0( z0uRr61z!kvSBO*o936X6j<<|3JcE`QEA#8ZB9@ee`k|Lln2@$d(N{XUB61*Z_;U>| z^$mkbu0SMejjKXT!L;<)ADjFquGgrUY!+`pICX(6|M&z7xMX2AwH*J)7|Q+zU~p`@ z7iB*Q*km|pe;eae>@D4|G?+9A-E@Vjo?bh@0z92+!{Q}iV4dx zP2yEA8kWRG`*W_8>Ms&!bj1A`_BS8Zba659RuG%ePbV1V4gu^td-Vc2G~m;3Ii%n- zzcSB;xItxBth+1|`K&Y+CfCy_7WQ3?JJ#T+D80Tu&%z$@4LHaYCjL;5xQRvAh-TQv zWKIwmK%%#iEovKgBzDK$tk6$zeE6Ll@DUHfwx9v<#4+C!f3h9C#p_1i+r`~@kLGPj zICP=`1V}gGPr4+LgL6gKG>jIWn7!w-dm=&cp#O zVFvDNBqjRnA&fh}QG4L{Menm4gZ%FqBzwTSk!E|aPJ!gCw>m-ldL-4Ngi^HHf611@ zyftpJsf@YQ()jYjGQab`-I<`o`h)C9eGmaxWHutNXoWDuUC1Z8l75lS$c28w?PP$k z`1))@iFR^;JSiXM$%AC9s3(h(>%nJmjFWyrWl9ai$EV=34{RYoz+k>4K4ATyCqmGb zPu^I$WVgetZs4R{++{=(>)#%j3vGOb<;*y}AYPacv2bev-_Ep=RAPRtk;agbD+%MC zL$_g%sGsVSIWTLeBo~Q!b=_h6X)Uw@VXb^IW_xMxQ43yp=I@g&#hZw0^u*!FY5b75 zAd2nqR_u5mbBKRC#RI58*JCY$T0h3$(7_thdC^AU?c(n@;~aRtX6QsQyqj4L(ZelZ zY7js6*>7|k+{AMc0D5TC*1W&5Ka{P_J$&kRU)9~XL!M6D6? zro(O}LEjpQGthhH-BY9@(;b&B8_pPq+76MQW|Dp660?OZx5%Rz}$*3hPQ6{qHB#Pg^gDrF; zh4P}kZcN(kQP}#<3o^W+0DCUGITLArPHrKv^_PpGzIh4SA@>C*T^>aY2a?G1=zIQk z!UwATOynR*z#^p(2tv4vI!Js+Ihc#pPP`NWRh38ap6|=fNOV9GX zzZ7(JTW4d-i9cmZUDO-ZCH#T+-8JB@wrQ7MGmIJOsQNgKr=-cJvdidjBYDD}i;QHS(j#VlH558G$tUTd2+yc7MDz~M| zP!k>Bu+fk4bSnzE)i94gM<3C&=_VQLs5GBA^78my6W3)Kwar$5rmQA#RT;5zqM3^ z1hGoR>%%}}A^qT0{`S(f5I@CUM~tj9if%RHfs*kv0!4RXXX9i&x>-vP4gT6NJ?dE~ zQRS4E3P?7YXgw0xh)F#Hccg<|h(}Gtnka=u`zYz5CjNyf8y|GeSIhfq?Cc-kxcO0v zUdXt+Z;b!lvHZq-6-LY6-j)VHoEc3Y!E-@aU3&;lP2u4=gY&}|j~N!8yuqUnxcyC( z_;we?n~tUVtLPhub`oD*dIpQ`kWBYTaEw=kPIJ8594V=CdsVwa@1?QMq@+?^moPI8 zo5$;Ov0_*)NlQ!X-#Q;;9Sc-|TAOqh7eZchI+Lt(CR6WhJjG;I3^rBu=r>C{yV!tE z)~1%3hyY`;?>RmVDrH8l2m?7-!FkfW8R`y(lI0H*Qyv=x*)n%igb3ESuKO12E9+U_ z%DXtH;Oy7TgJR+MxcDB9sNQ}DPh!UNcWxG0K>k<^8^}DIQM*C(+u!(V4&Fa*v4V!7 z7;v~2WhHPDq;zLriAj-^chyHpVuLw|od{b;83M5UvCa3b;wW5BjBZc%jki=l@W*-G zLWTEecd{OJ(nRol>9>ktx}p<%hm*oR%;ei{@r2p zP70+TpE3S79C+0Drcf@bbi}@%P`X^GD-X_Yo`v7rNLj5~|BgRULehhrv~N+p@#TDvhr&%Av<7u{fw)w_`phVdo_I0;9z_uW|{ zGVdTUhR zJ0Vlz2#=SSFn=y9izy41Qi1Z-9>^k&G}5)*Z+rJ5bTz6u&*p z4)DrbB9GkYIZSfBpY7}~2AtV@lf;w(d8DV+;(LU~gdKJjz;CVcD_=$4_jVL_ns*`W)$Q^a=h{8 zD9db;#CK;q8f5ZI)c$QAx zQ`zUloeb$tFxmdH9Zq=r5B~Uvx=G2xzEpzecAAC(o4Tn4>QB8ZFMBry6T z?GU|JI+^>W1`}zj^`7>-TY)IgV>L?+*r9S zMMt1&^24nyZoZtz{2M#fbo z@7Fa04w4bWd7{2#9dAh%25p>Ajb3VgFQmfTUfZH)!WKjO1)h>X{)12@Jif=;J|k~v z{&mxoOV~`ZMkP?}yZK>_MCV-Qpkt92+UzsU4J1FDC+Gb2g@HTdWz>rFC#0hUJ`#fJ zTgU78X3&R7myZrv*JCl7g$;K6w#K(@CMXQpY>%a+Re`4qr z;x~&&OSG|WG-96k?*shAwUsKcV@Y7&fH=RrvEejq)g}O12KK?jb-@GK=D6alMaPWD zkPz%HnV78hpbyo=D}FlQGKC@Gk(WIngVRXLXG*Um^D}RC~Mq7 zSkqB`#V#HW$8dtw>@9L2+H(Dg7_2Z5n8H@c2>~s#OEfJ$y&K|v(wi5I=Nr~Tszj)# z<(~^)K7rMDw~|$2L@0?_y2s?cBIga0P?{M1^Uc^BgTOl&1|)f<4nzQw*^c0(->_7~zzjC3Wl_QUTLSt=YG;9Ie7su@3K|{YnL|CH6S?b@tCMCa#L( z;%){U({BrlDR~u$8P?s+=`7-K4CT*{j8UrE{^}XVgi|p-Sl?XiYvr(s_0^z?^Zwu7 zYztOccLC>p_E0|77pmaA-yF(MMGw=}#D68^_;XZ#d>f97e+9MC#eBU7*XH~js8wgP zp`(TW+9T&x)QlXGwRZHDWuDPlk>A{NFPxFmClN5H7mt%}r7m>rYFo-V?%Za_!I71- zx7csovqGTon%@JM?~4>JN_Zvkvmr`o{@~Urcsl04u;fxIcVdOy(y>z zJQymkhzt8Rp*qon=dHqDcnhcqpj}DUgP_t$dsCV-0Nblk(BtN1P<=^FWrkmB>fpSe z-kZ&Z(5w`}f8W4$RJ|PL`YAd+8Es7+<;@lcg=gBydA}VYGQ)uMA?k4a2^Jx+!JNxv zMN~MfpSf^p3;Ay3AL%lf3)aSlK(O=j4~VF)Qj_~lx7O?(V8LQFC>B=2J$Yu|qs2sm zWsuL-=XV&|kPj5M9h2E3^AQ~-bZ!f+Nx1151;u&jKuV-1o)+Yl22 z@9?t_B=t5aO9gjK+2uRPA@P2Y_4?cHrL_RDV@9ZCdZc{17rW!AqlGmMw`=S?@9EH3 z#;8XmXEmCSSlJWjCuSfXoiXJRceLzrh-oQa)&`J2O__m7_NnLf-V~q zj4=1(Qb%5)I|_JK^@f;&lR`aV#Ce|0y-s~1_rv|p;wrN9G+vf!$`+b zrV=)%b8DaiHWsiu$w{<0SG|K<#QRsDH0IYb5$cQdLc>~p3THA91B7-4#aeb+-ryTd z1a_#sxkn>a_}Bh>j)JV)Q=EB6DIW9vq~2{NjEQjHXOI-Fl40XgX=dM`KYLPxyjm@z<{x z+=-4CYh$0zVNXaEX4tiE{Wt}noq+EQGkV#ZZ?2V=+Y zV`}@;hf)%m$G8F4#r7aA`>Y?&W?o;^kXCHdA+`NnTm_#uC|aHNW6|n3qZ33}?t2*))Y;$c8fP zJ7K83#=AzC@|9(46C-)2yVx?*YLLfMy2607S!iQNHIxtoURhQ{ytS`UZ(NE_w{>L- z?hV5qS*3h>x>#Gstyrluf7cqczhE!cu2TWsoUY66RK^%koG0?cWj9)mCjM|P>mj23 zS{#@x>3(q6b=I$gHlfoE!Fn3;Cfc73aZf)Z#LALk`-HJ8v2WwJO6J@RJkBMs(R_pt zLGEyKdUDs~=c)Jhg9WxD?@n*x(>`fFnMn|QfRS&qfj>)Mg;Rim(zLDJroi5JTbDO5 zq6^f%_3=y3aHhcP%>zaEkMr8?QxCWL3w}@Zx$IWAO{hJen|I}riL|sH(d+Fx{o8Vv z)M>T4yv5+>$pBxW5t-0&N^Q9=WvE{d?*AVYTD1}0t=5VEDy0Fu zB~AZ=6mib+y1ixR!~K^>aVi$$h}TyJoM~jwuoGr!4}qud&+eXyHm6QpG~*QDA*tZOv#lgDe$dmX zsaE%j6VE2*lpdd<#|6gF>K;sQmI>Dz`i#4o_?b8q`t*5I3yhge?j>~>Uk23;t9>b%};^;F}{PU^ewCaP-!`i=_c7?%ZU5;!UpUjd6f_NuS68>X0m1XaKQ-3< zh^5KOssX!aL@tI$=l}yFfnD9S>x8)dYuXkeo!5I6*_HGz-QMcI8MS|ILWF3Rc5Wu< z$ktJR$_qqvHYHr?c1jt#aGg-eWANGh!bgh1QuH&4n=eE6g9T+NH-jQApSblPe7FAm zX^~7&O8lXhmB7jSr|dq8zSQ*r(v%PyQfSWlETI({`^W`RO`K~UksjAzk)|LBqF_Mh zBl+c-SkR}ria`oV_OVofn@M8+dopL3S-t8G|3poNRp+t}RX z;apSG(7wBwA&xU5JyRp6$iIV8+@BpxGavo+!P}E>&B^Uj=q6=ncV#Z%Nmux^Z&ufJ z=lSL-5tF~sBWTMHtOrS3Z~u$0_9z&5Ms=Opnak>w_Rhic(-A;jl~ zE=EEmjD{9zDWwhgz_#$w38>o+)pC;dh59M66r&ot7RsafYoOqnZD(hWEtM7*30S{= zD+45tYroH!%@K1?F*UE{X2w0Rgy6CFEj)RA&){r5%b2uz$$mHVpe%gDUg1!K>k`_J7mVx-!R?2KXH&TAs20n*AmM3-w4~`gK9E;O<>|xKT6`T(~XYB<| zr@4J;Dah(Y6NEX%p~&86Y0JV1^T!LIg?s*(1HWLRpFzOekjbEH89Mf8OsdMVs~8bm_XR z#qyZ!`Ni5z1AYv=_OCupo7Gq~Pg72@1ppI|G8XQnoPOW`=ewWY09p9{M{{2ZpYZjy(bFxew4mKSVd0BTi!@-v;2_a#kgg0L|BWcXhDwhwc`wG7YqHNzhVK zN|qhUv`vKw=lTX?eCyJKx6VrA(l($nV#2f1Ygy+siY~XTdPCs_x*IO#8^-#GXa4L(PS3-j6kw#L0xUxl?>u^ zP0LttRUW&s&l9LX!-h-SfsDAQ^$qdxxH{LrJ;g6t_8xXwGZ);2?i?4lWw|Nb%D7*5 zIU@V3U)Ynrn%@9Hm?CxAcbusnv02di$g4S;=N1chz3 zpxM?SE^X}lS|&Oii^8jjc=1HJ| z{|m?mz%CFxeLJr*QxG5)+{PFMI}kO0TZMw3c9>%4b^re$@;_DP*m?El!BcqJ&l}+o z)2=xj%B)Ffj*MJRs<0whw|^hRBYqyfilhlci2*Ufx=|G(Dz5S%{+{OkK6x2R?*2YY zkoPUtI=LfsVsSiB%txp7GVR{cIAki6Lj>hYJUB44JjflPoJd}jmTKRj0XAoJrLYSM z@XY(K`#IYmI*k1t3UO~6?j63A=7=jm_W34Pr$Gw^tX=S{ZuVD}(&FYwE{+ zx9RtGgurH?!cNo9P%?84N2%RkiW&Ra(W&QB$`ap!-C)Oju?gL+6nyN zR3Nx>xdTfBkk1rlK4a@3-z-mHOM5f|(nK*o`xg;|SDyPzcmIBHLL7#JZ^1Mckh z{OygCcQY1mu&$t6l_nWtaG6+rK9pjiz53G{fYOm>QBtu^#sn!VW zUZ53P7MYc6B1Gf0=mjDn4d;0M{8Z!a0q`p7*{D9Sv&+mbXQ;K|Wthw_lazW;YI6wV zg5VHV?7&p*f47x!-XHZpZfR;1qDLeY2d$p-Bu$bMYf^L>yU0P_oNxXlTw=wSXoyI4px>T8K8312(+}uKj33A zE{!ckdSJ{`nkc{>ts%OxdJVfhiQ=HV7ED5tK|FEKG*c3B<>bm*D%;4!TH)%UKjmU< zo{?F@dpd>QVFJvQr>1RQ!f+Sf4R+w!A29OgUS?1Pl(bKh#fx6gN}Ab0R9`NfEgs6D zYl$Ep#b$XL-A%1zv^;7xtM6Nym;449e3X?kKZwHcyVw2|VFvT1ukGZTBlx-)UCXmW zfBrGL{xnAboeb~fS|ETldOfJwo~sSyuk4?8_N3XK5gqGKJgluiGeU3^nzDJcJ8WVB zxeRdc@?bY==6Sm7V2_cA`ZZQyZ$;3x%N+h6%(c<98G05dpNr5pczA<;h|hsC+lc;{ z>zY1YtpL18ksd{SPi2SWDSyliCtL8i%PGJ9=WW5ukv1|HrY5VrPIIs;!;{PGZLJgP zyF|;MYh2WV zC)_rpso<5Yu0$SdWQidn4?AR4h0d1JBt%1{Zv%79pN}m_uu=;z+;qAu2Zv#7Gn>CR z%K#=F`NGy%$j#MUb|`Xk|JJMDB=FgM6p5H$1CcS6?H4v1bP70CL$1Wp>subf4XT=k z5X9Q(LH?#Wd6197<2PfCaQwAyhlcV;(8CC|@IAHVN;7cv;Hijw z)H_ZW9x6tbsx(=AM^DbwDs?!H7KIjgU)uNB@sX)O(p87^OP*yavIStb4g}gNrx$qI z3c4%%9B)(;@}m}Q0De{cc60^$B8VWe0&lmX1l)-==!0_ToBg5RKv2QTyOXCwkyWI~ zG6Xk-+>jO2L^Ania(+Cs?lxzQ8?n0y1>vOV$jJr0+G`-Pd*}?)*o>-SbRUFPP?q9G zFH5Az%r7LqFG2-huTF}YP5D|ozoOnv4G#RU z#J4oDU#j{??Y@vIwg*ofRLlJ~b>)4>*Cs*})mh*!)}yC>k{uH7h9M5BWxSOT^n8S} zAEv*uhnN^@sZOPEd2@XM_KG zcEbb#)$tchY8nD)&9AtI^6|h-1k@dtEUYM&qUrS_I++GHDml0Oy@eXK5vW{p8?DiE zO*w5oxXIa5qEA$@gtn0AL1}fNggR}O4{$wB9a^Vqcnsjal%k+cr>`G=c+VHYXvoN( z9fU5YUP#QJyGSgbN4H2i(fx!>^7^0JkZG}p9IoCKv)E%Eksl%5mU~SR>dJq`1P%Bo zS%KoY$$~Lk|F|L1?;jmcjc+*xI6K3`9W^??kv%M%{S993*u7sc(1$l=?<4v61v_ND zrH=j5)IW;n@BBQrMBc57?^)2&r5_d2vR9hdRnza{!(XKQ88f&en0rT^T?k$kr&Q^& z4o7B&Def9J%6?^+9{xr9oZ(YNX%muaISG7gA{9OIGqq!jJKtTJ7f7c#`sjL``sRQ# zZ%1|+K6=%8tp@std=>Y62?>Ui`5geT9s0{$#8fk2!#R4Xsd2oHC+t^3a_{z~kj+QZ zrpuqZqWk>ju;06fcY3T5Kxe}{vEOt%M{F!*Usw@3pfh|TzuCLU>s$5SnE9DuggB5(gSPVKu70=WAmgk9cu-+!XeU_sQ7+288O z*!jhIu}2^AWO~#jhXS*#^4t=27g;)@QVQF79F=;x(2%K52$7N@9j;H@s1|QcxMx2 zTwGK}Cwo~fysM}TwHCY^GH_wG6nvRm1Siw1M!BS@5lYFWkP%GE)k>El$Ff;Ayr)6@ zdyC*Z>*oDC@U$<-=2bPp2lAuqs!Q@h==SJS^{Oz)W_j*|q3)TPSLJOx-w!o}{&0xS zVR>i0ZdZip+5UTO<@1l^N7q%Ct^^u?*|#!mPWx!6y0t?|jAgSL?5a?8dkmotQsB;d z;m1PN#ro;T^P}skOP|P(R+e9#AFXtM(i=TpPOKIBOJ3;T;I!O?zP=&!mm5NV1!$km zZp?NyO1@eIuUc(me(RO?8-VsW%ZVAUlz%F)R^+0 z7Jw`5KQ91Rj=#4t_%9lR-xtCi7vN)kP8t74z}*`G8~U$}+5R_w_zaomRG)j)4}K5k zxekG=W`8c~?J-*K(s1RutpN9#s%-deLiLV@i@{appBJikUBipvRqeMef>-*VADW-I zf1(@|^sAV9RrrD;>J@&wP<6*Xk674h z-U2lKvR>tz)$v*zgSRyXpV=6^y)pQ##^4=*`}LWN43piPywDpk*O}07ZV3GrZ}i0m z%YOm1&saSNwqI(%|H(`H85Z=PfySS!zuVw{Zw$T#D&Bzh9sJ>!89aA~8;+B`(ga+` z&10#P|7xMbeHKV4VeHd7^r3*a$-jN-{hzxOn&#dQEO_)DS1{0U9#+_`=~2J5Vw z@sr~A|D`zeF+k~(zRKcCpY>8YnCgyGm8K`@%o&H&>k-ezke&6i7XjwzW-+KzIy+Qq zzZM)r)^(QV0LgJZJil8$si{h9*%-F7UOYFa!F6QmP&X9Z(}J_1t|jH(USfI6u^tkI z^#bOey@hP7TPG(cccB*$F)ft#K!n4CJdgPcP${E}CI3Q|-%jN@5s-(x{4Egko1lZ+ zN6EiP<@w7zq4S3xyeIh(_^JCM{BCS1fXuT&$=C6h$w|Jcg?X0k9p;Zmejnubg|frI zgKX)I{6|ArAqIkS5(v+Ra7e^BQiMRgwu6<9K~jbVzm(Jv`a2tvlHn%Mk=a6bOLCASZb)JOi#_YsSd+^K&(5s`$tM>YKBSKQGk%@9|dH% zA*oqA1-UrsAnul89vey$+@_~w1m_{TZBu)PRAav)sW!OAr)8w-Qh-oka@;K4A!Rx% z8f%7SNkR(3lhqi+-B2kRdnIM0I0C{&7--x|Gt9&GHm24LX|Uz^D#u2(q*`ugr_j`d zlB!&)aPnQs^x*8ZL&-RjTJBXG0pvi%kvic`wOnf4rmkHCGPIVU(`hYV zrX9zs+ps%RO{(J#V6cjlzd}d{Zf^+Tmn1xdle+VnLHO7|ae_CFjBo^MH6f_W<#-Q8 z;7f4c2YIC<6ePH4zgQ6hSz3l!=w+Kj=%o-EsB5OBn?>jh_)#oqwCfdZ0JNpBYC10?gyDXS1=@F@qTK`9J)pHI+Fc>vp^A2UNDD4Ygz|0yZ3gm3 zD87v$Uschr4Qa#TRkW)@+Db*^=aPv%S1?VIKn(@hdcZ*T*%PiUz=)vqlrFMWCjpXcP9eGoWZ&)Rbg%jS8$vdth?XHF^k& zE{B30GZn1_N6s4EpK#p+p`tySt>~77qWhufde}QXQ_->cYqWjBb@xEgr4VyqrlOPc zE4mc|bsOCUMeN>fnTn3cuV~MN>uwJf9olR~^WnNPU>`#;jzZU6lc}hH>o!85-a^cW zq8-t5ZwwW!WG{B_MCQRUoZ%Q~?>vav0?=FXiJ5_FYcYpG4BwK*2Bv6FYwiZS7IP@X z@c52U3`Ozr*atB0-=%1LT$p^slZSy1Pwxs7?ol*8O%6*Z?^QHDB2P!&uV~Xj`wjRw z{RYDDk3R#r2($+jZ4b~UQ1+mr?Fw24Xb&mcj-b&ULi2RT9*M@;9%49GJ{*c}+FW^2 zLeaKRv?CO4YPO;$5{kBhB0j_UM5xHqF?S@yYymNgA!ZBE*>Ma-;-+RCJD`Gs8n52T`G0wXv5~PqZxIXk`eEx z&m4cGdf_w2^e4yC)Tnf;P2HYLL7pyNzwDViq1au>JsHDaW%DhBm$DsHekqGbe|a7}Q_D8P}bTuMfP5tWkh zXc?)S=5E8-*4cKXhFEG426fVQ@STt`p-&_UDZ~0W327NA zj))Mb1NUoq?ZOXIpyP^`+ma1+oeU4*csRcu{KaL=boWxQYZ4OqLn;@wix~D=-ecNq z4idFf52weQ(mgvoUDn&w$UdDEb{OeFpX5Dn-GiRvKTM^6r21)731aE5c%fTcnreor z=j!AAw5iSD-`J^kd5Y|KcBxHGInVND*xtFCHlu$u!^!R&L#b)py{B_mE_JCh+Fe+O zddiNJjFj4DI4C7FMF`diy*8vcQiK97Ow}bNBjq5sVX1MO+Bv4KZKdou!P(aO6h#!rhnAM#6eyII zpXEofJ*yUIOF3%Wy}h}+f!iPM?vTlTG(;g746%ZZ1Q78L zBF0dne;70x75~DLput2EB`Ba2-+Q;Sy&o-!n@r}q^V#pbdGF1esm4aJv5c|zKiJ#| z9lMav*bL}n;gD;Xjxcmr=nl6cipulYVHl}s|M^|#BAMgsdZ z3Zcmie9fL)cYG6cB(=hWqFi^mp3vM#T!}WOeHIMj8yc(L+ST2$vFoV~0v1&Z!bI1eiBE~Npc6; zF~f3b8E!ZFf?c!G;|9BbJ#xk>&AOmp1>M` z5`L&GOGy$lmtm464*uLeCX0z1QK1qukCnrid{76?=FC-xpO&-#^`kqa zozj!p@y7HnCr|F~>n)b_JdmSg&dLs?-H;JZE?_Ny$Ok`L1dS}%w7z3YW1211X}_Bm zvJ=20SoJ#sfjR|uRsh;k-c^;LKki`HpQ#eUCE<%=KC0$by)?%`H$7_`X2xG{m zKtrp;9P{2y=)$R>();<#`^ds+@Y#3?Q1GTTlEg5)7)E=|WaJ3455j z5}=7*sH)!!Qe>Vyht#;CuEEGX$Zo;V{;xiB(0a5_R)Mu59#$1A>}raxM7dR+V_*x= z!yA~LQ6pBqhf<~Xhd&oc*GOM0wk%X`>ZE&^wZVK}tUX!<9W7?IH$T)z)#47%+~AL} z7>xh8yY8Ok<@U{}12wd)Z8z=}N=z2542+8TQnm}AijpPU-vw`>!{ipiS~)bmt;V>f zEFpnHd-T~*Zn&kyW6sx(KcJ1*6N zGFm^&n;~i$i5&CmDKww<{WEBIxIAnicNDttq;4WB=(baE`0P5=#3uJsn70a*H?ZyS z?(5&Ko`H_WrI7F8l*3k%!^*GT##~@OK|@fpqpm+=3JlWHlfS;$a|r+$2Lv77=48{W zauT;5z8XGpQx=byu8sa>qrjWE)RW4(O)ZDZ#-mgmMs4mHjTYjXu(G3-0?LOYB7$2@ zWE;Gu2RY0>MUA+viY1f~)EhcQ@IKK@dKV5gzJyxH%H2$LN$3%yC1 z^(u691t0&ntO9hFK$E4}gcC4CM@-+AKmZ2Fpz+Y-Y?h)&xk}DT^dFdrg3gYf*8mm<0c!i7J9 zH#d7tj^)GOJu#2uM?-m(dH0OxI2G?f6s6QZA_0x!c)j^lHw@6CZUPAa000000NDco000000I>l800000 z000000PF++000000C)lHRZDN&HV~FtBSqAr2-+s69Oly02AqAUaNzj1HDben9c;aB zk(>gqG?JN6q`-$=_0*r#KNzGlqP*)kc8@s}B|woPzj;5nktE4CG_OXQCK>G;(Y~?R z8tbnvZmwzi1sy%0`2)>+G>P;vEi)}t=cI!tu;{cuN8T?&(+Jzq^{pelPscq&pbgK@ z_0y|O@_2lp!HV=}-_ml1&P!dxp$Ij){^piXn*K$nn>Xey!2gf_!ye#jpbFqxI>uTk zcxk*W@GgtfboOPE?3=c;vOf2)xxWSZB+mhkv_}Q|#!~eOFO43-OeyGL4lFvf7g&z6 z*Ctp94#8BP(){G0q{6E;cw>~?24!klKoxYsEFEM*mtd$mEjUy8OJNcCXn^xp=nh(8 zy(UZ~&sOx?J(v+D_%?W`q(TRkHBC=PIuUQEjK$h;31yp77b=vzFY$sr1Fbc+6-~oO zhSZQvP`$yC+16S{CY&>c6dp?`O%WQ@J|c-uYLe2#U$z4OXvs-Vb>PDCEfyX!Z+dM! z+Q#*Y7e<#dI%3c?dVL;Mf@9K8lWVg@Sh~W>ma#CaEh5X_?%73>Ujw-)IG9`6;EHe! z&DakSdN4nn!O{E{5ZfPvA=*~SLM#;mt*k^Q)?!ya+=ff(&&l_MYGiZt&Zk%{d*22cHbKu;V>BGmsWm{>g!n z&Re+*-dHyRm@5>dyv2&rX9&PG<5otoj+B?SPsI|wkesfo* z|8{T!OJ$08k)C82xoj?oFR@ANV

#x^&8W829_8{4*RJ8$yFw(URP|5e?(Rkv=P>gnf9 zch4Nu)H&UQ1qKFIi3tAHf`R?{I=H{InyI6crQIJgHZ~?!Cub8T7BVpxOB)k1URF*r zRu*P9PG&Y1Ha0R9aWYv;XHzl>6HDhW_jVkJ{~e{~$Nws@Vx<4-|Fl8U|7ADuGjG%k z;@>Wxh=r=9%0HedA%xHrX@b@#%@T5Uy4JnZ1(tm~n$xc&HMvIv{A>K>`t&2iA|miO zwX~FG1B_%CZA&HOI4Oi91ANOYR0bm=y62K#YNhEBq~|UlyIOCjCe~6t52seqOfJpM z%?~D?vz#tk53@WEFFn;tRokG)$&>eMmaiShgpPGMy7gA~NQt+0yb5FpOizQI#||l; z-E@pvwru`)OBg4B!bsl7(i?UQO3f*4lUBgh#T&W)N*ss=n2%&Kttl^XdD5*K- zj+@mT=zc$e-QC15(}k#jBXo*qYR)(?R)-5{sTg#$I7ST+$HmqlMY4I$E9JzMt!7l1 zoRZi5sJlNkD;m$tBpy~e6FunHja-<;vIov(RrIf~udjsSnwMT^=EdABSOQ);E~1t=mqM7OYd?)QNkP5!iu@2xPq5SZ;UjKZCxM z5fsxa^!7g}gi>xW1acUY9j5VEy;CK#C5sEB^!x2PHfY$??Q{&J)S?vwl|v3vI54vX zs+w)R1oWI|+Zr-8ttU_GmH@3+RwO4&t3{l#Don8sjJDt9F0C2dIqWiUicfc|tjA)Q zHJ2ImNZ1YMkM{Oi=N;tgiGge_W`@~XIZe`q%rMqfT@k_FcZ9TvBM7fWhv$V$n?pkT zUZJo7VkqtSr?J5O|gG!j>E3@?l=!M+m`PpNH^u)xy%VY_BS&4?v4?S_wg}d zdA;v!*5g52l(@pvw;7T z#mB)%pU=w{FUGF-#Lk=Q#~&oN;cCL?p0fdZwy3L;$$964?qJoH#25^Tm8KuN%-V;6 z1>afrr}0gpV$>H?7qU7Gf^6f`*VN7FnB+-J|lfp{oj#B%sMW@BXfw(@xi0 z#XTO^I|m1zf$`la;Yso5&d$O)CrF6H4rP?s`k7D$zmSi^k1YEP2QuTxM+E0wZxBGU zx>aCmdGc>IKri>aU$;QEBYE;(XK{ML{9k=zbQGj*Xp`vBQ8n`LX!SM0c;k?h=Lb(G z8sqK9%DcBllV9xT{iMd&um1EGyhEloRJ3Bm<`f1yp*%S)RGgbZ)40nGg=P~2ZU(K8 zzLyuK|F6rR&S6JAD6f(`}eO%v&#r(W6=P=!PPcs}&{OxGhyfIw|I*2?aQQ zOmU9W*ZecNYDpB;)7@cf5oXTcp9j2%zhpS>ucffk#h$hpXb&LP-VUehIowYt+InDGMz!HNupiF}ubI!<4xXkK z?fwm2<;v32xox;^8QBhID9?bFZvz>}im&UQ44!sgdt7@3K5wxwgobf8YdRB--o_rp zAJqFXY1|CoTj6Vc6i!RO%r7=QzOiXmw|Kd~|012YwuOAO1vEt#H|y4(7~C%M7@Bm> zOkzj?->udr$fm2&ULB|=AwAl6RwSn8<0YS2E`%=*@3!r%*LB}+o%bviJn?VpW4;p$ zyYk-kZg7p}{?QgXbEz3N6>up_iDZIJm)j*XD6^R;yJi(VfG+9a5y*rU2w(cih&Ty*=~*^V}fGC}459f(9&36KBc>^YUTHUi1B| zJBbIG=8$Bg#bP6DQ?N|ufx9Ym#D~+le&NR4q9p9hd96E` zseL?&i&XCzgt6pLV~UO-o7iR`t(oOqV{X!w~Nhy@5&=xh}U(~b?=NBXz1^6SWMFUL0gvVYpr_3>~aCn3B~2{h$N}WV8m!j zm3PmczIw%Iick}XMaTmboJ)lB5ICNaQgt9nk2if8JIEYce}#ve%*>^J@@d!aaM0Ju zk{W-H@muu}d2*Vtu4%nDkM}MC`t?okwj4RCj*6T2h&}IVG;Rr(@?m(x(8w@ZfB+Gw z<0fn8q>F;{3-Xu7kRXnu;wDxyI75S(kKkpfxg*gVnzvu4v;N&oXRR%r!WI>{C)xOI z%^9tCh^A?^Wcp*~O0#B64_ha|%JDv=bfq!Dx|q9)-wuX9-F!)YQctMWVR8YfRm0fZ zHAqtm7)A>5S0UrU&Dd)+0i z_~Fq0QW9z6iZNV2MQUtPed8w_*hPnnM1iC*pAeUv`Um@^A>-K({A&g}au0ax*`4=B zrexEiyVPdtQ3Y_II#G4Et_$*NAMT*2$K(_9zy@eJ>zKDVq}N zpp>>chfoyq^I{JrXwW7wro%srzqP;Yf0el8hRAg08sU68jC!y*58?ZAPST1;n}C6Z z9Lgg?rg_63e+FAR@p#OH)DLO#^wLUY>og+fBdNkv|C6zwi|-4S;iJA{yzI-_^EIH6 z2!Vb|PoS(VhpR3ae)Z%2t!AZ<2g!Wm^v@7$ca}2NQ(l64_>t4Sm!8z;%CZBSQIE!W z?O(=8uTbgH+zGv(;;hsLqy_=H?azIP%!e^dXJn?Gm=(wKf~V4OjAJg7qLb|O?q)@* zN?UJK_PS1{V|-(I{sK5k($)vozkBS_5@6`NF-TFi)c}jLHwBu|BMBc^2Hbb=ycfw8 zAzf|w*L1G3@uDIZ^!Ct9B@$6=_yuN0j(g1%ULgzX9FCm%bdncS>1yuJI)#g)kws?3 z<`kQi`L5&sk3KjRmWrn5AWcDL-5&a9?(L@hESl*>z8QTGE^feG6IVnC3a41Y6Z#aN zY#TflsZ+yK=yfA;C*$wvM%7v3Vev&w*53pVA`xL8-y4#-6(z6E)k$T(|19t5%lWIM zRNX)jUFm~8K@ye9xS!h87$fd5RMlK)egbLO$V1qLPDe7Brk*W_`1{DQOtgT_lZ@_G zYa}WiAQTkG6)8~^R0)$Ruwmhzt!{!6VC!&;C+2-EDc z5|2_Hi(-9_9u{Zu{ zJ)LmPXUhncMogl12cg(#d<(3Cc>TxM-Hk9`bj%q(AyVRpaF}n|%c}q~ydr#v- ziw()7B>>G>VZ7ibx1lN5ViNC%6wsQDBB+*NS3fbKo1gk~C*w_!_c_Jnx*prbyK#J8 zoN+3Z#M)u%&LGmMNHTqI;3#d5&=m26AhjMg_NNI-3yc|Y#z9Y1C=2iU+b?-;-@o%` z3eTg+0K_{Er|dm;&$g2cUi%1lK#FEbg>octP1a1W{&lj$%rm+pbG$`N5Nz>rw)Ij(LIk&anXsm;=l^2A}-6WQ~%rd&qle06iVMAWtxhSUQ znIBy7z=f!JE{9VG(q=Gelo%-N@y?nx+D;mQfmD ziZLH>E(&uHM)VCMqMD5rIv&;nk3G&Y6yg^m6>1f z2}S1Mejg7nT0uU8O=D;2$q+(EPc)Cg=dDH}0B*Pk=D3`-`)kzuhSV9{`9@+S3a(iQj9MNzDBXPHA;jY-pA@m?3(XoVx!RcnYIH zX)9nD2b{Z8quB_iN5MB8j*8eHSQZQTnwK3MXYg*AG|y)ofU!BGQT7d3{xQNVZk?3P zIQYrpkk)Cn!-1MeC4Vc$$jggFH=WKN6Ti47-4Q_ztEv;r$}XLH)@absY}R98H{mzC zkqn;SNelVYQwApLAp{BU{2Y%3lpenxfYRKYchJxrebB%ibHLGzQg!qb0uop0d`#1c z1>^!7Wwz*Ofs5-od9p8?0*|Erm$j`3)!G2wJWjw+4Y!Th424mzp197p!RfRpu?^Jy*YE_e)N{Gh2v*=3pEy`GJs z?d02$LnOs>&VY^jNJuot)X89a@scZ#6QIb!itjSIa>ahzs&l&W7x(a4?N8(Rg^Ftw zT*Ef8-g?N3pIY=JQnHm%OS0;8u#9e1mj#aaTz{l8go*`cHtd+AE8O@%ClhK;| zZOjb6^5y-l@<7$$yIrXqiERZZ4gjD9`FB_NB9xmcO;3f?9=#kXu6xb20!`uaba5Xm z(%j0{aYbJGPjREnO&)2x#Gg?$g)8tV57QPQ@2q^A-@_4(x>bBy3Gl_59i%mVvvJ9< z6`B%}Q+ADXO%^>B_wMe;2bz;!GO)+{E$(mvJ3Y_ou{n% zARyVpnjf08?f}ftET$m)#7kEt!WO2~+b^fIKb^TA6Y4whP!Ipp6EinQY}R>aBXSP`_!=KBUlT4%SuS zfeEoQcs3^`jF)^V;xifNutnzt>{dPXKNeen=4!#9)g*~lCwqQlZmHK|TjPBG!?IlknN`` z-}D{AH`l=LSMjw5%w-U(rG#WuvGHe^0-QBW>&9>S3j2Q(Wd*`i$U`y^%IaQ`f>;^> z9*hrtMpjTSqPlR69#O|pcGbZ~G6(?9V;+m#_MHTEinbctei$yoC0sO2to-Sc2>IAsMlmtpMEtI zB2iV|a`D#X$t{UNc6D)$as@cwN%GbCOh*c=nW>o%^Ftwy$7^6@W9_?26fY@MrLS;! zZ=AKsr6f2|XHDBj?HqYr=}_F>MSY8(sw@u?*SX0v~KLRNsIM5Gn)Y0tcJUM_d@Qp36Vv| zjp0SH=wDgsk`|7E;WKUCYmY&!LrK1;kD&0~l!D;FYrmZg$(B?nbJti6nDv2la$xPZ zVz3nb7LR@p5}%#JgX!=~SXQiIcz^lZJ>Wj;778+81k;tg7Pj?Yo#lG)so7M1L3YtU zM~evBibB3~52&6%8u0Iv$&_S!--v)N@%_^H&Yd&1-Fm~M+}{6$}m?Yu*!$ic&@X0<)Al~gIu>3>Tx?N zf&*Hv^7w2TF(l=IwjHrXZ$q}Rt_j&Ec}fAMY0~7c`y3rgnB&Tcz+V zTX+N!^)dGU4A2^92G?@<4N`|r?;gB!k#gU@4=2N(;59#8usFp`W$qhjUpi$h@+y!( z@-nQ(HhTi$8&KTN6t`6b?qZxKqG;U0FZi1{dGV~#oukVvyd>a z$YCmkegRGRjS3|{GchLbz!Wpk*CQ*b%1x0 z3*=7dGWt6zs5H6NjS1oq+yHu3qnIV1<_0Cq)|kX~EAt=huArQE;cWaxXIEljPu%UP z!@W<|UR((_s~LNJ4!H@6wAKU#{zU5N6nz}^8`=bJe9GV-Li_}@rJ%={8bfN*HJ({r z>%XJpL-Aq~@byy5R%Ne)=r&-S9>09%B>a`FodbSR!6w3GUb)rN_ecLQUg#vFK;ut* z#!R5_*5`&QutMd%8~U@)v81bwQI+kH5CxcmjyLa&?`xw*2p48rx_D_aq)BIse%IZs z3AZOWox1D`(pk%qH8x`Hi*`9g#rbAJf}0B!aVJ-Ph1W>vr0Y9^VH0=jLPa4Lu`E5M z-~TNcO@u->Wos|Cvi=88DjjCV1Q!Fk{5yk15T0yGUPc2Mxf5p?d@6KfEIqw3V1p95 zxR&Ek_0NcyQJ%p4kKNL?u4QbH3_oTQ6`V;n{Z5Lk{D-}TK@F=qXxHPQB2A$(&q+?+ zr#1Y^j%r6iZlJXU{jLE647+B%_1j;ta})9ey1l;rH5`9c3`(7}5to9vc^+A!UdlRq zVIvgOBD#ot>|!PKdZU7ZF^;7XICE)*5w9#uv5$GTq>@hHz_S8XD*E@eUklop%whG8 z2c8>eb1S8YxO$G%T5%z0lZ7Ff#G-3d)$nxXmHGZwYSPK=`3jv z+&wzr#0^OeoElvb-b>L=ER!isOFT=N0t1ED(tN{w@^l+vrr(oSYo}jv)ZyO-mp@@1 z@Fl%^#Hx93WHjBIH<2|TMW1DAgoq>QKl=P0dqh5Ymp?z3&D>jBBi_s@+}%;09r(N8 z&Lqj>Yen^K2R^uKu0bM2F}g#jwH}*Ifc?Yws*itF(}7K>iRY2`Nj!k>OAC`umP;ur z`VZg_c0*9FMEbuExQ*Sr50xrbV0{B5hNr3(i`jx~dRWJd+Uuuv1NU$IhQimmYvqJT z!~_|HhaHSs6)%(-E}=88M?OZLo+%z+YpJFk878{b4O=s^NfkZRNeg}#>l0mR|W-5LRhwJA=4A@3=fyJwlg zyR*(mWp-zh#lom)(|N)1WB@?HhJ#n6Wy~+Gu0dW<5K1A)#WOM|!bhJ{*8* zq^KS&=;H28+qKeazYF3_n!{8OYCZin(^7yv6{4XrPL=HPjE^&=!nDrf*~_XS3_Emb zi8!Gg3>fN0>u*+-;`T-lah}ID;88#la_=vi3%=M=RrX&0iP?G(j6x#i_5FYh?O2|u z2PiLF7p3n{Uhuq_!1F_M>1{0XTJg4=X-Issx&;rFvm1mVJU~!d-7tVkLpkvRH=%D}sb%%H6TxTl(T6ZO zc-i}lQ$RbXY$;a8Sd#Y7kfQHX`F275Bj<4vTqXt<@upScoH(CQvqj!*WH{{|HWi<6 zDt)if9gR>ky--c&3}9K3gV%@TG=N5y~Q8TXE=d2}KtdgXnQ2N((CGmcLIlF?v~URF!`3kod?kl5y@j zGChh*SVb-UvSLODss{$EMWdiJ{ma*GV2Hy5WgL7Y_G!6C_k>K+XPV|`o!E=*dWmD+}ObIr%TsjTlZ9(;W5IIgY$rWhX; zh2559Z1*sJ(Py5eizN#zpl{6JJalNUpTLaXiFL9tRAT*H*O;PdK{sE{@pWTGwEzGD z|cy>%A~Gbt4Nadh$Cz& zpo1Uf`PKkh^&Y+ql&5s$ew4_q{eF~V+}6*wuGGT~?l`-sckH zGQo#`4;psk=CPW#R4-u5M0wg&zeYiL)nU+sJENf67 zoN*bCF;PpQ^y2#;v)i>GMbnOCAumfy-J|%mGv%i(|*5m`ba1@KK#|EBC_EJ_YmuU@-2hB31gVyB5s~@T_)4)KjHR4u( zS1q-GFXF}Eh0AMv(~s51QNnkGemBVNAPe^jwyr#-swkwyP;1J@?eOiJr)7}@I&Z35 zm9)<;OD-v~-rT+&4e`UaO{5exv=6kGQ238ZqboZ|`Ril+?=sKAyE&ERCflx1Pao2P zPECVjDlrV3;Qph%lsnysG=rwx-79X`o=&HTn&OJpr84k;X=1nv|IGf2W z$=|%WDPh!I}*iJOvSY5ptw& z(MR^GV+Up%hR)k^2wgP&68R;gplw;}(Uq>O^4Zlx+J>*FS7X3UkGeEeYsp}#rVk;I z*1e#VwXMQ3^f#mvOT+Wetdd#UzCMn{bQ%msHrHhL8o0u#-0d5^-(dE%#033&ZuMiR z-acw4&Ww3})xDKz$&v`$P*ZII=e_p3OZOz{3&8itS7c|Jn$ijBHc03zJcdp8f`1vMxY(_cg#!-4a`#9+nh**uVWIuuLK13E4% z_f1;lxNj{bLLMB=SFiT2tV3g4UvKQL!n#}dF8yQX67mEWje5rco&xvqPeua7Uf$XW zirpT)-<{1reBUF|XYuOmP@f6XmubHp)aD6XCLtJW<6kmX{1JYi&<5QiZzyqISJpv? zkulr`5}jR$E#@X~BUbFz1EQhDd|yk4yxmBws$ax9;By(pTpXPQ_;%?xXS{13bIq2_ zA^k@Zq$!|&P3lK!n~1}x)1Z7aeh#O0^r>Lbn(hnc@l6CE;iFCSyO`%ne`6#Y>=>Ug z)`LD%q{od;7hi<`W^*QWqO`Gje~VV+IRly0@Lxsr9k9HAG5&gNrl6k~D3#IhBU$Z` zLo%w@+EFZci5oJFlFw6nrg={s!KvCs?52%ATV(%M&I=|31FQPn~}i6v5Fk(ZCRE^WM7I7Zc+OkQYKk-%*?t z-zY{fimp{u_6m_CLD1qVe6%`Su+t{1h|0eMSi3TNog*M4d=p+IjgkcO>ET`?hH z*$h?L4*R9ev2?zYwoo5QAqwp0hzlFec>}}Bx|g65wxdeEhlrpy&x%fvYe?S;8`1AH z)Od55ZqXlx$;Xi?A~|%(?7*@kk23`gRD*$kjva(t zvlu-&J?azAYB*D=l57Opvlw5|?b}8!P^K?wW9y!Gel?w~G6LqC&z_zdf7q1vQLnBj z-jA&iSC1z9Ff8jZTq4aQI0?FlxfRQ`SvN2s6kbvnxN6zBCX+PL=x;AIxi-pp;6=V7 z9!G+{3r=WHW6rg&enBhIMuRzyc7g9Yt1Ba7;qBrb8QJP$$+*~XN6z;Si}W&*@Gh*7 zU4O-@JIAkSl`8OU_BeA9&O{5IpbO(x8? z31=*D&&e8F38pwJ+C-rral2{2vD+FJS^WB+VoPJ-#^rK2 zPVn)A2BR0Y-rnRzZeUEO?=K!pcT2Jk!|X}HEsN*BGdC%sJj32_%~J;kt@B78zwnNR z;g6f3w&*&kWx<9KkDHKc&^qK>>CYs6;h>BYvucrWtBRPBUuWSNx4FhMgF_RNF}3?_ zTgQJt{k8ANp@eK+c1$V1Dm3J{frRq6*S>JX?)o+X7Bwc)!syD2h-w^fhzZxc&t$%B z7|3P=vE8H@Uw_4db^IpMVyiXa+NaB>r0{P}6FN!n37a`YIRNM%7szkByRE zI8snEeLDjRWhQAO;(t*ENU!x@Px!5*ug7-g2(6);XR3+E=!Ke?GP@8eQ$Y>xoRjdz zVxWf|Zx{LSO1%FV#5#`%H63GS4@=>BvF~NdkBWQo7ofaQYsf#bPCoY`RKuqh#L}B&*H?$uHMl_)ME`)7+9p z;}S~y50#r^UAfV@=#@x!!^0|Efv3!^LY;8QZ{>i-$KePcAZ*GfM3#7-Y`f)r?cvIE zc1`?yBdHHIqfSDf@&{>{t#@oQ7l&S@Ld@?Mg>nY-YUWOe)-a4=1yZ8ju2MgV=snO< zU@a+Tn9ZUv-)sl)S^Da>D6d5VBZVO4sW0ei)9j@KyQ?8+xKi8JvSn8MYU7<@&x}q_80Xf_XJ;)f-;h)=Mr6$ zHSCT9jY^;cW~Z+NHW$iLd9lLP#@n8V?e{->ifKrxo5k{klEI@No!y|Evy|TE#Pg3UG*gABg(0;J-W3ai$P!|nPEpRl#{Gm!JAh4~ zjm-Sud#{1@SSnnU{>r94VJ1;omCehOK6#us#i=b>f}%jN-yscB9(noQ`&&re_R+#M z2)|Lw))7w$@wneDvvo5@__g$=2_*A0YE+fc4c#@b*PE$J zsN21}i(uRg?RZezk?ZPiZ&$wt=j zW*$j(PF=QHILDRF=fYbIDH#uyBQTOdQRI`|1gr9u+N4`?xyOCrz|{Jj$)EA+J=}4A z@^|yTp?Es+g4YIa0!;J-Y?mV~Nv@eO|^wCIPU4wT+nWcsvL z*?HK#g5;K;=W(GFgP@Wtp@ zcSb?vqi(wU14;Y2NxQozQ2#>zsb~~%xJT1wb7Ub_NT_@&v$Y zJ8n)l$X33{$X={%*goh*#}!n-$bMK4Os>5BRsa3UYtH7E;MatC(_a(5-Tq=nhI`F@ zW<=k?*}_B{*iw50w^Y9iM#q0V^mKjF8!?TaLur(8DiRXe8pgvss&`bO3ZwEn=@uN2VjM{znMXuJbd*j${$=Ag` zw8g{Gba3Zyb8k@PgE2-4C1y?i`J&b3)y#J0ct#}Gvy*OIx2Eo6Jp&+|s) z9Sr<>AeYfsN52!y0vFEL%Pb?Z&@{;(LvM6nz(eiqWra`bH~%v6NLwsy_yj8RqDh-n zSlaaKz&Ow3{6;atS6mHC0&hfC_<}9UTqW357=rL9-es;b6A|6vDC1nVqD7YpmM=zv zTyU8n<2W$@d?lo|vf@86j`@tf#aBUwdm#G~VPe`SKSJ0SDR5SP`%zDFRvh!CZ*{U*PyMiS~mn2L7DsIO#j& zI%8So-H?q}q%ujONyQFO?giL%%!b~j?V{#F6r$*!qk2>3U;)!a>BD#Q)8?$-lDgn$ z4n?6!R_N3T_B~vl2Na=!#JhSZl~VQFlV}6&=>9piFEk{91VfnUy@DsIQ@A`yl%(9yt=i4H*0^C1>IsqJZI$S1N-2Aw|31JZs%Jxhe>eV3|89Dlq@ES|>6}%xWuNV}3%m*#l|L#NmH&ibO_LuR z`|V5{1M;eI4zht>Lqxf{BI(RhdW9OKUQOGW|k zFRuXjHq3ym%_zM40`P2p9(1RR>$mQzm*a=<7uoi*=1Sdwk6iDhSDSX57b2*}%OGq1 zg6--2ejgH+Y1aL(bQQPbymMIpIORR}(PPy!oBM|T9Xt$RjvZJtZ5<`$Kba!zVdT|rV z)B_Ev5cv2IdU1)mzJuzGo8tq1{l~ZP5}vB zU=KJ3kD(j2Ns)Z~ZDaZOjxH_r_4gRvP1@D-XP-2CSMxZ;lX)w-%0jGDrbe;>a^4^$%fOjUM(j-)#Lh%iK!HEt^oR5 z`mYe5Fd}d6E(`n!2A@@64++pUzWQLE=HO?=evE7uK5b+l?9kV%2s@wPZqvD!^?omM zl|qNr&R;dW+mD&{w_JU&1^UA^WU}@2&!B5ke=k}m$sHpr(*gn30K3{dAdK~*Kb!9y z#V2y9=Ue7=VUGN>xyX=-jv;AbBFbtt=*pRtGZjL@ST3g z`Fl+F+$F@xVmsGcmFq_P2&qS{u=5gW8@gimkIqs3V>92Q?;kTV@3|@9Cx?aO?@4;_ zG}(WV?eq**HRg&Ms$GGT<;-tkk;&QDV_jymTG$N=Dl&zxBJ>LVTvht~&ux?C+OT=r z1oF){;N0GK9zDFrX`l|vK*X++eQ95n=cMJIQ;O9UR!%#oVJm%x)zkjJsSrEg(Z<}D zZVrkEcIaA3mFV$cAZUcFzn8>Ma#&!)YOJDrDbPTm@mntM`)ge{C5V(xavc2=zOw@_ z9DKuWZ{h3AE=oo%oWG>Zzu$hFPwS=+`uH|ubMeL=1g@H*)@@|cKfxhPZOvfmLIMtQ z`Vc}W$sRQZzbVO&Y6|#gc5(c1u=Jjb?0Xa>>|7{(C+mBp`7u-PBqm|y#hK%EXSmyj z$X%TcYWUMX@e9{3Yoo<-j8^1FmREE)@*!A6x3c+jUbMUw^!ztJFCAF*BrEa!@o{>X zwYu1vw?0HzD)E!u8a~bzIzUyB_!_5;^?{wk2bH5ucC?Q%xuSAaO z=Biuu4;0^{d+-L&igB}=G!Dd~Vyn6jcf6gH)Qg*+KD~tQ&D=Mi?v&j}(QlG=dx9Gy z%wH#G(H%fScha~lm6vKa`u8&K8|#gc>R0utg z%v?!|tL`>{@Ps0|JNT6MAJ-(46^y#Mc*5t0Mz?3dU0jiEhA>LYws=_oQM(*mmLfuy z3>UW1+v9Ufav*wEL(O5}U`;eQ4_9ktPtRfK*@e!l(DxRxr4Jdt}L|wnIVaB7}uUx)uc-(KPnl5(M>ZWAnV>9uVrv4*BUGT(dm%{BB&s`@h z0^t2j4u5#4X`Vv2+XI2Eq`sn;9D}8Prgq+ou=S}Zd^)G`eBe%QGR&atyo_t0^z?1I zZ)<<_V~X!`eu#-BNy-J!kqm^h6*_1qd)6ipYiS<6BII@}!@Vzx9aV$d9?xrH`_|yS z!47T-Gr)W*u|9QU2MVLK_znmv$v#6kw?j;JrNHW}VLl1=T&H|An5vBkB1)0yr=_W3 zT3`g!+4;#UIKelB7`?v+&rE}-$zPsGP1b6Tp`vWzJGC-Akq>UYH7WUrxqpp}?pN=4 z%&k;k_F+_i!#2`p(mSf2b0SG#>-u|&6j*Q*MXEVo_x{~)ml?FE<3g$n`06J zt~7Y2cwh3dX^kt9l#%HKi9dH`$_AMEnVzu&Aj2d3cB|yO^EilXwex$zKtF}4Stt=k zxH;$LR$$@LYSqCK&VGr8|4=dtcSApgl+pRNy%vR5IIqLh{TQNW#Xjg?x2W1I}BH{4PD>_uC{mk#GbZ z0cBn4NX^cXHrU}htQWeYK_02FtxDx7YA8b0fmw2fb!6)GlF?N&c3^iW-Wy*Juf(uz z%9xbz3#66iho>Z=iOwbr{-sS|6r*k2brsi$8@I_;pUtm#Hdl%?NHMkij$-7WHo-_q ziKmMZvB;N zo2WQqzBD4Qnm#IidOMtlANJ&Tl72(_50z0I#qXLqZS~X}iXOt{y?;r5G|x5U51N}bbeN~hybYAj z`*^G;A;0#5GLE-;i2LLanLuHFMZ5Npl#tD4vX4i|TjNKCAKi)$Nkt#L(2K&{ikHWo zhmbMC<8R!PPqv{pCcaRNFp-!y`He;u3Q!>O!yk!=ZlU}g<6EzK+)pSxQ&9Tb zs`ngsrGtr^YDga`7gy+khdu`|n=sRh$XETd2Pl(1<_K?LMpyaZq3_8k=|yW-ySWq; zi&VkIy~y2EiLf7YM!$to>;=NKwSE)g>9eM~?_{d^??!4~h5EIBd*!&N#u~_m>+s!W zW(X1*4P!C}70IaWIfF%aS13H2_3}sdwny~hVh6h71~N0jT!ODLnwcSwUdYKG5yqX$ z9&zJ}br0fuhxgu)f}PK)H}^fzBRzcr=DNN^zq99Dn@2u!JTXV~K8g(@TKH!1ztIIA zcKr!ux7L9LI^1ZS?01_T*JeXwNaGo6C6g0v+173C!Y8=h%%1a48VT`0;Crc9eAmxC z)@hnJH?7%7#^1bd{yhAc*#whLLHez(7OKo#7+AW`qh#svcS^@ixtwIS60WY<#m2XX z!4StEp-={*@E90CIg&gzr%bj|O&Iw8Ld`iidte5&y2{tVP~6LCa%{qnwT8H`qWGUJ z&z}r-yiL+)2B%=nUZ_k49eN$^R)G0~=+h-mQ=wUp^9mEbjXkRm-?CV0t14wNi`;5$ z3?uPytNctOe4EEJP~)jcMQe0}8Xp!S#7|qNY`dBhNPw5`u2-!kmDeX{2~(|>|-L{mO@MPu!N;2_Wy>YV&@?Z_nwLTYorGAXv8KL zc9<9ALrQf@?_FhA>^zw%O8m)M9ep0t>Rb%7h?-1tsL_5VtVB-a4A3z{N13~CPyfwO zk{|9YDjq~d*UUFHi_!?de@Lz$w}6%GL*e{0=3@=)tH5=rOC#D#Fa#PM8id3w8&0Fh z&Y_3tj%V06`Zvk;D*YAIeWx>Ywa@|Tgh9cmyBmvtO$zvrk~V# z3M`>8hWorC*@RT?6pjQ5PsnG9PN`;zh5U*NGLz~>nvVh0#)^bre`k$wS7l-qWsh~D zmtf~vdf=Kx8mn;%LaHxpMhNrvxUi7yZTc?2_A^y3MT= z4VPgXpC>Uon|ywwe#_T*|?;Wli#1bm>mczVy zD{9}gIFhxEnIO`5d+G}(n&ahyIkT26_mPnrJ$*1vdid8T|Zfa^jgkm<{z1AmRWu*mJKVL1@;HB)Z2O_4#BW(RM-( zs#;OeRTP5I02OFkZ9ii427X8_-I)tG3v+k^(uTqfC#dr!zb37jKPVQ52p~D|b1%_$ z^oHvGgRd(f-%7`Bs02U95M9NXsX}Wwg#i5y0N9GO|D34;KPOq(MG-9z1hnTM8w;mu zO@qh7YAk@VXh?n<&sj3aVo~;7x1-9E6{KR17F?8+AVS>th)4wXTu0KZvSUa0KoyGo zPXMzCO!lwsHDc2|K+{pEh)Nb>;UGeqxketN(xDJ2YsAm`+z16XWhy!s964+B5NaV6 zof9a!wcU#DjwxCRMR&s9+cOoNmA^*&#azc{(Y8X&otcWx&#&kngtv6vAQUmYw`VFk zHNT=GVy-(SP;^hb6%9fl+k}PTeI3?x-4)1Gq?yCp!*$!>x&tT?g^I4g`T#`kb%COD z8O8SQ*axUgFqZ(e7oc16iCKthOEI$$!*9uL%_n9@EZxz5ioG!qgH1J_J%at41dY9x zd^Zc)YV02b6K)kWW?)#l>o!4S|DYpp7c{1uhp~T$pw&U6bMF*1=08?-o1if|d$4R5 zv_b6C9h&CYIETg>rw?M7EAcJ&j9{YiYwVo|#gV%Udv{(Y9_EF?Mq=Ox6qYaz{e*9 z!@@4FIqpYWMm+W^vXMjk!>ncZb0~XuzuG;e`cEG_aPrjt2$~b2yT_+bpTJFA4BS1Y z{~{hR%i@G~G*qH4iI7U%pSo%;>}W?_=4G@$!|WcPCZcfnsD1iqN%Ts)>4}?w3CNz} z^&6hP2_@ZyoRhKqkDh#M!eML&wvGIaO&@A&y#C_{7f;|5eDPD2jmIvjk46A}@W!ynqom*I|PPA8k6Opy5_ackag z7~4AAjzkwrbV5}pX~#{}D+xQ}goI&3OhOWp;0Osy9k^e~YZq=1kHFPh?u|FpCw2GG z9FOIKoOZmQ!W5vOe>XiI;LYsHaRPc*~))BDjlZEG{QH+G_3o+3M*S!xq0=NaA% z`&mcRcEm?JoNT`_lt|>{87S*QMd0l)il{oiEwA^)ChB|_s#HTwF*FO^7BT>1R#)T$o zYbN|300030|9AnEmTPPjRTRf>U%dN(Lg|A?d9=2*YAN)=QrgbW-rb#cXJ(z5EnP_* zNvqhJRQezg)L7$}YDmCn8iim;h!t!gfQTOm7=uPX7&Rs;-)ftn(L@p@RX{ABb9U}@ zx7#*xlAF6b_c#B0?m6e4ds|ovTf`W9|AXEJ=-6-?W2>MK`nFDLuuna$M}XVl~s)k zUHDai(5N}!YJeBH@U{8jT7Vb3aP$1|Hh`D7uyEfTX4F;IH!gKySl@g$*MRaemIKgp z^|v~qBX~LV7#PRvrY-BCOp?5_=WYSgNMIjFA(X|y*X;S-=k`HIQgsd#;F`^~h-&*o zQlKI3Gmi(~&{*aEp8l@AJx_NQcl8W3X43?dEpOsFNh{aUlFWbxvuT#3Xxzx53{1_M z!tfBcGM+QJWXM6gl}DGeFJYj|eqpl)X{tlZc0p4KXe!sN6)YdzyM6LPo^YHgxOYvQjw;?(iqQ_nh@1&*f5RWCOZRadj8VD$R>vg|5{&U0upiu*Ip zfP9J{jM9TfWI~G%O96Si`h3R)97QLI9cTu1!=h!_K5roful?}Ipje66$yPMX0Vtv+ z{M_FP~ z>b~d()(nVvaFR{X$bx-)y80U8Y^jX<-MEpR2d?+mvm?SV;U77ugg24urJl*_sEmXt z29TMG6}3Z(*N}{;9hMYhGg*D;^|En<(efNHWJ-8FRg$@&22y5l^^rjr-s#HzhKUQ)1I4a}%1g%P8TlY`%7|*SA zkatg=a<}Ii_yU(V8*R(VHgesqri=Y(IT|B^8VsTiHA?7A`NXcj_G zF*fu_(hBRygsY?fOz93T&Lc=KiS9(P%St_6h%!!I*^PE$6I;&5+Z35^X9wZkH^1Gy z3>}RNAvXvypL)o^)~ok3g^);B_q4KO6p_NKb--GpBeXw*+jxke+>K6uLo#e^pgNFSdW`gHAuza+-oo0!!t5sj zB|9vC{cLahv4f5Mux?Uf2ZL7U6N6bimzXxWu*G&SkGFA2-m`rEY=**WrS43LR>=6Qm(f|Jdg#VJ) literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb new file mode 100644 index 0000000000000000000000000000000000000000..c2bcb55f6aa9fcb3e1f7566e540437d9c123862a GIT binary patch literal 1545 zcmV+k2KM@6CZbv@=0000008j-00000001^QJ00000 z0000000{;F000000C)lHnq6xXK@^4)5kU~qTTuyuXuSx#^Rd}nw-*X}QxvaDsT!zB zsS&|Hud~^W%=@%V+L$U$ClK0`*_m_Bdp_qRw|l+bz3^VX`u*O+@c;ho`+PF}Joq>{ zJsP|_IQh0*=ih$+e)#CYn(sSdU*OnmUvhjsn}0hA@9@#Nul{1s-LUrf0`LAdJ-EQC z1Larux)tbv;M4HurFFyd`Oe_|Y<_UuTJFPqay6)x2KQ{@s@zj0SI%`HVd9M)| zJEB8#;heVZtpb|uEg-|WE}V}V=L~Q*ZPl)8I;)K3x>zm)z3HR^mWyU8qq#1cZJ@Vz z(|~3U^bo15TK)6#X8Tz)PAY~)QN*P2LRwSCs~!*6RlI7)HYV|~Gvjy_d_h7C?5&(I z!m6u;5ydD}=2DKL7^RD4PAdpTRb9t>E8}AlLRu$`AO@^p6n1BokrxGPJ$a<|^<&{{ zMNQbe!{6;{*~h+!r6 zoe`g`^x?{B|Ezru_8P?*)`wA`zPG{%&F4s=L#t-TvNtUTq{?L6=M-olM*vnlr_|;X znebA;8oj6RC>0}}+_1`{w2_05=z&qoP#3&Ph29$^P6l6)Pyu^ULvc@GZr3X5DzPB= zf}|M9W{iCGhPws#6j&d%8}~A-0(Pvzps)f+_%rt6)`nH`RfEFY!Iz{a3dApt0tpq; zB>5)e7e_&=QhgHX>r#yJ!%{VpMk#begE+$A2xBGqGrAzO5HyN*6zGxA^??!EkH49H zK`Myx&t_kRq5&(U)!*Rk3uxSM5}hhy0VJJY=b|_YBw`S-!zZy9u7aS$!#Jwckp-L( zV&EuKOY;f!aRopeA-bVLp|==_0Tpl*_##nY6?>szS`0{)NvSxwrYzuSzXF5DA{MGE z`#uHXj3~=BMLag$BW-RKNd`p(B$6staTLg0Q^dZxrbHR(T2w0xB;no|v7(eSaPpKm zvOcCFe)gPy&V;_T1%z@FF zW0idKlsJmtH0;-671uO;y9D3B=pL?uhv7MCG%y;%Z$J3mQ~ote+fdmcC!QI_mg1ZF>ZU=XFJNUuf-Tm?1``)ityLx&} z&-Cs+RWnu7Yi46XKtNO?L4KAH5VoHW&!^Tjb#wyQ*^;rdGqX84n=rGIiMs%-P00Az zxX9R8S=hN)*jd@x$T03m(?$1 z^?pwKr}g*G)eZMxE0M_?;c5-~weT~tvHR%2=)%bAgY+UJ8Aws zr$leYRJ?t;9clgZS?&=AQ%gOEmg_Wj1L8!cv2+@htdxhJW1IR14bi+xFI;7r8Yz6nPB&t7#LI6T`xmHJ8G=4;lGAjzHD%c93u>1olie+@k#G95 zruwtnULzDPBDmML1BAg{+nsU()PumHD-q1Y)*O!qUE&O9wd`jB(6&~!#JbNxd55o1FVF!@xgrhwt49rc zrOV5u3i=(sYvax>_4PXzkwV?Ns|~Wr#r|z6_a@kGZf@qdER)~Y>Lrt}=K!wHr%Fp5 zQ089Fk;+~av-~O)$tMUSJ>E&iG-z@@MvKgmX5Vm+Rxcp=7s)Pq2Yrw_XkwYctX}8v z>I%cO9LG|rW<^d9 zu_KP-uD?7tyYD{MtFzGoSzg=!?u4yPt>HavS>R(=FpTO)B5%GL3`%FME8u4sfE2y! zbPsI28ChBZdf>^5j(wM9t2SMCE;N^ucEFV5s44yfNE38hJNQTDW)R@e%IvnL?&>|( zYj#yP9;{TDm}*+=s8pY9g}=&CtHPw(^8JXRC#S92*qHQ9a}=(wxARQO>))H3y&~U+ zsaQO1=E`KQaDxKKh410}hhW^TBFBd7hiKmX6y7!$8jJ3+Nxf-j`+O?!19;KwSNt+G zFo>Ws`4b$sNhUPw!?t)ogThUWk(1TA&#yp}ne%kLHfQJ5n7_D`sU9ZmV^|?*zD-sV zms2h9ozQ!C`@kdT^!-uya*A|^QKx#yV_BSfO=ZvX<#@9~_Xa>hlDY8h@6^pS(#<=- zll<9Fm^(4_<&u1RktvjpPG%R z2vkqsZ!v$T)*svVtvWV8<{+wXh>3=_32PJ^Jgi39+g)^z+0)-i&t)mWEdZ>Gv~{74 z;apyIb>b`V0o>I|cfe8)klW5iz9ZNB6yU#izbOoK;hxT)bQR!G`~I3*w5+xbhd;Q0 z6SSl)VAwld1v5=7bbe{=m9sQ~gKIJDcu2+?{n)vEK?lzn#1G78aE+`&xZWfWbSGI& zEEZwu;FveEDvEVm!3DV&Z)UL4@nOjK$_Lvei%1mkWx!OUk*dgq7#}e4#O*v?KWc(9 zXzx3mhfCzx=2d%iipt6n+L6;}vX#N$KgLCep2lz*ql2+beTTa#^_zE;zQc4p5&j&& zuI>`9h}+2-Q!?roK}TcOd$=%p5u5lo^(olhbfg#BRN-&~o~;H!;+?L5Y-$oCF0>nN zlAIE-yY6oDb$p!M3(9dHe}ZjY2vE>PK2hSioyfE2)Erdg=RW>-C32BNY41;%2cb$e zbX*jX{&3xqk?1cEu&GMs?xm;Qs#%Vmy`Vc^V^DvN2lblt^=siTkT=dWtipSLjX7<_k(OOJvRreQ)6IfiDKUFW-&FNw}2ISJ) ziWy z1D5pw63SS)mlj+Powbn-)SSlUo#}Jt6C49C-GdW1oqa3)DR1cPC?GVU&*`^Jn^adN zG^sKhrNxp1Qy!l}pIhsDo|EqWpPLH|Rh5a%iRUMO8wyFM#uCf0iNF&xaB)0+(aDk2xQa^>hfI}AvYD+g zeDvHTDwM(1R8xFrD#MA3D%*>?yDqCW9qk$ogq(&~)*`el3^2o=5C7fyQ* z=;In&hrPJ3XW)O;8eJDzk+ME5Vq0Ao#Z_`g$K|*h(uPI6ZGO$lye!0yK0q7TPTf;v z+!?%0-dBG6u%SKp**rviG*!f5n~}?7V$&9cB9MHW2n0WPFN1NK?bw}{=?{K!e zofb;qDWY*m^cRXhl3L24^9d~+C5hv@5U97~Ada;0Je%7+Mp3V~qFGe7({;N?M&+KW z$j<06aoou$#doY7d_G5zA=jhuMUWL{o20oo#6JDzCKo;vK{2ZBLw4U^!}v#bbB-xO zV|t^(JuMCzopNl>9h-d9{~{k5S!-cp7#=~qI9NI99|CEW^-}u?zIZvDGQNHSF6%Bb z+0O5ek;&HzR~r*S^M-(7owF@%z{FNflB7?beyV_TY;8^B+tZWNd^lY5)q!RM%Wo^ays@Hg_C-Su?@~C=p zynnq_#E-l(XV8+dqwoj{Yc5`OOwhqaBy~zqk}9qfDB)Bs7z$1lDW()8D!?JIOMPqV z(X^)55rtm2t-*yz%Q3QQhr4J!%jRkQ1SqP3`CO~`ZVpmMMakW7GrHY@$6k89nUJ^d zs~cRoqcb128?^au%v=D+we)c}eu`}JlnQ6%D5ex*E&bGMKdU8>8oIO0t&J*LF%d>W zz6AQaczJ@?SBP&Hl%le+_9l7=wf#FR#y*+xr!!re4DSi+k*BKn_{=tG&!Vfdei?yPX@Nj&KpBm38RuyL7RH^t1(RJ~?B+_f zaEg4irbnq5RbhcXfQvxq%`r^~k3(maW3M4L$YHSR2E=g}P@}DjVgQdFXE;?pRUAX_ z%s)c*JzWSr*#p~TP)Pt@TsCunIxN@*E}hX1*gnO;4&U9_>=B*M8#9!_tkgA{N1Zk$ z?xuRCA3ze}v+DnYTH4Bo)^fs*ZOE!BZjXn?mghF!UNstz6EB#Up?KC+;!!nF|7a}#q14(Sku|tjQ(MHR+9_R>p#6s zlPu>tRhmS5ZMFqj!(H!U_21`T3nk0q*zTLznO#j!T}^d&N0&@ZlFaADDkpsZdI4wg zMZ!6B#b=+~^b_vjYY-ha+ z@ja!QT-9T{_%w{oN-#~PlUO-S-u{kqDwfII?LW-`5t}L z*@_&sd>I1A?SjNUqu8#Anca3G5&1ys0rk zj~XyVt=%UdC&RH?B{G`m%NAoy0rT}|gi#0mtazO5A6)Drx*lhvSWFh%0Snln17m%7 z(5)8i{%J{1C9l40in_p#d7!Z=azek}gJA>J+HTcteXdzxR#uqMt%cMJRg1fgys}^e zD@&Y}YV&`4bs@m$OuZQep1tvYw#!9-X^ayH_Y6c2BucI9B$>uLp=7n{YLKt=@j4 z499Yn){SH1kWYs!?BIfy_A-WxzHdavJ5$1E1?#Z?2J)D6Ti6Zx&#XtE;y5>VU~`pp z*l?C~IB=G(UIsN$p5mM z84MR~7V+JyJ5ArGlI}O>;u;y7PjI-LA}P61^bv(*^nW$B;y84+!CMS*j=DH*1F;#b zb0wuhzB8LoiTRYuPnjs>n>f3vU;5X#K9ZSi2>L-IHl>3#0#9YuCeCdF;w{Iu&e67O zXB7h`mGTPAnppB*#8fWZuUqgas~T<0jkjcky>JQ(lK^&hVieoC%#D#yb{Uop<<-Z-M#QO26upxW2HZoZ z2A4T!v@sF?ZqJk{kx2w*p|g=Xi z-@%Y}|I-RRnKc&})pV(#UEC`xbYWeFPr3B%MwF4zKCh3Z*LLL5t; z`pIHqGS8W9RI*^e=`tdHLNn2iSFC@7Wz0<+jYP@( zqE>p9qdM@MYZ?vdTzv$S9nSvTTtAV2zIcp%FTV(R#*RI%G!|3nXvhvE_%#G!xF0_; zp7N}5V1$to;y)$a9lWEN+MuLeu`nZ1?q&w$=1i{EZgTaUBN1TIEPuu+pN9zbH1-tA z;a&U96ELxiR}(kL^tOPI@?3fMXM7>!Xs|9L55KZQ_SU()RQq4U7(m)8cQ$%Kx#ZKR z%+cy9;AMbF>Dtm-GS-0IrmIss296Zu+q(-DOdZO!i=TwTr=A6l96#iG9+zqkUe0as z^8+SP%M~P)wbF?v*#-D3*q8S_vO!z)lfq1zC0|ldikTnLY|^v^I&fqR*}0(J@|c6Q zg$B2x?W#kzdXW+SoLnEFSl4I1kN}v0aV-mm3YxP-2V||ehGyBd2_AXkc z)~My)NOLu^>HKrr5B8Q?9UMJgd@98zEUcTWd~ctQ-~ePw7G>CN@UvYP{UoHw{P&d6 z7E}L=@Xoah)5;02zLtIUDb|c2w>YtSp;@p&r~mPLn{jlt0;v;ATVXN0r@DDCp22Z2 z`i??&sj+t{rb{%7OU{CKdo52X+O97e@FW4!kn0|LNuw)!W9r3Fm}D-m`{bG5K}?O9 z@cj53@8b0EFwWWJEeF>l?cm>JtQ$IaabFFUFx}`yq;h4hE>At+`E=POlbL)+Sul4D z6Czd?D^Fmr^RNQz-Ow6~zKK0{miq~N*IgK8M?P+aEH1r-NXaR=^oeuQl;y7Z+R8xI zS1Ia(3hkyf%hN^J=AzfQchKe7`1(6FkJ79crcx?K$qlm?6H*PUQ0&sG2(J;*@lw{t zluFhOQrOe`g`QTh74-w1ppd#%il z+H^rxJgsNAOSc*nPn=Ahi?7;^%!}+Ti=5|owd;vyAd0bcb8#*WCtF>&_5C~b%mte? z@;kLH{ss1Rf}5c>^8x`*3XOS>7YfvTPu^hrhHnzZdLD35%4mHlZ-LOqUX3s#Y5|D` z$eAvw94@5Kif&5hEy+P=KTmgrVd)gndU@%Ht2l-alVx8BY0N7n{971feH z^7?2ca57D`vD(UH2MXyy5i*!;mPgG_cyUOQaOKCv1`gjTG)*3g$B0BzfDPIL>l)I> zwLBZ9DR6~_2JXs*%Otgyjrvx9Ff0~Uj^k>?F}vxiw*9c!1t3s=pTT2OZ6n9PGgCSx zS;7cF^0=+tr$M`MtiH*hvB@16VsDYd2Q>2vAsKu9;WFpm=h{ zQtB&PMM^p;3`A7f*L1o42x@^Y!|l1KSyfI`VSEx;{I8f1{XRhdX3gHV5`oQ70mfW`!Z4{T*!I1em!o90)nwm4aCmccPtDP-J); z2C0?2QSGY?2NJ~1YtKnh;sVE=hRJm@-oyD#n2nBXh3oyXp0x$|C|T=uA~2kQ_X0&R z8N)u5XPN>O86MMcXCF_i|BBmA9%ot++=OX9;=Xj!vKPRiJYdiEG8Ux6KLI5?K<-a^ z_Efp-9*Jy!WOoyD6Ozh&rVt2GuX|#U)*7hO+pUS@G?G}Of^CXh4bXewR0~sg;eTP# zHb@U;m^g|@On-^vX=7iN<&<7i_y*-5nl8dd2YPUk5G}ipmoRr_D5*UM|FQqL%`^cz zF^3DD#855>CCI)y)VeMo+Eq>8+_mV#+L}{w zln(E4q#Kn(`pT{qlotO>^`*Iv-RwXQWKW>rq--Qj{T1`*h=xFA{%)XZS|6NV!zD)c zqKk3C&G%%SA0TE{1su8?|HYN7FJ+#d^-6$wwV~9Xn-T7$MsWy0fzar7JxIZWv7o_B z*Z79Ze9(yS_Yd9{Nb;N_B5M$^t(r32zZ1^KsQ3d*hC#XTTWn-P68-E?3W_WVPx1XI zMWpN8!E(v74(dri?vZHPEwO_240qeCWQL7;^VCV@?54}!GYc^San2?J)Y#rZ!3|9# zs&o+q?$hp^)IpDg+`g*f-j1BO5t)oCb3qk@s{jb2;l7yXh!?`)vz~Xt0PpqRWN$b`PQCAF*5+Gy zZL-h2vG25-R9%3TSW$QU(Me@G9z)JEyMPufehUVGRgDkvPzB-Q?+g~3YKIB|k8p*Z zWamj2U5$3^6?yBX&9nz5X52?4`&T9+j@U>4kaeg1)Vk~a4YXem;!g~ zAEF=WyzgC~nLTJ#>fMRVLkacCL7)@rl!h#!R?NVr|Eek8L!C^0h6b3dy-zLkjss3#@!w$)yKc&PxP02zD|pAmd>pLKD&EgP)VKpY!``_hRfgN4D4S$5v1EOJu2h82C*Kr3cP8DwQ9OBhL)0- zT;uxi=ki^I^t_(8(|-@m{`m0dxH)6lAzc%@nS!oe()){&{Nb~x0)}5CeKUHbLvyF8_cdU z>OJ|3QH=P8KQA#9cj;^0n5$U^w779?b=!)YZg)1tLBHr{CLek99?cMsitU=?ZJ*7M7DIa;td}(S zXNYx$%PwXtzCE-z_XPI4>7ha-oJ&G>su=w-eYHg*pSVcsCm*fbcl#ABHE~gc7nQN0 z$A-hzRsWU6C}z&>$2ROJLcNc(T1TLt;kI^~)!NdchVw%y+A!*k#pW=7SLwI;>#CT# zunpA&SV{Gir%XB}g_sRlHK~2Of@$5?z)X!k4wy2_HzH2dq-`_gyRfbsC4Mi1ME!_q zI<+txXIv{sikjw2Hni@y#e-9czbag%cqg8Fd!=ZP6db*jF_f;&~DA|3!s6U|(;#eE2C-}i0i&{!; z5tM^KTSf~zx3)$M$p<(-*C&EJ!j}>%&Co2$p~66>P@(!kMY8oU1@)bS`QVyY?6R-ew*2Bv3`$gR!$wA+hB6U69qT(b1!H#fHFph3 zV2;$6+H?xlRzG05RdCyh;C2J}|q-~R}ur+Ax(REoPW^)X$prM)b_a9AG> zd5UlTSNuNCs)nZVr!opBnwB!tq9v0jC$88|t+1XaKO*uZXkb+)ucPu02lT)MMEeHdKnf^5`f+bS~gsg zk<*FbP**X>`?MY8Xw$J};a=_NW2AHoJG&}LRm@x{P3YK;F|<TJ*n<=Td0z_)S23naRp8-`C_1LXl3yK`l7HKoSVa89A z+pu?Z)sLQQnfJ&KOjTi-ZVX?%^6>Z3>1hC{o;`QJUS@@Sw~H(ov10a`BvjOU^c>PY z>Ap9k%+`N##*49@VXKM!TJbWJcrpV+nTME#e}Iy~%a` zylc`sJGPlHNx)k}1}4oZ2X)Kije}^;|@ zUx_?VfES&>Qw%KjE$KRUdy?2VLtEQM^NAC=we&mmddt^&%fGk@>)arHhV(n+_B-Tq z{YO%+m?|TT(ClNjIe_gra_iUGliuqNCZ0LZsoRA8%TNL1$*b z(gd7?;QL1UA}xraqN@Jc#~C5M!EST?F1r!hbJ8RspxjdyA0rxci+N z&fokWZz78p4+aoFuD=(X>%F|?KRikJLHsiiB?=p&3fIkQbBINYk8-3CXiuny1=Smx zz2^JvqIG~spXK}xyC5P(R@n`jA7xKt=xkJ1)L>q#Azom&-2!%l3@8K*oZBH8j_-uJ zg(!ApG&6ruDDw->8|oK_okf0G4vbiU`KTqH4E-ip^&|pOG&HC}xMRu)NE(*`{}R-? z`hXa!QPT$6&&ZFk++b8pf8=&wvwpzbTKJzvz7nXGDXU!?tPkfx9N`njc`#&)cDvK- z;fo4fZ%n6;mo_x*ZPJN8WucH7rd7n;E|&MrCtQtA|Lr4Ql+6N4rf42;%8d6|If{oY zG(l%j@O$Y@yX{IKI#oN0U$-*kitgPgc|)P`h#Z$F-B-`6}hd)q(o9=GBO-4Ypk(XKqpY zDJj3lSGUu0inn8VfjxXrY2CDnZy_&nCtdU~k08-oyA|`#)5O#I199 zB9(l7=o4)AHK1_af@q{oy~}Ki^sp^g{RJX|GqhaMN5R--3*&}_ksMnSjRGZ&0rT}` zP_lGkqj#{7JU0BtunQFT8IW;#&6`S%%2B=0Q&dQYcUd>sEwp!;J?lpXT7tPkm!_m) z%28CRXr8?sf3JZ!(jwAH4#B!aAThiKcQS_k5vl^`Y$H;O4noMGA z+FFNVRB=6>en);h(`o~kjtIhpW`5wl4(c+f8a6lTC|l^aM#Q4+k%O>X4wDy`XMN&n z4Husx{j$5Okj{PKrgOp?an6Q1iRsNiqV;mq&(mVN<*Tcg(Baa4nw4eMyHR{%yYUoX ztR-E>3*<2D*t@weTiM)4RWo(8NuAa4>wwy|U!F?^-ZnZb>+{S@pcKoq=W8z2N#6k$eu3X1;(`+n&FD&5VGMNIFkgaAv1=ksz z`MN&HY=z`*I_|iYZ+c_;FbVX;;U58~Bnnooqo{qac`2tqy{tL*+$G4Iv^`4+t&KKJmT7H4<|(o+>a4OY9@%3L?`1SR zSJ??;ofwXSBfvg$Pq(QR!GP#q?K4WdUg6lbJ-^WkT<;23D9YIsYO;g{Zdr(iFp-8aPj-of0zB`ylFpujJF*@A*{}o__=ldjAgN zsnMcqL(NwOkE28M^3(#(jjV1_e^N`j4^|P@(F4*6u8ET1#~7EMFT(5qS0&obZOC>Q z!$R_o5*}H7|49@?{>}@z9v@up<*+NVow!MaS>a6*kb$TR4!Ac_-DeVYkWGbq71ire zhzKKdzaOSkS44VF+aW-N02iQI34ZNWXnd@!sw5!j62vkP83316)$4058E9EtO|ZO~ z=xpp+_^2Fa5%ib;D_v<#Jb6pVVYO67G+p_2&1T;ktfuW3~0_waR651+UuZchD5mDnH8Dpic;)hr!2#u!wwx$L}y#_CW}86ypq@Y}r9 z=!HK%4kd5&4^FzLG9$Nfm! z&Uvu-7)dObKB3V%zJ!rE1;sq=Uia?c1=eXB{K`hA%msJVO=iAQQ2e;-o}xMZwo|pq zHDuWln|b)gP*&qmqc3C{_^?j{Z^__5QLU_g5vsc|^_ITW*CV7Wfpj(D4Sbh1ZjT)z zh%eWoQHz?1@bqgCTdoeZqg#2~A|K7cD~+k-wW*uo#8DHlmk+Xpvl^q(**IN7T}~;E zdI{=TNaDc2>#FPO;^ybm&|AK}>iVP#Bo|ghsq@{HA*a;vBqcPD;Ga-T`({da8q}>C zz`LvkIH0gAey7T6ZVf__7)L+UmZz+-XQWyQ%$?-U5jg!EQartZp7Q>K%d6CR;_dl^ z`7P|^XVH(tB>=07wKFyWvZ0y~_I+Uq%8#U4gAg4jd0#5Zumxq!p;iJR;`u?!^=%@( zdFK&H3cRuN5ti&!QEgDBIQ%6os--Gvu11U5kme*B*IK3lrhuD5VhdAOiUH$A@NF&} zp-dTa!g*pM#y|A0In?FsPz-i^d)80M*Xeq2ev(7qX-;_o$ zX0At-0%Ch_c&Gs`nS%m3oosS5EB33Qs@rtviC9ci&WOB{m1G&9dA~`fX!Nq)o%${F zaqhoUE+Bek1(m?*@?fqH;=q4@F||+RRw@d6Qv)iqI5LVJ#ZrSC8tKT1 z0fbkx35}3?-Vu^-2e|KxDfm7|nC*yXlai5DK55n-f2>Otnq;ig zI=P-FtIZHr<^0#iL|z6Am-7j9Xcl!nBc$@#b$~Rj|cYb8c}42I>c} zQsP&a6E)Qd0v)||vmxrkjS&-*sH@rb63qw&ujT4dXrKPphAP$-H$^^i=W?#Q{r&@* z#3*m|1}XL}^~Ku))MFF8VM|vJ4cK%ENm=3+t;SNdPWHgVOZ~hP#szeWpt+TSI;PxG ztTDAp+h2TZFe^;^>b2yQHWW_6UN(g;!hl9d!CcOjyd0Gbo4hHS3GT;(M6(R^d#M|p zZ~aW&G-TmDmFLi)szW3S_VcN$^UIF-G0Gxh>(qVCAbE9H$;YGh!UzC$dzD_XCQ300 zs8~ln{GV;HZEC(!&L1617KHMiZ^#26G z(!}HEy_9V+fKl0RdgjrTAeUZNjk(W4RF4K3dd_9=6PP257c%Ye8{#FkPU3yKM*rvf z|9~<+oJDoytHo(%@qhhCSw&w^w3VDfA&>dZ@$#zve~|cJ696yI6NHeVrT4fR3mxkk z%cD-21YJjv#YYcQ8t84^IV0sc^%TY$@LfvlQDOs;cA!CXTE5^hwfI)vugDJiR!TKM zrg1b`xaD6H3o;7oWsR zHUWJRfKcUpEm%_&VxR}{vJSOW30rf);P2xHc?ysCy9sh7WztQl4aTQNFYx`ZUSL&7 zp3gG2JCnOZ<>k9pdH&ueds|W7 zSI#1~M5*w{?is`kHG5k-4_}4?;`iISu6XwBAO~_~fUm^AZPGFAY*O>O>{9clfC|$h zpJIc4yKUD0j|Sy&wa4M7Q{2Ia%luS>%G|-qkB+mmueWh$<*T@0(^*{de?Bz2O%ep} zg8Zkc5I0%s=a*r=%PZ?`ugSjiq@Hn29S@!qUHf+Diyg_neS{t~1>ss6Y(4|lBfB+5NCktm_#sA>P&}8iOW#lZEn|E$# zC!9r!%OK=4FUq4B#GCgWji{&eT*JEj2bSz>GF*c5{Pqj5>>c0Vn%pRTo7X2R^g~tb z)dlv76fQ@pfwF0jlK0ZC9k6Rd<-T5G9ZYMH+WrNze__ABUrsdL2O(nY!n*86VZ;A*RHCe(lftH$6gq z4B|dEL2OTz7p`kVygb0x5cwzUv@a`5O-*@3A5|Pr42;O^^6<1;bl~77866u(TJTebtyKT53(;JWl%T<_|J@ zkJQu67FJdt3j-ZJB+NY9zne~M;EMafS#Z0(WA{RoDU=%s)ESy(RRMphgHEPsUHm=K2mn+(f$1#7O|tA*lTpxk*?okj0o-{!`dO*8uFnyFLo|A zqn659b!<%P)ze@nIQ-d!nq*vZbMAR;p8T$_yvIrLxglBB_>!zrjQN)5@|)k`!27hq zYkn%!=={Z?Qhny4HCRv}`|`V}tkZ(PtBb)Nnoo(y?dRfjgC4cQc>HU9sRn9a2X9xu z3cXL4Lt8xjOr7}MV1c8kvS{?Cz}jzT(%MJ(WNNpYy*~W$IddX;u5;TH+&m~Q?xlU_ z2|eO?uJy@;Qg01zG@o7Uc6r(pHg2mAMZ6d~rU*T{c&=C0hc6(G@Q^m6b=S9`QWCAS z7JDZLA4T6c3JwJRc*`e3aocLQ)f5T>ZD*ZZT3v}3A81*Nfu)`>tKM?0^*6zj12oQV zsbfL&ozId*zS=ETN40xZu~kyi9%Ryzk=PG>v`)^kqI66L`@#40NzZO%0wRE7XsbI19kq&jRZVm{5s(xOrAW<@2?q6V}vckgv+F4ZCQR} zl3rd*ItSrAjyC?M<8KIa&i>;c7KfjMJ#w@8wJPeS;ewTRQK3 zm=Zf&@8aUgMa7Z;v~9_BP+IB{36V*dCeHJ^_+d4+xL*hQGoh%?v$N&^DI?s6A={(G zz^2G2|NdZfNdxf^*Gv;dXW(zEmACwG=^y0<)dkP{4$5sDM{GHO9Jwwk?X!eZ5|6(} zoj&T*g{sV7`tT*c6&9U6(jzW4wobW*hISv+W=8T=W#jF#(I7L@*F^TE{rtb>S!u4K ztgE*|R~RQ3mL+rR8*`EkKz+zV* zo(rjQXlB;?T}tX zjy7hqx6jv`OV{#G^9&{Ci<3j9+D93D#Fb&|?S| zE=7OdeLY-HSqZ%^9FWR3q~TmiKWn|g9ig&?4}=tFC%vQbwOh@j)*nEQbvbrCkpNuQ zBbJG*NcdDY5~qejn8(x&y@p@)zMNbahS>V@EEqOM(c1me=f}0@UNvfurW{VN*6gc9 zn{#XMI{;Bqi+U@ZGX%I}5b~vQ6qH*%vmmgT3KJmxt2OG!wFXZt_Psl;Ji)z)jId^5*QBu!YU~GK?06>JY|l>iKc*$%!F$c9{jtXdssEd zu|xZ+b}J+B5$$=?ODE->?(#NaWBX3xX@+T@>P_tM{IcX&Du2|hVLc3bEsDK*w4~Bo z(4uIq_+xgK3XvPo}B6NQJNPfqRwd8J^}=dDV(N-)<}phsG^;A`a*7ooJdl zYK?P6SvQen(uHf7N0=&gYGx5l>uf?qE=Eb&W@Hy#S$yd5|@^yjW2f@vPW#3Im z+*rRFyw|XSO*B~hG1y07+RK;C`w&rrXTQkhcO|ac%TT(s%edix}UY!t3&q-&wH=< z;ZDrqBLxnC>jHwn?^o{i1Y-N7(dFm-k{Z}t8`zAB>hXebi%S31_xpjH=C%RvMDef* zSG=na-zUH4niT2`q}9}OuYmIS;R@>Hf_>x2yE2b@;Cy6>>Uj_yK(g>l5O{SAI_Lz1 z?U+;pVXJnwYv;!NCgzMqkSLQ_2Z4$bw3{|{n>$|;-LGfP1SX7xc%ksU)h(zD@{e>I z$Inb_)>H5|?wURh-lsPpbBxb@whOnbCz=UZM9Q|DD1b zB^m;v3IN?&^nIPZwi)eBTl?3V`V`zrAz@#vBP&#Z#1PBzihTvr&Hhclz0X zonp)|fT#mI{)EH)yIsHL^E7#OKjvhC%T##T^Q^*z-p-NhLu73)BBPv~95}tm^rbdm zG8s_v6K+MX&GigS>b1{Sk&HSR>aXTOa)YAzdGqx6l{EYnNiqi--(z^pEj>-9{CxXb z>%f5a+PhwL_Nf5zqEr~p_t-b-q62bR5c-dI+=urfv*2*aHKV|{;fvs2ii8c^QP)8D zWsuHOTxgBBB368&s5^JC9)E8F8SNbiRg)h|4zGCqHVOS%fbdVeA27lOA5!6o#uoPAk%_ncoE&~RmjbeL!6F^g%WS0%gciP68ndwEj^Q%k1>6O#l>dbNMnV{cd0I?k^6!S0&zEumHDb`$RJXEKn$DE+cw6iekLZAs=xcF(L4w&& zOd3iuL5~R0Q;9!kj&=0YbF$@8A}hey z2KE)=pWbBY{p+}(Va&!1bVP@TFm;@cOej{IlM=$!B3E^tQshb>SW{APY#Ne z>|Q!4XkB9KWo>9o6i+t%qCh2MM3v3@rX-yki_lQb?kLN2Z>CBFG&mYsUu9nWf_rV` z1SqeO$mY_g=N;W01_p&J6S>Y}lGID&m=gK)jQy^UBIX35Wx+{_7? zEX#i76Zf?DlECzp?6qm0%KuC8@s_$~59?=2y}Q)qFhNKW{E+j0Xn5Qho#Jp<&_w)o ztNG~I>LCK%*JXC5<5+kTdK9Aw#Aom_oSS5pr#z;5OeMyq4=vlTd2dBdbgnWW zIWW&e4&N+)aWdpHkuK9);#N42JQROa(|LyRv3yvvQ8@z-yx1!)l6|O0*R`v-%~fYU zw8;9QbB0c^1>TE_>d;!ehOl3|d?D~FjscR0?G&Q_%_g&W2pshCpg|hEJZu|mf zv?w>6RTf)eh;Lf7)8@V@nIwg9H4yV6;ye}-o%SV|M#Tooi0a6aB7lP+H^jwOFs7B! zfnLzsxp`MKhoujcVk;!m$APX^q5^u;wx|~6uxFwE+!PjzpK&sA5y6_%Brs?4Vr^eJ zLx*w1+BoxUFoDbduGx9nnA5iCzE?fNe#^j|HTrAf0(GFlM}dRzHl+`WVr_JJl{i2`DDba9S07OUndvI% zv&FFwzM>AL(9nm(`=|(u90<4XKXq)bI6{3X}A~-p3EyQ#6znHcdKtm#$umuh= z6UapH3Vfu@beb~(CVV8u=HnVXD%sz3>=CgwH8otAep7Y33Sv%t{ACpsW!;>vJ6xT%W1vEO6wk(Zi^PRCH#b z=%#inx-+I|B^2ETdvD29bb9_8?Hh9)pGDgSF}GzZIw!xPyAa;eb%RjE@V-4$(MkCg z9U61piGiZK+O2310@)@^4DT)2({-0(q$156-X5;o4%h8RiAYp*Dfasza<>GE&SDhX zyJH`qa)7xIs67DPnorC^R9lLfg&2NIZd*PvJ7U8f?Wfr50x`&{`Rq{~UoU9vwdA`& z&{pI40GM!-pm79-rMqqxH1-cV@)kkkaPtU`ZxysUXmsvvg2wTWRoyOVjLsfxw+q@J zj_D3fb8MW0V~x`XF&r!LE%%IIqVa5eCbhRm!Iqe!69L^1iuf!U#h9bkL($Hdq7xvT zqszU4B1gx3GbV=q=4iITOa~yZB3d^j zD%TA0R06+xr|v3hj#Y8URGhFaPB=VHNT{`6aou5+kq~Moup{kFVMsU9m0Q8bCj`U9 zF3*kWezax8W3MNbrP?26ExVsX*|YoAK2xgy?6CtUPwkJOIT5;heERGO+{DGe-DCPM z;{mfQPH0C%CF+t0sl@%M%jd$5cGP8FM*ByY-Q&|l6z(3i&mJv_UTHTyaT72Bd8T;% z+Gp>8lI}vil>8sP_|^pVX0dU_ra68bhwP&XN*%lvHl>E#oyw7bXhOpD#FH9RZD^PG zQ;n>xT7*kNSQl+rI|zx&C4_qPsDzB&Lr6Ril30)=>_}AKPDsdT2LU%-qpjZ#p*dve z?(yI8^SMxl=IF-_s7RcK9PJFtXR**k1m&oUcJjrzqnXpm<|h;6_>s6ZZ#Rr>ooz>= zizPats*|+idg_&gopD0KuptgY5|ZEu2}&KfU&m_~ZV->a)mrX}H`Hfz_s|@V=eL9J zbw*6LPX!0WA)!BX<-&H+hP{DzmFLVsTJ8KJ@X@BUj}Fh2`8LtBFCc{hqa)~3PsSqX zcig+Fj*mo~ww0hQ{V}c;M`AtE4D(O#N8_}u&EVeHiFSFK?09aeO$<5D@n+c9I-9m5 zKHA}A`;DQ*Fm7Md*(;a0)ETZWQoDM>j)aVa+IBc7Av8fya|HjTnx24oxG+(dgp7oP z?1m-!ZDQq^xVDwB<4x9Buf{o!ixUzKvYVcOBnSyh6CA%ygkh^s6Sd_d6p=+uqV*Gy zM2scQ2@{Wee^F~uZHj|8$JbJcbB{#JZP7AR2@VpU?nqq!NOX@xfkM z-JScJ|2_AdbI-jB%U}x_WADD#*8&}TBa5+>&S-k&3Pl zCNiMMmJ1C@O;WiT1|*BU39sJz@ZTX6L1c-8m|RA+Y}O1f&U`g-0y+YgJAgBrB^d#3 z<*+Jvy?)33D~Oe4GqyMdo5$+l|AE)v|FkVLE>q-;`?#TWaGzs*%ck18*7+{{B0y-= zEO0%*3taf>+;Ahn3thNm8i(FW^Zx%C}YMWaZyD+S8E}I)bc?ru0=&9zL-Ov%d z40;TV<8{-P_0Uq1yuIg6G15q2pF|;)%fQ#{>D}k{K}S+e4iw;;&9#VX`$JNoCFwJd z2j9?G?f%|@*xug9yUSy}gROZq!Q_tDaGj)8;Alx^K!e#dOHwp$|ep( zdqj-Ik`tQvtB^Q#{I|@rj%Kl=sdClJja1cD>@gUMj zpb<-jI9Vlf(`*gSuhSGHjo>go4+3cw|VdMC@cM8s-3$(h`2^8Tl3@ z7#g$?8oC96)$A4cck7*rN3lkrgc~ZukfOw_VVGo*<3D!IpT>mrfFcu9#>!z#Jg9?a z3=DRAJOhLMvEIFFX*ownDLgqn-k9Fy1-ieXBpWTL`qhEe(q4j8= zr~u$DP96-y_7!lmCWPl*tMH>F6rrAiv=u~a&`jDep0zJjp&?9LpY$6jr z8vT1pcW`kYL3&AaCyHNIn(0E6bMne=v=f`yaz5Un$b2h12=BiB^~PoBXj}-nL5Ta* zLq09PaR<|dm4Xt2su{4|8ROtKT6*;7=Lbsw!Wq%|2wJ?;nohT*<#r>`Gv{{P;#o6# zJNX1JTsa_t6N`E^?ZUMg?jx;KKQj6Tvk}BKVev;R6{s+l;#auQMz+CgdXU2em1Mu& zAsLF~g_1-E4PH6gN$;~0Eia%JvLYv_bSau&m(rHH4X~M)npB=+H+T!P4dhRY(a;zBQUP4zfxN}GF@?-|nET8%Mhj9`gCE}EN W{ZrCT5xk0^lbl;=&3^y@0RR8bNX;Vv literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb new file mode 100644 index 0000000000000000000000000000000000000000..fb019a1d5cf264c9f8e735c4f939e68a30fa0480 GIT binary patch literal 30258 zcmYg%1yo!=uy9P~2S>ihFT)7F*nH*^mEw=e+Zs zoSVtDNoFRQn@N86%e!~)s*vBmI%0QibU-eB`!lZn~1c_#wngf zAX#UTs5v+Tur{(kii~Ei`okv~gI4r_yE&t^A^lv4o2Dd(L!wS>2E^gERre0uY+7)8 zOUr%*0h3)BA6*v@8YXr9gdvZxN>RVlfXT;`q@<+PG#RhK-`6&$Tx-CS8lxJ>s^jdC z)>l2H;KwQv`J^|uV^Y%8t3ucW<{LQ@s z(qK!GoKI?_^w4`JVfXiA6#c}zf{Lg6ir}m5+nDpVgM4F+H05?w1Uy$B>?FLTH!J*=7w?zhgF#hStw4{+zc-Ubs~*pUXf^f@Aww?cB{M~p~% zL?u&SHENh}n_Ya4(mDG^ZRS`dYq(AlRK>+ncQf06^APEk3>4^qs_QJ26XMcjPZWd3 zO>@fBUnT45*_|~#8Y~+%hb+;krdV)!!?6X|{rPyPP=8N}O5+lI9Ae`9G+96gW4m59 zg@;(X6=jCXgf*?8Ad}kQ=SJFm*-Z=3wHt|m@V!=Au|S-=Io#Q;&y*%~{*luw(2B@TK1YBeuP0>p|->i&xk~-kpuqbiy{t=>G zvu^mrnWM3tH9&@7CNF`aYOxUg=!U1sloUh0$=mJYRi(RMznBRsZv_w&uzV`*` zVu}q8t@hn;bSiazdp8s+TrI2R{?5z1m`E}QEP(G$=EWYv+$l^6I?LqdAHvcq3~jyf zrp2tqQ$umTSgS6bV|7Jjj1S2O6V$rp3*W+k@)$Ka0`w*Lqu$RFbzZYN<6!eZ2FGem zz#q~16+o>@aWD3K6Bi>ea61 zxTYu>tHa|2^3Lrj(t1X!Ty|qlE=?s1t$aFhNY~3h!REWa;oy7Q{)(%r5uK-n)oaP! zEZ$G(*99Xw@P1VfHL_kOKkT)7@mcpok<94bKa-93EX5|@k{G4zR4b%)--SsCaTO(k!5_!nSRfo~qo8fkp)%2hjJ6yO%hG7414j#Ejv)e-SGs!{-N$;Gw z#En=rj0p@Y##I|%m+wWN$0PDrJ(WZfe}qTq<3>P4DeU|;SE4?B*}Gwqr3sYfBjMLV z;Qt;I^`5Q7UnNh7(!(75%^k8A;%WFu_Q9zM80xRk88~wN+9=>hew;((lUrt1+89|l-8r`;sVKh!h zJ+f z>I)DTB|TA+;a#a6FAYEDAh#*lx02xmV`Z83?o~_ev4nf34IsHC$mXS7{@sa~rOC;;Lnj#oqBfPw-EO-%3=xTHNyRoEvb2d z$RtwCu=pf^I-VNa%t1_xl0x$A)H1$>2+dhY?nL*x<6zDMd?*_A;0+kzR`6E~2p{O!@lrD9;Sd5Cx4}z|~!P{J`3SZGl zak=#80@TQLiz_D_`h?8{ZhKBh0I9|;V9B6Tl#gZsX3kJ4Dnzs3SNivfYS34!cxK9c z9RcQO*2UOfMdq2&3tHEvql6}Q=$x}JFxSC;to^D52C>U!Mt3EgFVKEsDvSGc8g; zTr>+NQC`XD{q5I0-zfi~XF296Q=Iq{e#+|Q;o_it;KDcn<$ku}d*s5fV)pBU$oKqL zId5z>KUGOK@&~Ct`TZ786GYpugSRgFVg$i_3=cKO_DozlS|mdhDa9&?)QoeJ zJbo8}&X>v$pIcpvFxXLBjF3xe`Hwa@M6E*{*@dXbfNX7d#@HX%L*=ynaBKZ*fa4dk z_-tuQxY697sJ<_K^jHCh9VG&u<#8F#gC8As$-EP{#2iD+Q{U7S zOfkiUX2nnaU>p)-fL7id>|ouqyu^XV*QRpcW0m!SO*XTPr8N-|LfH4cxk{$lo5?V) z&oj&XNqJvAu5TMTfYAAa(CkPJF3;8t+b&BRI@uKET$jjL*d{nX`*9_bJf}ku#`d%bL#HT+Hr3Dx9lRR{2IH?3_r;F2qbx8T4})t4zpt_F`h%^6W2K#N3X)hwSDfe?Gh*}fDEK9WKoi>@qvKmfjSI7?j|j1fB+0(H zWTEB?w$Pn^e_TR?Elk36%e{0h*=~WVWp9NMDaP}Ax(B`Cj`!${3ROe8#4{>d4B^j3 zk`4uSc8w+SOIQ8mybM5u`dz|fr9$PhRf|Do(@CD6PQn|D8XE2Rs0Mn3h6z{y72-B- zE|aoY-olD@Z<7;699%PcS&d2fS2nHuDp!gU}do;cyip=LgAQ;{CdgVMiAvbakvNT%6cuW5E{|+`Ol9m9*9#XSfGh7ZL@}@A&*lRve}kOTN+%b=flX4 zNmMV2cPNL)by$eDsn}!>bAc}d8#IQop|*g&_=(62GaL1%tV#=c?;KRKDOJW3ACu27 zzak1=czKv<#{)x#eH0s;X?%{|Bdl-~R!S-5%KC7vGLp$UnbN%XskUhHj|#a-8b2{t(_brha?TO1pNEfr^H(yFo?{{%dR%Po z7}78OtPHZ{XLx){X^Dxb`oaVV(Me0>*pM|?6-&iJP+%RSGYW!pqV{rpn5n_4G`#P5 zpsJ{FBBh!i`mDRShU$3KqH4t|R+cd`xpr;>nbEL653ia7mg)dvHu{du=^rT&H68k< z#-f<^Tasx{`)0UEEN%9+18-M3s~!43s*;_o)w|*>s&2&bY?iCgLt0d{5XJxrTsEav zUjCBVLqyhBw{k^ois;BfAeA8{4ImTpZtspj5V3t3VXP(6F6nsR%X`A>#)!u*yiOr| zZK0aJ(Vry|3V5P{N>110A}e1OM9=PvU_mAZ*V~d_j+Sns-Vgm_NaGhDh96CUg!ZrI z-8bHhvuT&twH80Ks?20+GFy27{0LO?3?BZ1YTn=)u^vQz+Ei#3wu_~e3XmzccmBv2 z5V2o@S`$k{I!sP?ka($wRfu&bV+g{R!)#U^XuZM($NWv${W?ev;1$xC)zQcm(>z|W zH&}tsVNXz|RO07Z&iOaVUraX3=wTp*ojIZ^ft_a)QCf;5+^5EEFhFQl7+)|H;#iEu zu&vb?lwyh$Va+M}+7lxZ&9h38Lo6c_?fvMVu*AjR+d(B1sUZ>NU7DN2|KCuM> zfKEgZPd)3A$e-MtFvk~4nrhQ42${Rt)F-oXLc^5YhnZDyPonD;4*V`2O{O8g9E^le zbrO|VTXB%4J|*Wx`MBi_VeIiG(Y4w>(XGSyP$JqQKC1bW;PMJD?S!<$FF#T!+kUX` z_9zkT7UTAT>^hLt@Fuc8Gj|-0T69p-!{O9Lp{gZ@Y?d^^1!4je7Jjqk8K%7Af~L}S zs`1OW;qOg5$mn839H+p|q}3~O@8S2P;9uTb<2xgtdKptMGd@qS023;5E zmO>!A;0vtxUp%slgsNi$HNOsZncfE=b_Qv!dj+YCx~jS?d||z*xpvUY+=QGfaFA0y z0BRDLJp7<($1w&yWzkA@r8fY+ylRv)6UP3j6|2uRjx}VD;DF+F-fSVTVc-wnU%cH{ z0$W5K_lSmr$*0SOkb~j0b{@mj{QXgPi;Luv(`C8rVN1SYu+7W{1k?%p=;*S4zp(^# zJco7;MwIHb+_Ql9ta?{Qb&ef5R3-f^N#t>ZQ(L3`4l}6fUSh3eW>@ID6835N>+I5; zAQ#oBBj@oHkLyW;Nh7nGAiELTkYipiX#gwgh|@;mOEt=fQ*Yu63wjSLpjYRUY;y+X z;3$4$Ch45i7Q51t{`SEK<5)5xIR}%BFN>>bkc(e-0^Zrfip>uq_+g=OW1)8`B(bpVM>Dg{53MbT)$O(k zfQ;i4sq2!6xw6pgc2m4owM#R4Z-m1=g;0!nRe21}O6lk8=fr?`Qg^5h(iGn3G76je*ZFCoB#QU4yt1M#XX!8FRYc})rah}E z-AjYc!tw@vYoo8Forty}EsEa#5v9ur2}^v-i2q6F29dZlajcpNh<8VnB;My2|0Mgm z5e4}gGpBAVu<4LZb=hlavBO5ItEx~>E|r$sB1i39e(|q&sOi3M45{?<`58iI1*-Qo z(S@OX50OL9DvQt!?EuHBg`F+uo1dx--iO~V^h!HZwhA;rY+$cqk=4Pq-BKS>{X4qU z^hmRF*X<`I$bMK5yiJu7R-Xz_JSb$vu2IYQllf0@*Vm;##(;CrZ;P};7QN7ydWFS{ zA21=!50{f`92(j-4JL!nr>-B(R&FjlePplWdJ{YMywslx^_>c7Y-Y=ST}NZtJAeI;n@4AtJOqQKalD7IuShr)pto0w~znMH2F< zTt4XL%AkV9`pK~(7gJ428^wTxZq(yAwy7MaD2;PHQ?Moetdc^C6X;)8$8Dy)iJ5?I`L*0QRZr%a zS>?N~y4r_6;tPfIxnh1~pH3$q*r-49__ODw!pbBb#ML>s;)6AU_V!HFObSs zVk8nq=-(6>a+m_i+T>u=E9t4i>U{?DUf8>yzqnM4WNeLDG{v6Bjeb=Bs!S8`W zpjv4y3kjdX$Tup}=1k49*uenSfBb+41ZsbX_s<&Ce8dAnUKp>AbCf)1HAt#2Y5!-n?H10 z9afT-p0N@kgf$ZCHzcPm?Avg7I2X(HEQ3T@6%ey*e}ctWDg>@ljvY6t!P%L@#hntT z`CPY~%0!ATfm<(sSRUJol@I%hIq2!DCQ`&^!bS~eXeN^DtuAdcL4K0C!lS7jz=<(c z#7m|O%6hH$B`|Trr~Q4HxCpr`kiu!Pz~Ul0olXv_-$F6Zadpl$3x>+wz^Rg|c1T(A z_)-*J2NnwAl~Z^Qalb01Vdf&bC6G; zO{06}+a|c>q`P@EJNBZ?mWyopp{UK&#Wvt-A$_^KCUmg(;@M){s8h|E)?}8-%aHPE zS1E>$%7}eNs=e=5D_7-ZwXALNGUKG*(_73|MBrrO_ED6@wIE_@wsHWr;gE6pP)e`X zU4DZ=0eHwD)((gL&{)oS-~@3*PmYkp*si&&d={-)9@7`sp^0+3R^6rr2z+fTo05Lj zIzF1Mb=)aIjLIZPzjK+@`cu$mBh!$RkK3l&$?1wc#anLrk{s*x-E4)(DMeh68kwE+ zVd?~%vQ^7RVH9`?kP=F{+?Zh^v5QdZBXnxu`GSA`i_a+e(C|rBAm2Re^2`SJJnQrB z1RmonYW1jhhD9fIX01WA8g#}-PQbSD0laGpl4_gu2Ia)HRO+;!lXA4iveg5a&JlqN&?!gYq-BY!_iKMUTN@L!B z$(Q?O{)V-AqaK6LQ2S1inE-utf5HXt)#S_gNH_Wb8zFD)z1z!EKgQS=nFva+Mf?Pu ztKxkjPGYdhgpXj#<(a0Bx`SXUB=#P0**jnmUTNlkUQfC7hAT|{ul_Aep8(6WdkuW2 zGD;iAxJ$$2OHb>j+rhqHt0|ZJw7U)|lW%n!?bvjma?+e%m#773L#k44(Wrj&VEIW5 z#Te;MokB8hmQjf-YV$X(=H_{kr;KYm3>KOpbs{^^s0PD=^>^8iT(P%`!)=k_nW=ou zBfb}02Y1VAA|=%Y;4`XL_%L`sO4)P#vqzZhq*+m2e&7*{2dBAt#Scxlr5%q%pq5ny zSFDM#DM86tjLJ69D&bMWmaXq*nJ!q@0)LgucLskSy~{+i;=Kr=P{T z$+ui?oli3~BEI=~l{Z=ey6sZ}1&#zzU3`ji0aHZ{D#1&?l8bnab@_3BjiW$`#K%I} z__bzI>n_6?A~n~>@QJ)tEVb*#q&sDw{u8MRhW#wCU}Ac^_ygRozJ_Ex@7>4;#I|YF z#cJQXtb+~cNwc3MU;HPegDeZ{hZMGUxUc@s8S&hAUw3I86@8dYpDgi^rD(zxlxiad4_+;Eml8^URqa#g^r zo%SI9Y?*XrjsdIad>{(hZuqQS9~=F>Mx~2ImRXlxX;dLq{8RH8Z^`l24^*>LY=)?> z%Mnls*+wDtr1wVZ)zQlip9LFP6oz2p*uEn^u!3@ttn<2;w9jK9D@c#v-x^_^()l$z z1_<#(8td1jI4P<(EE`T0+W{JmcD>J{JQw*VLw*vd=W3_kun2?=!RJduoxbO9f*Ycn zJ+9+jT~;gs@1F8gt; zDc{6ZKEDRmlq=x|jaQXE5twPjQPHmQ@7RTr?z`XL1lcKSb_I;KYejMIq>N&Dn7xd^fDc)^(CdXSAtd_ROw9t8f zp}WREVAYyqPWy3=S=w0_6XoYxh4M`cd2`EpX(H}n`xGIOiPX0vPQmk88#OS{Mo+5z zKtfEyd33Riy0TAy`5+#w@S{4LVM5>^ExB}TKhk9{WwaS;KTJvsuy2LFsC3MJTi2CT zI>`hhu&`u~H_Iu2^Vgiw$`j-05Ct-x$k;UVcFZb@l-l3po&0-}(MMzjic;y4bb#7? zqI~t@)*bk~SC#_utgSj=jn$(^Ew(Npqvv0DGfFpMNu?lYh}>Dys&oxDQuu)S5Y=F( znz?t(P8)jQr)c3QsZ9+#1%pK4xBYN51E1t(ZFTzCGG|^#=?Z>QR);XDx{{Xq7Mwrr z=-X?dH^QUNP3Bm0Bd|1sD_9rdBcvAj5f<#CU?IAHm_}uBFqav4keYd(O?aK481;Bo z#twT{Gkrxb9efci>Gz*{yX}YS_A@$o7ZG*0N^q;&&vyJA&*M}2ELMf=tM>*^ zrwI-}a4R4Zy=WC&oS8`4@uU9V9YX$`Jo{p*z=~a^pet}l@t$O5w6UyR#^U9|xPGRt^C|Zl=so9}Ew1P@ zd>z?XFbdl&ZfPPo@mXoN0>P?fW19<+S1*F7?svI#PEEGqk$(X#OT*usjW!^xvHg zTI>6`eStVf@;!hsQIPe0>LJ|WD?F*z?fW*^^js^pfe7j_)G?vrDJcEm6kEyU+nJZo zTK_uwiHOR5gxc;aS`vC+37-?bJJlsC4ZoWDnslfKMsJ7OOI_)jX4B5oZ+1FM}t=^fjwXl)w`kuGm#R;cKW}Xae91tH9!y&NF zwQETF*JLa43vq_+(!D0AQzKlXwEY7M9tmXxj-N_(IfbMESMzX0g* zP-(okzG=N9^eF{tLHI?HP70Otg|A;6t#xHGbNfEsmdu|uz<`5HeWE~Qp_8)q$;aaQ z{nV(3Ovc$wn?wq`Ua4cgw+?F-R9%aFvD4))#5KgqX&hmGyavE6>HqIqWnCL{3&`@? zK8HL#9;w7q#lKo0OTHS(_<{eA#^JBAgn8|MKbI?ZAb-Gp|46Md!@1RZtABRrI4fI0 ze;#JS6cC0{d>Gg{fqHFOR1&oL_t)I3$Y676iMyT0!Kq5I#sI@kaqT2xD5E=~CYU zeyt-dG1tdHJ^xk$eb`9^ZNB$jTj?hBq{CxAr@MuAZ#6SX0TpR|sY{o9f!K!a_SxK$ zmW5dt zrWL;Np}|6~i3Vkaz+2r5ouTw&^15w0dbBXXI+X?s(loxn_)kZ90j8tsS7Qjz=dkkb ze|l4jZU;Z+eIx9!MQ*TOb4nuv&%az|poL5sSR@;Pgz7ME`|wfHZl;Sx&FHo_%^qM> zVSQ{Z@15L*ZlT*Z6_~oz%>#=IepHZXJPnVS%I`z_L|Bg6uj!%7H{s)}CShOQ|A9M- zJDs?jm=edJi3U^+WH~T?{*XEX+*_9N;670H2S!mRn9?)_?oW8T$(}PNdx1#Zh?LxZ zD$r#xJ!yq7+%q(L#Nl7-L(5KdDm#m9v!B|swjqWKmMyzggVHumS@v_EkJ?2#nSp&P ze%H3dUx%q~y6&rz@aq2i{(wI6SAoM9?lNCG9(T@p2`=_bS$pRexQr zLxUT~OMmJp&T&%{cpO|MIB9DeWGoabMSs5*6mj^Af(hW$1H-#i--&gr(ENDvFM3$G z>^0`OypV@Rq-E{yj2%o&&DE3{&11UeU~uNglKdb?pUZ~(SB5g@WBP*u(RSh^mjRqd zgZ5zXQ_s_rk?V66*SnvgPx$6oqS?+WCz$hsDXp4+%Y&bu=r2}|yz|sZSnYyqbUr<< zhXUYk^;NTiM;joM-`4LK@c($gS(dCoi9Lm67R<)z16Sz`CATj~x8?zXoi`02)aiTKyE_5FTx3=zvZ?CXzS3#fA{mmZTjGx(MQ`_=)_}ajAo3+dB594n|zM9VR|S< z{#2wTUH;?9*T#b5ktIEG%9>>EXDYPDw-E4!P9pUEuYzvxw{@_Qkbb}zlDhN~7`e;f z^@wX3tR&7C^^^#WWkKnfZ8>zsP!ZjXDfiW?T(u z0?xURo@+lLj>#^)sEya2DCT%9D`{`ken2)61&2WkZLsc6DeeF2;`h|b6V0JKfgc_m;J~XwM z)~Pco^41#%s=k3O0w;flS#aOlx@HhQrcLIVHfY&vgHd`%JK#GgGYv9mLMxiAew1UK zq9t9Z|N3WUF22gzuD#_SXKm$<(khQ|(eF?42FGw=G^ANUo7klZlb!a2XnMwI7c7X~ zgWIPvOP#Q~zJ@)rryPWtfIl={U(X!OJIO=yGlo-alysPN^X3;K%^0Wm=|8eYO;FaA zxgIL^dZJr22~6iFCs&E@YW<#!zLnJ3jjVK0-{1H}5vcQVKc+oxXs%oFRB!G)=&34c zc!;P9p?0+Jd7v)M+RCEI!PM4Ig=$T}b07d^G;+yF4!KO3Yr$8BFxgX}_zI_;%~Z zj|eLa9?hWP^(6sH%^a!<6Pktmd2^Sk0!u>AlF{WgTa>>K@z0L9!^Z8grd^+j*^L@r0 zzWQnN4HAd<_Eu&RpIu82!@=UUr6}S%SrX(pA zJagI(F~*1`^R_vk3VAeh5}UL+vo`PK$X-95Wz&}9Oxj8T7yndGmF!T6x|Dt*kGM1O0F?Hp4+8Z=C<|1`+btf+Hw zuxP4S`C&Nc6^{prg|7=;#5}c77-*?*$v3_>C)vs%&V}?=4Z6?CHjdQ~n?yD1oO}<; zn=1XNM}cp&w#W5k`kqX`aiqD9hoa&X^O46o4jl4UGX9<_@D5NZ&~78P@Z1{+lOB*(#vC`t`D(*(kQeZ!6@Xw z1Z_Hbuy6Yf@~#;i@sJ%xjE12yl2=1!+5$ks`9!Sjw`HR##F5daNQi@iF|NE($jGC> zgP^v4zGp>Gdtx=L-Nd6(TFe>M)l;r_ULvX+&hM*BUYm=DVu~H-yiD-XqaoPe3?QjK z?VGAeMk7vs<(6H4Ui!=kwPg@C>r4CEKVYvhkk8C}ZQc$vf>OU8GzA z;(+c-q=Ju43YuAkwT2ALqiq^H@x=ewTDBxY^L=onNL(F(4bDG1jMSs}zllf17utL( zit_6*G;?s5M#7CyPmF<$*<}SqeVOm@<3e2Ii8+vqAxuBSDW@#kU zbCOLwTegXB@USuKU~vezKv*G+(U@p?edVze=Q>+ds^2OU5dEYsj-<_4Y@QN_T7lhX zQvc2^!WG-{R7eN7VEORXBLdIxwozj@tR#173W$7#Ii zapKeNE^^2h?N{FtNQpTQs;YrXzRjeV!@_WBJG8MlimAsG#XNg1ooD!m&>y*0np?B>SYR?4AHT=-*y)_5$+{*R#5e<= za&AGVVYI+EIOj4mGAbi=(FOL){T4U#AV7Y6Q0K<(CAX|DdDq@>LO+P?WLYqf;yfT! zeb4T1oSS+}ZjB_qn@MWBuaf#PUb~k_`z?ekVw4Gm)0{EW!+ONU^GB~~BAIV+UzDxr z8k67b9~bqgI#-Tz?DK>??%EGNo|VI&!VIiO=%tja3AoLk^hC`pF+&Z!b|YQ(&Z^o7 zo`y(0_x+HeG$P>G=xJMF;JT1CMk7CL`ns?-FN724Z=#I#DdlD9p#7I6PIyl zOSjQ1TB0q8($=dFyQy}A8ErrS;Q~5rIVbh_1GDvm5g;1x*s{D3Yrp7SxxLwuOn`e(>^IIJK;XYNV20nEDx|fuN_E^+l z4q5X~@YH@);@#-{W1Cz?v=F{uTR;9OKfkNrN91{GYSAmartP4O{JGStng}~8;3zHn z`@ZW`%}v1po{7q&y~lrcsuHct9ebSoWAbQKKr`|EhSRq$bmrz5<5cyu==E+~^>*~} zIAqy3#bP3Vr0Em$J!^z2;{HFUuNxzLzxYi%IE<_zWLDD)G@zWZg}%Wp`9niyxf`Q+ zJ3TU47$!DCpWg<05A6@Vm#=1jFLv5j0?^Ib*mk?*i-R}OOMFaYM!nI$n2b+{XMkg$ zP_a4;BXR^M>nGmEPlIL~&upz{UB}mUJM)J9kaq9yGaR%m47jxO-73pNp$SvpcTrZI z)BocAbhOMq6s|m5YLxL3%`k(sU2P6_s3Xx!;c?$3(V0&%;G1QD)GB|vK-@|Y5H`9I zmKF%L_sj~`U3Kl4oY0oY&A_Sl9EImBch^<0@Meky-rr(6!JZBu$$JmgJIqLYD%-UtloUaO82c8R8W>_Tp z{N0@pKS}R4pvBIgpGs(Huv#7~K0S!g>9j9=z4m6_17>c3pRJl~e>g$vyxtGT&(r&W6bm)MOi-JRL`HA1xM(rBvly zAVj3qK{iX7%`!HV%wdB<$Dmc!v#FQ%53!q^(a95govX#qwSYe*1Py~89b|n z+M>Tb^9L5z$JDo%0|p4+%juDQ{2pcH2!v&uZ{H6aCbP1jQ6S$7#H1oln{0bRyB}Gp_H)Q3+AV~nXU(67-iZx5?BC=Vl8pK#gE?k#!4=;#06a0rGZlj1bo~a> z{~Uq|U@py*9<6aAdwe8FL)&r0z{cXwktooHx2DU;cG&@s}>-Uyzb1di_cx>Klq1%otXL@w$uGmkM z1?0@>4mqXtF+QHkd277H^LHkbxk;0Vf&%FuNvyZ-{oz2&s4ui6h9l?7aG)ZzH?T*= zh4hKfnD?bw!=9Ho-x6>%UyJBrLe6`9kw#Q>D&r*+F|jUsGYtVC6cVbH2EazE+4K6r zcZlfN#(Lm`dRm;ddN2OeGW0iKMpd}wY55OfO}1s5Qy%1m<86sIZTRKK`qiXJg>L$* zo#KBo&Lsp6MGE^ty;@MbWFCR<3)%>}RDarvj#t7SNW4<4+Fz`0>H)JAAqfTxxo4?W zng5FluE4=vpP{B}=RI}UpXyoSvK!!#%lOY`N4I|bJY8oNC;7O3`|DM{MsBuKZL$hh zilF7z$!5c%<3E!YJ1bd5G1*;=2JmJiv>Lr<@xNrXvGXI+?$KABE^ncC1es!!cR0k6r5vgwGjV@eP{8GMpN(!K(Ta{eI-KRyT zI6W@7%cIksgFWK11+)F#2AeoR`a3`BQKYOu+t-+<&XpTZMzxK~r!FD5XS-MS$XoW; zNrgMz>MXEz)<&JqB&!wC{?x4L1SjrZrw+8m7~#cgBMLnJY<*0MF>uF+j|V>Pu}cWt%=R$t$^)s zesJ51*7J|oKl#wwiM~{Yaj-l-AtW`ZLMSQ+6*{pbF>|vd7ujuagsRL|7{~8{NG6nk zuy{LwxyV6>+#81%KLa43gcm$_laiFWri{MWZGoZP7XN7F&si$=rR@!bJMR>1rhD9? zWy&t)nEFZWdYZ9x^X8PQ0WEhRen>9Bw5Q|%mlFcwMt#NrIE5c{_*-pT-fpg=K6&W> z8lAhz(IIol4E7j@Yy?*4=s+LNf`G1Q%dGF*hKt2BvlA#0hZyRLEqrgE_mG94!&Y^I z?oApZu~S+>1O5EWYxK8#`k%Vk_M?x&qs+H_s&;tWf|6uK`xhRTH__|J+DWAAh&D-n zgVS;#JY3`O{!%Gj>k~qY6Au4aeW?L=_nV9dRIvEvIn!F12y4XOb%N6zU2fmo$U1RH z5SxJj#ChL_2@fD(w#CTT`4`1->X8c%$)tr^B8B?g#gz(7t;6W||^tbw@o9)v? zC0b<@tsVbhWuB|8fVgZ;Kd~#&1dX4mE>kSMVrrs%vq~gF-xlAj=!&RYu(TmBXFkx2 z>kv;$;Uza4;DVu^0Fn;;PraA%$s@julN1@hAAd#z0aEj$#P#Y3x!DQ7;VYnQ4X+*Z z`-$!6X>LkBtJ1Gis`g!KS$Azucqc=BPK1(rZUxJE^;WJEzI*BVIbpY=j8Qztm#`-V>aJNp!8y4_S_cQ6n8!_~j2bH=t z9N+6MAvbJRtspxoovz*jmzTS0V++;e^)@+>V|b$9e$s2Rym$lbH`Fd`Gf-La&^lIU z;@`E+ZUGYfwj=TGbWr^y0HBvhLj>83EahY9;eH2|M$fb(Qms!_0Dp-Mu>L;)UoO3=-Y8)ir)5uZgn4x3umFf zsqf}9XR3*KP5XZe`2UnbvtYf#IC&z_1v07ej?gxG`kj=7%6lpJ5!v@nXE{H^!sm|S z8T>QHj*PM}Z3*)HrC@Vk`v>nG1>UU@T7#A5v}%J}LPDl}oIaL;$k~jO%%7==dc};X(iYys0|(EBW3MH8mHc~s zOnddLP0|jX8%Ef5e_6&x%9zWCo77bBbDcWH2 zq~G;@Mdgo)yQ|Fi%GuAS?X=bQnZ)xOn7V=v1AWsIHV>fZhM)o@m>uS&EXXgLH)a!& z0NoPrrW23{6OI=-_5%H;ufO)20Q0ra0~i4qej+tJbd%CR1D>w%q0L@|4*LMq)d5hc z+F%S>NKKQE%>s)H8vRsM_K9oR_6w70Oabqh!2XmT0kYx!RX#Pq=#3>~EKZ=ONqa+e z;#k0XRmpQDVE%b8$6~E};pKjYndkhC9>DYt7IXx6vW6C|yOzq2%*z~?7DAub{H@Sp zo500qalsOxitk74;N8(q7pPOwiZN0{qu;&_MOG(!8AGi+~DoL@5UzvJ$j|YY74!y#2+Q~#ZtbQmFLiE ztuq%whGlU)1dQp7u}2TJR4dwNzGmR>(JYoGQsBRA{SIyqmH16no-m#Dm7PT9B_@=_J<%GU}e88^=^@B__0 zlK=!!bDm%~A3aqNguM=ihwDX~ygtqS`3M?d-}~$Ib?9)4Ju+cj=`|3uZdqqqzxoJz zQgFHY=~3{Y2fsrOK1UfCiiu4(7jSQM^S5V}eo-G~_je90fGq9I%tQnd9vrb@HcBIE ziiFR@YO;p6xb2hu+J$WI$+di<{wpfX^uxY`=N|k5h>u4H_JFGUa5>&n6UZ1$52sgs zVpW)SG`dAPxKxx^_pUmEVu+0m;ASV^+OHt5BdUb0&5xHEJB)phNG#X*o=&3EI-{zc zR7>)eOz5xm$)MC580NHEU<>4Z*qNqhG|*LyWII_P9BArktbJ zL!yl4Kz{kP+R^SECb)7MY%w&(E}d2#7;=^w?cVQe7z}yJp+3vK&jG-df4I3Gqokfc zv@QfFa?ASVj_$#~b-H&33feNuP`?x9WpoumxZ{Q;Nd2US zm0D;pdeJ1VdiuTWEU-^vq@Ht{F~F}7m^-`+7WYa~BL_Ne{-x6^BHiD`CQz7+%%RVf zbNw5&AmI1m+LdF9O!fZ-el>y0CLwPbOPfX4Vu`0cmWVT??gxt!R^!bStkln}3%{R{ zK7Y!{+4UD;`~c(kxUZ<4nQTs2%i|Nmf2wOF-@5*Z)jJo4hlc7E(9{}|zQrHRO_)Ny zZHAQ%ed^$AS2Oa&d*A)+quZ7SUWe7eL>DNSo4;q~XSBevqhcoSJJb&T`c+Tf_fE-5 z^0;=*R_C-XN_?&p{xZY=B{c@e?TX98=cy0w9v3gly6kBq17GpNE)Ra=H-6(bmA&bo zODgT>uA5V^#xh|sv0|k)%1n6F$=e09`c75qB&llm(h>Zh5GMR!qh(_!g&0d=RUA01rhS_)LgA0A@4=yeQH0V+(us9 z3E5!c`y>N3d<3T)lpqa3TMnl&ci*BD_(3NQiKsvJ+vg$b1Vw<>Biok*h96R2t%;3w@*qe3b6H1sTxm|(t8AoAMb!P*> zK7r1iJxZ`#0EZz70Z4t&`ExzcuPP6LK2HLIerh=MiGC-|^<5HGsVUfgRPZ9$E(ty0rH>|bx;S($*(S0G#&Hnq*vn+6UX}62 zgfa=1zbr8rg_93#dveK=chcOGzFX6e-@?-Wd1W<+J<=wXB`^4x?br;k4ucoBqHk{A zC~-5dzMTZ(pc~0QPl`o`OPU#ek2=@w%;t+Z+L%zp(f#V**_nNp8rlXz#m?+Wp@&%~ zZLO%o(<}<_oyj2ZeuwG=Lt1im)nzNteGq`o{e_CE<>r+|KP2{TP4~-EfcbOsfwhy* zzQ^``AC!K>j)TM4M2T_0yiS;fad1!dIQUq_I2ad>jf1nIVP3Nm^ZRkIR@ZqP+}j+M z5LW+A^5X!XdOz1Oghpn#w3*>QQRljGFjr!f!NdyD83+Ge9sK_Bi*;>M4N?;PW>S+L z6EzE1Tfz;NsTFXN_}V$Kp$6~VMzepUmZVR zENxx}A)U|I4gof{!`ZDmiIKkBTlb0>;_p&U+|p0Z9Zt@_ul^?ITdU<%@2;?8e8Ixc zN>wZ|iA^<_1Ukm*z8lACSv9KzvVM6wW&;Sx2`>`$jml`v9J|)0n)eD4rkWyBj7XJ9 zXe-U~L(VL3sg@PX3A2;T1B0@Hj(OnCH;&c4H;&cP8^@|ZZ<>+1#ML zva_Dhv)e+PL?5oe-(-Y>9gnn@eQ70^)7n0mg!O({C-K@@2pYY*@bkXQ`s%_TDYWBK zZLuS*blKXP3%U@6&%Af5K4X%(J1+oq>v^Xj2MY=I)$rN`G0<-V%j;ZKx6Yl&L5Q%W z9qoh7jPuNMAN~*sXwpgky+uw-Y$c|{t@b{rg>^^yVEK5354uxs$u;YdTXOBzf%*46 zuo=Ihc)McbkaGFmP}7<+z5^6nZnUl8=VLdGsvyjbkR{qI?hr~@2C*53+^qI7<>-Ru z1C2Qo^05M!DF6)r+@#@%NgepIK>d+a^34 zDEav--2jI8P9y;r8c8+B>V6It@+>&b^))c$d zYk&W7)SqT4OaHxF(|Cyb3_fm4>v_ApnqW=#%yOPjd%id(vW2N_OsZAE<~6|XcCflN z=T;eG!^U9z-HSXY+gyID*d_XXR=+%=;-I)$zRw=#>+HX|QiRx?qxs@iAJen3TfJ`H zEU`Q5zghp4^X^3WPHDS6QQE$w0!r2P?7ydtBuZJT;xq2CZl(Kb%cU~`!_ju9Pz&JAvYmVyi-Z0VxwmGdw2Z06OMm*k|WB-}=^;kdR0UJw?bb*($ z+>vNJVbc#h z{~c@Yu@6Z!lckK$aCW3VF=ZX7fis#QC0-p2yV!e-5x1M>kwiC=?S|FSq}Ppvp#%I# z=upN4zuAGE7ttZ~?2XTe&u4FZW>_E)&P7)wnw*jPa}8pG`!U*MOyslQ-gxPTb;nCL z%*TsA`$c#5btLdh-EC}Qm+8=5L;bqxj$OR&fxqCiH|dTf{=6K!nC<}-o-xE4a+~h7 z`12+3*3hWLxbXU6f17)<|N8s(WYW*5mGct5jr6*0JuZZO%IJ+Q5ij!N{Yd6IQm|S0 zO_Lad=y%A)QtT1BhDXw%K{M;u3(NXd!@MlSOA;l>@?Oan9*wxSaN$Q@CKyukdpIQC z&0DWFA~Sq9>r0~!z(TSY`w$2MfVZRvL?Hj1GK#Eybp6A6Aih)IdeN0nMgeT7K;dxW z0)>agp7!|FgNJn0^ct=4hQO~X!~5y?f8^%ZmMXYBR3530#MTnhCCYD0w=g#zlBG6@xytBv=D(tFGYbQyF2u7m{MelP0H zLfRqopOk|H53KbPd^K>rbvUvsR61kH#uVhpsBd*&B5B)<7-ke=>2=C?D#zE&mo>j; z%R|QSnym{q8>IUMgZS-f-osQ2yG;eyC#=3*U66gNGH`SxflhLku0lVU&?nTj5Bk5Y zaMYyG_NX0HvkLX|%DhX4j~}u?`DnN<#@RB}&m{%srbxqd79Q)zAJ9noXNfGBB*Vt=#`>)D8;b<(ag>T|9Als= z88)tZN*U42c;>c@T?pR6J}RmkhQ>Z7>~%w9pAhy$U+k|_uX1E#F`VRerv9Im8Ajtz zZ+)M|%Xg|Dwz6b)^H#@yB^Yx~ zpukz(oxdMU5GxFuC3#dC$@p#^aOCE?!@3Z=!Rm z0{LYCN^sVFM7G$fg0)8`Q~|S+s|d*42jnolSCA@a8>R&~RDM+14Q`rDB~?Qz=LU~X zhXS4MPty*q@Y?8J$pg_cGZ=jv_iP|SVUtw?g*l?-dp6Y#vGZ~q4MCVKD*gQw!GAVQ zG_eofL+rdS2^ab(-~F(5%J?ilh#q9;p2||wv;6X%k)^Ot$?vQ2tUZGye7tnPwndQx z#Q&ZZu+5=0ev~v%I#@}w3)I=A`CiroZ^e{ry)CyQthDv=6u(jX>`eSX&Z!>eeZ+Vj zPwx@ocOSdZTkQBXJ+E(y-S`@4j9qBj;8s;5AY2|)a()mJiE7} zcb>DNU4Y#!yfu-aKIs)%0K2Mgn^k5!T__n%#zh?rd7EI+`8XdgnT)JINO&s2sB>S> zZBD#ZTXN6Ng}R6v?NCV{MgsPr*)XDxX%IP*cx$5YJ~wy;3??w)!Fnmdsq^)YJ5@fm ze*K4@-u5BY;*X?+O8O`z;C6rn{u1RZ!Tm{s->r}U)0qyGBq)%2DXJt~3D8Y6H1QL31|r9U1w7z`INE1jz+4c0ltn2XnNl zP+5(`pIMO(NX6Y+luT2JSc}8v9vtWfJ95!UfnX1_?@KsyRqocl_cYr}rN16NL-#;4 zT&53o4Q=yuJ)s}jJe6=FxQ9h(_Bd;VbFu}_?!<>1mvzE9(E{gZqYxu6N{r2_Z5TmN?8A8>jQ&mIggY4Cjs%%V?w?8f(;NbotWA2%{Sf6%}u zAS4`E7*1^O5zD%V5#TpL?|OVa1Ga4$%>tH}m&@75z$&}2pU z)DJt#1}}=qj>IT#)v9s6Dd8NJp`Mq`&ZK=^(|qvFCYw4N&gB~Mqlw?6NPK#jadbwXw<;fyvCLo21_4eVOP@$MM`X8S;&X~c zTFK$W2KTuz)%U5qD!J}X8+;Weo9i8`J*tG>`j57bKKyX~juh8T z{^9GdAWta6=UCE*dYylWOVVEDmqmO*3w>4v3_Y++`mhF(n)HctQuNPq>9f0qKAfyU zm$eoh4z;RL(2(8o??IiqK7JecN?rnp|Cz9fsc zElHo)T0MT^S{vhST}yq;r^EyL>}a8n*=@V}&ljI{E&Rj&kn~jY&&C%1`E;^1{@Kt{ zpI-@FMn>R=K51DoLfV-0X9eMVJ{O%nPl@Li1pqWu zRaq_Dr|6)B4Mx@9^pS|QIG;)SY*c4#U@vaCxvGbm$OTz0i3eYJGCr}rr#m8zd{_94 zzwpNwp_V5bz%%I+Xr^wV_>RP9Tvyk$A2mLj8cm-bW_uC=_C4o?u&f229f{9`aPQC} z+J?`^5}ymgJtu^vE%cS}B|;6>T_jQEVJgmr8;Xc8tBViWo-SAV}3i|y4o zMqykPj}zOQan6eHpg4<%;KP_0ln?9opg7EPgW}vh1RvtKEg$9^5`PrJDaN7rBs#QN zG^C53f-z<%9~oHf)B93~2ArO8%5P+X)__yZtQjXsk_!!)etddlvs>6fWcx=$@cBp* za}Yl7aX4Aau6ySmeV$44HGH_&)({UV8o1ibn^QA5r~jv3DoQvJHvoGO4>~><6x_x~ z&5|i}?~yH$4RJ6g1jC8#JuE`Am+hnm-gpbyUMT!?ByDP)Y$i&lG+7$_)1%KXIUB5S z>rW(Ft&cH;&qdv&7~i%ycYIMhyKPGBO`muuY%@W%Y=@ja<2trA*C{>mVEx9 zo8M}1dgdxGTMbT+Y;Q;{$aYTkaUDXSVr&kdJ$&wWvN7kJOTP%-G>7~MM3vyPwB>w| zw$lM;uH@q36*Qb|Ty~}JaP!-SzmfgZB%2Yympt#O@Tqm_De24pe^8u51L9z}efo2O zHhjJ%8YtN9boE$BJ+eA>766|sdJwU{_a37s4nC1=t*I@%mS?gx*?Mc@49>jYsnn-O zw*AhhN41$ZK2iG6y+^hPi+#6<&)n3|bf)TYtWMcDRSXcyTMdWUVLq^Z z2-h}RL)CrwxoT7fHe2YZOTG+t>oK06L*Q{x<2$FG?g8|TfnL1-JN28HPsFRn@%I>` zE!VkANf2~ir=O%XeVX`uRgx`?x$3cysOG}23s(O>8cS_tGmi8f24}N$8(OT+2uh5f6Yi`g zG^QPMtmn@k&|Je6INP<(ZAqX=Jbd=Z_WcfrHJE2QBsHsVk5~crAH!$lvrm6yTVaFL zBNw|gZ*YZd=j9*1RLFJ2t>y!qL?6yoClaH!IH$zJo*g#n6PP4ETNI;P^;IR>Byz0{ zJkCLVHN|jZdw+dqaWocgF}tl$yqrma5$|=Y5g|Ij0ZLx4F*%5Fc4r>%%u5>4R*~yR#?VM%&o#Va8lzI7b~0ZFO^^*JoYJ z_4F$ppW`|VX=4K~+kuv{p*x9kpBBAA`OJ}l+u(RUC#ugWf*k(C>vM7lKDQ6SXL-we zK(Ei9mS>$_pUXq&^J2^A3!cwaO$vkhhd-!qgX8%uYx%6;!A5Zfrd$B`0Bx7DAHJu~*{pfxdJ5BT3 zKXzjqeJbYB9vgT*dX&@gPZOU{2kGP3KCF&s({;QjsJ6lVPPTJ$@X;@gNU?YL?2+xV z!aMeLMaJJ;Z>X*uQ$37n%X$F|2gEyO`(TikN) z^?a5O!RN#fe4f{M8&sbO#m=BObB5q^Y6yLf48dpJ5c<4aeGh0Z?38}4TBLi;Yg+FC zHjTLhZp<-%jOpHlq-Nn(k8Se@alJwNqh+a~X+QdR5+CNoGkRWcG=yHu2RTUe^>bBd ztdT9kZcUu?vX$Abtqt1ldp9Ik}F5oQF$3(hE7pdAf;5~9^g0sQdKtr|`hyEn&1>T@`do|-+a5g~4x^Ws|QTMGa z_x>KI?U?&~7d!j)9?E|0FSArVVG~%C^UfZ=q@*O(YJD2#mlOw@IOZj%HtnnaT_hXM z#SUxUxg_~WaqCwd*CsJ@72gFqo#HPb*2MKs1-YYai`8+z_Am5riqX;rTV5AAG}(Z- zB!#ii(gr^hQNoX6U6u{@_1Yly$OS(Fz!iLO&8Bo-6-6`)(IcmiIvm!L6Y0!BM+vn) zjq}T3`utg9$-9%6bk@o99Gc{&T0Z=u0)5a2*|veKB$Qel{7(Ysv>bU>_U5`N-u^Bf zlpueHlv|o`n)b^7I>`?o-V;BXaGGMr-dl$c`W({Um!#>`^yzVuHJA-3b24adp=PZ! zjT8RFSNsOy_zXTqcS~QQfeC&2gALeBR@5@XxXI4pWoe{zbAI{#hzM$>y)K)wX`j$<}rb8HUfTh7~;t{=#RX z1s{9Y1G}AS$>;B@FX?pRv!Vr`e-Py6$8+_(wIv@r=N}Uw zJXpK^ThI8}M+c=xl-bKIPO>?>0q#oQlVoFTZ&dx|^xnD~r(ONIV7?(S{4Du;4JXDQi zq6iw3?OizItl?u_JEd;r18-#8A&PBe6G{i?xbP})I`pmb-h{)xr>#DQtJ~k}H8|F# zr@Sx#&PNmW0+rZpT{^3YdIdB0_{Y}Z4dOGVL1Q+M>D4#j^!R62;}C9(~@MWCMqHO3Vf_ zqxzZ@flTLPeZl9fO) zVS^q%CcEi#cUO0%xF79wXjqpIQ zM?kyRgb(|rHhgSt1m{vq9M)dsV9j2m+=h>Z*QomAqc%9|7LxgAOT5uetv%|8_9v2T z@EPV^kB!1>BioNADF%@(p@cofF-;u%(wTSDykqf(%@_w~k`3Iv(-Uu=4>-t}Q?=dv z_^H9^L3~3ZI#1=5mSf>((%3eA=66x;y2v7@n%TOIK86gRiE7!bOHYaa;n5}z40%`_ z#?qjC=E?bk;4)EpgN>B-Wlm<9c)m z9G>a5<+CqH7Jn{X5PcM=ZwXyd}G(5DCSg~StE z^IjgmJxP<3t;awABk@5tY(1xoQ4b5qjl47AjHcN2h4a+yF&HDsYjD+ zPbEH#(cLZi{AyIE)MK({rkp&H7l3+PQhetH#+b z+Q1n<#Hod~E^A}dUSVW!&6-6f#r<&L(=&d4K|Y}m`)m5YoEXKkqH5XRo|>6^Ywk+~ z=}z|qx#N0uR&~=S_6h{6nW+Qg`o(ITZYJ&u9L_{4__$u(Rd5cs#QDz&6`V856?}SZ z{p+F)+NkoHYCerNI8e<8l3nRr5+Bxujn#a5WHZL#Y*Mb!r;7&{KayIQui0C*!TBa9 z8}ZWy$II51Px+qEb|VsG4i{Z5G;6sDc0r$$8W$C^b?oNDMk5tH44=HC-H z$erorhijV;4EAT&>+x(9(-(fkoh486F*SNv6HSjdh%Ek(TOJob&JiueKQ4}@EoHeq z>^P#CSO@SwX-)WKwTp@M65GoKq4PEOKV{I|7`uhf|HEm^`-hVW#$4ttVKigA_Osy+ zfn(=&Dl+$xk*Gt2!H;ogX|4Ms;T>w6Fo4SV=crLXe8ikeDrEtNekRMWx6}{6n$1d@ zqkU*@5IiS4iP8U$sL|WgPA2IK47=sjjc2k%csI;+2NrD>dl4_)czKio^Req^hZ_O& zerG&%%bE29=HtcN44Bt_5SSlFC0@5(x@EZ)U`_UXwdz;KHSgEv)Gn^s2bg{*19HY2 z(=}H%5BfC+9B(r}CqE^a(Nt9_(`0{RcyVk4i-OyJ$@oRQIG=rudKn%RJx$_vG_=`A z<_BK)c*rn)t)nAL#LTb>HPq>_rUAC2f#z5P9&!TDDa*p#xhDbQyqjU{<++OObmA)7 zlkX*Y9sK&h_mq~?y_0g!1ER^F7*42+bTbTF8o$_@VXP}xl$p0mJiq3Yc<0oAi}IWb zJYvN2^Xujz$#+Ck(diBzJ!3kR?6O9eCsp@<9DYLAbM%}6T^5DzSqExRAqFoidkdO= z^eOR7zbd?o>W_X^cqKot-#+n2Kl+eAAb;Z7*YDDY5_RlMd0B@$D#GsW?J7Ase{ktr z*3uUK2kp(048=b4(cBSaG6&ia8B->4Blj=F93l^|-?=cw6QG!w{|)(N)j`I4B)bc2 zg*++*kWr5`5Hd(ZBVUq!LnF^g$TqX|i2ct+`dXa1f?jYkS1k%H@#DA%ar8F1>dE`w zx%1uc-S+G=9~!ZqX}hX!XDr=2q7QM1K94>0FrgaLV??OrFnySe*m1B5qnqvYsk5r_M)NbzePGkh zg{tV`hS~vD@n(`I!TX;ob2z^B;YZg$J~^&hOmC}T50ptBx@HLKyzGb${J;4l{;%kv zq4rKfhY95~iO7lsfrt%Ah;;p*{r3qN=Oj1MTGjBlvx)h z9}O0mT&241Qw0KAWdJV%@2&(_u3Ejp{xux@(ICO~>-ySsP=!9&pee)ekTQwA7Vr5G zVXMFO!%x5ets{5QPD1sET&69qY@(nSbh*asFA1LvU6Yx*UIi>8_l;_XtR?E8Anv#_ zXpu!+|NdsWe)v(DZS}gH3&V?Ib*qUD8zGI@YO;RSlQmPp`;znMO+pod_E?1~JbJQG z@Q@z`yr+!NmZ+l)rP%@)&!He7acU;WXXxfq9VMtFN;Q+Vmj{A%lxRpM;Ew~Ea_e}|V*_5!~ zG9~OcPYL_pDPb?261EH3CV$s>%*9$~=2aY#2-|Wp!QV{YY%#Vzv09%ojcKq@vn_?k zWzblV^vP2)9e~rGDAnwZ*^~Rx!wkzDeNJWq+o)0h5oI=9<4;Dqz%6qCHWnxes9}FZCK#+wvr<%?nWa8D;hkVFk0@%TKB! zjr;%;;YB@_!H1vu=wF0bqa!{){6!36p$PLby$B^g~cvZSnRt+ zko9BzxK^<|pImFO8M}>=_qTc8ZxZjnLgZb_N*EuZZI5j+@?~n{jTZQaJC=nbKau#V zB_vR>3c7ioSWI05>eh(|IpHAva`@CoGMS;ny+r5k>`t}o#$8*jg{qB={BiiqAK)_` zRN=o(?FnCdqlLN}8Tq@(!r?+6xC~r!sRAy0Lb>BIDn5B+Y~U3Dnbbl4enHE@Z9(o4 zG2D-3&9xU>2%SJYRMH2UvoopE99Ys^JFtbis>ZM5@9;I5PYGXB_>}N9=}rk>Q*Ffm z*ho4q+NFvTI%2!ham4%&Ci`nw9puM9J~iRe7uyRr*ltMN;I|=hL(B|<%deXxnZrmK z1eZ~haKkA5Eztu1^i)0IX^}+6Q3)Kg^&n;9R>>;Af*?h=gLncLwi?y#-3&HxC>v4;UXX;juPbe_cj2Kp* za)3AC(l_CTm5)bCYUC74^-^i$oEp=M-3!d^%v5Oe$C4boy9@8!8ewK8d~f2NqqHpby)8N^r2b z@reTUmyIRga8y;QR)sqzpzy)x;N?_}V|~DRQTT26aEk=pL2SVN5D6SkW{ppi4Y(CD zoE5606UpJk2KUK55SM0yWl3!{tG(I=_E^ery7j8Y;bw<42o5(lByhHA$e0a6V~uPP z7IDUO(FTXx8SqTDtz9Z~T^RWeUBjWw>9v9LgU)W80kw%YvkdlP7Brk_JA-CRQnOZY zI0PRQr!61#?1JXG9KbAgHlDHu*oW+*SrL!hwt?qbSw}9(eXed$Pj4pb#F*nO0Vb! zlVQ!54WRP2a2F9kg%;dCB5foE-k~16*wC%L;YApDwj$Cp2tRNw4T9&-fJ=sj}ada;Cfhj zzUN`3oNZw>{Ce~&Wg)Ij_vwU1TK1VoPp)}b_1N^fmw2Cefs=ulO5MxYok;ywgfho2 zcsA?AGQ;pgTUgteX!32TgV((YZx)uEtD+p!ZT;t#nlO)VO(Z#!@~|={{yaSIjvKFY9aygctFQBnb3e|{y+$HQ0WGEG0;KlwuLP0e;BYr>qDVd%gZ#HQ>zUUFZV z1h%Z@x>ByUqr$b+v>tt4@J!a>c_A?`Ba2^sy_`|{Y8_?*#@^xW?(2=n;%!}y=~oMk z-S;rEU_-wTDfL5tOXXLnRty<0>=-RI#Y-7ycIpXk#EVqQbtU3O81j1^n)vxOho^`h z&(9&i7-M@ikW?t;HK)WYzvk9WGfUp@E1KQ=jrMrM@@tD%e(e*yeZeNxcCq=q%4VF9 zMbutc=n6*Z3AcOeUAD9p-N&z8y* zSi|NTG+|tF2d4M8qU!q05gMDoL4Qgc|KQS(6_J;qRKyN;v>zH$n<0C~^aGjKph90v z|M|-JpzeX9-3jnIO8Fv`=q2w3azQ%omW;VY8o1?4P=ny2^_|Lk0V}*xG9+$zm1RiW z@Cwb4xZ%~EA#uaQ*CBDkLtp(iSnX>t%Vbj$k@bV>hu^}hMl^=lD>?hPq&Ep{YY#6Q zg;qX>z;5}FzJ_Zuv}_SUz4RdZ*!}n*IK$ZO-^jkC6CoyJozEB_MlrW%m_M!Y@M@gzN?j&= z*G|0}hxM2)*x-b+#X;;{jbn2aIMfYCmrAoZbvCg1t&QE{Pa9&-zYPAts@x$_^0Or> z!cLI+k6k0*5KSA4Yr2e~rAkU39rh%qH2N93+gaFb7y9X=4xN66-e*f?!q;(kd#V(L zowzh9Vwt1ONM-o2u)iY`Q2W}H?Hb+4T$BH+%=OjA1f!6!At_uK5K5$`Ad@yhXR_$DELRk2Pb`|~Yo zTPoNA+Jvj>^`pv1lsBmDs2Vt5qvZOC$}^(7N$|Us7m3aq`TW@b&))gMv=s&c963EP ziKsvzCJ_}REQG8iG+DE3Sp@>oWQZQ(1{@;D~eY6z%pjUxy=O ze)IMz?j>(w?0eu%YP(Pl900~_ptA^An^30*ex$8_oJ(()w1I6EnSI#FAG=0Ha1Q0w zv3LIL@g(qe?$-O27@OF9%XJ6P&e|fmMoxj9d1urZ&S?$WGboJ_YX^IwjG?lyFJgPi z*HmE4Sv7QIzQ|K$1JqilLyT4 z5<2GOei1xpJoChYvXJXfaGi~L4Y*c-C!#VnM-_Q_se0DC|& z@8aWAbi5S-?#F^L0y@O)6T{d(|FHJQIIMv`p|?Z6-s1id^m};a9B)H6p>M(V-nlmK zRp>T;I`nY>ubi*TL-xxRzM9B(u{(iQ-#qHX8<(qf$ydkT+6Q}UPo1@4&iF{NKF9e= zdHd8H^{4!Z6|#uCPp0b`rFG6eDy2U=dYVXO~28Uk!zB*dRnh< zttHl!ojuu4{y{ii-lVbB^LaF}-@JP}3}~Rg39dQ8!(1P_NUuq`%YOhgt6P=1>?^fr zbJ^F{U(ID-sXm?6-nFZ}oYi{Ry+Zdrf6{AG_hWKuYw<40h*iFbB|?-DjE+PuObrqp zYZ9ihiAhyIy)yp&aZ;O%9&Z!eVx0dr(ZT3YJkD^e;dFfq?d+xz<2_}wk;;mH>GvWP z4u1)hG0b5!4}N6i*C=1+zaje#00960cmZ@%&ubGw6n<^e+O+BKsA(&jOBI4b1jUM> zxVxDo8C+)f#wwoB6)?&3iL%MyQPvM0BBkb*By3jyQraQo3VjG|3#n3~t#{lnm)GONw$k z#UOaUdG}8vVeG#Nrj_Oa>H`1b_4Opc-JnP5Gn&Ko95ZB)rtv?1YVD))QxQ>ovw*D0 zgeHt^xq~J^td9Ly0l30pPuP?=x@NOZT7XOK=;nh)fkU2duOo}eylKk=6a^pJia-2E zCRO7F&B&S->!Mr8{;gek1)v!mP`}@er&x%#6&c%p-U(241Bg}&wleId1uU-De|=kq z$y0=1#3d7j9=ZonZ8EWx11cx~ZU!&tVY-O*mHgVB*)Y)@!f#|Mqh1O^wDBx?AK)5w z0H^G7p^qNnt9oWBAM=<&V5?ZYluk3z@3uYvX| z?42_&;^HJ1gKkf$@8ch8`&y;a=^@I3(k{LEyb64W7)7*dQdUlp3vUF6sSf(F_BK}p zs#EIq$xR+{_xuvM{ZrMX6#gbn?x4?u%_bGARQ^ek!i3B||gT-kBpl+1&) zj4VqzYMzp#9pgA$prcL4wZ|Nn;yM}PnT literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb new file mode 100644 index 0000000000000000000000000000000000000000..e6db90d72627690e67d0b8a489342b87993ce2a5 GIT binary patch literal 207 zcmWe(U|?9w%?KnJ81jJ797ur-6!(Q)0me{}~H9T%Qc{K)vvQSsxxK1LrN c9S2hbhD*n}k1VY`I`d`aN=>erm8uW}0kXF}1poj5 literal 0 HcmV?d00001 diff --git a/cpld2/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci similarity index 100% rename from cpld2/db/GR8RAM.sld_design_entry.sci rename to cpld/db/GR8RAM.sld_design_entry_dsc.sci diff --git a/cpld/db/GR8RAM.smart_action.txt b/cpld/db/GR8RAM.smart_action.txt new file mode 100644 index 0000000..c8e8a13 --- /dev/null +++ b/cpld/db/GR8RAM.smart_action.txt @@ -0,0 +1 @@ +DONE diff --git a/cpld/db/GR8RAM.smp_dump.txt b/cpld/db/GR8RAM.smp_dump.txt new file mode 100644 index 0000000..30f490a --- /dev/null +++ b/cpld/db/GR8RAM.smp_dump.txt @@ -0,0 +1,9 @@ + +State Machine - |GR8RAM|IS +Name IS.111 IS.110 IS.101 IS.100 IS.001 IS.000 +IS.000 0 0 0 0 0 0 +IS.001 0 0 0 0 1 1 +IS.100 0 0 0 1 0 1 +IS.101 0 0 1 0 0 1 +IS.110 0 1 0 0 0 1 +IS.111 1 0 0 0 0 1 diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg new file mode 100644 index 0000000..34439db --- /dev/null +++ b/cpld/db/GR8RAM.sta.qmsg @@ -0,0 +1,22 @@ +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1677601288128 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1677601288129 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Feb 28 11:21:27 2023 " "Processing started: Tue Feb 28 11:21:27 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1677601288129 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1677601288129 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1677601288130 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1677601288250 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1677601288411 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1677601288411 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601288455 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601288455 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1677601288513 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1677601288921 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1677601289007 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1677601289045 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1677601289084 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 10.278 " "Worst-case setup slack is 10.278" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 10.278 0.000 C25M " " 10.278 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289092 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289092 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.376 " "Worst-case hold slack is 1.376" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.376 0.000 C25M " " 1.376 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289097 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289097 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.311 " "Worst-case recovery slack is 33.311" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289104 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289104 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.311 0.000 C25M " " 33.311 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289104 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289104 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.135 " "Worst-case removal slack is 6.135" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289109 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289109 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.135 0.000 C25M " " 6.135 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289109 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289109 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1677601289113 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1677601289113 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1677601289175 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1677601289198 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1677601289201 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13081 " "Peak virtual memory: 13081 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1677601289276 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Feb 28 11:21:29 2023 " "Processing ended: Tue Feb 28 11:21:29 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1677601289276 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1677601289276 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1677601289276 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1677601289276 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb new file mode 100644 index 0000000000000000000000000000000000000000..4e3f7be785f74965c0617255f6e94eea7e00302d GIT binary patch literal 9880 zcmX|n1yozj^LA;G7I!G_4h`<^?p|DqyIXO0cbDK&q_|sfw-UU#Te0$mzW?8Ma?akF z*=J^Vo}IZj$+?*C-o2|vfP#$g-q}GuoRF)AnUk}ny&VY)3lp=mizyR;M8wt7#*~Dc znVp0g@P&o_3k!gSg+x`9M9$L1j6~ej(ggyC(*7?B3FW_F?`+=xcYPbvss58apicBG z<0&LvbJ0Y4Wc=SbMi#}d#ACa8J!R(FWhm8Ut_$Oz)P!avpc;AtPir!0=hNCM+}#^b z1mTtqk;D4Y2w+Wx(7WoGm`r#Hkk_`Jy5byAgZsA}g8K!Thf+7jig>zn&obuaH0t5i zhwp5{D1?zln8!xx4$&EA%V#;+xwY zrOU0?BUordbLPIu+vjssckbiWIp@qh-6J|jQdy9E_i4`Qpb;yH(>4VB$JyK*+xz8I z_-8AYcMAj2C1}uT+}G)bY>eWZ*Q_}>y(<{K62jNq2Gap*a2)oGq`{Y7*11j z`g`c!(%B^#-kvNW;78;67brDO>(&2LFuF6Qj!+Cdl)r>Fg{GL?(lUb&oyA`hF4_{j zZtlIjy-~f%Cp~nG;+6HGoBXI;(k3{^NEcJf+|4xDaU`x#pY>)Zf3L}F!if4{h=r0L z$L&)IxipiwL%pI%V!Pl<4n(kS%=rn4n`EyVWeHz##xI*@|j~0!>Ko*N6I+>RYnn#{(p2zxkr) zM^P2p@-L+aW(y#mh>q9|Lc2c`9$X~ad20d`+}~XD`Tj)GAs`4)86ihR3IBPgDTg~4 zbny#thEy21&)D)IFP#_~zgVE+eRLmXh%u4$7dR0tUE!0ksv18Z3;Ninnd;$K+Z#^b z>cWtCe93~9V*&&zZTK*tZF%Uu%p>>WLFa-|*$ztc1nh`>2lq#*ltq(@x~DK<%v#BG zySs;Ei_~lWiY{98J}Xqj@41DFDkL0IV}E<>TSB-GZbVmj#6X|En;Qt>sG7QXE$)m1 z@jOZO4EXC07!xc>a^j3x*BQ`qyGR;xDZSG_X2W#v^dSnG`rwuUyWo?&0|wn{Jq=8Y zF@%ErPb@pYn4F!hT^mTN$9j3I45c{0Le1%v@A0p-9nt1e{o_*$Viu%FuPK1qB3)U+ z*KH48ox&$;T~qyF)N)zlQ3>#D#?F2CB0)9^>{@O-oAx&&db4jX$+LEkLD;TXXzsc; zc;#^T0q+o44$77$>QVfe{$_w)uJaQ->wr1Y=6t*AuM?^@eiw>W4q?Gj}F`ev4GnOhu4zxdK zOPec<>zFo*VjEApxgl(w)TR>}mFbsNyw&3ElEDj|FsFR1A@ zH8cY`Dd(n8#=z=G^s9c?U1HonhdlULmexp2iBiOk)PRYh8A#5)LG$uiCKknMa^f9T zSXy|<8e5kVJiLe7KcS4g`*><^^l+<4i0+25a<{+|8_L7Nw*X_-NZnr;Q{vm&bB$kh z*ni-gc-wmq{~QWi$(|NF-;DJX(R9bB+z8rX^uxsEV3iHnIF2a8nx!=&rMl>75fv zV6aFwxE(d;FN|7-UIP(UXo|07Sl2y_7rcN8V=A2QdEuowUyD?bykg92FjUwuqOpcn zsN)w!?RPcX;zXn#)*rg@6K=yC2k_Qmoy9d2OJ(r7ZIsCg328zC=!k=Gr7bzZHIx@v zGYekUQBjo=3Fe5bc$`^i%tZ{dFZ}?Lep5s@rq9~AKflqm|KLC3(%toOKxy}K+8Y>E zr-gL_09h|GxAM6WO^#MqdbjNoyfF605?sq-vw1kW`rLBqWJc#Vz0Gb35tDroyWnPg z3GutQ5rWRKKv93r?%JHGQ{&Ee^TtJl2w(g%@_awcgZ*H3(hO`FDI`H0Wk$=SIDR^ff#TzsQb}K4@7p@!%W0;+D zkN2h5Vyz#Y7)S6$>=1%I$0v;Jke!{=v-*D#{Qj+koPJG2dX9EQ)Y~kX?{cw~K|@$Y z_-Y_7QBy=gV80;H@bD}dfqUKWT6bsJwnZg!7IHn$Ni{1yW9 z+EMuIZ0}6}>@0zD9KVlM6I#vpqW+?9f>Jt(?=r6dahUIN<|?-9m95jUQ$d=%^1v3X-=XACyIK1az@6genreo zyxk#I6+Le88F{VoHh~jv7T!am0Es)9*PdYIZgM7c5DWu8ZrO9EWwviBh@r3^C~LTX z@4kMUXjxyEy~pl4Z4Itc6}jfM2D3SDitXr@O|tKLmei|B zH_cVCeM)$4s%lJ|{jkb8MsIfn3sbN?w~E+8Y@uW>i-AN}j`h&rVCNCl1@=h77x|UT zvML^LyHJ;qm6NkqEsk-=A-AgIa^Z_!#pG@OS%wmZ^!$&M^Xoj4i)eG2`f8XWHI&@4 zhU-NGLe%J!*tmiW8`p8vPCIeN_jTXVhH-~#5L6f!S5ULXY{;(jg-ZBKrdL^1-|i_* zVf*+yl~aFDcHzRs+@mW}?6D4hE?TA+E{}+PnzS6=eNR&+x;V3Vj8?>x8~ht8VAI14gFnyp~olz3|USwT>1()?_-`1>cW$K54*3(H|#M$6)Uz5mlw~7{Y zVgjAkUJ$EPXP^Xj*qFs@g!?0=WhPCYd7oT9PFC=sSPh48MwjJZLwnqN5`2w59LS1S zz%uD3z57yiPgCUD)ioJ5>B&i`-*J88b$KDbH20EX7>j&gxPyR+JSA}%atmCz0fP?h z+dUaFM#KUsX&Ae8jCpfOHKBx-`TK`^gALTKPv>by## zRL*@AgWosR7e34Ii-*PJ38R4?!Lh4R{eIrOtzU7{9xMuOIdam98|YF@iMG8OvFs=~ zL01yP`#)0VyZlBWm0ql1q9RU<_9gJMr)MWM^6w}%8F@z;IIQZiphz(d_5{`MQE_*u zIC$Idb4V~}%CXU_J^pYkMu}IHa$OXkx6vsOwFO0zBI9;MoK-qIkahh~kklOI&5aI; zGU>Sk4Yq*9Q-B`nzscJBCm&nmCv~c(6JUR%5tuxCwuBdAq7b(-sHcnWA2DF4(CV)< zbC_gi7n4kxdk~hOR$Ge`qL883aiPujDrJFBJEPoH-!C>=uHeF_5!}aT4+DmHi7i|z zF$GdsGEUWpfoR=JpZqM1IpVD@oeIToVk^t!_nBu;0>jfBc+rQ8fWf6;MRe#jM4H~* zz2BvF%67ARW8UiB_>@QJqTZF;YLsM6W&Pz!X}eIWz)Nq7`8k~3ITaF7=Tc@q6%u5U ziXr+yArsqvg%fYnS%LKVmQsSml!o!8ljJl!(ltC^uSibLjP-Dp7pZmbVRfm~|C^%VB5mK-xnC&<3 z^FP&u9LmKV`9Gm9*eY-hN4K#G7;D_}xLmDdMXi6sIN~({&DgjV>Uw&pYB(Abpl>D$mUI6oo96HdmlC`9R z+tIL*pm|m)X)0VLhxm5%ss>LN#Dz9e#!35YKMeaVcP| zJI|hm{9r#?u+S@xfj$(B7J0(iVGRrzd_IU8`4dk0vm!_JWWhK z6T6Z>urQzUe9|;au$KWy29p*XP6&ZehbM}e9xQY^x`jSp`>=H$?iC&8O!i}{zSt1T ze|Jf&PK7G}rMAI2p;qMYB%2dgzGrRil1%m~cGu*{SJJZ-_sC^jyja3VS+hHOyvggiurPdS{lrHHU(=FzcNcfC^M(f+Z^AL%jDcvdKxsQ{ zgR0P(0KuJ586}3vs7%vISG1LsvL*j5)Y)zfnk(+yJnXOPxhIPhA4rC}fIzJSLseM@BGfeo0JvC8(Cb z5vS+YFFC|?`kLsuwT+Z~9Ql>_eN6lyXDFLh6hRP2S-XTH-jA>Ds?6MR{gK2shCAzXr$fCfleUs}yiRMR8gb{X&0a|O+aqp3b$3m0 z{0`CXGJ#XL*?|jiq6>)#gAvr5`%o2wFyeAMURo{LL?ZN~b!og>P(*oh%at?B zu$qTK*TZjrHR$TyD1!+Zd;_RB#JSoQXP4dsbKm6H)RyKNFqL(@J0G;-%Om<4C3| zFy+x|Mp-v1c_d6#qn=B_>O2Eu9Ydmp zDFFCb<%2RtQGYtg$?ck&?_iX}=lW(k;&1hQ2keJPO^tyWA0HhurjU8}8xQQ{EUftI zf1!$r9Q~PK^t$I0B;D}SO%ZH`pZtR}xK_aA_JQ*XWKXzUX5i;v{iCbBHZJ6 zXddpA500Ps9w{vOQ+Q=VD{7SZ81jC%>8kVM3`T|SnJG|Br6#cDUH$B9CwS4)H!MHC ze`(IV!Xw6Y-sj!5kG-r$k{%3n)^9yak!eXiH0USqtR2D34nnMny@?WUlE^|agEu$k z#tr7Dq|nFdX8U+X47*-BtK;YIDlX82Ngq>;y9s@IevR!ZA*sK^pb)+uK_TrKvF9#v zDlf-$HTh}=hM}1QP`gL+`zQXkQJ5VPx9UnDMRl}Hxn}^Ge#w7TaFr&t_>kGr_c;d* zA6N3m%AU)DjwJOuQ!u{;OHwlm^DBj)!R0;1k=tg4jC#3x)E4O4)MoP6rN0yt$}>Kt z;Z1|bCSLPh=nnY=xN>cO2qZ!ajHACii{Y7 z%GI2IBB~GuLoS#w1blzyrtF&c@xLw<>+Ua6jO!7XlX>iR?DTGBFuBAx|I=T1bSiQGb) z3^E}g5k|&$Od=&Jz~tl^*|LvKBISi%Lig_qvGGDeB9H8bP=A87UW%d3@PUf*6Dasr zJ&%n8_>_|>_WU5D>Th2+?T#9MWg8w~tbyi?x7r*Nl4_>eNKlL{`rQnEbIsl?JOv^Y zSyMkRr{%PDaMajYlbe-7cQav>cLRY^jsmmIqXQ=IO&XMe^Y~Xa64jOPV)F(`5%UHE z!XWe}zR-%FLi643(G~c0bk@~x%2ca3V`_>R44wAbDEi+(*?BLcE<2fYn=!t)zQZ81 z7j94Gm%&UiP@(>@se#&)s#!I5N~iEV+w%QL-x_d zdsh+jP6csSE6OV69Gy?rYGsVysI8hRT=0$;EXPRa8?5p~!p1^qfeN~@>=kpVCNW3z z$cjQaOU>|)noFlmY#Oym%6cuDylP9Q3&;zu-h`41Zht(LYGc=|Eys~Hsf&2*YEyx+ zYn7B0J9j*>I$w?g1m`Q~?sVwqt?6)!PA)Lj%1#&X7A(D+BwSbZsq;1PoqAl5-XJnS z%Ds+bubk+k#!j6g9^2YQpu*ZQ7u_Hpoz=-JeS_D6!SJn4dAiA~jFFLk)5d-v2X_i^}V(TBHCo|5jrs&tBTyim?Dk#wusEVXoOs#f;jRGkDQd$&Rk?Bo;= z%&K4$+X{9$dS%AsNRSNQCt&Otj{$47SV|#zIzP?x>>6KgGLLs~;Zwj@YlD#r$pMI6 zK{>}UfkS7eem9(7rQq$_Ag<2pB@JxLC5D}c3lOOm2g!tu>3j>Z&HOUWRs#KpZj)bq9-twW6E7p_Gi*@w}G=*%>Rv&~dU0t8Wj z3e{pI{^aR++DHrf-OxYLCmJW~A{4qt{7h8|5!ON_7Z4Jh7~GcCxai zoEeMpCARY^3C|?SQ|va?c8$3#4?JdOd3B9;A#%r~bfE!c%WcRQhL-Dnv&(DI3KtC= z>#tjX=Bwi&6tm*ciT4}xm&X<0F4d_!Yvjr3E^@%*PYVVH8p(=Bu547U&-N^{sX*(_ zRI=7L)*Lshu=~ga>H#Z1!ld5SW~Vlb#A5d?-lDSwBlXma*OQr%o8pPGkGskKHfex6 z)fh1ilE-P26KzR6@~>`Oih|M1f*470cAs%ET0p!Le3X$o zv~Kf-$6=SX^tl=2N&PRiK7@HqZsnR zmFUwBTyoiBQ@T`A=E~LFuLv|tP$4u@D~5}775p(qegtgzv|_?m2I-*N7feGk$dtCx zQBz$-Hc#@Eq<;ivA&FU7Im3@3HEc}lix9hGRXBUL(!^6zA>o+5L{c+#1%A8K^ri~B z{cl3ecE>+z$Uow#rd&HKDHPY4O06XS=*lnjhgap5%nNpEv!%aEV zYbq=}lsg?k4P^;8OOe8>Xrz0A)lBHH9j^B83+BTElLg-g06c#A z%vcD5FqES3%JB{TYDg-^Oa~=!TtJ~Wz1JqcQ(k20r&_~_T5}BD@)``kfFy4)W-4NN z=a@Fp1TozTM>5#>N$j69bZ7N(!UMHXSiA^kaTVZ}2}OI-OL=8YQ5Y%J|DTE8(Ehm1 z;DI3-140rA9^)w`6l`%1F#L0B%h zQQC`L*?seaU3fB~m@*i&_$#Td=~_ldcCh43=TOL~FtqsNk-1x`=_Q4Di$y`PZ%l`1 zYseG{wL7>pP)sf5*|432e@@Rqs|vd_?MaV+%(KcH3yw&H$0%~D++0bNl z?)~~~ufT`zLjOBR#%#K>*zmt!{?*iq5uV@YCM^Erh&k-H1vJuEN7eV{3-E8ax)Dkw zFpEzBNZrw(^0XuY7@GffV0EUErW&GbE@c0<#}h!xbs69`(D}ymO|=13u0G7Aw;c*` zJBl*@tI=%12<^q=exi`ae}~&QUl}kA=!W_q5$Tw3X?FoqfW(1L|CRY7qG0>id<-bc zW%BDqne+Vfvgi4o4G8+?%a|J0%U+ksm;u*C}ul|~t(Jb*$xPrb5=LUnZ7F}qfoUrqAX zbSbLm^;-k;8aEvuYG6eEBYVY4?(4^3$oV#Xk)u3P3`8b<>s+J0<>D$%kL`|p-Hn1w zM1M73I&1-$y=Q18EtcXFkmbOTc384OC~JG3W3+bK19MPt`NaA65AZ6G=_-)rBsp_w zgXmPi-G99uVJl8daqZbNK)L?bZ&a(KF%SIljBjZP1M0Jhp&^wDskDmbD?K6Mf7=>p zF4R=274dx|amAo6N zY7z+MptIr&{=vm$g4=P2_S|5qk9s_3#;~AeUv65t5925?XvXLF9&%gSndTw-0MCw9 z-g%n}aHXyuD+i$M&By_iGnw`YOVcovzYYQ1jE_Ei&3k8Qd}@qDW~P~ExP#9V99_MW z)dTgfkdh@1Xyh-(tp$zS7 z;p!J1#|SH8c*lsyew0QDNFd)to}C%Ew^)%(#M>l$=vagR$X8^_iWi|-%8E|!L-Yl3 zf?LGnh*ZEK&LV{hlQQw&fP8_Q55i3bBxfpp=kH%XSffK$7tBPbYv%+U|1(I3*NtPrp0M<(2dPHbq$4S-Foq2cB^b zvpoO(2D^HLdAz~+-e5Tp*#0C0X8i`Me}gT)!H(WwE^jcNH(2&tv^N<2KJvAZbs7jF z;=g%Bym|D!d2Hrl|86R#+E(u$dI~fUS~}3{H__D47&zW1FW1aAw;WDMDIv7ngnw+2 zdcjG!g4tU7eqLU-`vf{C#q>NKQ#`bOo>R_Iz%^*y(HjN@$J$Myn+5M3hkBigC^ZX zFXk8bigjd;mu8#F3QK+Qr6`t&%j-Rty&AhD@H--u=Q{jGhFs5y*l!B1PyOloih|)- z%g!_rV36fszka5;Opa|U8O_DDsDPi2lF07JJJJW&$?*d3^U6V~6)o6?0 zrUyuyhIeebC9o)e_;mFgx&+qHP4%Oqc0}3boq84k!PuSjeow%qPwm5ypOK33Gs=p% zi`*Pl`VRGVi|Z83DsKq z7Ji$ESg)T+x;Vx%&_*g+l?JgyLM$1iiEbcO;v1@EKZ1H3 zSXBkMVkyJ!l3z^e-y13+Yl&%dpE zkeT{@kd}MR(rcl3e-)hw2->RGht7r6i0=qQXU#_$w{|qaVaN4Oi2)vQHe`E zpdy(G8#ZNjurFPuO$%2=1_yy$=Fr$kh*pmwnz|(FmMk2*I4T7a^A9e`|CSo>)dO7~ z`5>hybyh#%0jQ0|TBytxB)h5104_Evu%c6Mf-OYYpfam_gGuDnLOGKSK|~B@$X2t% znGHVt7K{GpJK&%P>VF^o#tBqAgcD;3C!uegq(eA)L&Wev))iv@D+qaI>GjnEN-d#V z;k_{G5ZvArRAiZQP(;X(2p+wx<=HY;fbO)Jbp9m+COif+g$`Z`perC`2B(fyS(ctC z0B*W(sBan9gdux<4M|DvH_OI(*ZRX^DC+XN=@(EmVviM4@zVWrR=|FI(97S-h0y! zYIo17DkEf8EaEzQ^y9=HE6Yn3#4i81b^m6u!!0+siMv;+K+Rmszu#Ck;z{n8+GdhX zd;-EPE{m3^A+yjs5Z#FvF7bR(Vhx+L3TH_}7m0wyh?gk1y;>iJ{T3k%=sg8WerAlK zU?}LIS?wHNJ^(>!V09=>Lf+W&cP?IH#V->-p=3z9Gt3|$PXaZ%Uwb`ZcI351t%~hw z((p#(O(h2w-4in&Qnn-tBlY*?s~Q%lt=h}T6wsdNrpL34FToFl0%E;dRuF>3E=dL5px=j(Od+6xves8m}B zelJ*X9{lG3{(m&=V(gjd^Tu{|R<^Nmr>ty@UE|N3J7w%>Yj138V{K<|ZD(U=XMD)b zc;A`0Fk_EXXX3!yk4*kEKg;d^nk{9?{LlHXMH+usNL)A>q;l8r2z|b%lP9K6aNbdS1qTlt_iURzB{AKpPuh6ev;69 zMPA=rHGTl!pqRe(t+c}TMORTzscx_;-Ti zTdE=>{*%MS9_b0c-w_*&m%nZ!a{E=@J2PwbT_Q)eZgS}!;pwK*KZRdRjcDA|b!OL} zmVH-lCLBn0|I!8s?kxhkp9OI5LGWKbC4@*0GJHi{b1OvqGN`vj`S`g^AM03;ujsPa zky;Ixz1KN8>CIief|+}|(9;D@+dqffr@qAjRaqKL=jG68#!7rT_YuAauHljs1eJKA zM}yWvKUeHorh1n6q++o z1E{U8EK-|}erLU*`zC;8WIMKxwYJ4v!nOfGkfLyQN-n2PV*?aD4qgtVb+P&+pYso% z-K4#-TbFSG1}${ku{F2TayX%opT$FvzMGIY_&e7d$X={ z-A)~gnMsIdXTzEGOMe;$y*RjMX}ChW01_!IzlFZD=WNOMi`pL5G4h*dbw2gGd^cP7 z#si;TrqC=no&9V7jnJ)uuD+1#{G*==ertumINFJ6dejsZhzW_e>k2ZHn|gQz9LCQN z>x09aG;U#1uZ)XaE!3)y>V#BU!cWWjS5KReVvi|rUtu}3)VB1H8q76k{PrT8Ce!iV z2ea11!lmNs8>?>4+LRZKU%cz_&G4ddk7KE2NRkdtu*igdD9t~Rl6>PJ@5jfZn?J9q z)nvE1HiSeEFw^diRmQq(wKCD^?N6(|m*Hx;+(hR^eh%`PS<9yV{~FSUic?xPks!VTqVcOfQE z!5iM`-u!XHYqQ7Jl8aNF*5*F{6z^VU8)&5F>zWfj3yNP3H91r4yEEC+*Mww-%|T{< z$K@a1Z15uKdEb7VChwrvzZsC>w$T$?H<_HN{8p%WtHHeB%jqMTZ_+ipEn9!B3aLxG zTiL4yes3{(8jyKLC*;1l&Njw_@*`tNueB-(YSry()oX8^<{eZD3t4WffwD^6*jk}x z+u^#eRQU2krOkWbX0uPx~w=wPR zZBTO9>+~?UOtIy34g&zcg3e z1{IK*w6V3uq!p$eQder(H^1L4Ca3pi_Je;yEc#a2Mb%^oEL+bl12<|L=-N&ud>R`R>X?JC#Prj>Ln$ zsZ*r1#x^xue2Omk{a3Z%04K0(et3SMjigWkm!-P;cC^3GKOC!L+o7tnjoS8tDyVZu^4M zx3bBmO~$&Lp3GZDvVnG2fXoz|waT%J+6n z-xKrP+sVxqEG^%j(7G~mVuSA4eVM-l!1cU~2dL z;1<_*Hxr$7&GN!XSM@`iHm|w4_QV3$Wb^j{r7kL`rWbvCbJ?F%)^GRpO`3;E>wx^m z@kyC!YnjrUEaxm@ll5L`cAL$I36BF?c?fk%L50jpSybfo7b4`U%9+` zV_IL#OHE!<;*3qlX1I2UB8S4A$=1wv&D@>4!WWc1a@RZt=pX6&yB4@ODVR488@>qR zW9K(%1UWj3OW~bsLg?xKrBBS?bn0k_APrsjosBcO1X^9Q)~faK+pVH=zOEIzORFVX zAx#g>GftfY=LY>vrgc-&l3(`D;?9w~D@}A7HQD&RTX9t~A-OzO`)hq@Sqpv|A<^kYS;#u3y~}rFPoQ>)5s*atr-e_Yfdr zE7pWKYKPo0?$|!Cv>K*Xovah$^P}K?2Y-V}VXpIH@3p$brJxG@kEY)(J-sBaV;=o( z_y(;G7&{0%qiuN`dJFw+AH?JZI{*w|NE_+x@v7dL2UFDww57v&lKR1c(k2KrvYPR9>UvGcz zIu8OHR@N!uqfrgqW5|8zim6gbi#2F2>zj$UkIX7XEUWH*mvL1IflBNJ5!zdY?drSu z_4>c&)@-}3*+wEb;`^_AOrCaG^v;u_Ug{Da8MZ_dW?@7huztv+-krYsC}HHsLe1Lsts%PKz-XUzy>WlboP%1m z+P$Y>QhM`e*}heEuS?JD1r@SsPr%V9{rNsw!Ii&Q4>Yrbz{vE%yWdwVt(GnQb8Mtq zmPwxF#eb@FEOMF8Z@8m(=wHF)TlmniL(g8V+AH0^%oR*uIxm#ziq_yE*>!e%ElDRN zYIEzr;mkK98IJICYG5FMscaFbe6NQ;K2QQUIHb(2O{;^?>Vyc))^(gLd=|Ou z--w|Qz^?Ut<$pus>{iq2`yDuCFoN&^CTyR1XVqqC9|P^`zXi;J^S~M6GS4y~!?$Zc zgKQ&7DHAj;Zhu+-(|M&qFK(estO?n&u@!vocX(om{oqwsO9SPnLM1(JKi?MQ3t$@f z)p!CfFxOY#c%#Gf=IFK+nvvFE7F6c1H$|GfaZ;-8*eKTLFQ_Q@p;wrFHEPTMwI5ff^*Vh{V5WGgnGV`MRVTznWB<0( zen(v^Kwr#If+(1b^X%~%qvvQr%ETGAPx<+a^wiGQZPkBE#|2kc;$Go$$88`c#nhj#l^eTDO8X zfwB4R9OxJ{e=pnRwJ$fGYt;$yWsky6VU$}{0z}dfIG>W=fuhsZlK1UVLd%Pl7wP?n z=Ox}67Sa83#5?6d{x-y6rz7B|e_xnTvekP}m2CCpQrPu#M!)u;$8=3}QiQHx&bp)o z@b23E2Q(~p{Wq`N>#BV;-gVy|FQ2cQkHZRccY*vtN!tE$3i!3tw^o7a+JAc*7=a+E zc%&KlD9Z1@H4a)1bb8xBXWe-Nv=?eBZ3dd7>1xEg0QGc`FuvF_hExuL2owM<_WwGd zGz^GK?eq`FJGKUZD=X2eU{9_1eKbfD=hxkIw(e+iqb4Y;nUZw%&F4n?5s-BG2AW7-(J?QBzW%^ zHM|X2zqIeioM?*71tVc{t)~y@qp%-a9KL;nniSfa zcx=i>3m-t7{-$Od)Sf8xi`_dmmr{xi(C04Mz$z~h2 z*pUAaF7q}26QZ#f|1vIV)NaUWRpI?orq!ShA$L1obkU8Agp z&6UHkp2}fEJoBW5nr-Qp*nqH94 zhnlzUn~*`KloL);&h-aGzz~@HBE%GT4ny4az$X_!#l1RfgdlU5E`3uk-~n1 z$MJP04O@43uyE5a?A;Rz?%$@9H+{;F!$02rr}MzAZ)f|;;v7!icxCtIoBfMDR-rj@ zHHM!gXTF-qzS(9R+~Z9H3w`^bm#Fr;yL=(jrJJ5*i0?IBW)0syd^UNey_k9OIB!%_ zvK6&3z&K-{N@=NMnu~R*TO#aX<6B=g|6qcT-o;G^&u?;NColE_S0S1#uG4;0S#^`+ zO5sJR-&@8QYyX|kOV2;7kpS3}-Pj`Y4@%!-!HHCJfFE#?KQ5%}(lOUCQ z0nAY_#CYP4yk)i!ctBCjw^+DVk>^Ll(){w3V>1o6;ryp(>@v^LV*}2VZM_U?Ce?^v zR_BjMzHWZ!7bsF0e_LMCbKd?>~O?OdFVF%^_wa zrQH$U8vey(@>zrP_B+3(tyCaG-_OF-ekbl=Z@KB#cQt!VbKsbDM!Wi{62nht0~|6A zPE7ph`-IuNPLR>~tb?nm8v+{~-PT?BGUy5H!3*J6?knt6iJM;U2SZnl_^1uvTj;c= zO`BX71|{s)+Vf}mo*S=*;+h5ZT(9Y~Ed$ttb^A6*7spEHk5`E3r+Zl~Q3Rkmt%`PVG zO@?*=1ZMuLWs8Si?{Aakc}o&4oLz()fG5O`+ohbQXP4gx@7$o1U!+FKRv8s9nmxBL zso^p#U?;@F7^j_|v7B;EWmK`~asA1s;*&LeoxN_!;}0I-`OXNaG+eJe#;B;wiqYq=9e$0j4Zksw(#BK%g2Lv=2?qmiC2)qum0La z+KEfn_usjVS$ume!7c9Tr+gC?ctdf2;`HVjmX$A)HuU{E zEBLHi-1uvghUme3>hiictIvte8)uFm+u3Ys?DTXyoS~Z-M`}ro+ZRP~0O$3~9WP&m z%R7|wEalNLD@)^EZGOZ7Tewq*y*v5Nb=ko#f|(t&zve_x`1c$nvibUtgI({vcHuhT z-@Bc6eg!S&hKbW=TX*F8>&N|Gy(D-s-@W;;_20{^h)-p4$4_KVFLiJyt6cwaybJdD zGHc_9ve#n{?g?oIc3@jETrX#ww21m@(c?D_yZ>ZqyS@J8aLSPtunhlwCSWx_p4+d9 z-#^p82pToW#;>S+I#_K?p7k?G2(qm077M@XmVf_RCA!e@+b3lGV9uY5?KVzMSco`M z9{#iSvT}8RGlPv6B_jSl>EMKICDpT06-SAlD-UE#_~61aXoe(7+ITkJs@)8-o}Q_w zn?^;I;8lPll@P`LH_5BUVsNV>*C8~FfhrR+&jgsi$&e++e3Q~%!NzJkxhbSz~3(J}l>%U))`I`h}E2!a_60}go?id1IG&!wzTpsv`a zI^=L5LI3P+u@g5Via5A8oLyBX(V1(pIs#B*VRKSKSH4h+^NVc)UsZT-)-vjU3;r-dxX0!8fMbwJhrz!&Yf(7RdPQvQIK zyh8ULBjaInXS#;e6bA`15(L)SKf<27VaWK$1-HuLRy2FAxBphMODe7}Asy%U2~uCzu$LJfMAN!CTCdnJNt+C{Fe3p`#rh~`E-|a@?WFm zeNNE*<-F3?@Tv&rWLx7RGk*}cDylUl5Z(}fkJs0$#$@hdxrIN(CdJ+Gl2r?gdQ-!! zS_i_r>o24(1R!ZjYds~>=I-f_pD)^F0PEMHBAnw|QEe4|o5LSAzvu07VrV-PdxjPD z2|%U*&>xp@I)Nw8@!mAmBu+IbTG+!TcDSYtFm&l$3c&YF;wFLJGsMND-pwSx`R%BT zS=@Sg_|kp<%FfG%=qs{$ck1JEJ2RuB+7V0}AQ9Y(qNAXwCRbQ)s18j^C_`Fj8v@Z; zF<4_d2VIR3vdXo9(yQ+if)9;5hmJdhj(g`fe`9CxGT7aMi*ZXwfh)4(IkLpV!s|V^ zp#Qwf$iH?6bh@Es>~W=WN{9wiE+aeLAP7X zAubw!q&#wjbP{w59*T7MH0P zXkTUqhtcli9zqo7$rz zjmbh29HhkD4%3_~j#~48aQ8ASG43}-cFCN?S$P6b?-R1(3dHg1l#XTMgo&<07ovU5 zl4OzYZx59=JJkz4(8+Q8%9@|;JiZm37YZBgCp_SNJ^o|iT*hM!eycziH?3O$ ztYnuTvAMqggJ_Iat%q%!B-8zBcO@F+5Y22mljDASVZ1-eE?CJ1brnhc2NdxW{Q`z{ zK0`krg&WqOa<{`tcR^U|uDHxZ- zkTXDMzK{+-rV*djN?c6eKNymue?S;{!T`c*L2HzdZM8AS~@b2V!x9vQ?yN9~ zj-z|29$P!5P#OE6ze8gOKaC=JL=%OO>otsDQoBecG2oG0cxF4%$i{kKtDM1ODxz^m zO_>%9j~G?btCcpyq&O*sE6tlpx!A*@K1YFZVDSlNWtV8JMM z*(eYIDwSy_MyZK~^sr-9C;NRHa*^oi%(d^Aunobuacp*4a&hsiX6Lo?K7s*~&IzSW z52IBY7$Y|*k}=0tMw-iTP7H;x*S*skohOm5u&3)|z-S3r1WXDNOedm!dKhZY5xDb^ z7sUDkpoZO&?0lffaCWz%y1nH|_&RqoBp=EoOwa#!Q|a zU2`u}FeIZ~Ll$ikK10+&SrwwfYrO%ae7PL?d_sx0ZX<4xjc%^w5L z3u5%fVQZZMvHfV0#%MMu^``=XU~>eP^L8IOGTd__LuiCwP-^Cr0Vy%N$?%khPl{@- zC7%&CnBzIz77!>VEH24N!nc#@+aYOSD9=*3pXtx5&J+-<3J^-{vp$MneFCeSDtfsG zH=!?2-o7>p-zR8vqggGkcA3l;JVMszRcgd207_L1u&L85Ij)Ez`!J5FcU^g00|9FY zJB4mb`g2Z11W8K-E)&prIHd8>cJ$1SW_|>jB6_Peh7)%+S3N?*mkXW@5}p$)4-$I` z7(R6&xGI{&Wn>r)g_l@G70_fP*Pe zYyv=r>_V`Hlc<%YCHY94`p(ANQCPm9W77qUNl$NEW(%RnbBtV>&p6VRvEd;;ng53( zzU4{A_laL5`6PsY$hg*}fHc|q65pch_~Ii?w(o*h&PZgMJ%IX53xRDa6r9RpesdF? z=wbYcP{om^QDQ;|8oai&R2+LAtm}L*5dZ@VzCbU~YWyI|HeH8d(aHM4Xbba%DNYFb+rz+{x)C zet5_Ew+kZq!EZ5Rv=TFNM)4yoI!AI}Mev(OFdZ)nCvlM)eR|jD1gyCNCT{mg!kX;M z>N^TJw4|7GhmWEhPL6+yAQW|}gWO{S)Bu{ONHpN%3nI)x_v>cRg}NkqM%BY+lqcFM zO~Pkc7f4`BxSX6&QTYYqF_0c|$+Ib&_z7<691l>Qlvd(aTOjE2_UZIFFvRVE5Mcre z*Kk~=*TH;5@)Sw-oG2Ey7WPNSrDj*+db9Wp&nfam^wO*NwT>bkW;U{D^Ir8i`;*6$ z)R`^6KpQJG^`V|8jt8wBcPRZNUWs!tIzxQtgNpnFa6zt{Ty?(5X26NKm4FJq;TWP} z2u+MjJ%tI@66;?ThpOhZK+xMR0-sCr@dje_YO#PN^1?j3$`}k$=v}!q$9kqEjVfW> z%V)IZGl(tTxM71YoXr&K5elc1QiX)xXm!w;jWr=ggN!#f7jftlXDXlZ6TE`R?u%y2 zrqg1G&Z&Co@o7k@$5_#z4YpmQGz(PRdF^|M!gSf2yV$x6C}hak6ro1S$hCF(ah3a# z5dGaiZ_zMaT(XMy%cg>xVtfEpbgbwYGZVK$Y3m#vGcT zDX-VKBKqq~U{X7)s*(q2&N3^@-wfwfRxvyQ_)57@laIndH2)BL-7tvug7J|Z9|=;= z(IT>lw`0;;RSJPy+X3gVVB{uam#w;(v=?Ub6mvr&BwLYWD0v1ZqSw|~-H`(`6*!5I zWmF(A!Q<|&WO7vXu8=>Zzr?Q2MR7h-Ud=48FTg*W`Dwi_ zkzY&^&F&aW|6|*X&cck+ew#4|J-if7td>w(9t3Uj4O_HJUU6OVSy}-fcvBHm&Dqh{ z^bfPUR4*JR5mE$Is>TUR#~yZf;ot*~zNm7OX7NwJUBvN`A9HQ831NCqic?(bee2L4 z#jDBI(+W})VT)0x=q@QrxhL!rnrG`coV-Q|7Fed4X9 z4#lce#QQ4-3*pHr>lB_+q$PI9lxcRoaN-e#_JV>3*ZsYbj`iHtSL|SqCyi14fdCCA z)4|_u-!(xxcvTD?)QtoR{EI5{c+Z3cb(qAEejsf7Km*V^0NB3<93w&%d+yKQ7>RX3 z)!2<`yj%<)F!@Hrkwh5X8*%XVP*BD(RE4~Br?lhBT#5!r;re*pQ$v`+M?J2~xH?~mFdFMW{xp)pL!RY4by&uEQh1{5H1d09Ew-VYpJ*L5_gD&5Q;XzNf? z08bE9AW62V!R7|cL>47tTj~-NnN9TMVk2EwA`+K98tvCZ{_-4$ zd9H!${N5=DDm0kR{*G1QqBBJe#x6KWH;MaMhBIPljBy<$tfJ?!I4j*39FEPx)XUQ?iBpY&jZ?f^Jdw>w5^ntFcZ^sqNFj{(CU#a@lB;DeFY_9aA+j9{nHfk#=BRLv zB1^Q+1I8}nIFID8WZzMl)TlrfoXKOeU4fx44vnTIZ!27{AuUZ)#1`Pu1yI~kGjYJW zUswV&`W(h_VSF3o!re7&a~!D@k-EfjX1MX8(Dt168K5X8_0LdIne#|_H4k<-t|4j&?>|4~Bq4Q?#i24u(mlatck!!K%nln!t zlYBq|`vdE+gbfV8igA(PkSQJmLXW;6?OQ+8?wZ3S`%lR)*#AO6xk67FB*^BX%oa{U z4Ywq%nM{eBqKJlB@+b8l6`pUe$6L@%ASJfGDCxt*_v}>-!Q4{;F((<$6h!aCXfq71 z8TthXqX6qEKq*)i>f%NL)gH#9OzvUPPg-e#q)|)It0qWTI6);y-6uHeqgc05;1bA} zyOFbqm3bOEpKU*=#XrX+y${|hTZ~C?Rbbo|Fv;#wnW}tvF*{>#HD-pzFq=x)PWrw0 z1k>{MHKcel2+qjDt0i&Xt%Fy!qQho$JMoeuv&q{BBw|jV%t4zcl|KL3PxA)(B=L>u zK>Ui%=%_rVCGNcDJH-&4#|SA<#2g(Jz15KH@4s}>9TVJM9yU0n=Xc&6=v$G*XWjJz z{B;Fz9eJn`UjtXF`GOPLRmEvFtZ^afE14wXl3Za{fs>wG`Eh(5Omc1=(ans>!^`E% z5y$~^IwGVu1E1T&n4w6{muJe3Xo;H(=7MykD3ak@&6nOu{I3+TINIiXWrp~VRZlfi zH*ZvkY4?VA0oNY^V6ox_G_H_GYw(GCL3X}YDt~!rBtb>t*9DTB1Fcw>`WMk2JQT)tg5Z;?Nz6Q(`LnoORTRAijQw2(0ylbRiEhaIp+6&!rjak3nW(gz5 zX_n<1))~sU>5oS*k)~=iAwxL{?+s#7;-4iy*ihq=x|YjXDb@YhjKtpP0oG<>H?;!W zehD|8M{OKxFjjoAAt@FiV3NdBvLJm-O7D@lrm%8~Wc!?SakZc~CX;A>_S8nwCY(C% z0lt4Z8y{qh(3^!AEs%#TlbMq$OP>Reo-f8>^s|UGE_^$%{Ub>4gUQl1&rZ%vgD@(S z6P-yV6njq8(nN(wbYYaa`@r~bio)%}FyH(*VKP&C2qsxVBH2mzUi|SvG^o!62}EuV z5lO)0ApyklWLU%QG9c=z>_X1{Wyvrnw{;5iE5Nu1&=Y-buwzZ&L+6 z`>nYtz%v6NP3FFgy=ENPlCfBSh7)UZ~DT0$0jKgK6(*P{y0?`S&oGkO79B?))H z;0twAJ{xrWQ~X%mOZ_wWpnRfV*HX0*3^#&9kt9cn>h)w;2qsbcS>>MkmXU;h0Um9} zTaE1%M9*-yv$(7$GnMUE`s8QAPbk<~;^>WI2;70pc5D8*>NBo+4I4katj%K zgJ0DrH>(!5)Ct8|ed74r2a?e4bDV5h{KHi}cqAjchhfjc%55+u%Gx`_gPtJ^dhX|g zS9|CJPhK-!X;}_1U%9+r8Tx|(rchLN4zx*Aa%IGEHCPSczvhIZQlN;>o!+f| zDBRxe0oR%GGgrp7;A_jN9X2k>oT=~9)aV-z7Oz{g#4o`GuhNGClfC%0aLmMY;iVPiXi9X(>M+Rft<_;uy>xalXcA2}qW*;J{bE7A zG9RUeqXQH#Nev^Z6+cs$vS(mGej$?p)CF0c`50^OEA<)H(8@d@3JvgKJFYk`WDAej z97v2KUusrB%DuN?&q(El0f_xLW>LB(vn`%gP$0=HLCM!rb5n6CiBH{2;mOBFMbByD ztJ}^NOGAY9Mp?4y8-~GIk~vn?O1coBJNy<%m(f>-1qLDzn$B2F11Ry6$bSy=2-TDgm1G^$c&gcWKq;O z*SxMdlEV}_S2w@YCqs)zL@flQM;-!#Bu-odn>c#UV0$VG6kPkUUU{{PvjKZ6?tq9P zsn|xWX0j0yUQ|?*OUm<)lC0e5+)|X|3JpCYk?l(=6PAs1{@GVof&Dx{tmH6qjEF9J zj?E>PW4=g-mdZ<8&lE_r^GM>R8nJX1%%cV;7}B9YIb^!AfLp*ZZ%}6wE2|YeR)2wj z;h$69ctY-=$420$4Fdq9>+#($^sZ^7LvZKQ-}nT`e`o;GPvk59K+?83MSa*w?8S;| z%uBa-RqdEb5qvJS!))ao2bdFdPb3mMz22k34c?>3gvd8MY5e_z(lCLo(Zt1*J>z@h zSv~~<Fisv7i4d?bBMyx!uIXP;MDFTKe4_rF zL%1DPenJ+YK8tf3CfqIDQ(tdP+&l_RdyjlU-1qTcmT+}Ga3o(9SE?0&nqK@njKlcV zY$vVeqIE?a`OADv^u{@(TOfJ2kU93%KNOzEgyCES zs)**|E#HX4Ru)#1#c)%``Wmbyi5Tw_n!uFhBa@~Z+tZmo4i2HYZ`2vQ{7Pr7q@L%Vfx2#Dgj1>&%zc5B?VaL0CQ$nWR_ zw*|n*e4-|duWU4q8cad4e4z#8I+t)xu9ZTx86SG*!-#&|3{MVpW)SJaWb1H`PU{@9 z;*Cuv;~LmZdP)%)rC^Gv{O!IBz#YxMjBOdgBcsn=P(*Qf*RXS9ViSjxm5WOuVBRzK z(>O2M_5MJ+3Db40dg#TZXi($joIb7}f%;6)8lSb*CIgx#HG?~$MkVfncw$x%BAU&_ z6@=wpL$iOqJ*oA8fYBPyf;&Z>lHJ!Chd%XKnVKt^VQt&t5HR+sB%iThHeu&5p&N|W zst=N1Arv0G2P{ucBk0%=w$kB0Q(1oapR$N>!|{~3oz4vWw_$cRBrtcz__Ep)orSiUoFCs#+wEoJWznh6&iL3b2i) zxJcosC*fW~92L@91frKE&iEcPd~#hWesBquniN-g$pz31#MTSt+6acE~kqBznN{FYdVbeJp}m0LZ=SabWA3|2K!sde%42U+n68b1&=D|&5V z5)Wq-K9VDFo0NPuxC@MbmH|#rc?*XtwRn9wZXf~Oh)JotX=|DD9)^=>XDXuZ6J z$saUu>h25g{KgTj-&;*r-0?5$(Zj5q_Tj7i5>eJCU65X3)ijDo`!fBadrDy@(o-)EotQvTzmsB8& zS_VL`$!7C~8_5+lvdRJj74W4Q^d(L8AWW=>D(`hng;`r3qr5HvF8W;+Sm$c*B`y^uHQ$}dx_M6125r9Sk z7J%@4UQ3KMjSk}w8+Tm9g47aU<5>1YVeMnu-EBY>3}cSf6mc3;BZ!bA~lt zAiY)PDK%0T-vXPKm;!F}_I4;VL^gGm+e;vr@yHc=4r88q!xe6go-(}d6tF}>g|QG| z5Ep)$KXe_8z(@ULEESE@(oJV;JxGjtv)NkYDAIFO`WPk4v_EZLaSbj>9+l~nf0Jp~ z+jilQ(Cks+me+gzDBueo5V?&nG_?BVj#Uc$0s!u`-@Tb8svmv7$e^tSi*u2v;($#e ztja*51K%5`o+-lGlF}FIx#5&$=~g=z%#BOM`ec8+GKEzk^N7=KL}zVg_Y3gkA<~`H zi=<(Ni#2*_kR}Ja=GIbZ>MCwW9SZo^=;IebJt)y5g9_IWxzCg^{W`XWDVaAIQaE zhsq(Z9fvmtY9Epip7NA!bJEO1X6F^xEf}>-T1BiQ`N%XvTySfb$RmplPi zvd$49)CQs;uNemMED7jl8O+6Xw1T@x_}WNfpWGJpsml8yahPL$5fy+5?S1wXN#tU5 z_Y;fecAZj0#HHpmVKI9vPzfIB<5?hDa|=WcNa+Lh(rYvq2ztv!+1#QDo_$=6_*L^v z#ClVrxy)q+n{ddcGjZD`#cAwoEVP|7{!s&`(9y?hVZxw2!gR1d-DJjNTj-&5ugcoM z)2E_7zoXdto#z*%j;8E{tu(|%a%E#BZ}l*oVLC0lKy;9RAi$Z>ddygeODBp4zRcH=S-eNW6BC2pQV?4Iw@*bJK$!C5c8r za>ip+`s;4F#>_U4N&QI+G$kWS%%-|oqCSReIM*Po_HSGmDYEIX@7u&17@*&&RAe zx?HTwx&$*mKG4S;5@hO&*Vu(Ipx{7IZbXieOY;q`8wz$hCvKZdot3gsfgcqD(Ao)R z3fxDTosJl#Di*Sa3b^;k4i1my4sOyEex{cKx>F8aB<=ftup@`at-zMHH2k|vbz#R_To-tbJq_H~y%bFr5lz5OFObgwIt6HS1>q%Fhg5A;gh?uCH!Qt4VWJv52 zFDd6A@s}A4Kb0 z1#`tJQitpEK+r|2*&3_6fP{}C2R$YpNz87?R?jt>+5w-(GTiR@z5aL&Ear#S`=V+e zqoJ*iSC7O%`Up_ufbiIBYE1+*_!%!^QZcFP16y2htriwslePohcVk4^@oh0o2yQ%%L;pIoSm6JPt4xr7M%hneF$Tk97{ z`pUt!w8Lf#mq`PacTe*1&%jRj`PFCe-X=noiO?lI8A$5oX*=DgA4T0@B7R6F>{Waj z40mje-zK3f;G5yp^V*{|Mnuol@~|$gPCp3c$UJPYyL5k^M5I48NsBaP<2v&hD`p*B zhY4zntp^i!rqZbX}k#S58=#vQ6$-3Q~mh(o7RQ&-NF z-z7SoP|ULW!@hiOcG1NDGsQCiPnJ1IiN<-(^L>lO(V(}#Fq=i5RPd(Ty5wtl9&`=d z$17?VR`jb;6O#BJDDnU-Uy`iGh3B%}_CA89@CUt8y-;ps>}ns>n%B|F(_afyL6h0& z2INX0hucPgZP;Q#LnKRcuJerY@tY?Y1YVI!2HN68D)ff^G4Gtk5VWOTb5$Piei6at z5)z#lC!mN+%txH?T7Ry^(7|d$1`z70Zf~P!LSbewwbI1`R@@GU<2t{Nu&@?x3KL2S z|F;*wxKT2-EdqJJ-LSOhRe&%p+>>6FRwKW zX)uM3O7J^Bs`wDqL2=-jyKkS-Y`S=#l#-vjG1GOm!ovC{D0V=`SQ!>8pIV0#G z!#SSOT!$VR-tZ5OB2u23BPT#DOD1@V2)ivRK9V?cl*)%QZ0)QRDV8buaR*R;S%v2eZ)?p@QF9wh;3LWtM zHUm?IgGA;}5P*9>f&j*OKpK{}j!No#Kv<%Eq->*x^|3WXM^9 z7f}9zLo`+-Xc3X)AWt^`#aIAK0AIp-mfeS6AwTWN$CKGz%L>?F>#sQhRX5!`ydeZg zeE@Y{CPo;7IXtU?XN_x7%w__%;JFUUOf1!xN5_u>81M|u+e0=k_+xgG`49LG82-za zaz;^CG`&T@ZtT*4H&~Ib+a(P<)NGTDryPYz%;xTV!KX1>Y$?DPcv1(xF9Hk}0D&h% z&H993iW+I`!iw@LEdCD-Umeg?`#r8Gt%NA3l!$^zN%z245s^|+kemvFv~(>*N<=`q z8NQTC3_)tZMhK&1^ytwrY9lvd?0bDbzu#YQcJDpsJg1-Y1Q0E4faSKUbCh z{z<0DGEJrmhCHl$cv5zs24X$x{<~exC{GH$vY$-krl$f6U4y!F;4||$+6%jqSlCK` zNc>ij=;4%nM}MO4${ApyN@8{kvgaS)OmUlS>JQUWZQA2+>3;V6&)V4cE{A&;oX84^P11w4E<>5TJ`A-EBJGkj-}NC1BAsQgj|C z-a#nrAQ%Cg4UnG~WcN=N6`o-Da?!zGfesTiABuTki^i%gN&M_3uQUWoOlv_NuevaQ0e>aPMy_^x83b z#Sd7cW!gW8{RmoT=CC=1>fVO4uCA()r8tg+8l~mo5QZazyoj8C=#-VG@l@pf`i+QV z@M$d}8&$89*DMRY;W1Fav;&PRrB1rJDT80YY}-POY6B}iG!0KY7q&y4~Lgmvt#)-hFP%17mt`Qi7 zzw;f~USl2^xB6n9(hAvS7)f%Rtqd4F&{`Tjd6l!hZ^XOw!h>M>3c9*sb!NXd@W}Yw z+EWkV)Ekox(7OfoNJDqc_JbgGyiC+A;wEewoWNIxT^qBK%}U&xv#Pw|_-238=ucgs zM6?Ga%G$yxX|bS0>>o>OZIVobZ`34mlZTPbhCi^NjhPeANib2|IF=4 ztw47M|5F=SC*v|9Uso~OJ^rl+R{`1&_T{*B1=;=jmJ6n0tDwZK`_L!7_fe;6ha+{h z$_-$FHXD`@B(au>O-A`PwLRypBqCwT0i}x5B1&(5AxDWzAsoXcIUZ@Xan zlx(!Sw=K*Zfe}}lE2pIHO;jk|v*1r2ewi*ipO~^YQElirmhsJ1Q!>-MYn@R=As=4T zliGm+$#T4Lwz_}ULB%RW+T@9lgx5SJ|7vZbXtm#u`=@5JL_pRNbsC-e`ac%v_H$-~ zrGlfW?hA88Hhy<_VJrU%e7V;dJ0NxJA^wztx$(>BLYg`3Mb3-UyxoZ!b-m3_4Q$5C z!x$YyWaNlD(_3o`OGfX$!9piD7JmWP*FFEZY%aCL#<;e>dM2X z?|JZ-VDCQ2j9H6i2_Dn4#8X(=;|e~opS?7FbNaMnQgZR+3d$?`enszr1j26A*ktzJ zs~?M$@pf6D%ldR-JX)bF%I<7i0Ea5JxpPh4SpF1K!mc!{+*LOH0O@z+6Gz=bC@W8y z){^xpa55sp0Oy}s#wzcf{1`>9?5 zGx|)R?jk;0-swXqyVcWq`tvK&Rc7`^)1Nu7Y+ZILB?_Ox_M~SdA@oIdB2Ax*<{#2w zEPqy6`#=2vNj8CFv!z9wbn2epY9fAAl)Q75p`rBISktDDsHjZd&|#AG)zcwrS|KUp zm1a!$R*+Y|T9XA*_<9&3N~L_E4IFf4t2Y`RAS~l zeU2g8@$4_{bT*0mHD}AtFWpq=ae9@jsOsThmGMC`B#?McA=hW#xXkifvdpcSH`mHt zALtwVV z=gYp;ClU*^y$0rZhG#l8RQ;>^hGQA&48a~-J(AFi|6E9D&tU60-m|GQmAsRYt7ehL z+w^DeGAZP8`d%Fmq2LKy0?Jm9@cZ|JS!0=MzEEwRcqX=fdC%>ezP&#m^cW69Ucwaa z@GM`*K>YsjA^%s{+gCy?jeKD*#<#C?|I5fAZ_pKG=f?uQ5cY9c`Eax%l&t%W?P|q$ z1N)$}V0+^vxyg8a@hWlN75a}^_7J6OJy#Z!Kl7hUu}TnR`mR%ddI6e-bV&QDG4(=p z$k+iTA~H#Ml6~=?u2}0EMjsaXMCkY7?|1Xl!Y|zk{3nF0r*NBX>sto$y?ru+f6YusT)2#Ax{}s;Ig*^8 z^LAtD+6@=VeDFxs)p_L06#jhce?M}A2V>mGr|(ny3KTz0pH>mW)rx4c1{r_ran=@o zl%Fkg!~NE4dD~^VJJ){valV@Hswdrkv*PpEVSAa6om)Um!leZ|r0Y$x;m+XmuA8Na zZZI+6SXTIosMBD#;K8Uo#|fGVj=0yN#C{x!-S7!@x^T}(Ln%=oc{qAJOE_G#!Z zR)fpQ+#wbZEGQ*KO>2hsE6!jG&ZJwr5Owan+6P1nqAR9&iMA8B60AAnY0yrPxG? z*GX0Ojb5y1)@zF_UueB_N(7BZAp}1;I~iwgb%Q~gr<`;0n5o$|joTjeLP%et9R5_} zbY1&pE`Oz{6o-nkcSVv1Pj3Ow~QJPWhRfFZ$A@;$`y6rL~m| z$8b3ot#s~xbq0iN|GCUx+4cYe5=~+`Wpee&ckyrc$?)pu1ImLl!WkzV!ga0FSVYgT zbC_zV<3Pf>p)8pMo|8YGsN4Fx`jRHYqvwr^>99ZBpvZ#=kZ=szIj zT0$VZaXfZ{GiqE?{Aq9JtkII6rg^Bezaw;sb>1bzl1=iZ$(PIUj~?Tn@BFmSi(u#f`!JCN_nsHk3{=|2IlIyXt3jEXmag$DuTUK(3C*tc?~8)2 zq-l30X-cYpSCHS{mJThX=le&}wL`Tb0$z^`GtzBf3DrT@?%XB^`P};D9`Bj_;KEHq zo$f`S>M$z2VopRfG%-3K!V`DrmX$v7_CsgVt(uK++yGawZr^2eD;-y41QNl z`KqA~5`?^v`}whvi_4=z?b@R^VMLBgH%=T4B2vo!+!;`UB`5|pSNrU}{H6uUdq4YF zCd%NA!i`q4$9dS1js{%h*+z?MLbI$@|GAO^t7EjJpLH5HQzEbW`OHf}rDTRx@YEFS9(2H!2d?3~=Z zKg-7?MSsU0922jq9fiZrXv@V50ewr&&UP<};w9ZL*_~goSoszo1$}9{y+Wl&gakey zsRK2z4L?cQ_-^|^^-0xwvUIp3`*|u$Nml%mrv^-=2Awun_*{4{`FkDLhSP7jVn)9@|9ltIisc?o?cH$fRybhn22BH^4vw6?Zm&N;A%GaEJ96Ttbe-?9gy7I>Fb+?+y zgTr#uhiB+WH5>yeTF}Qf_VyPn!w7RMIMqr0wjq-#%9APoh7QU_Z0uJ{me>=LV9Cey z<(edejsh!X-LYbt65MIKg;$KynfB~ll>SXCv8nwxH^!_v&YJ5zv?ct@!NdGruG{dB zMd@*ltG#P-pvnB{^!FdoL#`t})j#69>K5;IWEA z&}Js{t`u52SAaIo6h_J$su}h0iB9svg<|DsXAI*442N1;{oOzPNO`!q9=}<RZxkGgp$Dg|AJ+$_(xFnVC2I0Q*{>ErunUQ$KP>#RUH^1p zT62BXzh&H<7pL4Dml%4g;V*RRYwyg9o1$k`r*4&NU;cI%k*;v>qTiTdN3g$Iephz< zsKrA*r8ydNRoVU0=z!0=HIn;}G8sgsgyH*LwIcY0HbcLuHo>P&o9*U=OVRe7L{|osh_r;JYbKcU4z?b<5+6fr_)bFhXkHeed#OX4=uOlz3TDZ=;%_fF$h0 zLc8*N{j-0Rl-#H)V+l!Fqu055yW}MiJnbnCm7n|K-3^Tl+FKQ_wk`xVm zZ;l)DN@(j#Y+bk|xR`azNjE#q>3L(ker#Ot+aJl?8eRrQU7RR~J(hLwDdSGY+jhzq zL}E_elh3$vO2ex~H?kmR_e)O?g55!rX-CR#AH`C7lYe71EGiAz`}Umc_s~z4pLrUS zKjF*p)n<14s_3EJm7uHj*G;zLe!16Z@@Z6lc67X8s{p;CR(_t<=S41_NnNv#J0$kp zGb9)z1Hp*&^@qfo{4%?pb*Zi~C4mipY0~zQ{$jWef_*n#2f?-*rK2|M9jtmYnKcB& zwE_*1ODh^7XucKZ5ZJ{P@sJ$u zm93B*p%tePj&r+1Iwq{U3p%MRFl(W2Fk|nxRM_)eJhQrgt`)O7G*{uQx?OJenGI}C zm|Gzkx}|;Jd z;3IB!-J3l!nLWyzJ=&PnfX8M}>`8owTv<^#O;>|pq#xVbF6}?f#TS4jkr5b`y*l zjD<=jXH(wYu+iu=(f%Aq zf#%FL>1VQU_F0_SxUk|H(krxL9WtH#$$W{GuzWtL=H#8#wZ3qMM)^_j4G38A@w9QP z+m=o$8>F5YWuNO3K}!rLt%Z@+m{7L4Ys{#-xu#4f-x;#iAD;*))u=IKOZH)y4pVgk z?}^qB85*zmIh~ohJ~}4fR|;rhMLA?!NY1^XqSfu_J%#00(c4jsO|AxWkY_G3e=hlF z$h6?f^AHY>-7Xy@%kH+0Fy}5cHx3&xDWk9NrHX~iau8(Mc1v_{=X}zyVSIAUnNcnu z?P_%VIep@EDCc~TI)Pw;n(2^D{uNk=5Z5i)R8Nf?edrLOt8XznaV)#vbUZj~y&af}q<;JHRT!c8m^S#n0VR4Th)RBmmi^KgMpy*Om}NjK{t(a5?(;zA%)&9^Eb zGXY!KvF0(5T0J3E`nD#{$|=<(ARbTH_N)KZvKd+WtDCtEcpg~42M@Xn`7=xbUchAn zFXYSq*#TQ}lj?z2up|Gy;$79UIRSv;g#SPrt5*~-07fqV2krp)_yN#y>;K^!&_Bi+hg%0iF^Iq!~e+w04%=yACoE5p7N}HXv*#qHn9FVQSIx6=ln; zy&HS?zfUCrOnHAXJ^CLA&}jw0NYnqoV&G|*+4!PD*}@+1?J)3d2mt0){0AxlAQ}L9 zYW@dWjZRgF-9Rs`QeXOKj)SsXzL#pX;gcp)@7qore|dGD{z+DleMQvY)$HX(u=k$O z%D~s|7{=^5mq+ed*O8bgSwWQ%CvH-ilE{{lIgtOH@k`X@t_ zck{GTtPSX$(R7{5k#iS0ZXK3B`aIh*Ywi-bXhF3=qUp2ga^2I1khXah^!Il> zUshSOWoVDc$!u?AK-zHc-)~;@^W_}+xUOvOaj#_C<5{m*-QU3}>)FD2z?QhoU*9AX zF9&DLTMtnI$ZeL~iCo!p!L-hxKwIu0-V#y@*Ckw~d8_qet)eYbt?t?{^#@4r)g zYKN-kKaA51+#5|lU@9_A(`cl%o3Q(a+fQOHrWptI(}Mew+6WCU~@j(KJgAzM{{ zuZc(xs=bIU(lLE__{opd@}?pK#p{RU*yb%#Es*^~=sjJnYPCJ9$#Si#O=#REuh2u) z**W8VWwnb`r}kfY%l{|uQp}-tvK_>hCTM9YgI>A~y-vz`MTpNW8aciQHmyM5gU3`j zPvcmXgxG-5sGpU4E1)fnO68=&<9^7^w@GVuNz#=-5WaKkN=o#DLv<4?hP0#Ygp>hc&pPQ2AK3gdH`qV)|cl1 z_l@rX?zalIHYpnOTF64{!;IoHGr-X6z2gZ_SE z(=;zl3v}Uv@`LTe%-w=5pwO@aPkI`?0uj zId0ixx_{^VZcr^WvkW~madDTHR$}7Wk+_lvZV*)X7;-DtIN5V%R_RjN77>qaPa+p! zIZe%Kg}!Q)Za6g4+s83h83xDe!cCH`u_EnUCy7%_&hUkFPe`1$#)41$Uzu+-Eo4_* z^1U5gh|KZAcAjK0|23DIQLJ}Y7#phZ z`Q92Ova z?r5~vW#sso0ASw}u7u}XSD1yWy^U-h5JW_#)wpF5%PK-Aj)wts)j)!iZiC}VZ-DsF z=WciJD*um^pXG5I4UhgaJ(>4L?e&@<&i9cU)~4psqJMT?S%_a8qRZ=1DKvX24g9a~?l z05RGeEkN@xZP`*a=AkKKiADhH_2B_kksA&7|I)t6$y)*Kq$q-19ZwMMb1r3@f^#-} zTQbS>B1P1U1ZECkRwg<3rY!U;@BPC8Ild(?2y&>l4R1YFsoP+0Q7$G9Sa>|+e|EZ+ z#A)({)W%Q=a1pG-hnJnCE|?u6P5=iC%=z%w0e2hhx)EWpJRoH_`>L%xB`mtfuI&u1 zM3)q?z}FNbyrWmVK#Z zcwWO1z z5KH1D9qRMReAz}0IkpDqES|#nV9WOhx#5)Et0|)>x-TK<_5j9eeA-E{C!F@9KF(^$ zJ0tQJd`o~^r5f+w2|pCAC#Go#dA_p?HVQX6jDfeMF2y0;YM@kP%B`(}Wq#IT-h?B0 z7xgy$*B0-(_lY~{m|6N)%#2%lEIPQkRb4OycY}(cw83nmEe1UFnUF) z$=!*}a3{JvvK=s(&U8MiNNGz2ik9z_#j3t>?jthGdA`l9N9mWA{gtK$@JS6jsSPSa z`@=Q$@NyT=Pv$^8&(y&mzNa(Q^Ew&;7Ja^bp|Nzx-4ZSuYBQXj!}>E|aEHOP)9_ok ztP5%ptnLBj+b`bSHPkmFpvZ1lSLXR+p8F#-4%=I5JaS2}^+a$G)6L?zota;K*rUTm zyf`D&sM8>{vtGVkFWl~#Xa0Qm$1i+4;H0sIYxkm>j(L45*)jI)!-kg6dH`MSg{Ej($JA~Uk^Im7n)rteS^TPn?`;Pc2iryH&80I~TwgWcD5n2TBi42IKJ*m=+s!NA>WI+l?KD zGhcy2Wd#0Mkbv>KKAdRHMO9D$PiFk^a@+%;{ZeML!FO%^0y@l2`J6g5K za6OWTSN*#Fpo{`8Nz^VEQ?p`Wh|4n}j@6Zb@0W8W~j4a(5wXfKYnrwoOGCBX4i7%?zW6Cf8`<9dYN80m(i zq48z^V63M**(W=zCJMPAJ)H*CU>SBTmAmhBfhG37q5#BuArN@`V_w{{@oDk80&rfg z#~VFinw`|-3Ll~q1-=KO*QkMiKK{0`Mm6ySA^5586_kAP`XP*>g6=~I~QS5XP5 zJ|%0hh#!vyEx8-^rBcH+f_NY0^6u1yeJDFh|4Zj;=9NQ0$$wfwWh8gMT__tg z?jOSC^$8YDYr@9*N+$QASr|HJ)L$}y+LBQ$00rw1LiO-;YXs>%m6&

zyfS^ez|P1!87B+VVVQ`yo0?;!QcvXPQenUa&eRE_MiDY)#3Z=jZS6d$hpqs$JWhEO zvCkS*c_??~GAbkPmzro~&Rw;Mw1cWUd;vaoKt^Gqt$GP84>z91zp$8eTqQ~&)8 z*QDS-g&mS6Q8MBuKf*O;CU6A7i8=aqi7x2)(P3z-z9Laso zZHt7qMaFeA64Nj8UhjVK8}G_++H|$L+B-{_jWsX(1aTrwFYA)(`Q^Fobb^d!(vH*M1z0_?0%x|oH%-i3p{13b zaHHXUH5Vrk4stf3$1pWvY}E=+^##{LBbUMDUW{Skp-V9l`QZ436+hrA+PlyEgRM%*s zFnf-8{y;}NpbjrmRb$0_TUI3%dV}XZ2A8`i-e7bgJ?kSucy=HSl%ab#ESvR=Y-O_C zPN;V!HD9N#@_FHwYD6uMCg zfz8hv@2r7>e@ab622AXg1Tz`8CRYYK`K*uPC-&b!pM>D1{7dch3`{<#iM15j_!|FH z%T9H)yOVmKioV&a5E95f;rUV#qj9@MMcZ>k?$4*ue1o0(?&}cKnZdw*e7SyVd!6WY z2>W+*Q_XE<;()4nsf>lSHOz6#s$i{X#+3j3@_1x6?(vmBtRvHlU*@fkUbK1dZi6__ zqcaZ_W#joI@lTp-W@{ZD!p!ZCd`n8LId7AbE8_?(^>lh42)(<}x(nvn(zsHlGz#O7 z-Ypi^ET5^#@oPb})23eJgK4?j3ki$BwV_o=rJu!w#FL*TJI_jM{bt4^muMp-UyoV- zL_iw7M}EDm6&QDxtff?!393!@#wsPr$1M=iz%DOXQU{B~j&KhjAY8mRQNN=pDLf!lGAkmTT zMZlCy&lDXPnP$sQf86j7%$(lUw3|`P(f~`X8&B`EV^aydRA=JyOGS5^%dVS$`i&p; z7OAC`W0}B{3OxIA*3!?j!mB7gdyJKjN3rh;?t8+R(Ka+s64xDJzy}Y4P37Kc z;JRbm?i7!vhvxnxfff5tOp*zWvzfx5yL?@fkfH}68!C5mJs&O}>aru&Jgl{S#QgXp zd7Wmx-H<7Q8pVibLG4Iwj;z8s@A{;PpqIPh<1w1%%Yfl}?RWCMqTkfM;xs7RTDR4( zAUDDZ+%-~cq%K^X4|^%F5zzSotirJhDS0=55!ndv3L{-1GAn$jB7z&5%zHtkTdqjD zi0~m({Bgz!P83%4JyYifkz@$sm8SYGvm&++zMRB$Mot)Dq+x=ceCzeBR*D?@@F-6a zli^R29_E}(FKav>s(etIvqt0;hR%!`U)MJ|*u%rJk4g(D7kF&I)YDcf0bskvQ){l+ zTx8a|j-e0qmAmWq*ZE74{T|(V(v89(8*pE+rjmOD4@7yctJ9ARJx6%Ft9{xbu$;i` z+A9t0=Ql_?jd`yrCP_hxV}6 zI`zb~0#Z9~X+wIFP*%{ND&AUm@-m;2r1#}Fw^PO5x-$;fxT%o~;G5!+w$IkC<(7k+fKCW4qD+EVm$LzU{IDQOe^{y?pz zMh+(P-UM4Yu*aBIcO8=8*8l??HjW!~x0iBgh}YysM}KD)Kef5w`#l>1o+&QEKIZHV z^+>=M_$5Sjz$~&fDuR`a!%Q~*L8Y7nF_xHF2i6DW?#PVDfZS#?wSn}!s=2aSm$!~& z1Ok`uUT&)V)R)4u#;tWhcUrJ*2Q%3}-Ka^N1sTi!1F$p)Jz4s{r+r?2zEk>1r{X${=Bz!!F_ z7gYbqQU5mkk248u&eOs$i4rQehi;>fK3 z)^@m~Qe0a7GnN%>NY%3%tvl&umw<2tjALy>8FCL0xuryl%EzESVYJ&%{nW#76?UM& zIkoqa3qr9=l-XnNsyE;l~)PYWH-l zE80QR1t=rBm4vqYIoG`vE`Qpo4H41d>=x>|en(R!(PkG$odRiq+B;26-sPc*PVWej&_jm5I6HsHY z1_Zl|2Kr*?z~SE{c=BvM?L{$3g%QC~WKw)XUwXLi)29>HhxLD}s9wZv z8JD05bP0(iE20~RSZziB+mN$o#t8C1{<>2XL;T7jEW=|%;c;ijRT_nvkm&us>VnK5 zhB&kfStrZ{H-F4wh7B1gKw{kaW;JrW26wo`Una`LfGN6EncVEPz>hnB>nT~kozA(I zK<7I_LjN*fNhl-vhz385m=AnW&8jh;N^h`-6@5g{_ld9Tcno?T+BEz3`imR}wWyq$ z;CShiB{Ugv@_spSw*Eq^{>#nNc{>*Z<QSRv59LY#jw2eIL|dx?#_fZa_<>ulO1 z?SnK*pcUB-NG5>}uN;qs4?F3a?W@Z<+!*MLIv+->+=4bl8OhvBPMqyx9vx91{p^j) zK8_|B%yjG!EBWW}@l!{N4475DWE1E^Eg&(tz{_k_kALjt9ohzox+7dMmVThOiIZPw zRp6OlRL|be7i-G&utL5D6^h3y0R$S#fsfoMOgjsIPxIIPh^Y)2M9Q7vqEQmIV zpuK^?d+&_U7Y|(9wjk1PijRa8g>E6RXWd%NB+GK@4r|W6;OCTK8yDF8=BiD}+EPo3 zEYKRwfmdF`7lA0JsbQv26eBtjGN#z8(tA43`+_sy50iaCQ7pJrRnQpGYhqQeTB%F$ zOh;QDidbjXXSb4U(~amEAQeJwzKC!|EMQmOJ280>P!{L7L70cf>LlZu0qdF`EqBek^%|718^Oqe;w0qf$s{ww@8)I?Mmj2;~FYU0^{}1cl>}MD^mP z<)tVsD9qdDQ*1(8foOe=5hdgsNFP5lGdOE~Q0ujJ7BO4|Yc6WdP63MKvYW02M&~=x za>+-Fz?CEaLb7IQL=^5zlhfg&&eGqy2dd{YAuA{odT#$aYuRwpBh}vDeoQZ7)RewrJ7G^3GLD-aG;Z=1a_{L;sSOi6Of&BIdl9m5ER5HRM|; zcOY6b6O5TY-=}PZWcP=7cK2r6R6bo9U2rDDTb z;a6<}BxrLWK@Wgux(G)b$1!YKOZYvPF05#C`|*u;SIe@#flY;Lb<5R^DHHG9(aO=7 z$Q~yV;}8I_ehy3K2;XtI!_4H~TIcBt-fvGDq`|d5#LRywkDGNSG7X)6CHp3)G=IyX2Kb?? zGhmf{aDVsVl2^_9{s0B#mKttI1_>7B#(BpiuPQjqLjWRhJD(j;S9WHtLQ4$?B%=Zj znB$NMNnkdZ8#Gl^brBG*cIk1g{lT|_AmwM+0ISgWGMvS7=ey5(u9JdBoFATCk?uNd zey@}j-RZXAd9J##0ar>>N6H@1hK?Bdy_oIOfE_1}e_7 zY!UI+QcG4BTGtdqD0RE}J!b+y|>_!abdHpt#HC>5V$f*RSiY(wCe zWA8&3KDhSRirJ9PB4TR2{tf0tzF*o&D@}@`L zvLqyK8=HjkSX*QOXOuA$TG;-*nrPy}OYQ31A+r`o@|;UW8X@?ZH?*W=1K>ahri>l` zFEv{Tvlaj^);&hQ2fv~ho-CfMBONgNIXvCV{M?vT6<%|gehMyG6gKR^!f)~i=CL)8 zUfkLENT`~%WWc}`KE42%f22ZLF)hY)cub`R#;=l+xjZOsCH@+BMOgJiRL(codFm0PY z?*zu@D6K#qQ`cV8>WKlv1yrRmWrV6D?73+*psLfBw&IYk&J|nuGgO0mm0;QHTEtfH~ zOr-Hf1GU{+af{KmCip(p42vk^SyR^$4=8hh*xYEL+}3QJ8T36xR1bqW=o@{hu=gx0 z_=G4!#(vO4xicR*eVYK{%_m^hLhx){*DLdKaHac__o53$*h)?6ao_S%kssK{mZKCR z*y%tWqG6r+Zbv#D0~J5&aAoy-8OExfilY=q9LWqmva$pA!EcmkN6@7)RX$hd{+0i( zM9nPz66dvj+LPN)8q6t>M^gr2_k>1k`WAoP=#=Hg*vuw$-(CxB#Egl;w8IQW^&r`D zaqd&=6q|C~EPngI{I>^RZS5|iB*eRW`5ZR}Wnw&fbTR86=Y7eCFj;%i(Rc2e7aTXE z)A)AfloL$0z9WAXsLIyTHVKzi?3KEkw1Ku$ zSLglL;_J@08~%~cn!iBEv_M;iM0hWX^iJ{}@$S}99(?q$lYL648|khUsN5PJJos6x znUk;SGeD=k=N@<`IM%qzmfrsL!d1?5Ai>@$M|>~1)qTECNg5)PvU!qz33BE5&!ING zX4DH)rn#OHNu14&c+);Ju{%7_1_^W(a5by~=CsL`%Rb@I0X63XH&t_?#3*kD>~4gMf_UORP9}6)27v<&pScz{g|T$=um~7LE=s} zh4TD2GFF;ZpOGO7p{h@XY`A;kq^j=M`I-33ln6Bx1qq0KhBR_zb zP-t|?B-igwM$F!?4>o&?=gHAbLOX7-DPPY^$o3fAtVI>8RcUR|>}vP?+4lAr{09?H zGf5fvrcma22=s}X)If`4Mb&}rFDH-WRz4{M<`QCY0U{xC%2m8v_Cj~uUkxM{lus=k zqO}Qv6fnPkqtyKog&b45*!`o^kkeA#@Z9WVWHRPb=Wz

&R)k^9Y3;GWcEF}QkJw*7-`ahwKzF$c76Mzz6l$k3(}~p%;x99MFX9N{j$=y z+01jWKTY!uON&dJl8>{7-N6x#d19_rbK9gjFWHQeDG(3jQ>CS6prf(jiImKzVVv7) zv;4Ymo!?EP_bwc@D(BGBGFb)>Fy-pZc23s7+#+a1j3ACdgo3rnqe2nDAmVLP{@ey` z$akIoYiww1nD54L7rlSRG;8=#jjOi57QCoX(@RL?T?WB2<1y#eiZ4B880DO$G2*GJZmo7))ovuMkny@dp896^7NC=9`_BtffdC2Rde`%c9 zShru`lcd*&{%0H{>*(uZynKAcH^#*N68W)w<3L||G3{6ax2FSClWGTX`ywU1eBGwr z@>$WIg6rR<%sA@rAD^@;XNvw1=sJe$HG4>;=eQ+a?aFJXYh0uT5KeE|Fg$TlOu}mY z6(p!EirmNmt8cQa`w8)}C$U&2{P}^PG*<@y)tjgPqNjq~&%;0$vP7@*n`Kw+X@WuE z>T5F28es22$bu$FJeiP3f?K<_MV^xJ?HKN|!9mmDI zv%^pR&jZa2`3)jZ~_CQ|p&l=zyve#Z?r7S?|30L0z z*w;7@8SqW%xF-(?mLHmCssU0@hf5Ixq2CLH%Q1!Pty;Jpd)ouQBsGG(YjG%X!);A@ zj~$4_%66lKpS$O-o?m`l;^ks!9!5K7e%$LO3c_KBN_sA8k8y6D#JbL#Z<(UTkLq{n z{j!nr>EP~VIk)3+r^nsPy7V}hZ==~kIYfxij?AX~gyhia6Uch_Q%Z2RM~(@t$bNCD zjI;*x*vso$R^#=F^QMKasmSEdln84@`6b=e-25$NqM51Js99govMaMtlLG6kmL2mC zi2ykS+`vSayS>`pQi7K5G-t`RP?RRn+aG%0AZQ2l5*ES-SE~-JE~;#vZaP6W3i0s1 z9ZI}965(-|DzumtP}a@P>gk8I0w4VusH%Ei;hLEosWJW3R!Zw~Z(ZV@Zgs*U%@Usp*35zthN7%~EwZI?TAkXiF=T{l_WxJ49XL*ciW$y-* z&Mc~YJCAs-HaLtqFPy0W8dS;$K#9TOn)8S~=EAkl%8(PToAG7DYucsfg>{pOiDmE( zQaU$C3-7UEjmr6Is$Br|1ot-2*3&Ie>sFLcj<%fY6^_Eb^qr8G`D?_PN^Wyw-hcac zr)yjqkZdlJ%UQ@2P|FFbCNOJR?PFD!g{xXwmTRXnaJS*T5xr)uPkSF{CRV}Hw2y9> z`s_r5;}=7cE%Il$i*t@1GdTiQPIc5L9TZ)IbJy6$S3+RmN2CVCzZ`U`XR&0*zSiqHC>v~A*8x*q z%VZdNSG9ZT|A@Nwc&7jFUrCfC-H=!*$z90ZbXOD!xh^6kmxZ}+BBET2T*_sY%W}WP z7&ez8*M*o%%+jp6ZOmon_Ivq!|M>mac<^ZRI_GuH^Y*T$Z;WW;E6wUgI~hsq@=|UU zbI$A(m-`4Vu#nnFAXlG0XNTkx#(28EZxHk&;5^l1d&%xg*uGqv<2&_KZ-ba&|A=w9XXf)|y;t`R_MzdZ_hc0P+AZmHlp9x!Mcpa`f!ekCRZZzw}fpRH^jNKIo_9q>719oS#k>0$9zge zN9}K{E^(?4>(g@moCuRxp>s?lE@9nbc%Refgkbl*AzUfNdoUD(*VVH0`hG6^Ds=13 z)PuCvLo+9_yX&BxC*-;{{0RrFda4am7ndwWp@jy0RSGe!p~8^#N&wiuRzs>m5*5a< zxZ9%8bb;F>m0$fB3FJ{a!yS4KhHWMlH(C(KO&_t&&_~3e5S<5rVEe1dR{cA}w!}dX zLSL%cY6kmRwV6l-+rcagZFi27sER7VdVt4ELpa$`r6gm(XCV9&G%~2|O~;Vrq>t~7 zQM1+p(*j4C$p@!Yhjp&{NDYV$o3|=Zk=3_->S!B!;2Rl>r%^I0g(St{o-+zn?nbA7 zr;g_>JSyH}r#a)2Yr@(PYJ7schn`EM1J->H)%QTPZ{rt&EkNZ%9xMl58SKm5>Kj`0 zF3dg2!@dorr6OGG+mqJhU3@;Cy4QPIw$%D%rAjg0*rV0K2N;mBXY^QV`}m;9 zcyjp7mgI`H&_5L#)g+yjVqSDjQD*4@zvt7WXhA5YDASO%tA^~_LMwbC0W&OrGC!1I zM}n7Wjw-;8T8+E4xPYdUVsBN=kFN6R&-F3v4yjGKdHz?A)SEN(>C5gUaH|B1o6I47 znSHrFU9Bmk@c3EH%T+#N=vx-U%IL7d<5ezo2_~yKboTW;Yd&;`jSKJc@9$%B51i2z zn@L^g>yk?ggGVI%NBnOG9C!0@`$kcABlLa2NfbKF-S5HpJTthXet*sEe$kknrcu;t z0bg_`vSZ6CtTd(jVnq5Zk*EHUbNL=@-l$9}%u3S!n|p{G-QK+bLoS=&+d6gh zDz(O2c~|;I49BjSJ0ufYm8}Vo>ZzlLvdNm{UG5v_$P0$JU&O!d&!~@;)ToXRiP<4j>!Fuy z<+Y8D%^$PVJKh$!0j(u_``szp$hy6`aU%8)+cAQHsFo)1-1C~^$_@!C;U$Z>n}(F; zdKsj0=;*V^&7r*R%n5-0_xoIDN0#074LXAC%MJN3hU{PkpD{`{7t_0Kv8qPPU5c0c zexuD;Lc~ya19r9I9gCiMxcO3^)qm>>{PCXdnvi9k=QjaTcT6vLc!C}?^j-ovU3g57 zlH1_zn+(2a5|n|9Hc_mVDp)kgdPzqpg){g^l81En8)j4qR^Ta0z|%Wl7c6af-GO zAQ=PR`&|?hZXo!z@{+sk{URBtfbHEXqG|a%lb*tzOd-pC59yps_BlV7e9u|D(aRM2 zS#O1#;sr}#9C{xA<5DBeD`j24nr$oRM&COUuDkjvSar(b{Urbv`gZp8MDQ zMqXefqPR;7VDCFDU4gwv*1}Cb^kQjBUQKEMMHwaJ1l*z5zdFCK0den_Z<6BvzmaVF67JlhV@!cm@(t7 zRptaz!0&{TojyCL1+$uW=OfgR#IQdR&7{|*_}RtKIWo-{hIQ61^wM8k2Cg1Ts_+Mv zI%RCODXs)`zN(sc;0w3NCI4)>yQu#xC$-@-1}Ye12x*=XIyC6JTJSYe0tN8WN?Zp|j2zGc$@zWZ$xKC&q&v&jJkI zOI~uvH;M#1>m~s)cJL-K@e;V^;1ySf4lKO!Fjm6B$&#Hs47XNH*`-nwhUCvm?rx3o zs92OFyJJE-yjC?}R^UGyhoI-7h~%~BwY=!Z z4Rd}y2w$%3;Cbt{2%Ftdk5mO+7rGKBlV-sgcj~OR&vE14A|_D`-a{Kw|Ar{Zkg&bj zBW|e&+XBu16s`td$hXCQN(xp1qqc4^{JyarUWUXYTr>8N<53eon<;7m8uLSH!K%wn z1DMs7k7{z+tj`L!Xz*))hExR4AVi7R1&(TLSu}Wp>(q_e5!p~#EA+hd;Y24*!;J9w z(rnQ91CN~d#BSI(%=!zvWxp!H)H`+|8+k4cB&J{0+XopXkK9U%wG`1=KT2bX-Dg!v zDOVWWLzy>-Qn<$&{&y`ok@o#QMM31~tWWN($%!hI`_nOZiJNmx6(JD}L8a)|iCK5+ zUpgTp;D)ouY^L;aD-OeDV9>lv$GyHm{NQrvDoR@NPxb+4pieOpgrZpY(jif1IB_bv z?f^Jr!Ai}Z(~dQo!VRou$rwBNfd-ytg&B{dmU@RNrnURmv#`ZIL6yAdDc@$#{3~Oa zHVNbh(*AWpK)#Xkhy(pw_RDhVS=|ptB>wncXzKsH`6NYZ0eg50@|rzoNO>w(pLT;o zc;R2jOTNZ*mHRCe*QXa7xO5Fnx!7$LTT-?O&d5o;+Y@R94JcJfb~38oy6Kc$(r~Gq z7kuJ>m1g}L)n(ZSkm|}k9zwlXJshrbJ9f!5XfQZXY4yfZ+!c}~JFm|;f5Z2A<@o-| z#I@qw;1;Z`sLKmuYU!jxLuH;w8>^o=c8H8--cF6L(-A4mU_4!7w4P|d!f?*LITz2h zBp+!=g0+1P^1Cxf^AGTUG?xgJPZifZKgT}2#$)Y#ILvB?6CcNCUhU(HEyKE=>H6Ea=e zvv{&@5zCPP->-fCfb6egI~`-~_46?@#^D}Wst$a^8#>dE-%G$?3l*P!mln{!FZ2uh z10%I4JL$8@a{BvyHb{Rggqu(=ut$N4O~A&5`rMhg1c*g$TLTxzQJ&fTa#8y1`90b7 z_4~o2UfZsQ1OgzsA^ql1a{bLs)ID&Ou0-fF%GbY1y5K$p%1Squ%2_1cyAUaJP0~jFk9+z^#%8gli+02Yj@~%~+IYZ$Nb((*Q6Dn9q#ir;6pY=G}bdV>T)fuRKs?Fq^Wk@Ph zM~i?Ax{e-+e1=oEp!+CY3uj~)JEkxBHu}h<-Dp~hVdjq;k|9ifE*0*s310NHF6^>a zC8_Fk+HOJUhffNxUD^@+u}tZDGkVb}Z&*lMB-{LSQo8B;gj*!zBck`S$X?Kpmb8Q) zI#Peb&vH~t?{93aNDz4X6+bhCl`i+wDPp|N;!4-fBDI^(-qwBpoPSs4p|t+9$koPd zHtY)R3`{Xp{Vx8ZpmX(ds_f6=zN1!i?QH;xLg*e7dWNZ1&&D-zy`IDLcPasVa zDXG?+b(S)u3IPpR%0e2ZmTzuMkT-BH+yfBXIS(7bu1UT%c_-rA8TQ6u_r>zP&+UXM z!D%)B*c3`s}RB3GqbVt^2rf7Zd`V0#5^K|3Yn# zodHRCcQ&tOi1zPI1k+Vq8iuXhAp|+T{^C9dF8XciIr{OT|Kz89(op>N=TDC?sX40f z%@VdGN=9tF*+t_nfpi|CuR2tEAR|Q}D}g6_6(Kk4p<-fph<{x;o;KN3#JytZZV|ef z#8Fmm#-0ld5Si^n+|q4|-{tcx0xogU>L~t_>&LzUzOH|~g|JoV)G@O zpPd&3BXh@o-eI})OiB@Rw*MiLUb&AI)NZ5Y92WwmI@4Z2d&UA#v|hAd8%^NfkRn@+ zP#^VuMmucFjQsRN)TZYvCS5bQ-+z~mcKPg4O5sOC5-_FV<{#DO^OFmqguW`S&W9fC z2jI$`N#P}1*gp)1OS|`75~5b<1K|VS`uMEWP|l2g2eq0PJ#MwCH6-;q3Xy5}eQakr znwPcY#>c(RMr8i*%3hpL{9b#OzK<-*blsAK8Sg`1JsH26+uLIiNvtv*kJ|l!`X><( zg1`R-9mQiBJk$qeQk`uzO+OJeo=^*<%OA^a*3Ms?OTVIL;-__&DaWRrSY) zN5P8p9;*J}Y5LSp|KPfgn&X(YCeGg*PUq1*o`g7}7)@9I;p4yqco$3Y3vB9^dQA?) z#(ivJ^p#V3qZ=~!FL%wmsTM!Tke8gm4fex**=ZL%c>J!V6iXlhBQd0m>RXoUJnO-J zlO{C*-cWH}&G5q7d#rQas;{92ciKo+dtdwd(` zM@8Q~fGioW)a;jnVOwbaj!s_lw+3GrqwuX~=t1)AV)zLH?DdtH#>A*1(O|wjw7Wgolxp_;{TwE*L zOP5&r0Q@aQ%urjx5Brx5fEenPIlAqN46ITx^pXS;Ctkp*KSRiwvEZ}=%3nRacgk}k zu|#CT2KFSF$O;>rR^qoDa5f zVW$Y}Q0oj%P?<(GR%fMw7v1_~ARIKCUY49FrC+!>=%att`w{_g&m`InaAlUhDIr+- z%LDmq7GC@x@+IOfL@7EHD2J`~L2FH(pMh;pqu)kI&eXX9~ov^*pH? zGN_xVwPRiHcc1lZ8L068c#G_hcwzV=P@C=kExFRa;0L3=%hAbd_pH$FbXI1BF~x{a zZN8Fkhe7G#K8td(U+;1x99S1FNpUzdNp;9_`NWGJc{6>y@EZapMw#Kdxm=*A)?alw zf?@gW0OhcI-*WnkV~Og-=9z;y7z!BOMV6qyS{(I}mo)Cq?}B-ua}7%A%% z(teX8G&gBMWVuAZd4<2P?QyScs@z?#a)ZqYGs#E*Vp&eg>MvgM-f9~^71)GJEVFnw z`nwe_uZdyj!FI1iZ0d(NKWV`f-8UJPY4P_{_ zDAI$P6Ab?lJB!Z->*tK*wce(KJ^KuhSwHvPoQLruHkDX&C-6nAytbi~iMcKZrw2!X z9BHvTLFnC$x%BALHv$&UPg9?!6v!4;Sh{7>&JqMJ&z)nvHZSk7KNDhPz;pacc_(sD z8XnY+%dDcN=dI^L?BA$#l}4$duUVM0&FVrId+Sa?KS8#IvdBMtbemU?Ud$55=l*NU zb$ftFIg3pCvcx&W3IVsvF=Q1l`N*$S`h+M@e;hh+F~vbP!FmPO^PEywaXVGX037U? zKNg%r;VvQU6OZ2~f0u0Ol?p~>)8F8musw{;2S3||1$&~~vD78$ z&D}%`IRc=KTcE{?0(9#Lrye!rb|93_c|pafA^QUW66_ygyz21OzTrLlHc7!g1T^}G zT}Os=U!xIYyPd~*?JWL$KKfI;MG98hcZ(p)TtIRQ`?~K)Y74903(deVl4Tz(Zj%<9 zFcMFx4|>M!zJv`-nKMGoYil0YSg#!V>pEf6JBmQ&dAowO#D9-$7y5|!aP=drFO8`X zM``T>Q@iF|8RdD5IEVBPUprNl<^Lmznw`-d}}7at-;nyLs!+^wtXzb zYo=?x*?Vc!0pfx^G#0!#C-)vo*Mf8@3*vnnFC0raVn?6mn%d+g$Jl?#qfdRa!1e5_ zw2dg`JN>2N94x!3-Uf(^cms*DLSOzY9Ki>aUPtb|UEuUK!>bkQ z^@sedpShjb@Q%xxYgQ=iVlR0ES3)p0V!V4zymNYoDI$ms`|HR&%e>~4wu>0CLl%{2 z*Up#-nFty!&iO6x&~Y7F!y&Fga@63O0}3CyO5IZ97*EzZK;hUBOo%M`n%Yf`rnz5x|jkyDzKK#~B=oADm#9*%CnUNi4H)Q#jOf+zAW zA|l}XXOF=itn^h~>TLC37r_ij;c~{+luAEWoLU@HEY{RxFp!sivPmyNh&`Q;ugdYu zXC3YvkhOoO662%q2Q~R#=yf0GClq*VAbO;7O#f5xflEdmNVgpfFgs;lbPyHwz}co8 z)d>VgEHA_IUc5jP#xh2dMC-V-e1qjMaNO#+8`ynhRk~xSrnk%eo>Mj6BMuf1wPPwr z;VreCB7gUe3Lfpb?-dQS?&XVF&5|g6`~FoGPlsCdotv|FT{c;vrCNTd!OAa|N#D9S z;F7!EH2%ZeKLRc|TR?2SO@hj4V=0wGw@CbQ{@|@~+;KR4c27%w#~sqA9Jg~bVDLP; zKYF^d8G3~a|MW3%V zv%U2mqqF_RUT&Z1X?1eMUr2I{;R8=3=@j9E_VTh?H zT1h(FrkVHqLKMDxIa>@@{mHrOm;7=%dUjoCW3Xa%kXL4YG4<@-S7`a5r!9a-XRaZ zbW`f&1}f&<+0je4?vzSE+5)m33gSf@Z;kg2DZHQw4xR-wPDoJ@M-YhO11pc7MM5ga zIHvTI-@H8|;I8Z+o+)z?J=ovw2Y2BdJSf8`M{sbvD%(^mNsY-lP z!uNuB6EFp&npW?0ms3209h$U4C-QXvspqk@-i4Pt6kGhN>sx^VS?!X49pue$ct1%; z(L_v)H?_mkUDFP#*t-xl{lqzrX$9+DSlb19DCT%0?X7YPvhgMCag&{gm*?9El zc*KmwgsjV|(*_@UmWwL^xEjDwfO{G7kQ?mxH9EHGfm7tY%a*fmaAiC-{`slAx5O&e zMzH-m?s}Jf+rUv3@GM`)bFtcSLR%7O`QH80!t^~H>R}F5o<+Kan^>wf`hB3$k5Yp7 z$BwL3g2lig#YX}O2`jHiD#^Aoie~zT86UBd?DLiG38{M1SUeO_xX%B#**Jh zHV0z050p7b?d%Brv}xAUZ`LU@6LneCju&?E0Gj3?^{O{1VDBi~*%7X<9>3rbGv`h8 z@ddk?Fx7(otPB#ac@8XYe&26u(5DYNn}HiQR7Oq9P8NnPhhAvL1Yedkp}FO{T^uA` zXCt=J)2s^cndr$7!?>Y%w+Pb#+G^QtlB&nl9C25oXdF-9a?#fQ_CIYpqR;^s3y3Sj z9?Z?Csm+6XjhELniT$Zn|J@%gKZ-i$?m}9e!i_f{X<$t>w8>wMC!V&t%Jup0GT-u4 z>`9KQ7q*jMcgEnkH6DuTxeBN0{&$7oO8<(cEWh6#$%5}|Tj zw;8j6;wMXj!R5ptP^yEPyTU#Mbh3Jt;|k3r5$L1R#cEAUvLHqDP-+>Z;NGqEuwlt& z5|0O@h4eDWTRZKd{rnC>{N1pH1x41q(A?E!B#0kp<}2FmgVJ#)x^xBctQ{W@gL&IR zN=f@V+bDu@jqMy}j62UA>+M8+(1~9t(QM@dkm@bw@GDstvefpe9zb8&u2)ln_n>0R zm-4vGY9IDS!cA+k_Nr&>kJ4F=U91i9I9k>myJ{=IW7MhtVLH94U{%zloV03dv*UuS zU??0;SrR#PN_Gl{^Onaw(2U{Fz7*tV>wl<^7kceU~Fpwa#>|q`c1ahBdI#8 zkh`QLFqvkk!9=kXQ^I*d-VB|Wtp50F`a07P7mo=s2WH5_kC)wC9Fs~|`F7y;OY%B! zLpk=2A!&M}atBR9rN(sA^CxoM_8|MF6O+O7qFPE6ZcR2I8bpx?Ts5YM>~IO)#&zrX zs$`$|!rbr+w##95!Q$PW#Th=fn)&yI3oQ5o@SBzdVPrblTC0&nc=^sXaVp#L#>@#CGZC|Cgg5y9d>h-QfM^G6o%ZlMP+u!}KT0?K>w zSj&ey>Y}x|TPLDQA+~N|R(x4Tc(VU3yy!cxI@V%(HeHh8c4QM@E=?$Pg4RIx6Sm7v z*(V?WInzW~>!w*eJ?m*l%IYq4A`aejo`d;~rnG6&*0-B=r%s)-xStOmGg9-I6A+(K zqw~F0@QK}P9mOk}iVRlPdNIays8*CZ$~S6bFIAmSR6kmWq4aM&x>08LGVO;?)#o5L z*3jLir(35xuZ9#8UIy!pCIqU4X%CWgUEDT2)}C$VkCUWkj;(A)QnP`iHX~RP65Wpyk&~Kt!4BWN5=L7C>dAA$-di`kOsAKXoT7f*dg4$E027F&Vx0Xmf}aB4$$}JM?M{y z*3Wf|&%-%VZH$5%F%3S^6xiWR>(=nq-kt(k9d-%NPFu zmxa*@iDAMHykFFLdo`tmYyaOOL45X4sn>@0jB{pA%ABoRd%Q5&aMj-I1UB?-i9tH2 zf-5cc%IhW*c79r zzW}6wX**cODK@-y<5p6(C5GG5)CFy!t{|p-cjAoqms1u-M$OPf>RF;IP%}bF38TLs z8NElPVS%7l8tUg%mpaOolcS$jpYww&YG4PgeQ9CfqglLVWvHTggOcFMA|^dhQ_{8gQf zgN(mFi)@$}h2Z)A+2yzev$tL`GX==LOfmg!3D|128)4#5C|tkP&EFSs#wze#q8Kyg z>yl@H*%tOb#98s*#saK-yn3A zbvk+Gev`}Dl8g+4wL$@G;7=)gb32$a&|?a${nX|kT+#VJE5xf!1{k04IwRK}^Q@y< z!f-HU$@nL2-lzY>)yx8qC+H%1S4S?R(WqMw7eSD!jUS%3FM98QvDUf%nlrjRCz2H8k_g{2s@yW){; zjyA2VA|MBSC0vN~9bZEcS$+V1QIfIC^jCw)f}3$~_M*8m41SZ1b4a~TxkHliV1Eg+ zQihdcDcJ!)hE-)90Y9VsDenuIW~%r%*@c35>0_tOH3tH{=s}@I0dc(KIE{fRmx-hj zPiDjYi9#2$LxjxOE4#ksV@L%Hjt6z{EvoKz+wo~au3H@tVYRXD>=oG={zGW6GDk&6B|}n&Y3sq&{kdlnxag| zd8}_Uq4_U{PdAew3d_mbXBN4YI$s2;HLDAk*jUX=cmiX1S{!JLieut(5W(wo>tkIi zg3t!q{E3uYk)qrgR%pAoxz`*vCF^d;YJ@RuIM?mo&P6RH#e(ZS(;_MuZeKvV(XKIN zyH=jf{itxA_^P3@{lf5MkYH*s1(!};*sg0#VsZjlO49X(_a((=kz8H{ekF|V+oWfM0^lOwdt=U)oSsxN zUWAQfE_3I|;3RVTd?9J;JHsREQ56fzmV}LR#~!l*7E;FL_PACdx|Zd31W-(Qb0eS9 z)bO!;3%$MCpH(4H2d>T4CtzK(a(Cd2B&q#b(_vYYsdw89WgJsui37(yOhpQf#`dQI zY?4Na$dDy2hJEffUH6Y@vf@fSE@XW83i2ZU!xk& z$AZTG0U?-E%UHuaVEA5t8V_R6%b7!glg_7z)E3`8HD~J;Gu73q>yfa!Uv+}rei!P4 zg%+CvQ8i}bn+)`k@}NTQj6uo_uq#ZO9!a*N_cf4tz-GE&fm3j)&ErRp-3iu1FT%Nm6 zd!9R^HJH6)4`H0XSC;`yW-9{1fn~tmO6E!ujZ5nDP2Ad_Z*8((xn{?IH7-dR-M=$< zZ@{&bwU5RmTNzhFPJqpewRUMPm>U^gW$BG7=`yoZH8z92H81y*rd29)C3avE9p<(= zyrRe6nhAe5f@Y3|i1rN7I+3V(ezLTjzR-p@{9`E*Rn8y9xRVmYRl2b7@U|T~%3k}( zY`a`r6~z=ao^a6az=~W7-vQ)O60?0(SWDOxUD{pR8I_g!rh92MnypJpfEWv&rW1I& zUV&jg_`<<~eosVSAAu_ktaqHPSO;BEH9Gp62GVn9P9xr2Ub1lS8_4gjFVRMNXM0`4 z-Ta4sBS)alu1B2Ivu$wN;3*8K1Gv}7%b|QmL*u9_NDN2^RVU_v;j9W~RLB!Q<0cXp zsBQhzj%L*(`o5ozW9F<8w#5~?;nc6&eCRSvP+i%EVaH|x?BA(aBtL5r5K@ACwbBe5558i~@uarCB<}xnPboM|M3_=1t{}!z?9eg6 zdG1kBYR1r3eGl2uGIM7{2Dv1VLT~LdJAVbb&_^!VpPX!7H>32|b{!C=2c-bXTnP2A zf!e%)5$&}T`E&F8cMgLhEqw>vah$LJD^jrSt*?hT$^w}B zz)X?^(vdfs6Bh-RoRdYwn1!{F$p@{6>6}!Jtb3rZJ8rP6wKJm-cSrNcKQB4d?l_Q0 zJm`-1$@-e>)t@>1qNEk>{}t%$_?cxN#8FN-E3b&ruHB~Q{j zEPbb+)R=)Xe9~NR>T9rrHfo{=j(2M-uJ|Q;$r=UzthG-bp8WRX=vwl^KwseLU$sg!F6?sO@jC>4Rh_jH82{yc0xpydSzXJ1(z?qV#>!GSEN%d zaGsB9f%2{UXs(+;3fBnJ5&r)+T{&UuUm6!!ehOx;&lh9Oe=S!lwd1 zuZ$gqe#afhD4VW54KRhhh5c81c!66oXoKjg^+J(VW&8iHykNi;9mYplwE_fDyn{rO zD)Zncr^tNsuLA}hTZ1xnzO$TxYmQenCERyJO7M5N< zI}}NruB7ACoBd-ULh)_qVd?j_s}q>8*|wJ~@MezwBCLb4lf$X!SEF-w<2^u+o(*35 zUig1*=zcmNk`RgTAg?dm4-!yi3m|fU+Wxl zeAv~!k9tqD-$jA=spg!sIkH?0=|1T8vU$qS)sGjgMCa-l5QRE)UAdDU(0j58Uc5l zuK%NcDjjk6QA1D!Lz)wgXD$QhFkeN8d^47N&i?|Eb?;HG+xclq0XSNm3v;UoHXb8r zNJ9U*=IYzt5x&~pDp&Z3w3W|Q`RTS~q$7(RB^ z6c7OOba<7=+-`8-P>!~AY6&oHe`bgj;B}1GQ36?+(y0l+U<{3$?%dCm=S#?HgK0IZ ziy{jYX-!hFG0Hj+w@-J*F>jN&HX6(TMv~ext%$>f}ak-yT_ zm-rvm_HLZ%r_Si5-+CZkA0-0)a=#m*eAEr#yOD*tdT#_w?o0xi>AhOjN!teN_#q_F zQ5_LZ_EIUSCEv@ue}B`fePe3$%fqIU3b*glel`=PPM>fR>u_#D)sjGl?XKa;Sh#_D z(zeykMtEZJ*{S1?Ok1&b6TN{gdJ;%-i~kv<_L02`%Y@=^doZUZ3WuFt0J_8rD5>!|z*=J1l+*aJWW=xRtZLvD*wOkuqNIbIex z=WzH4FFBZ*c>vuCqjSu~u1COO8=3pbPdcvPwEE)>c24ddj<4NK0q_N=XMg;Xxa=71 z6Zp}ot9Ds(J=E*u8mI^~uC8MH74&wxN-$*SAX< zCLA8ZxU?)w`;xh@Mc_sZh><6D_6aUJXiN!dmwCmjP4R_^(A_Akg6ort6o zZcAs67R=(k#FA305RhcyG73aTmHKS0w|2U+n1x2f<`GKzosebs^j5C^OMp1#S1xj) z;!j`etCCq>!vHOG=H<=)2D_Mh=-inqwPh8@P2+zC_7%0+pp=S>(F>JdA2 zG8kilTCi&A+Tte{M)iH}v#!W8Z_mFr88vc07Bym?;RNpO^hhd8FRr*Cn>=9wK!x!0 zr|d##b30P=;U9@pNv!_~Cpg|>!aJ}r{u$Vcr$-ml-;%o}->AM@WLGp=7%gS#h&e(H z)~ya_^=DI?)?HtrXRk*WCPZe)_cu7kJc{*rxx{Z^rK7rMLOz`3So8h7{_;r%_ zIMexAdfK9Rs|hPr=8zFcHq#WrCsEQbheD%hzjrvPEVwsOH||{Nyyk^zqyOwu?I3<3 zlQYwhuJ~g$4;t^8w3hiH>jkmxXWm@Q;kGu)!;5USQ_EuMC37MB9=h&H!~SRSQ(TZN z!jmO_Put^8uz&lHMR?qSTxb9Fe8i5h8DYtdM#7_M>pxiaB;e2?f^-*n%WB+CxXM=w zAjzpXIg1lQsbq$ZT}k0_3u5bMy$f7cDF<-YUSh-Q6E3+rszxC%N(Ao?J-xB{Dl?&h z7aEu3KtI0tal0Vdykavgyvf(yRE)CuJWPeyW_jScQ$+(-zL_%sHXrW_S!yXlQEiR= zV)>*X9&*Oi656<;mA2N)G>CwEvab&xPe-7xWeoxpRrJzyj8XG>Sbxjv{Rm_7-%mq* zAWVTohEB~I01slH%|vC{Et2@5iRYpv8MFJzf_U*C0ert_ZY=5Yk*SXZ1mMBwg_+t{ zcz%lZDZEWtF_)i6YZSi(vhXL|b~pKYicsT2{wd%=7u}mHg$&v*MTqTj&gLa+EW!3$ z7=s;R21>lnR=bMMDz5<;{ID-XNI1sut|^6iDiXoJANo=?BUtZ9$+c-dzoZ?lI_luP zv*3Z}fqRNZdx4PMXGz*MSUsS1VcS^{Z#;~ib*4)PRQCmd=`niqhV(~-?)Bf;S4_Y! zEgRp)8Bx&s5=g_VH`&U7iaiR&riR!+pVCvA`CD`QK&VrBM_L#VOsH;iefT|v(!GKj zKmVB_pK-wd!|T5c9tq9^i3`zWk$)pH%*RG+P9baf-NG^CYhjq<7Cj}MKj4ldXP8q+ z3$7!citzpbl<~wRz_;j^E`gJwt#q;!sE>6IzF*P}or5Y7Owo*JrU$jtUlhok_(Vn} zo7=uB{)U`~t%ubD4+X3knd^3^@xq=IfpLrh(maQ7PrR?n_`fCC&B4Ofd3E{kzOp(H zXtnw_0lo5I^2-li^A8PGk>`$I#_Y{{tw+Ix^ zB#&x;HYNqXOVHfVc`*4St_iy)FNF)qSyE}!4Svz9Q2~!&1pfK7I2S+XtQ2(NABPu> z-#*h(K9p1VO~-2+yc#abD_2qL_l1Eo!+x+f{#u^&y%pH=Y}d=qr_Vh7zOX5vHh18Y z)mP|+&k4?%@m2eHrtTANujmET?2wpss+)yvI8N; zKUD^_H-@@(DAk?(KYyUhoTTuThQNTpZbfBZ|&J=G7ObHCoxN_JhlCta+K%=kgV-Q zZ-Ul7*fI2?Zx|MBIWdWHJxtf^>#v`?CiAzJ8#_2T;@ahu}G8|N(*-~wqYHM!F zLq75)ra5@G)6f4~uP(FW!%C-7`CDjl@OE>vC+dXpD)n=F2d`~H0|VbBg;TUo;`_@o z4@~I{0;44ul=mZz9Yy!uWKDwA0X3n00Dk~{t{VYB>J!3Nc*#I$DF7jYvwZ|yn;jnk zzpz<@$P`oRUg)o5D2Bri3)Amwwzr!K%q z7-g{TD9U@2s;t_)x=;A8!O(LSQw zoGKmz@-&Qwx}`vH+vdsG*7xG}-mqegaav~{OISr;oq5m&lIo4;>-GPL-(_B+C1@1fne4DP(tQS>}s4w@_}ve7(1NSyEYM zf2i;LT|0f{_>d)6_Jch>M~0$4lnq2{i~Er)hat1+^o7~wUeplZmfz>&Wf)jn#w zwBG=UQGO{O=1oU_5wkl&S$`9dzaEz24)~qKFyn}%{&A<9+tFL(Z&y0gh^!kp=&oe%I zJ;uJm_xEC0GF-xB&)wNxkKKW03#nDAdOmKZ{<_7Qx3gk z$gst^&%&Pi2P)f2)A`8qzc#yd6Gp~#ciuv26x>*5VzQ~VQf#N!y~cA%&*n9y1a~jj zu8W*stHAa9j6-UGH}CSqh|O{I*Gk%NwP)bkDvGjuG}->FhjE<&LL=DrX^M3))>I(`88d4ybWtY+L690dv3f@3qY~Q9(S0GI|`Z z>w3W^w3e@{1H`W|^AqsHcJSf-U8Xz4r7LUCLvp|t1L!@}5%AON zG1F4+>5bWwVE`~SUvcr#k|N|7ws9%mU}xE%GD9<8la~3?s&@Pjrdq*|e8um*yr`W< z1IXZ#UqHm%Usmu@lFwiab8cV4d>#6F2>PWy!z1KOv%d;5y$Q>U6m9H3iuaX31~;t= zBkdZcU>1#1nF?0A&q!y~NR2lVOB5brMjdMItNCwbvR&&sDAxk)r2qLaw$k~#;6rAI zEKL0eFZ`30yw;2H;jeTs)jV|-h6HXcoqx91T-;@%sJ&Qgu#m_I5E@r6s?mdZP=KSP zhOfp_Tn?!{9hZVJfIt_-VQtp>)j}=PSLKBO+U_P>HJje3TlM^iHZ&qmmG8lT{!pVW6@xkfMy*htn7 zMEB)j8|7xwQRPT_tTAruY5%xF7_nIbMrcmOOl|DpcU5CUWBzFifGI}VK?wZI0LvHz8iPhGpJDOcU?Wc11F?H$zT+9z zr>w#I$)(?oakGJ^qq-+Ci<`qVi)zn*>uR49rr-6v*Y4Q*p!nIC;mK)dq2>bd0IJo1 zD-f}}bU4se9q?vooB!5w+!oZ<281=Rv`*hO{xb^m$c5*3PyJ33>GiMa;JV9N3wcO; zKK1Hf-Bs#tGImK+??ry-+NEZHuH{>+yJK6_gwg^hG64plm^=Ytt9?5UBkQlbWc6Q1 zm-O~OW)Wq{G+_3_hr^48;_D48hY z4BP0~3TC=IY4JVh@|GmTEL?Cpv9US26SzR2bNYMiJ&^C!o*Z?lTC@NWSp%!>E^h5@ zdZ1N%E4*bl42A|L5iooik%btcqXcsl^zSutOquOppo5P5H0P_KD&Dn=sEQxgkRxw* z*TA4ln_i*n4+%Fw@aCz;X z=DVN21(60VvL1sv7yD z?WD)z#Ua`?DpNp5g&S>z?w?sK7q*m*(hE0^l66_%d>3LASRdCKpo|i0KV9RD;kWty zzm>4LUlHN;UMgz)&a6l*PfsKH1FyCE~MrlFU+F3}Dkn4Yerx7!GwExoc2)M-({|oqSFt$(T z!vXBtOM>8y!EF?e8S2L95NsON(~4ul-j+yFI+G{?;s>E0+g-*9kC ztS<|c&R6(Z?aqHT@4`@5luklU#4$4?P2KROjXDW<;#p+N&o<)}eY&*}Dn_5J7M5_& z^|Qq<9EV!u3gG1sC|!SrV;n(Mr_s|8$YFI2=%rDwLfXPN3$SpQ*c z+Bkl4gllfmzW&Z>2qO$8M21)T#dpJX2e456&mO+h{oeLj0>WqbNpDXX6Y`YJ^|lq# zmQfF5iOZ!WHv)}H+Vi`X<);l>O zfoZ$Y5gw05KyV(CF)av9UauX}at!9mO0_(HoxPMX^4eYx0CR5p6b=I0wi&|>5S&_e zz%m1TtG3csG0)L^M=jBVz$=n46=V+u5)eYSM&&3@&;=E?_;ke*)$5yj_vaVZNkxv1 zj?kh5Sf@+sbgn=V4;?c<+69^`+v3CztK z8_q8om;PXZqz4K_S8sevk?QUO&6R@7Q=nw#uM9RU1`#j_-D?fQ7n?Dk+2J?Z5o2PM zqn^%efXHa;v*Y(!ay6yg;a~hpWrKZf87fD=bJf8~qiQ zEpckuXb>}xLE26(-zM!+O8vU%m1t7K)c~cR6b4oeMLQ#FPl1~Z&wF~OVJt9gU%aEy_o(Jfa$yE!$Irw!ny}~eZQq@sGFbne;_H9B51-^Y zDzwJVAQ%H4jXGJcv^;$^K<(4EhGMgSWdbYpmn=Q##nxmFubpGYU6Q6CzEvX~JWl}H zt$mz!4eWU@i+F&lWnpMAvr|a};nqQWalV^Cir42`Lc{{E{JVd3xxv}=o4`?gl%iYtF@d(h3_KdBb0ocE z*CReo};M5=bA;B6}BJMhD(Z zQg2?ZR7@xV0J;G)vo{i8o0mW+z`BhQKqnUi4nygEoAP)iORJ2)Lq||D38jsm-K&G@&vCoKFCjjO~^_KS68&e z%!+-Z$(=N%(r8W0rOsDgur3iFhl}=G+=7(Xp`p>5Qc54cTsYt?c+kE_^P^i&wAc0T ztLT0h_F;Es0qIhgqn{sY^R97}7HU2}7;b@?4za3>U=M2@hW$f4z`;;nl3%aAJaR?L zKc4z7XyVkNxKR)( z3KA8hlZbo;kuK5$C^ZYDpJ^w1W*UKQ%qZpaFzWouDHGuEXi)=(jZN)YAI7_A0);nlqA6IR|BM1{R&k4mjjJv|@v@DFhT)-Pazy29N6+GaLBc6(UBEWk z4(059UH+hC)ZUGLIC!42(OlDZqp*@mI{u9Tw3<<_J}$LKWW5PEJoI=qtxLT(F5?}m zztEK3j!n8ZJoqwHuKM2F0UHO^>ur;D@|f2%1v$&y)?=r4PI4#joJIjaQRD9;oz=0z zU|vxWCy7YCz_R9oB#i`d@8SdiSTLI$wJ)YgOw<^#L!>6Oe9nJeSeg8;1g!xJh)s>u z99?y1)e>I9m9t_i=LKB@sht4~08l$?7%O=q<;nO~Ch*hITh^1gC7F4M`a_#$OO7iU zyY|xd-O;IA3_-~qKW=lYIqeMW&hxvz54Lyd3V}2Uw@IcPUy#1^B|!PP(QDbct~fhB zWqz_oPJ~p+y!-ndBKxAAkQXsrsSpf{h+YOUz@;xvcy*s2rY3QC;kgY1(FX z1^_^QNrHYO`v7}AR`RL~=Vy<422i`OcJ68u8hyyQ8%+qT7XDPJFE^`85&@VoU{dHr z-m%G(isyLF5cLZUUnJFOl*~F+Z4cB-)yXw$>AKL;(Z;s9cmP=~VUrWMZ}l zyZq0~{5$J(QX(4VrvexohlyKk2)lRY)8XU^w@`7kzD>Z@D&PSTSDkx&#FJ(+KAais z-I>vfy}yYl-Xvsd4kpNMM7=6TaUMb(1OXt@`SRi8IaY+%Ul}m>Jj9NfbJ`(JWPbW4 zswY5xp|o6_tdf6WVdZ!MWYIwy1|(I2=G%v^He|n0^4-a~ZV(6e%VeeHOxy<@^DqVE zzlL`fRK9D=e!(XGaV*t!1^-6FJQ&uU6}qS7OyvzE?}6QpjIt?WOF$iEV_q~ zIEe8)EcFO7%qJ0dY=!>;&$$P>*#y*_qfB?N7FJ@bO5@rpn(GMkqU*#2 z+W}cdcv@rKa?Y{zOByRXBk3Y}V%ub#+t7fi?ZaE|jO7kKy5z!pCE7BE>5^-{3XqYd zORmb2j<4h9&%hb2iOOHq*>uHtbUep-2tZ0^U6|oTPP1`RHBHx_DYT4*9X0$_Jg_h;^nKLoas>`fQMn&u9O@~3>tbf7W+AMAbJpugiMO2mc| zYlJV_2rGhiKXHPsVpF&7Jm8&FP205x+5=fY(~RrKLsNae&s%E2e6PT7gY6wW6ws~t z-sW~Qf>2*+b5%5JdM$VTkpsP{Zikys2J)ZjDL#X4xS$iBGl^;y0D$sEAI_x9gAKyS z1FZR-j{+kWa1`Y|1#hiSNlg}lsbd6(|efib56Yq*g8%g^~PTERz@-l&6{sfKoUla}qcq#}JBwKoyLb+2y) zYobR5LDWJ-n-zcmsqTd#E;x;}pDor3C)+ZCNR2Ej*GDiEkpL*!?B8Vq>GJvl^=%jc zyEqTgtY-oR&BiQG>A{BIf9m_d~B-2S@ffZ2Fz%)|qIyzaK5g@uOThaHSV& z%?Gx7H~rDn#JshtIV_N%+Awlys-IPY6KW>;Hz=80e5EXPYtWo-_oE#7 zA1frxBHgrnkveqB%UkOnxE7f55TpPydcgbPI~8?VxT)glQQlNNV3MNQizojCFc4?* zt3lfRPXl(;>YrY2Xe%!s@c*HcK5GrsL;&QyF`|HCenh?o%#I1&P!R{dXcG`l21aYD zZkvwF4jvZ5eXO{Y$38aUU$#LtV8#WxepW(GBVEC`Z~!)5%sc-d%w+mf-INmS9-Du& zpGl|tERBR^g22ur$tUzGbA*DVCS><_5%fLslvqm?dXbfmddsP)|C$CR5<}8iSI$Uo zp;*V9w`@6Y*Ouy(Eq?lH;?|%EIn{F;3n9n;!iF#|=7hC*e zy87MvT$kbQr}pWGMCs_JTi#LE0CUP<0v#^Q@mnth{}KKdt$c}2xMDJ$a33fc8LheP zBg=2&S#Neg{41U`eSD)(2FdOdN>^9V;sFO;o40;`1-8l0LuQozaXe;u{2#}6VfO4q zjg(vKQ*8e)={SYi*Z=cwg3oha^86p4%VA$69Qu=Pcs#z)@j|%<0MhaDJ$;$GIf4H? zf4!P%H+5V0pThnShRle4PEu;XRxIK?033@-AS0-)5*QOsZexn~%XveJ`df#96U+}w#;_A>!Zng=g~Rq$%{B3)=(icKt2AT8k>nhw0=aAAnSS< z=didSo$T#%ZED0z`ZnMJe@($ojMoRirD=WCKPQZ=QF<-)f9#AK>Y+L@nvQa%2lUz^ zoOgh7%Cim%y%NDY2pNW?9FB4ZQszHP{v8mg;NAJRdjl1?OWXke{3(Rd19nUR*Okhp$B=&E4BZS0_ClV;D&j!mU;j@KK#-y zk(zrB+&gPF6=PM=&p|KOBHVTu4Yv1gLLdAC%5#1OZy?9LlkJ*+hW#Mg`!^Fkp4{13 zz%51yOhyqDz>8p5r#zt4IB<(^{sZ+<;Ov$E9kzt#3-eVID&-k9H3h|u0=L$2&F7X= z<9w9=fjVni17?G3f7GEY@K05pL+J5@`(<)*VNz3ORlVXu@9rCdI41Vix?|fD52gDv zQ(`bPb$*zqd1<+1aZ$mbL~p)SRTv%Lf9`kHn>Pv1jqBR(%)L?Hg#5GV8w|eK)8&x= zyuath=9pP?N8)N-cK_<33HRA5y>_qt*vWI;<}E~#KGgk|-cfgy2r)}7jQ&XdoJSYk zfk8c*5bz0@VIK%*k}8>~kZl7n1U!oes$d%j{Lfb^J?GhaxhFV22h`rXhmm2X#S@hIv5HXmUF?cZmp}a99I&FJLH7Q zkgf}Ksq@|eDJjH}i`sq#2;G0^%^csv;@iO)TmA^s4q(IryUjqW>O+MDVb5^sZplZJ z=!Bl@zzE6c4f`Z`;Wzc_6Afgc2F#Tw5uJ?a<-q6yHG{)d*(|hm$K~lJw<;MG%0*XS zkXsW9-Lo;kB)=VZYyE2nHQe7Nj(nX$+YWN}qBrkQq|sz9iHGjlr0zfWb`b)ILgS%( zJ8d^vKc_GmyPQ>@jy*LvwlK$o;3U+ra8DsU_?voJ+q#Sv*3~P zNTJV%r@{khlitn+$| z4q;N{HEg2zDUk;oIa#2D(vL+ufLZ`?mbTYLz66u10MtRb2En%ab$5FpVYSgi-$}O6jN)^ zg=+A3-3N9Wo}YNO{0m&xk$;0hmy_W zwPem>`R5BNg`-t5=pL8qp1~U|>uSs`Jy3E}+{T&0)TV*k`vXYs_7Ps$t~U_*Igh}8 zeia1hoD9BK%icq-y8Wu3?Cxp~n+%>EZi@o4_5B4#v;h3C>Mih%UqV^a6H9FDC=lKvmLUa|F8h3QPyKZ84!cA*VFPBRTbn3ls?e$&dq(|x5LzPy} zmdVEpf@e6UUAOCn^dMo;bnLdn^DD!PxfgE!;^badQP{#c)g( z+-ee4{uMc3^Pt}Z6$K3TTVOHD@`(N1!xgxV-K8?aQrK{oU?7Tt7@!H z>VgOvVB4dPabB4CXT!kRMVuf=##nC9jP9s5b#e(7F(6+m${qeWf zo5mb}Su8*(`lo)MDo<Fh%}PF6f&3_0)4g*N~t z4g1EP{3mCAhV%`dDlf>U*&U=~KZgp`0DvIfGcML;9!`e&hxA~lFJhZ_WdD5eMksle z3(s@NzHT||_}HErONfTNZtRL_3bXvjR3ByE{hnWSLh%fg?_Kotn?P}TyLUP;kvd+D zWQG&I$87|n53hxG+iOLRqI;BD7{u^9mhmo%0MN8A64M9yyWa#)T`BR*U(tn?c?7Il zb)o5H)*|`Z^@vUC z`wG{8(+8#M3h~^XY(`ZkY&1lLlMk591(Sr-&QLhzqDaK#v%Cb*MSYuICQ<>M;G0IG zF|w`;q#nyG;6dh1_n>>YfOnnyknb1jbA}NY@5wl}fY zx3r4I{TN)!cq)l7pAU29(+eTfQ?m8M@H2y>j1g!0gwn0{M#bu{A!p#{ijupe<2}yO z3qLz0srF4WpcP|#=fu}u%`8~*(}=6;7hdT!Fy%O0maDa#yNqRU-EbpEGR0$P&XkFY{0fEi#e;?eF9zSP~zb=T$KMEjoUJeKcRL4Cac-8!aSUv~P;7at+0^-~k@Z zogvb4qA?YW#)9^dXPtuqSglWvcJ?d!lldq^R)P<(jq-`0M~r~qTF+)~2?!;J#rbFv z=~`EUIxMGeU?I*jXfBj`FcJMU>73u!U7rTQ0vL5{U~{$tXU%gSV<{8$QA$X1OKV=& zc}{Hd&+gvO6&9#8c^NI}-YlT)5OsLr5B_YtUseygUTeKth@2_j&QQx%tQAW3z9U>5 zoeI^qv9njphZDG0H`p{eNA?9yltQ zqZ6?c^KPEQ56seLzWZGj)jPn_-dn{O0M06^F-Jb}Zv{Zl1CDt=C4^zen$ zP6!J5IxYhvk_ZFwsS}GGhc$K5!5&=QAxZRICE%4<9|-`~ zd47T!)?2t~N|YM_g^_`*SmF6_R(hbUa@vpX2{8|029YwG%sT14ST)<4!_=$$AnReh zjuw*t+|@C;_4H#*fy^W!;U>95*qkyUCioDxhvl6Afb%31Z0M#o>$E#I zwZW+);POd*EZj#ljo?b2V*-$fUi8p(FeC$4D1*m6q|d?L%tg)&`MmLn{)k6((@A*P z^u_E+>hWHL+;86X_f#1jdfrCMR>(>sm3Y^G=tgZ~f8XIp85c0m-eT^(&{R(~D zALkAv2Yg!3_-fb5G#dN_{MXlV-#{no*OO7%h1c=JU+n~rb<)2^UNXL&YepR!1bu(H zpBVZX_hUUHp7yqgeizq;5_-q;J-E~}>NSL`O2u(ef!2$%b>5wOXtz<>3sezGeInwu%S&4(zD?eUXOQm34~EQ)LpJnMCZ z7^#A4%dJPgC7Bmu4*-{t`2Lz8>z5Vm_8ZM?R$Bp{d6ykL*gSV~@K8`_m_uq=qLI+He>6mNPI%|zMy9- zeYgrCE0XTSF(VR@T3zRzB7JZdLlU9%XmL3tw)gL6rgI62 z9H1`J-dWViLR(oMGn5;3853O{Kuiv z#JeRn$Ti(B;$38Yr}Z=~&6cZ*-YGLDg&eU0N7M2k@7Tudi}pp#8OMV-H$GH8&P<&9grP-e--2 zXVUp>>0Qf`@HnU}7A;<^!q{G(w06D0!Y|<2cm$7qN;d^O#d9eB7xv^T&*kCg7ZW8f zu`k$Ijnu~DvN$yw`V6DWC%RlUz6~>2gWWVN@{TT=I;yz$edWXpD-foBem(1k7-c6( zW<&dR@)Po9ne`}86(eG!Y(jqO*~puBDl6iO)y79|i1(bxReJfgPBh)HXD_d)0HQ)3 z%kNJf|Ku7T;Y6!v7H0`0ts)25byzAs3XA}!T;xe>6|=EcRSpN_{lYoCwAC;rkLG(GxHBA#UQ zlw=WB;CqAKNqEjn+3l}KU}i7zA0$6P*Vy`D=9=9Y-g~ZipD3kqjO0DnNUbNNsnVMn9bR%MC%X!<7f2#p>18+Jo^G|8=0 zBMoxCrqxgLKtXSuXI$&*{?8Np+|>SLlj$iIC&vx@zV?#akY~q&O0oQmMl|niJdWVN z7QezFr06X3*A%5(dL}YG^e<-70iNsK8ai_cvBvcsay_c|R~I=&{Y3gk1?z}ez%LAs zDeCaywcA*(vaEK>hmx#zg;DGN6PjfsH8!$M^a;sarTzDCi`;-ub~*HvtzTK+ohGVH z^1Lz#vv`TW^e($Z-xWcB=F~TXFx@YBRn*4}!C}sL zJS;2fhzK}#hTCwJydT>!GJ;bV%M>4|;H`S)qKFK|;MDu<3R8Nw_a&fsR!o74j0eyi zcOOxfS!oi_+T}K5wfP`Ih!p?4@+TVj`vSsc`_lZo zaETeL4}kc%gzN%m04UXkZJ#5))d@Y|AQEj~jwBxPs#Z}?zS}=W@^xM+qz?0MJOLVN zv6iK-IKaiZ**(1#wa#2#_)#G(&C=d{27c_Btc+{`M4n5U%YY(&Z>ji$Hlwo+<(iN) zB*R@8Ct_KyiLbx*h6+!-D{moGlc~p?_%87;I57r}HT9RzUNI%MZGBq%wC9i;&}zPY!|gj|&3YckKY{#bS&)7(rr-v`ed>T;!5T0js? zROth!vjXyK=`)cyL-CI_N5GQNi`_yRK5>wCAq~mcmBd?N^Xa#X%Wr5{r}hhU?oFF< z4`+N*mM!)uI0K8fl;M$$g=5bK$gA;q#JRi{LhzzU4>&Pu^I7d2fQSKVqViuG4eKIE z%=Bu7^J(_xYi3N;y#T*6k)~|ySo^?@WyEQ9O43T~{E$~Hku6>&*@53r$U;*={$S#Q zx{X6Z@NAfa{G?FfY9l|i16q-V$z|pmYAHo?{d_B$%KFyY&|}e0k(AkILb)i@x9?yI zHA5e*$FB?J2rbr6!ia~X8fjW1|Lwo& zc)16|yUuanTNy`tB!2rVKdY{#nrW#4^$b4dg7YqZ!St!WMOy9?-|;FWEh$WP}qd-p8cP;EMX1JrTS;O z7+uEG6Esd$Y(78yRY|l@d2G*0{?;p2+N2grbo_3#p0KR8D&ZJzsj_ZS4SF+@H*V%( zKO(PN_btjB4W@LtA^5C5A(7f))*KY=+>-5xniD~N%!SMq9}hQ;O?I*U>Y0_RZ|v|k|~Jxt%%L(_~Vayc7qqM;vf68%QW`8={ENdr~&8~vKb5U_EbSLEU6X1_>@F}h( zWtezS<$FQ4^=8C+LksNiZ#| zw?>g|ULTAx9o(}*XwFamB!ORHE_IGw$;7n`=nPsQw&z9kRz$}Ama4Bi1NT-P?ASXD z88AqoMwMzNE7O*q^29}i7t>bv6;8}N1Ezq}n81J;<-}OWK$Z_VjU(nQRIAWje=ZD8 zguU`d?58)4v#u`#W%1`ndXZZ5cZJxwq?f}65}O#0@XP0? z=qBMIOf=QsJD69Dr@33?l7!%a43c+_oWZ?WS@zYnwN=$ypIj0O#f!Hay$l%Jna*k~ z4N<<2#s$3hz7!TZQ2ibbZ#TV4p_mVzD(TIN5tSdG{ZP&i1F*nCzd}5wqn&A*X%hmM z=KT~wLiCTG&TA}OriT|(lX+o8u{&+n5#wc)n=z!OloWstlA%W4i<8CQQz{#+@HF)N z1AnWl`Ci^v^AFE=j^J5BrwUU>z8ofw*2wgOh%lecby%eC9>a8Qregp^@bF#InSax0Be$etbyIT@sx?A#A_%sU?hOI#k zI=4Yo_S-h67WOsU+Y6v9ki5;flQCwadVxHHJ^$P7z|!Vs!Z)6OpG1p0k1R14^#KHe z$%jgFV}0X*-O16J_Z0hI=^ObMyOYh?n0Ti$B-bOO)I^rL;i_Xp^89wb^(MT+g)OBTQ2 zW(v;PagFyp3$`~{2Dl!lxpmWMj-R$~{7LC$r~=Ka&f=h&s9_9l@+xW=i-RhmhR1Qw z|Mv}47KO&9q=&vbI5fT{w{#Ja!K8}8YVr12#^h#%R5E&*&CG5jCNqV-MIg70S@z@( z?*#IWDKlpwH|6I9W zA+_rTHvg(E>8)KTS%V1#Fe*rv1|KSf_KS{-JrH?-Q8alM>OlYfMm@fK{QXa+{tsuewQgAGReWNBT71=5%NyeH?J`E8>W>fQu zr94tQ(tG`ABDNHw{&o!(Ohs$j?5o7DWp7ZB%VIkyt4bKj$lWy}ktX+|~<7bU07; z@+>T~ZjBr$Gk;|B-um@QlG^rWd6XLYdC1)G1`BKK*AB&Z6dJSr-P1jEa9P#H#kgo` zRX|CFXtsmF!07X;L?-0$%vwo(^G}j(^z;y_LJOL;vznq!fa)U0l8`hH-Az_Cfm>52nkw zF;}0?nOD)OWy6l=Te{4v+KgHqVG}|ebzj2HKNz||wfj{R5!&80FNjomzIWtnrLTZd zh2|AQe@O?qQ2I7N!t8gXidFZ&a;KIzDz4V-Xfx*&mOKG}+$BZbGZs0yAXLuE${eUF zaVwwXf2I2Sk#(HXn{jYhyZx!d)Z*hfrI;1QsjKl0Jz%lV?hQ070wfmwuCz{kJ59HWNkZx%Fb@;R{ilHVGziF3Vaxov$ z;yf@+5)I5iTc1sFxoS;udG;-%3B;5lJF>Sp9y{LygC2 zox6kHs)$Sr`}+F>=u$<<$BJfR8v3nEb;x9%F1(s=hr z%$^Tsd)II^%?UNRKwz_rn}|kDHuO~4SON3AcT}U>tVnK;bn8;Kmg~9xSDTX(B+XTw z+hlO?4$Tb#h*$}-a#WuTxw)*fzO3L{;Q|h9LS|M8#Pw%?fg{7>o>KHlxy!1>j}yz` z`#zNj3(&4@J+f#YrQt-a<+^#QDA%;TtvF?2ZM6eTM=skIcI`g4Q>FX)*%dnxj?x_N z#G;sStegmIYVu{%ISgU-wK~D{oYq>$Sn%+=Is7xfp3nA-1QII9~Bmr6Eh-@CXICFfCw zEtM33uiHz1`M$Z&=ks@cY7Ws2b=+%+m?{g=Q}nQcJH9%o?H)9v&+`7&W6d))fBCxg z{Xb%z96+lr7G%da{Q_iYRP=4Y^qW4OwuoeY8cXUJ1X@kt&^f9B0uwtpHXa#y^>%$b zhtdUFfw%pvIIBLjzD}`Bn+dkRwC)U7)KMt9vE#5*)FH{P5QS1$qH}b(MHW6Vr_UG;sIEu=@)6 zc0vh3Np61HH7eYP(tPH;T$Gd8t(QwIb;PN=8-66X<;{2HHS!wV2Q%~_Tlk(r$&?n0 zV*p|qZGveDyHp~-blIvV8xjf|=bXYMxn1A;QS6~{jJHo(cDxzM>3DuJ;>!jOlw70P zDgSDv$g^-4_RH&L3@-c&6fECpa*pG087t?0YX2-9^J+8f9X4{5ZyY`ZDy|zb@GpEG z_BaG6`TJSrHaPNVd(EMi#Y@|u4j%g7M`$%+%d5VHb=P$E18)xEbH1F|aykyYSeCK6w=zqlu zi9MrzE*DwtUsX~XE%Na!#UX{Z%AxCBZ5Lw867bqEkWr-QEsPe-P9DJZf%MG^XzZcR z|tOlqK>ypDrtvN`)i>}zW)af4~A1RsSmtt#OhlhaOD?|wc6q%zfHr6335 z3;i2%VPUNN+Dhxy7dag!J-wr7i;v0XA|6q&6-+wo79iDTbWtL=jO93iDIzyy_P?6J zBlOlQ_|8&uu>b@TMF8mlTMK&Q>F%*)~9s17IUXU&ay4cF+2RQmFXZm7M7_NRQb@Ptg2 zZ40dz%`oJcNx60#lYj`Sd3R8dDh?pM7q?Z~zMAwq|FoNO@{Qh-I)enD-m$)D`fK1i zxiyH6*4sVoGAmo^Kc01=&N)|W(n}Kq&CZ)9w9~$JsteTYyL*lI3Zk)UD>YwDnzP~1 zrZZar!rkKo(;Te{R%i;bJq!~tjPL#&jrCyZF;(5-%YUw@zT&U}IU9fUEdUbkYTp-{ z8s;{mwbJ$#?I=z!QTnvP%!&^83y1DCAXg=6 z=>-P>rP?q@N3~k{N_>`AZ-qKW=X83GfLCS0Dh@+ek1vYIo>Fx@L5nWO@bUF!Px+ou zLUY{`AN2#T>2b;B9@Ar&Jhs!8i8m))Z<=$oL^!@qIc~8iJ~n-F|9y`f?)w1xN{tMf zJ28kTzY+v&a~dnW(OS7{WA)q$^&`INRKJq_aR{EY%U72_J2OwyTyB@jUo%coQ?CB{ z;HVGcJ*O_ZXY3JX)StO)`_R@f0y~Csezc3}n+ur%=T0IW*XQ1ceXGzu*yh}*f=rn# zyrQh{=0WpFgooj52!)u#9Vr}fPd>CCO}PG;3!Z85^5CxbG&kaHg_C3_`gm#0&3#rU z+s3Nb0q2^eR_t55PoiYDpDj#zMO9Kkrq*1EJJ+?Lp>ND#%n`qJvpw$HhS7z~?8x#H z&hM4#S9!P~;5H1^ZAE&*^=5>?|Cg~~I*wx#|VJ_T8)VSl0{L@KOo-k-#N0IiQj zrZ){^wyM!lZ?4F6udH1fLgkuro420#?NKfHAiEpdBxUK+#wSPgc+`3YShAr{v zBnJ`Pr(yd8&>4&U`cBEg{VLB%t4c@bh-9}G`@PkpO6@T1?AhQTwUcU<+iqHg>&Wd| z2bzNGP!IdD-U&$npRq?IQm=M=<3t#P!LSm2Igx((EwJHpD-j?s7grS@Vp0TgTnCsH zqCmuYMk>sc@bvYnZZnQ}Xy%FzUPN9E;L;r_P}o@Kpe)uts@v<#;)o^0GTB_w zw$C_(Y`o-Nv`e&p`to>ok$D48Gg^He1F5H8cs%dZwYrESXeUG+oF_J5_u6iwhC6Vo zH&LX<=K$BPsiTKSS%;obn=jNpNHUpqS8~@X2v+=fYmrgB^zMmJ0L*3Ps3J;O)}IZq z*tG$QFfyo(eO=QP`Yc~~*y94cm@K#CqHHU|QGuU)gTsZ~+70~|*`QtGrHkNgUJ_RF zwAZiAIgE?so@wm8AU9a|=kLUD$RT<5-$SZB%hjqMXx!Y2T5|x+JBoiKq-4tB`Sw8@xT*)v&9q4j_0bDTAy?fHAJw@ z+MD?@nHK@=`q%gl6qZW&e^IX9csQ+X7yirV3OmLd>sM_Mb74o$JUb~7E{{K$%dsf#}^`(@fP&H#O!eKr?OL*tol0)Lp=T3ced22 z!iE8!HK{qS_&`X~l$N)*9G1M@-aX>b+N&UWvp_yoHT$=M`b!T({eQPcHrsfujm2S~N0hC0j=qj&X!oSZz2)ngY7LxcHh!!F*#nw@DKN zNaN|Lz7Arzh~_DH+Z8Y071HJq6)v#c>^Q?je=1G*vVw7nz+!i7wrVbL-eNInfwj&j_S0<;SCx;e|dGlv9ssOfsy}UAVywblr>`qm1K6+3`lc)thuZa37rByj*e5*J0>waq1viG0U z(_6i>qsO9syYJkoCeBB$b@Y;nntBylV^W}!F_2F>z;pwk+mH;^yyWE^%ShO?E1$#KNWUkr2;Nu+;A=;e`+7)5RTZZ9 z(jEax6c_XgkcD*tm-aXBROk#znV zk0b5!vaAFxXUbc%7M5MsbK=}s^&B4(sHkA1#7X`&zx(Ufp3->fE_`&C z8WxA53%;3hM&&v6{ZGK-olujH?FH$tO3H>Q+?Yjo=!zJ=3K`XAS(E&A{Pwf9`kUrm zjfojIsph52<)gb!?ne=ICrC?RS|K6e)p%_ClV#J<@AIrH6GzXy4ht=L5F)DJSc;98 z)>EI73@06h*5}d~0o3@{{mq=QG@NRYLh$sv^D6pA;Q@s|dl%~5o1y)?Z*!?HI&#Bg z(oJO!T*d;+qN%JOJC?b#}$^e0V%tpvEGGOZ+yN=H2n9tDv_JUD{^Z%e{|Q_`AEOc zmPghMrgc9iJF+U>%6-SbNcKg&=F^K7?cu0)#!&yVpQM~bq4=-C&Th3dsk+E>wKUJV zNQqjSP@N_OaM~{N_%{5{ICOM3^3Q1*dY5pZT=cP8ntN?%L>$|BVkx;=b+IWN_6u`#uDB$Y%rR zxAF2PmrP3w4z!e?v_1NAx@|svZi6Xi|B1{ntEk^eungScUDK_=_eshpL62sPkg0h_ zw}VsUgSQiA<)Xt!h&$mlg8)v^npNg}NC8mq}|6xAN>AUTBoQ5NSKP(=6s|m11 z4QxFcktXtT_|!90%|~Wri5c0+pIGtJ!gCs0AsM!RAEr-dQoVg_Fwt!}XEv1A23Jx5A z=#V*%-7WbK8-lw!bH_T_rR7#!J5!N|zqT+>8VX0g6A#$FW%y?Sxn6U@ii&%5r!+(^ zXOwK5a$|nGKli~(mYn?eED~us1}j*eQ)tM;ZUh_4bSVAcjswf$NEA!G$J%kfXZR4|^b+L$ z@n3^>=$g1Jb)g8Lmd+5S5M6<+f&GhTpmibK2A2!+F5+m zEKNlf(W|c9?H0$E3{D-^4kf8!McB%{ z-j9*>Lse$S{SQwq)_5hUc13ny_w+wTmJVT`9%nr~eX?eLld4x_m%oSkKnoZG86CqP zXvwYV|3?k_l0T6ABx=!RE#n$h^9u;RhkY9MmAc)QCy15bBvojN7^Zg#ngULJw?f~Qq=Vr4MTIqj zWDnbyX+LIs(kZR}r_Z*1{!k4Yu{RC@%QVCc`~$_+AKN##a%<-u#n3-rud-yh$)u9^ z6vV)AdK$`@_Vm&HD<<4+#ZCu>Wo4tiVF!}j6PRw6aK!;@mu_W+L&bpntJ2=@v>u3L zH7UmO+)cc;>SOZq-hobH!kJg+-+a>jQR>t@HBqeX$|a+=($cv5?M9d{HZO~k7tlt^ z3-DHubXa3f>PKiTXUKKWzmtx;z7>4Me=WSm8WoI!vyUzgS2O0;=vlB;&%iR5k?RZT z+$MsPY&k9u`LlDWCxy*IZxbE7PT;Q`mJHz;HMdY}AibZ5F&*z-o$AC)b7G_%zct=* zkZ3?9(X?Zv&U62f7*h9G?*=CLpuVz9UsFu$iiq0rxtGD@9_SnTDXO|&{6doC#7*+8 z7F>F4^1X6+-aRH9Ot$Z)M4C91TT0~Bca4Zlxx11MAvFxnsE;2GH;cFlqx;BVf$tZA zoSm~Bx89IicYCcOc1u*wEK0d3GY0tLNq!tJUm101KUBqN_;Ecjc$9o?= znR7vnyIzKi^4B{sgM4u65(JlCq}?ylr+Zl#_SeYdoIyXRhzw%Ex!xmtKftUQg`4{s#jhVcC9j@c$n)D$FqTBTI ztf^q7xb$|3Sjs{*?+UNM2bMg0x6d69wKVP-vz6ZmJ1}Rjb~hXpD3UhZ*p_GSe3}wV6wFcM=M5CaCtSbFe~;630EZmFeIMlRQt<&+X33-;P9to_xaI#l M&I#D(`loIG55PE_K>z>% literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb new file mode 100644 index 0000000000000000000000000000000000000000..b9ea6cb11601314d3dd41d73fc7c86f491c9dbb9 GIT binary patch literal 241 zcmWe(U|?9w%?KnJ81jJ797uAF*)}`J{B?R{`}fYDnGzNaSqThF z&P#N3dk3vq!zE*!c6M$Hkw6gNnOKV`2_Ja<-`j>UdoHH#VmBQS4u* L+P5Hk|NjR7NaIiC literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb new file mode 100644 index 0000000000000000000000000000000000000000..27436c456604604219c971f3b2b3e188ec822aeb GIT binary patch literal 1048 zcmV+z1n2t{000233jqKC0001P0B!&`00011WpZ@6CZd?@r000000MY{h0000008Ib@00000 z0000002l-S000000C)ksSZ!_-K@2rX`25u)tbkJ>A@YMO@DphWU)Sh4Dx?-#?h#c; zoC10Zz9eX{Js$7yva`;rp(|yxv(J8h_IPGDSrE~)8$?I^O>~!Np%?UiBS*fz!(S`C z?eoMY9{)s>=iPg1Yr%Q(rYQC~rloErGh{6+TIkJuk` zjmIbJmk2NYAokj?>c8DD1;1VKkM&QnFYym)b||i;eg#i*bD%ygy!IDQ)Su+$5Fg*& zMQ;-K${*vMTE9zy>-iI}A%65P^^pAJn2LM87C$LVKVM~kC=GB^aX#7ndViQdj$Mxl zTowM=fnIrh<4Y~v{1JD4%Ie(HGQkM=eGPk)-8+J4CYmG(D3uWWvf#mJ%v-wJT&+p^CEMEjmEuOGz6`1Tv$ z_B+VuwdVVS-vF|He?PGNW@^vtZ6MzveaQDn`S1C9#Qf{}i0K$U6mM$%-n8<~=@aIQ z{LTEVf0Od}`;+@4Kfe#jQRgrDCAnGc$Eas&{I@!P-?!)2^fdM1e6#&7`Z!QNFkj<4 zS3Ueb$m5PJPu2QYQy=D!{*N^Q-UW>R)1?Gx^S{&hoQZ85YmJ}Rf5+D!760jap6mFQ z@(cciKk97p);jL}YrP#~k^R2ovG9CYeNm6st1&-o_1--n>_@EERpX&Q_A|!&qWbew zWS4$z_LJ2oiii5@dW)2Zf2w_?=S=hO*HcuVhuYu2eLebqVEq&EMfPR?U#c#m2M`bW zBm1w)Gp80G>M8c+`4+{;dZ9kVF&>U1|6X6-U#IHBcsP#YPqmNue802j8}@(Xzi&O! zemGSR>cerw=k;0S1rgQ9`R)A-`w#h!l{S0H{)hd9{pRc6{-449({bAmE|fp7KjBBb z_%9g~e5LE1pC^rcuiO4UYa;634=z;SO!t#*URCeD>-Aanf7_J(t=>QX$NWV4 zOTR9;R=dPU`zigxAx8U8@R!hk{`=DU@l5gj|DxEhcS|`ufH@hWPu&`}(`YyErj1Z~z5i0Hjb5h)eT|Gt=`@ SQxxLkGxJK!OaK4?0RR7Qq9H{9 literal 0 HcmV?d00001 diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat deleted file mode 100755 index a673eab59ea8287f499dd033464487d88e34b34e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13816 zcmb8#V{;Gy00!V?*D_bFE!$cxtgV)9>(ZC*`j#%;W!tuG+jf_2TmN9sd++=0`3Y}8 zKtMpOe~U@}yO`S8VB>&|3pO6u_+S%&O$as-*u-FyfK3WE8QA1tQ-BQwn-Xj)u&KeO z0h<#6KpTAy}|YY+ZSvHXf z06P%uAh3hM4got9>@cvy!Hxhs66`3jqrr{=I~MFXu;al_06P)vB(RggP60a=>@={` z!Oj3X6YMOov%$^*I~VLcu=Bw#0J{+EBCw0WE&;m~>@u*+!L9(i66`9ltHG`TyB6#^ zu^895!R`RN6YMUqyTR@OyBF*}u=~Lt0DBPZA+U$R9szq4 z>@l#%!JYtn66`6kr@@{9dlu|Du;;;E0DBSaC9s#lUIBX*>@~30!QKFS6YMRpx53^4 zdl&3Iu=l|}0Q(T^Be0LbJ^}j_>@%>>!M*_d66`Cmufe_n`xfjwu^HFA!TtdI6YMXrzrp?i`~SH3uQ5t2u(83$0UH-=Jh1V>CIFidY$C9U!6pG4 z2sS0yRA5tsO#?P9*mPjigUtXoBiKw}GlR_nHVAB1u-U+72OA7F2iOp>p_0$ z*gRnKg3SjuKiC3b3xX{KwlLTtV2gq+2DUiZ5@1V#Ee*B|*s@^Dfh`X<3~U9k6~Tss rtpv6**eYPFf{g%M4QwRXD6rMR)&Ls~wkFtGU~7Y|1GX;MdSL$oZ|8)* diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg deleted file mode 100755 index a48d744..0000000 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ /dev/null @@ -1,91 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1619049425619 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1619049425619 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 21 19:57:05 2021 " "Processing started: Wed Apr 21 19:57:05 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1619049425619 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1619049425619 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1619049425635 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1619049427276 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(110) " "Verilog HDL warning at GR8RAM.v(110): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 110 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1619049427432 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(286) " "Verilog HDL warning at GR8RAM.v(286): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 286 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1619049427432 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1619049427448 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1619049427448 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1619049427557 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(42) " "Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 42 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1619049427557 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(47) " "Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 47 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1619049427557 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(134) " "Verilog HDL assignment warning at GR8RAM.v(134): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 134 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1619049427573 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(142) " "Verilog HDL assignment warning at GR8RAM.v(142): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 142 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1619049427573 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(149) " "Verilog HDL assignment warning at GR8RAM.v(149): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 149 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1619049427589 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1619049429167 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 563 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1619049429543 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 566 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1619049429543 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 565 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1619049429543 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 564 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1619049429543 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 567 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1619049429543 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 562 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1619049429543 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 561 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1619049429543 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1619049429543 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1619049430027 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "337 " "Implemented 337 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1619049430074 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1619049430074 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1619049430074 ""} { "Info" "ICUT_CUT_TM_LCELLS" "257 " "Implemented 257 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1619049430074 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1619049430074 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1619049430324 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1619049430496 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 21 19:57:10 2021 " "Processing ended: Wed Apr 21 19:57:10 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1619049430496 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1619049430496 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1619049430496 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1619049430496 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1619049433591 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1619049433606 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 21 19:57:12 2021 " "Processing started: Wed Apr 21 19:57:12 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1619049433606 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1619049433606 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1619049433606 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1619049433810 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1619049433810 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1619049433810 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1619049434576 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1619049434607 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619049435513 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1619049435513 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1619049435826 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1619049435873 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049436217 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049436217 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049436217 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049436217 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1619049436217 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1619049436217 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1619049436389 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1619049436436 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1619049436451 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1619049436451 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1619049436451 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1619049436451 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1619049436451 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619049436451 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1619049436451 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1619049436467 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1619049436514 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1619049436514 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1619049436514 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1619049436514 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 419 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1619049436514 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 94 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1619049436514 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1619049436514 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1619049436514 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1619049436529 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1619049436592 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1619049436654 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1619049436670 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1619049436670 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1619049436670 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049436701 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1619049436967 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049437342 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1619049437373 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1619049438593 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049438593 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1619049438686 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1619049439186 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1619049439186 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049439702 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.56 " "Total time spent on timing analysis during the Fitter is 0.56 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1619049439718 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1619049439718 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1619049439765 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1619049440124 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "383 " "Peak virtual memory: 383 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1619049440312 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 21 19:57:20 2021 " "Processing ended: Wed Apr 21 19:57:20 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1619049440312 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1619049440312 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1619049440312 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1619049440312 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1619049443282 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1619049443297 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 21 19:57:22 2021 " "Processing started: Wed Apr 21 19:57:22 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1619049443297 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1619049443297 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1619049443297 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1619049444797 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1619049444985 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1619049446001 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 21 19:57:26 2021 " "Processing ended: Wed Apr 21 19:57:26 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1619049446001 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1619049446001 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1619049446001 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1619049446001 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1619049446923 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1619049449251 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1619049449267 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 21 19:57:27 2021 " "Processing started: Wed Apr 21 19:57:27 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1619049449267 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1619049449267 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1619049449267 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1619049449455 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1619049450502 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1619049450705 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1619049450705 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1619049450877 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1619049451408 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1619049451564 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1619049451627 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 12.419 " "Worst-case setup slack is 12.419" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.419 0.000 C25M " " 12.419 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451721 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049451721 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.393 " "Worst-case hold slack is 1.393" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451721 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.393 0.000 C25M " " 1.393 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451721 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049451721 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.300 " "Worst-case recovery slack is 33.300" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451736 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451736 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.300 0.000 C25M " " 33.300 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451736 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049451736 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.146 " "Worst-case removal slack is 6.146" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451736 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451736 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.146 0.000 C25M " " 6.146 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451736 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049451736 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451752 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451752 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451752 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1619049451752 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1619049451752 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1619049451861 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1619049451924 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1619049451939 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "278 " "Peak virtual memory: 278 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1619049452143 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 21 19:57:32 2021 " "Processing ended: Wed Apr 21 19:57:32 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1619049452143 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1619049452143 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1619049452143 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1619049452143 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 15 s " "Quartus II Full Compilation was successful. 0 errors, 15 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1619049453283 ""} diff --git a/cpld/greybox_tmp/cbx_args.txt b/cpld/greybox_tmp/cbx_args.txt deleted file mode 100755 index 88ae65d..0000000 --- a/cpld/greybox_tmp/cbx_args.txt +++ /dev/null @@ -1,25 +0,0 @@ -ERASE_TIME=500000000 -INTENDED_DEVICE_FAMILY="MAX II" -LPM_FILE=UNUSED -LPM_HINT=UNUSED -LPM_TYPE=altufm_none -OSC_FREQUENCY=180000 -PORT_ARCLKENA=PORT_UNUSED -PORT_DRCLKENA=PORT_UNUSED -PROGRAM_TIME=1600000 -WIDTH_UFM_ADDRESS=9 -DEVICE_FAMILY="MAX II" -CBX_AUTO_BLACKBOX=ALL -arclk -ardin -arshft -busy -drclk -drdin -drdout -drshft -erase -osc -oscena -program -rtpbusy diff --git a/cpld/incremental_db/README b/cpld/incremental_db/README old mode 100755 new mode 100644 diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info index e7990f0..842bd19 100644 --- a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info +++ b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition Version_Index = 553882368 -Creation_Time = Tue Feb 28 11:10:33 2023 +Creation_Time = Tue Feb 28 11:21:15 2023 diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt old mode 100755 new mode 100644 index c535fa4ad090598612c15a69371fbc11cd0b1612..10b8f144f61255c20554a4f0baef6c5cc40cc11b GIT binary patch literal 3623 zcmV+?4%qRm4*>uG0001Zob8=kZyPxhh2Q5_2zp;jMcn}>fMPo{M(kXyU=zSt2=YkT z6=KU?Ne(8!BENmRTaMkaI(>LbZC(a>v@Rufk%v_*ex&z5->k>ei`rsxHG5Y7{j7gB zJl(sR+>9?S>b3N}FSF%pe!X~Bmp!T1{(C!Fu5VWlufE&7PfW=~e@ z>0Yz;;&y&Htqr78lV-zo8m4JXZFEpOnXhNHqv?E|e7yerw_0ug^X%*R=6b$Zug0s{ zy0-p$lQd{K`!rk57Jts_wg1d#%jBJ#XZ1$*h5T1)^J&t+`u&&wJzuS7%e#*yA55R_ z`Pp!J-uc&lBl}}xpmA@Z(4qkrPe$iW%3uo^d^7J<|g=58s{s!Px8Dv~fT+aR5xdm@Gas_M{069=|zzb9(fevI#YFczE8W9$PF# z)8{NA)uh5EO(NYa&W=W(NO21i&SPa1HIFm>~$;?JtMEc1j z$&F2eB$WD+G>H^+Jo+}s8%$oGtk=u=#qD~w8eb+~`TlzTox6BEe)abK`1tkv@zKfg z>3H;h_u_kRK9f_2B7p%E-yoCgG!iK??MPMaICDKniDmjyFq@Glg z$BRFyl)R19lLl*UWz&Yy?!yrk>Dov;cMpC_8MTUbHe{P>ZYmO2(;h+F1#EW!|{^bV5HF&iqout37Lv5VxB^ff2Q zu0?f(n>D&fI-?gu)}%%Lc7401Ov0k#kX^uak!%(R!x3c=sU}?mP&(||L>{tlkZjT= z8aK*&HrX4g#hz8*5w6F3NIYqPBuygqq$bHnx2r93-QI)xNk$SEc6t`s8y9kW7UctS zXj;|fNt;MPX_s@o(?<$QT*-~gzD49AEh6!}JfLRFzRl9-T)0(vA898I6Xf*Pw+TI@ zNmx7>vdMqnB4r~>Z+$EAaFa$K77q^D-G~8B+rCLN+@k5gF4S>;9@vCB(j=_PCvk~P z>jpM@Y_Rzn|cpkk~jL?MW3Yg;#G!N9ihIRNW#$EXa_g@e*j` z(d3dezS#`5@n{~)8XxMg!Mh}T5~}ARRFgG62+xyq)~D;`)of}rX<0Eq+#ZrZ2#Ds= z1wueHnJ$n5(y-|QNgxePE|3ON@ZSPqpsa@bEqSxa*+ml?O_oC>NQ0I`C@9^Zy>GMiWHiIdt`f(NEUQW!32O^vhcvXdKz@kF zwFMGHJg+S_3=wM*>53+{1wusG?g&3lAxT7|-~ve^6$>tqC(3Fw3J)Mn(U7@7s)(k{ z1yV&kW-eA#5zCp26;?zpQy^EwlI8-jA`NOTkSkKK<^stg4QwuuF5+o(vEz%#$rJ)c z+to5?6B0%|!!D39+AfS?n@CFQ#FOy?Q6mi+FBmqW*?57p5f8@;#Eq16yg=wE zL#Fwl2f-s6k{1Xb(UiPE7HE5m0^5XJuXME!qCnf55!j|hzNcB2Kpu!D<^|F~Jl`%5 z21>V{6;E$dm>}m9Oa#49rRD~5K^bbKu!~ehgZT!sK|GmnARCk(dsjR?5>|TGiNdTM zXGglgj%^@M>O)9~=l%^ugn01ZKtf1E{u|5)(dfT{h!D;G8%PLk?^I%&R*X3p{0xMI zws$45O(Z7%Oo4%%P{v{K!iS^~eOSRjRERuVVC*h`ArBWAND6JRecq)I7E&BrFc25w z&n*~;3-PB6jNRodH9+X@}9Gtqz%0Nm zfBe|}Pk+8CyhQl>`*)vMPu8>Ad@-H<^{n2^y_vn8J>SDF=FRf@udnszNn3AnRvO>U z-2ZZ8^V7vG$-|>`TXH2ERb%!WMb3I^4?g5v{ymt(F(UHdLuK8+2Xjk^h&3v=mEOfGz=i}-h84xfm~g{^=b*xIczejL~iX(H_HrUySB z?CzGuRvb!V^k9Ft9IWDWCdNgH?6{Pw)`jgDBS|-;LDplL97jxQn)_CpHAJI=|zN(&*mupQ$(7?O%xF|J8=oV=^`;Eqd7 zPeU-EF_=TSBl2K7q->_QTcOBPBne5yJ9ek9%0fVcFo)$t<-(9=!gh?Yq#IC`I(K|0 zA`j-SK@7l!JMJBN3daG-ICn5PA`k9}t5od47?&m8fOd>?hYln1VD6gc09@GGvAB@E zH(pOJE@yXkzRZ{F+sS3Uwz$0-|9O46N*?+CxA*TIm-~(6L$_CpTtnsI=Qr;?{P@=Q z_jAu*X7e87tH*(LFzEm_+3I_@rCZ+vyUxqJBksf!+qYi;%!>R?Qlng0rf?H4Z z{Ci5uR`%g|s#7NP0+~OyDFrsA6uq-BY6^^Ywf?NEOLKQiSLrKes9O3;O6gGxqpc#N zEv5$wjJ69~TDF3+Fxn|Js-C5`!t=y7BT+-Bnw4XQZg*Y}@Lm7Y!u z$5UCpSs^xz% z+l^!0(f02tHRtSjp7QP~Q+n1h98Yxvn5Wd+y}vS_ovYK{g_8RRd%wEharc+rPv*D`CJ@h zs`RFGM^kzno|4jwJ{*-t<0xi$pQm#FRrpsr?YfTae=*fj_rBvK(YsJYeYwFeq3pba z>%aHa2$ka%y8m=eT}yR5`Fi)1Y5v;6^;XDK`@X^yHI7o2db6v#DW&vA+;BXlMlvc4 zQ*n%`(%ZWng{e3W4G#P-rPZLX<1rOLk{AcPrbb*VOnu@QQ>Fjf&&`;Mq>!aPtzMm{ z)Vq_8=PCc5QeRc)dQAD>@QUQbeCfY0bhMm_W8L5O?kVZVA-|4)PpOY?xUMF6&pk~f zyQuz;H;a1l=~#aLs|o76eO#Y~^M4>iy-s&r^$uW8k0Vb|gND^j>FTKBctPWTOqKo* z;&430U3>jBgGwSvsr(ldOe6=Q0$}phCyiVWD*uzZdj09R^67n2H)=e}aY4a<@~OV9 z)bWbO`;;#IIL4He{`+Z1b9yA_NBm#eWYiJZ@thujry@zI{NL4UVnK7gCkmoInc=$h z>3vc+>b1J#oXWSOB$C~%zWqF{7&&UDXXLbxP-W*U$e&Q0lv=T+7e< tpfdV9vmCq1d!k?>*~?O=$Q91%)eWl0udFs7O~14rPcNST_J87xq*MHz98~}S literal 3525 zcmV;$4Lb6y4*>uG0001Zob8=&Pa8WH#^2vhG0OW(<~aW)ij)?5Z?;fcf|g2X6@@0< zjex)=p}Lh;_p|TJBuxeg^Y}R##$%~3Nrn^qv5$Rx{Ih5O`hGDOT?NzO?f6aQkF)k! z_hj#Oct5zhss!L&0w-1w>QDAB&2%A+gRTD}r=#OXLCtt_Gr{UM@K!W~1f{XE0a5S1MqW`QM{1ycJ z*W(|9`@6|>F(1swi(v8NJ}TdA{B1lNPrr^U!G9*>S@g~Qn@TnLhxES$lTlRO%KD%F zJ((}Yv(;nKgK=dK&bqV9#=rKf$sbDt)wPAK77eg?+`kMdgEe6AQ~y#ZgLPoAI~vU{ zrA66nARXU*IAZKIt;)!?ObggN{Cx5!W3O#f#tv1)4lwz4IK5`iy3PbxzEMfA!`zOPb{e6 zOdpJqD>BSK>Rn(F1tSWv&8Aa}s3~*%4ZKj~8pvRO^(!7j7J`BL_N(T7jyWwa&qU<71 ziUJx^Pvl1LzI^#Y4NDD+X^0E78y3?L=jjdPLOph>Y#{&X(Pc#L6SXzxkWJ(}$7~L& ziCm}uw#%Bdh~MrW7L-YwECM%XG+`FuUAIpeL|zlG0VqFg+RQv;+aR}zmuOrsZ(60_ zIA3g9O+3Q2c*`b%K$=9}6Px6!-h%0aWGTrtdkgwc)RVYrr)3enaZ_%~B7MMh&6ZX6 znzV^LC~k7Hb&v-|Qj-1BV&>s2wvqF^e@Bg$ZJVXfrEshAHu9Z#njnX_w$0Q-nuN)N zE*t!}EkZUj_13m>9&XTRYopbzR$=*(r^e$b*%|DnI!@<~%~VI4gt_u)ULwP~ zj*Y)^D~*m-vfOaq)v?=g;{2;)lP%{g+HKZyHZ1~1Zkq2PA38bh_qhCS2l>!3o8^?y zW~Ig2glnxXnTD1Sc~C4S>6H>%`H+yiwjrGL4!KsB&??&}}^Cg0q!VCZR0$vCqie7(jz8EgXgR9A6@MtX3EkQnf>L10+ zkA8lu4}sLiqe@6gD}e@^N0rE7F}Qmr$WHm~Zgx8!S@lYo;ia)xfq)AQz6!)!X!KPe z+@gVB1@bK#TvZ_Aq5w<|JcXpAWOsSO}QHWDUeG^npYN+wx+D-^I`ok=%^s0}I~ATgsri3Kt<8kSffHp9cj0;w4VO)QX`;c;St z2NxL6=h zL(|0qd79+hnL<$HQ#8OUkg4HuTY;QQycedZz0Kw#>8B8NSpv*_VIlC6kPiyGHh?GR zQxJOLL1DqnOaJ0XQG4WA@!@}kS*sicdx+WYm=4=vZO-YiHf;{Ok>_L~0iywt4P;<= z64^iqhDMQ%9Rfoh5{3i}j}9Biz$6>4v_lz4!O%>yfeZ|Z5E}@Cd}1E~rcIW~6piLh}iWGYt2iFn_KWCf2N8%R~~=&`ZG zDzeeztzhDsK^(?#?2=C0f+9YCZ2i;M_gio9{Q3Rri8we7CezXQuQ!!2^=0yPQoqf} zem}eW>qq4vD(ij9O7*9i^)FYKKfS#qX|R$mOKMYGRha$iHnCn?!Obe61PX3O0iP7y zEC^q^nMv%dA{47s>H%p3=*tUdGcD5WiBenOe740w5j%G|IlMyYyMOjI7F z8rov!=zQ8hrC6m}S&P16lv2;W1xKDGP%3MESstb8+Tk?EAi3 z=iVotB&ag6=wg&=`Vl8&Zf_J6duo?d1*eCd3zd0xqdY>joiAsMdP*reo+^R&se=S+ zXY={K=8L&wP0|^Qo~X|NLYhv*6XN`_|7qP*)yDaUUUe)TyLjZnE3%{{?|2rS%WyuVn9PIXWs=JIj-$yudr=;#)Z2ae4ZkfvLf_PDMcrk6{7B`C`?Qbo zWFNa#f|1IPRAS~)7onz?ZM>0{}p!xNwmLEZ)dJi+lAkh!+ zYr5}K9Y6Y1=1n~XMG1byQ|=R#sn_oOT6Yw@?og99ImU>7q{q~GgdCOfBR$=89wSQT zRX@K*l-F#1DZyf@>Bka59ZTgH`1%pfso}l+`c%u0aL&C@o^&Y*-Jf0Y1+{cPPN@;b zg41K}`&8&ha#}L4PAka${K)#K(|9>{DnACQ%vYW~E#?-RvaO>EGx2XRYPJ>BdFL0y zG^wpt)FDSpt@O0vmc`u7-QD%WeI@udza7MH7(Md9eKPpFgq}hMQ*Cdi~b-;mt$3$-@0=^3h9=2!6yof5iUkuA<8G?AKSz z*KM-O7FofqX0KBXDVeO#%frZOp2;d~Z+%(-*S+<%%EQQ7+afF3Tl1+#e!caz%EQQ7 ze=e)!-&@fmMUSnj@-VVCw#b@)Z?&jKp<}D6JXx|jO}?t{K+G?$RA>JSUVpmZ`10hm zkNP60+>|ov#wwcObuU%s3l~cyRpyI;3QGU@L54Tn0~(pHkSdQ-qCOOuqyPCa{khLS z=?@zen|yU2C#X5@f{{D-*oXQETftGf^Dsd&-$PU$r8>^HvyCU0cBzAz{Q8voqLHEj zCFe?c5_yXHs6~!Jx*tQjl%Q9sZ^ZfqJ?6LQnNul5(8<{BXav`+!P402JJ(oGT@2Hm2Zl%6;gme)nd<-sgNoH)@El z;Dw*_K4sKb2Nk{H>s~7L_F%!C%6W!L)X2wkrJjB=Up^ZD(_%2XI{5AX-^oP{&$H)G diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt old mode 100755 new mode 100644 index 37daa67..7533478 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,6 +1,6 @@ Assembler report for GR8RAM -Tue Sep 14 01:35:32 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Tue Feb 28 11:21:26 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition --------------------- @@ -10,7 +10,7 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof + 5. Assembler Device Options: /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pof 6. Assembler Messages @@ -18,26 +18,27 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit ---------------- ; Legal Notice ; ---------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic +Copyright (C) 2022 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Sep 14 01:35:32 2021 ; +; Assembler Status ; Successful - Tue Feb 28 11:21:26 2023 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -45,69 +46,46 @@ applicable agreement for further details. +-----------------------+---------------------------------------+ -+---------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+-----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+-----------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Security bit ; Off ; Off ; -; Use configuration device ; On ; On ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -; In-System Programming Default Clamp State ; Tri-state ; Tri-state ; -+-----------------------------------------------------------------------------+-----------+---------------+ ++----------------------------------+ +; Assembler Settings ; ++--------+---------+---------------+ +; Option ; Setting ; Default Value ; ++--------+---------+---------------+ -+-------------------------------------------------------------------+ -; Assembler Generated Files ; -+-------------------------------------------------------------------+ -; File Name ; -+-------------------------------------------------------------------+ -; C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+-------------------------------------------------------------------+ ++----------------------------------------------+ +; Assembler Generated Files ; ++----------------------------------------------+ +; File Name ; ++----------------------------------------------+ +; /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pof ; ++----------------------------------------------+ -+---------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+----------------+----------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+----------------------------------------------------------------------------+ -; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00161CF0 ; -; Checksum ; 0x001620E8 ; -+----------------+----------------------------------------------------------------------------+ ++------------------------------------------------------------------------+ +; Assembler Device Options: /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pof ; ++----------------+-------------------------------------------------------+ +; Option ; Setting ; ++----------------+-------------------------------------------------------+ +; JTAG usercode ; 0x00163AA4 ; +; Checksum ; 0x00163E9C ; ++----------------+-------------------------------------------------------+ +--------------------+ ; Assembler Messages ; +--------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Sep 14 01:35:31 2021 +Info: Running Quartus Prime Assembler + Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition + Info: Processing started: Tue Feb 28 11:21:25 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 381 megabytes - Info: Processing ended: Tue Sep 14 01:35:32 2021 +Info: Quartus Prime Assembler was successful. 0 errors, 1 warning + Info: Peak virtual memory: 13100 megabytes + Info: Processing ended: Tue Feb 28 11:21:26 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf index c83d408..07b57a1 100644 --- a/cpld/output_files/GR8RAM.cdf +++ b/cpld/output_files/GR8RAM.cdf @@ -4,7 +4,7 @@ JedecChain; DefaultMfr(6E); P ActionCode(Cfg) - Device PartName(EPM240T100) Path("//Mac/iCloud/Repos2/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(1) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); + Device PartName(EPM240T100) Path("//mac/iCloud/Repos2/GR8RAM/cpld2/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(1) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); ChainEnd; diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done old mode 100755 new mode 100644 index 3f077ca..381b13b --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Tue Sep 14 01:35:34 2021 +Tue Feb 28 11:21:32 2023 diff --git a/cpld/output_files/GR8RAM.eda.rpt b/cpld/output_files/GR8RAM.eda.rpt new file mode 100644 index 0000000..9c146b0 --- /dev/null +++ b/cpld/output_files/GR8RAM.eda.rpt @@ -0,0 +1,94 @@ +EDA Netlist Writer report for GR8RAM +Tue Feb 28 11:21:31 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition + + +--------------------- +; Table of Contents ; +--------------------- + 1. Legal Notice + 2. EDA Netlist Writer Summary + 3. Simulation Settings + 4. Simulation Generated Files + 5. EDA Netlist Writer Messages + + + +---------------- +; Legal Notice ; +---------------- +Copyright (C) 2022 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic +functions, and any output files from any of the foregoing +(including device programming or simulation files), and any +associated documentation or information are expressly subject +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. + + + ++-------------------------------------------------------------------+ +; EDA Netlist Writer Summary ; ++---------------------------+---------------------------------------+ +; EDA Netlist Writer Status ; Successful - Tue Feb 28 11:21:31 2023 ; +; Revision Name ; GR8RAM ; +; Top-level Entity Name ; GR8RAM ; +; Family ; MAX II ; +; Simulation Files Creation ; Successful ; ++---------------------------+---------------------------------------+ + + ++---------------------------------------------------------------------------------------------------------------------------------+ +; Simulation Settings ; ++---------------------------------------------------------------------------------------------------+-----------------------------+ +; Option ; Setting ; ++---------------------------------------------------------------------------------------------------+-----------------------------+ +; Tool Name ; Questa Intel FPGA (Verilog) ; +; Generate functional simulation netlist ; On ; +; Truncate long hierarchy paths ; Off ; +; Map illegal HDL characters ; Off ; +; Flatten buses into individual nodes ; Off ; +; Maintain hierarchy ; Off ; +; Bring out device-wide set/reset signals as ports ; Off ; +; Enable glitch filtering ; Off ; +; Do not write top level VHDL entity ; Off ; +; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; +; Architecture name in VHDL output netlist ; structure ; +; Generate third-party EDA tool command script for RTL functional simulation ; Off ; +; Generate third-party EDA tool command script for gate-level simulation ; Off ; ++---------------------------------------------------------------------------------------------------+-----------------------------+ + + ++--------------------------------------------------+ +; Simulation Generated Files ; ++--------------------------------------------------+ +; Generated Files ; ++--------------------------------------------------+ +; /Repos2/GR8RAM/cpld2/simulation/questa/GR8RAM.vo ; ++--------------------------------------------------+ + + ++-----------------------------+ +; EDA Netlist Writer Messages ; ++-----------------------------+ +Info: ******************************************************************* +Info: Running Quartus Prime EDA Netlist Writer + Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition + Info: Processing started: Tue Feb 28 11:21:30 2023 +Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (204019): Generated file GR8RAM.vo in folder "/Repos2/GR8RAM/cpld2/simulation/questa/" for EDA simulation tool +Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 13024 megabytes + Info: Processing ended: Tue Feb 28 11:21:31 2023 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 + + diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt old mode 100755 new mode 100644 index 60461ed..95c0b85 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,6 +1,6 @@ Fitter report for GR8RAM -Tue Sep 14 01:35:30 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Tue Feb 28 11:21:23 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition --------------------- @@ -18,12 +18,12 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 10. I/O Bank Usage 11. All Package Pins 12. Output Pin Default Load For Reported TCO - 13. Fitter Resource Utilization by Entity - 14. Delay Chain Summary - 15. Control Signals - 16. Global & Other Fast Signals - 17. Non-Global High Fan-Out Signals - 18. Other Routing Usage Summary + 13. I/O Assignment Warnings + 14. Fitter Resource Utilization by Entity + 15. Delay Chain Summary + 16. Control Signals + 17. Global & Other Fast Signals + 18. Routing Usage Summary 19. LAB Logic Elements 20. LAB-wide Signals 21. LAB Signals Sourced @@ -38,184 +38,196 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit ---------------- ; Legal Notice ; ---------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic +Copyright (C) 2022 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. -+-----------------------------------------------------------------------------+ -; Fitter Summary ; -+---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Tue Sep 14 01:35:30 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 234 / 240 ( 98 % ) ; -; Total pins ; 80 / 80 ( 100 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+---------------------------+-------------------------------------------------+ ++------------------------------------------------------------------------+ +; Fitter Summary ; ++-----------------------+------------------------------------------------+ +; Fitter Status ; Successful - Tue Feb 28 11:21:23 2023 ; +; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; +; Revision Name ; GR8RAM ; +; Top-level Entity Name ; GR8RAM ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; +; Timing Models ; Final ; +; Total logic elements ; 233 / 240 ( 97 % ) ; +; Total pins ; 80 / 80 ( 100 % ) ; +; Total virtual pins ; 0 ; +; UFM blocks ; 0 / 1 ( 0 % ) ; ++-----------------------+------------------------------------------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Device ; EPM240T100C5 ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Placement Effort Multiplier ; 2.0 ; 1.0 ; -; Router Effort Multiplier ; 2.0 ; 1.0 ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Device I/O Standard ; 3.3-V LVTTL ; ; -; Final Placement Optimizations ; Always ; Automatically ; -; Fitter Initial Placement Seed ; 235 ; 1 ; -; Fitter Effort ; Standard Fit ; Auto Fit ; -; Auto Register Duplication ; Off ; Auto ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Always Enable Input Buffers ; Off ; Off ; -; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; -; Optimize Multi-Corner Timing ; Off ; Off ; -; Guarantee I/O Paths Have Zero Hold Time at Fast Corner ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Slow Slew Rate ; Off ; Off ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -+----------------------------------------------------------------------------+--------------------------------+--------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Settings ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Option ; Setting ; Default Value ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ +; Device ; EPM240T100C5 ; ; +; Minimum Core Junction Temperature ; 0 ; ; +; Maximum Core Junction Temperature ; 85 ; ; +; Fit Attempts to Skip ; 0 ; 0.0 ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Router Timing Optimization Level ; Normal ; Normal ; +; Placement Effort Multiplier ; 1.0 ; 1.0 ; +; Router Effort Multiplier ; 1.0 ; 1.0 ; +; Always Enable Input Buffers ; Off ; Off ; +; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; +; Optimize Multi-Corner Timing ; Off ; Off ; +; Guarantee I/O Paths Have Zero Hold Time at Fast Corner ; On ; On ; +; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; +; Optimize Timing ; Normal compilation ; Normal compilation ; +; Optimize Timing for ECOs ; Off ; Off ; +; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; +; Optimize IOC Register Placement for Timing ; Normal ; Normal ; +; Limit to One Fitting Attempt ; Off ; Off ; +; Final Placement Optimizations ; Automatically ; Automatically ; +; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; +; Fitter Initial Placement Seed ; 1 ; 1 ; +; Periphery to Core Placement and Routing Optimization ; Off ; Off ; +; Slow Slew Rate ; Off ; Off ; +; PCI I/O ; Off ; Off ; +; Weak Pull-Up Resistor ; Off ; Off ; +; Enable Bus-Hold Circuitry ; Off ; Off ; +; Auto Delay Chains ; On ; On ; +; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; +; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; +; Perform Register Duplication for Performance ; Off ; Off ; +; Perform Register Retiming for Performance ; Off ; Off ; +; Perform Asynchronous Signal Pipelining ; Off ; Off ; +; Fitter Effort ; Auto Fit ; Auto Fit ; +; Physical Synthesis Effort Level ; Normal ; Normal ; +; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; +; Auto Register Duplication ; Auto ; Auto ; +; Auto Global Clock ; On ; On ; +; Auto Global Register Control Signals ; On ; On ; +; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; ++--------------------------------------------------------------------+--------------------------------+--------------------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.02 ; +; Maximum used ; 4 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processor 2 ; 0.7% ; +; Processors 3-4 ; 0.5% ; ++----------------------------+-------------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pin. +The pin-out file can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.pin. -+------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+--------------------+ -; Resource ; Usage ; -+---------------------------------------------+--------------------+ -; Total logic elements ; 234 / 240 ( 98 % ) ; -; -- Combinational with no register ; 113 ; -; -- Register only ; 1 ; -; -- Combinational with a register ; 120 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 126 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 65 ; -; -- 1 input functions ; 0 ; -; -- 0 input functions ; 1 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 201 ; -; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 18 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 67 ; -; -- asynchronous clear/load mode ; 29 ; -; ; ; -; Total registers ; 121 / 240 ( 50 % ) ; -; Total LABs ; 24 / 24 ( 100 % ) ; -; Logic elements in carry chains ; 37 ; -; Virtual pins ; 0 ; -; I/O pins ; 80 / 80 ( 100 % ) ; -; -- Clock pins ; 4 / 4 ( 100 % ) ; -; ; ; -; Global signals ; 3 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -; Global clocks ; 3 / 4 ( 75 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 40% / 44% / 37% ; -; Peak interconnect usage (total/H/V) ; 40% / 44% / 37% ; -; Maximum fan-out ; 107 ; -; Highest non-global fan-out ; 47 ; -; Total fan-out ; 1091 ; -; Average fan-out ; 3.47 ; -+---------------------------------------------+--------------------+ ++---------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+-----------------------+ +; Resource ; Usage ; ++---------------------------------------------+-----------------------+ +; Total logic elements ; 233 / 240 ( 97 % ) ; +; -- Combinational with no register ; 109 ; +; -- Register only ; 6 ; +; -- Combinational with a register ; 118 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 124 ; +; -- 3 input functions ; 30 ; +; -- 2 input functions ; 71 ; +; -- 1 input functions ; 0 ; +; -- 0 input functions ; 2 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 200 ; +; -- arithmetic mode ; 33 ; +; -- qfbk mode ; 18 ; +; -- register cascade mode ; 0 ; +; -- synchronous clear/load mode ; 68 ; +; -- asynchronous clear/load mode ; 29 ; +; ; ; +; Total registers ; 124 / 240 ( 52 % ) ; +; Total LABs ; 24 / 24 ( 100 % ) ; +; Logic elements in carry chains ; 37 ; +; Virtual pins ; 0 ; +; I/O pins ; 80 / 80 ( 100 % ) ; +; -- Clock pins ; 4 / 4 ( 100 % ) ; +; ; ; +; UFM blocks ; 0 / 1 ( 0 % ) ; +; ; ; +; -- Total Fixed Point DSP Blocks ; 0 ; +; -- Total Floating Point DSP Blocks ; 0 ; +; ; ; +; Global signals ; 3 ; +; -- Global clocks ; 3 / 4 ( 75 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 34.1% / 35.6% / 32.6% ; +; Peak interconnect usage (total/H/V) ; 34.1% / 35.6% / 32.6% ; +; Maximum fan-out ; 110 ; +; Highest non-global fan-out ; 53 ; +; Total fan-out ; 1071 ; +; Average fan-out ; 3.42 ; ++---------------------------------------------+-----------------------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 107 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; -; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 16 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; -; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; -; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; -; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; -; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Input Pins ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ +; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ +; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 110 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; +; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 16 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; no ; +; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; ++----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -232,31 +244,31 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -275,14 +287,14 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; ; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; ; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -301,7 +313,7 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu +----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ ; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; +----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; +; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; ; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; ; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; @@ -421,13 +433,22 @@ Note: Pin directions (input, output or bidir) are based on device operating in u Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 234 (234) ; 121 ; 0 ; 80 ; 0 ; 113 (113) ; 1 (1) ; 120 (120) ; 37 (37) ; 22 (22) ; |GR8RAM ; work ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ++----------------------------------------+ +; I/O Assignment Warnings ; ++----------+-----------------------------+ +; Pin Name ; Reason ; ++----------+-----------------------------+ +; RA[4] ; Missing location assignment ; ++----------+-----------------------------+ + + ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ +; |GR8RAM ; 233 (233) ; 124 ; 0 ; 80 ; 0 ; 109 (109) ; 6 (6) ; 118 (118) ; 37 (37) ; 18 (18) ; |GR8RAM ; GR8RAM ; work ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -436,34 +457,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +----------+----------+---------------+ -; INTin ; Input ; (1) ; -; DMAin ; Input ; (1) ; -; PHI0 ; Input ; (0) ; -; nWE ; Input ; (1) ; -; RA[0] ; Input ; (1) ; -; RA[1] ; Input ; (1) ; -; RA[2] ; Input ; (1) ; -; RA[3] ; Input ; (1) ; -; RA[4] ; Input ; (1) ; -; RA[5] ; Input ; (1) ; -; RA[6] ; Input ; (1) ; -; RA[7] ; Input ; (1) ; -; RA[8] ; Input ; (1) ; -; RA[9] ; Input ; (1) ; -; RA[10] ; Input ; (1) ; -; nIOSTRB ; Input ; (1) ; -; nIOSEL ; Input ; (1) ; -; nDEVSEL ; Input ; (1) ; -; C25M ; Input ; (0) ; -; RA[11] ; Input ; (1) ; -; RA[14] ; Input ; (1) ; -; RA[15] ; Input ; (1) ; -; RA[12] ; Input ; (1) ; -; RA[13] ; Input ; (1) ; -; SetFW[1] ; Input ; (1) ; -; SetFW[0] ; Input ; (1) ; -; nRES ; Input ; (1) ; -; MISO ; Input ; (1) ; ; nRESout ; Output ; -- ; ; INTout ; Output ; -- ; ; DMAout ; Output ; -- ; @@ -516,6 +509,34 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SD[6] ; Bidir ; (1) ; ; SD[7] ; Bidir ; (1) ; ; MOSI ; Bidir ; (1) ; +; INTin ; Input ; (1) ; +; DMAin ; Input ; (1) ; +; PHI0 ; Input ; (0) ; +; nWE ; Input ; (1) ; +; RA[0] ; Input ; (1) ; +; RA[1] ; Input ; (1) ; +; RA[2] ; Input ; (1) ; +; RA[3] ; Input ; (1) ; +; RA[4] ; Input ; (1) ; +; RA[5] ; Input ; (1) ; +; RA[6] ; Input ; (1) ; +; RA[7] ; Input ; (1) ; +; RA[8] ; Input ; (1) ; +; RA[9] ; Input ; (1) ; +; RA[10] ; Input ; (1) ; +; nIOSTRB ; Input ; (1) ; +; nIOSEL ; Input ; (1) ; +; nDEVSEL ; Input ; (1) ; +; C25M ; Input ; (0) ; +; RA[11] ; Input ; (1) ; +; RA[14] ; Input ; (1) ; +; RA[15] ; Input ; (1) ; +; RA[12] ; Input ; (1) ; +; RA[13] ; Input ; (1) ; +; SetFW[1] ; Input ; (1) ; +; SetFW[0] ; Input ; (1) ; +; nRES ; Input ; (1) ; +; MISO ; Input ; (1) ; +----------+----------+---------------+ @@ -524,21 +545,22 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 107 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Equal20~0 ; LC_X7_Y2_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal2~1 ; LC_X3_Y2_N5 ; 19 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X2_Y2_N8 ; 2 ; Output enable ; no ; -- ; -- ; -; MOSIOE ; LC_X2_Y2_N4 ; 1 ; Output enable ; no ; -- ; -- ; +; C25M ; PIN_64 ; 110 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Equal20~0 ; LC_X2_Y4_N5 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal2~1 ; LC_X2_Y1_N5 ; 16 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X3_Y1_N1 ; 2 ; Output enable ; no ; -- ; -- ; +; IS~19 ; LC_X2_Y2_N7 ; 5 ; Clock enable ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y2_N8 ; 1 ; Output enable ; no ; -- ; -- ; ; PHI0 ; PIN_41 ; 16 ; Clock ; yes ; Global Clock ; GCLK2 ; -; PS[0] ; LC_X3_Y2_N8 ; 46 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X3_Y2_N9 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X4_Y2_N1 ; 8 ; Output enable ; no ; -- ; -- ; -; SetFWLoaded ; LC_X7_Y3_N6 ; 2 ; Clock enable ; no ; -- ; -- ; -; always9~2 ; LC_X4_Y4_N9 ; 8 ; Sync. load ; no ; -- ; -- ; -; always9~3 ; LC_X4_Y4_N8 ; 9 ; Sync. load ; no ; -- ; -- ; -; always9~4 ; LC_X5_Y4_N8 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~2 ; LC_X3_Y3_N9 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X7_Y3_N2 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK1 ; +; PS[0] ; LC_X6_Y1_N1 ; 52 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X2_Y1_N2 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X5_Y1_N4 ; 8 ; Output enable ; no ; -- ; -- ; +; SetFWLoaded ; LC_X4_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ; +; always9~2 ; LC_X7_Y3_N6 ; 8 ; Sync. load ; no ; -- ; -- ; +; always9~3 ; LC_X7_Y3_N7 ; 9 ; Sync. load ; no ; -- ; -- ; +; always9~4 ; LC_X6_Y3_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~2 ; LC_X4_Y1_N8 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X3_Y1_N7 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK1 ; +-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ @@ -547,397 +569,31 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 107 ; Global Clock ; GCLK3 ; +; C25M ; PIN_64 ; 110 ; Global Clock ; GCLK3 ; ; PHI0 ; PIN_41 ; 16 ; Global Clock ; GCLK2 ; -; nRESr ; LC_X7_Y3_N2 ; 30 ; Global Clock ; GCLK1 ; +; nRESr ; LC_X3_Y1_N7 ; 30 ; Global Clock ; GCLK1 ; +-------+-------------+---------+----------------------+------------------+ -+---------------------------------+ -; Non-Global High Fan-Out Signals ; -+---------------------+-----------+ -; Name ; Fan-Out ; -+---------------------+-----------+ -; PS[0] ; 47 ; -; PS[1] ; 33 ; -; PS[3] ; 29 ; -; PS[2] ; 27 ; -; IS.state_bit_0 ; 20 ; -; Equal2~1 ; 19 ; -; IS.state_bit_1 ; 17 ; -; IS.110~0 ; 16 ; -; RAMSpecSEL~0 ; 16 ; -; LS[0] ; 13 ; -; RDD[1]~23 ; 9 ; -; always9~4 ; 9 ; -; always9~3 ; 9 ; -; comb~2 ; 9 ; -; RDD[1]~22 ; 8 ; -; Equal20~0 ; 8 ; -; SDOE ; 8 ; -; always9~2 ; 8 ; -; IS.state_bit_2 ; 8 ; -; LS[2] ; 8 ; -; RAr[0] ; 8 ; -; SA[1]~6 ; 7 ; -; SetFWr[1] ; 7 ; -; RAr[1] ; 7 ; -; RD[7]~7 ; 6 ; -; SA[3]~9 ; 6 ; -; SA[3]~8 ; 6 ; -; SA[3]~7 ; 6 ; -; LS[1] ; 6 ; -; Equal19~0 ; 6 ; -; RD[0]~0 ; 5 ; -; RDD[4]~12 ; 5 ; -; Addr[0] ; 5 ; -; LS[6]~17 ; 5 ; -; SA[1]~5 ; 5 ; -; LS[1]~3 ; 5 ; -; Mux14~2 ; 5 ; -; Addr[23] ; 5 ; -; always9~0 ; 5 ; -; RAMRegSpecSEL~0 ; 5 ; -; RD[6]~6 ; 4 ; -; RD[5]~5 ; 4 ; -; RD[4]~4 ; 4 ; -; RD[3]~3 ; 4 ; -; RD[2]~2 ; 4 ; -; RD[1]~1 ; 4 ; -; nDEVSEL ; 4 ; -; RDD[4]~13 ; 4 ; -; always9~1 ; 4 ; -; LS[13] ; 4 ; -; Equal3~2 ; 4 ; -; nRCS~1 ; 4 ; -; Addr[9] ; 4 ; -; Addr[8] ; 4 ; -; Addr[7] ; 4 ; -; Addr[6] ; 4 ; -; Addr[15] ; 4 ; -; Addr[5] ; 4 ; -; LS[5] ; 4 ; -; Addr[14] ; 4 ; -; Addr[4] ; 4 ; -; LS[4] ; 4 ; -; Addr[13] ; 4 ; -; Addr[3] ; 4 ; -; RAr[3] ; 4 ; -; Addr[12] ; 4 ; -; Addr[2] ; 4 ; -; Addr[11] ; 4 ; -; Addr[1] ; 4 ; -; Addr[10] ; 4 ; -; RAr[2] ; 4 ; -; nIOSEL ; 3 ; -; nIOSTRB ; 3 ; -; SA[1]~15 ; 3 ; -; WRD[5] ; 3 ; -; WRD[4] ; 3 ; -; WRD[3] ; 3 ; -; WRD[2] ; 3 ; -; WRD[1] ; 3 ; -; WRD[0] ; 3 ; -; Equal4~0 ; 3 ; -; Equal5~0 ; 3 ; -; Equal3~3 ; 3 ; -; IS.111~0 ; 3 ; -; nWEr ; 3 ; -; Addr[22] ; 3 ; -; Addr[21] ; 3 ; -; Addr[20]~41 ; 3 ; -; Addr[20] ; 3 ; -; SA~10 ; 3 ; -; Equal1~0 ; 3 ; -; Addr[19] ; 3 ; -; LS[9] ; 3 ; -; Addr[18] ; 3 ; -; LS[8] ; 3 ; -; Addr[17] ; 3 ; -; LS[7] ; 3 ; -; Addr[16] ; 3 ; -; LS[6] ; 3 ; -; Addr[4]~17 ; 3 ; -; LS[3] ; 3 ; -; LS[12] ; 3 ; -; Addr[12]~11 ; 3 ; -; LS[11] ; 3 ; -; SA[1]~3 ; 3 ; -; LS[10] ; 3 ; -; SA[1]~2 ; 3 ; -; IOROMEN ; 3 ; -; RA[10] ; 2 ; -; RA[9] ; 2 ; -; RA[8] ; 2 ; -; RA[7] ; 2 ; -; RA[6] ; 2 ; -; RA[5] ; 2 ; -; RA[4] ; 2 ; -; RA[3] ; 2 ; -; RA[2] ; 2 ; -; RA[1] ; 2 ; -; RA[0] ; 2 ; -; nWE ; 2 ; -; WRD[7] ; 2 ; -; WRD[6] ; 2 ; -; AddrIncL ; 2 ; -; AddrIncM ; 2 ; -; SetFWLoaded ; 2 ; -; IS.state_bit_1~3 ; 2 ; -; IS.state_bit_1~0 ; 2 ; -; Equal5~1 ; 2 ; -; FCKOE ; 2 ; -; PS~0 ; 2 ; -; Equal1~1 ; 2 ; -; DQMH~0 ; 2 ; -; Mux12~1 ; 2 ; -; nRCS~5 ; 2 ; -; nRCS~4 ; 2 ; -; nRCS~2 ; 2 ; -; RAr[9] ; 2 ; -; Bank ; 2 ; -; RAr[11] ; 2 ; -; LS[11]~5 ; 2 ; -; SA[1]~4 ; 2 ; -; RAr[10] ; 2 ; -; nRESf[2] ; 2 ; -; nRESf[1] ; 2 ; -; nRESf[0] ; 2 ; -; RAMRegSpecSEL ; 2 ; -; CXXXr ; 2 ; -; REGEN ; 2 ; -; RAr[8] ; 2 ; -; Equal9~0 ; 2 ; -; RAr[7] ; 2 ; -; always8~0 ; 2 ; -; nRESout~reg0 ; 2 ; -; MOSI~0 ; 1 ; -; SD[7]~7 ; 1 ; -; SD[6]~6 ; 1 ; -; SD[5]~5 ; 1 ; -; SD[4]~4 ; 1 ; -; SD[3]~3 ; 1 ; -; SD[2]~2 ; 1 ; -; SD[1]~1 ; 1 ; -; SD[0]~0 ; 1 ; -; MISO ; 1 ; -; nRES ; 1 ; -; SetFW[0] ; 1 ; -; SetFW[1] ; 1 ; -; RA[13] ; 1 ; -; RA[12] ; 1 ; -; RA[15] ; 1 ; -; RA[14] ; 1 ; -; RA[11] ; 1 ; -; DMAin ; 1 ; -; INTin ; 1 ; -; Mux2~3 ; 1 ; -; SetFWr[0] ; 1 ; -; Mux2~2 ; 1 ; -; Mux2~1 ; 1 ; -; Mux2~0 ; 1 ; -; SA[1]~14 ; 1 ; -; RDD~20 ; 1 ; -; RDD~18 ; 1 ; -; RDD~16 ; 1 ; -; RDD~14 ; 1 ; -; RDD~10 ; 1 ; -; RDD~8 ; 1 ; -; RDD~6 ; 1 ; -; RDD~4 ; 1 ; -; AddrIncM~2 ; 1 ; -; AddrIncM~1 ; 1 ; -; AddrIncM~0 ; 1 ; -; AddrIncH~2 ; 1 ; -; AddrIncH~1 ; 1 ; -; AddrIncH~0 ; 1 ; -; MOSIout ; 1 ; -; RDD[7] ; 1 ; -; RDD[6] ; 1 ; -; RDD[5] ; 1 ; -; RDD[4] ; 1 ; -; RDD[3] ; 1 ; -; RDD[2] ; 1 ; -; RDD[1] ; 1 ; -; RDD[0] ; 1 ; -; MOSIOE ; 1 ; -; IS.101~0 ; 1 ; -; IS.state_bit_2~1 ; 1 ; -; IS.state_bit_2~0 ; 1 ; -; Equal3~4 ; 1 ; -; AddrIncH ; 1 ; -; IS.state_bit_1~2 ; 1 ; -; IS.state_bit_1~1 ; 1 ; -; IS.state_bit_0~5 ; 1 ; -; IS.state_bit_0~4 ; 1 ; -; Equal6~0 ; 1 ; -; Equal3~1 ; 1 ; -; Equal3~0 ; 1 ; -; FCKout ; 1 ; -; FCS ; 1 ; -; Equal2~0 ; 1 ; -; Mux11~3 ; 1 ; -; Mux11~2 ; 1 ; -; Mux11~1 ; 1 ; -; PHI0r1 ; 1 ; -; Mux11~0 ; 1 ; -; Selector2~0 ; 1 ; -; Selector1~0 ; 1 ; -; Addr[0]~47COUT1_92 ; 1 ; -; Addr[0]~47 ; 1 ; -; Selector0~0 ; 1 ; -; Mux12~2 ; 1 ; -; nRCS~3 ; 1 ; -; Mux12~0 ; 1 ; -; IS.000~0 ; 1 ; -; nRCS~0 ; 1 ; -; Addr[22]~45COUT1_78 ; 1 ; -; Addr[22]~45 ; 1 ; -; Addr[21]~43COUT1_76 ; 1 ; -; Addr[21]~43 ; 1 ; -; Mux15~1 ; 1 ; -; Mux15~0 ; 1 ; -; Addr[19]~39COUT1_74 ; 1 ; -; Addr[19]~39 ; 1 ; -; Mux16~2 ; 1 ; -; Addr[9]~37COUT1_82 ; 1 ; -; Addr[9]~37 ; 1 ; -; LS[9]~23COUT1_50 ; 1 ; -; LS[9]~23 ; 1 ; -; Mux16~1 ; 1 ; -; Mux16~0 ; 1 ; -; Addr[18]~35COUT1_72 ; 1 ; -; Addr[18]~35 ; 1 ; -; Mux17~2 ; 1 ; -; Addr[8]~33COUT1_80 ; 1 ; -; Addr[8]~33 ; 1 ; -; LS[8]~21COUT1_48 ; 1 ; -; LS[8]~21 ; 1 ; -; Mux17~1 ; 1 ; -; Mux17~0 ; 1 ; -; Addr[17]~31COUT1_70 ; 1 ; -; Addr[17]~31 ; 1 ; -; Mux18~2 ; 1 ; -; LS[7]~19COUT1_46 ; 1 ; -; LS[7]~19 ; 1 ; -; Mux18~1 ; 1 ; -; Mux18~0 ; 1 ; -; Addr[16]~27COUT1_68 ; 1 ; -; Addr[16]~27 ; 1 ; -; Mux19~3 ; 1 ; -; Mux19~2 ; 1 ; -; Addr[6]~25COUT1_102 ; 1 ; -; Addr[6]~25 ; 1 ; -; RAr[6] ; 1 ; -; Mux19~1 ; 1 ; -; Mux19~0 ; 1 ; -; Mux20~2 ; 1 ; -; Addr[5]~21COUT1_100 ; 1 ; -; Addr[5]~21 ; 1 ; -; LS[5]~15COUT1_44 ; 1 ; -; LS[5]~15 ; 1 ; -; Mux20~1 ; 1 ; -; Mux20~0 ; 1 ; -; Addr[14]~19COUT1_90 ; 1 ; -; Addr[14]~19 ; 1 ; -; Mux21~2 ; 1 ; -; LS[4]~13COUT1_42 ; 1 ; -; LS[4]~13 ; 1 ; -; RAr[4] ; 1 ; -; Mux21~1 ; 1 ; -; Mux21~0 ; 1 ; -; Addr[13]~15COUT1_88 ; 1 ; -; Addr[13]~15 ; 1 ; -; Addr[3]~13COUT1_98 ; 1 ; -; Addr[3]~13 ; 1 ; -; Mux22~3 ; 1 ; -; LS[3]~11COUT1_40 ; 1 ; -; LS[3]~11 ; 1 ; -; Mux22~2 ; 1 ; -; Mux22~1 ; 1 ; -; Mux22~0 ; 1 ; -; LS[12]~9COUT1_54 ; 1 ; -; LS[12]~9 ; 1 ; -; Addr[2]~9COUT1_96 ; 1 ; -; Addr[2]~9 ; 1 ; -; Mux23~3 ; 1 ; -; LS[2]~7COUT1_38 ; 1 ; -; LS[2]~7 ; 1 ; -; Mux23~2 ; 1 ; -; Mux23~1 ; 1 ; -; nRESf[3] ; 1 ; -; Mux23~0 ; 1 ; -; Addr[11]~7COUT1_86 ; 1 ; -; Addr[11]~7 ; 1 ; -; Addr[1]~5COUT1_94 ; 1 ; -; Addr[1]~5 ; 1 ; -; Mux24~3 ; 1 ; -; Mux24~2 ; 1 ; -; Mux24~1 ; 1 ; -; Mux24~0 ; 1 ; -; LS[10]~1COUT1_52 ; 1 ; -; LS[10]~1 ; 1 ; -; Addr[10]~3COUT1_84 ; 1 ; -; Addr[10]~3 ; 1 ; -; Mux13~0 ; 1 ; -; Mux14~3 ; 1 ; -; Mux14~1 ; 1 ; -; Mux14~0 ; 1 ; -; always8~4 ; 1 ; -; RAr[5] ; 1 ; -; always8~3 ; 1 ; -; always8~2 ; 1 ; -; always8~1 ; 1 ; -; RCKE~reg0 ; 1 ; -; DQMH~reg0 ; 1 ; -; DQML~reg0 ; 1 ; -; nSWE~reg0 ; 1 ; -; nCAS~reg0 ; 1 ; -; nRAS~reg0 ; 1 ; -; nRCS~reg0 ; 1 ; -; SA[12]~reg0 ; 1 ; -; SA[11]~reg0 ; 1 ; -; SA[10]~reg0 ; 1 ; -; SA[9]~reg0 ; 1 ; -; SA[8]~reg0 ; 1 ; -; SA[7]~reg0 ; 1 ; -; SA[6]~reg0 ; 1 ; -; SA[5]~reg0 ; 1 ; -; SA[4]~reg0 ; 1 ; -; SA[3]~reg0 ; 1 ; -; SA[2]~reg0 ; 1 ; -; SA[1]~reg0 ; 1 ; -; SA[0]~reg0 ; 1 ; -; SBA[1]~reg0 ; 1 ; -; SBA[0]~reg0 ; 1 ; -; comb~1 ; 1 ; -; Equal16~2 ; 1 ; -; Equal16~1 ; 1 ; -; Equal16~0 ; 1 ; -; PHI0r2 ; 1 ; -; comb~0 ; 1 ; -+---------------------+-----------+ - - -+--------------------------------------------------+ -; Other Routing Usage Summary ; -+-----------------------------+--------------------+ -; Other Routing Resource Type ; Usage ; -+-----------------------------+--------------------+ -; C4s ; 226 / 784 ( 29 % ) ; -; Direct links ; 67 / 888 ( 8 % ) ; -; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 14 / 32 ( 44 % ) ; -; LUT chains ; 24 / 216 ( 11 % ) ; -; Local interconnects ; 434 / 888 ( 49 % ) ; -; R4s ; 255 / 704 ( 36 % ) ; -+-----------------------------+--------------------+ ++--------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+--------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+--------------------+ +; C4s ; 211 / 784 ( 27 % ) ; +; Direct links ; 50 / 888 ( 6 % ) ; +; Global clocks ; 3 / 4 ( 75 % ) ; +; LAB clocks ; 13 / 32 ( 41 % ) ; +; LUT chains ; 8 / 216 ( 4 % ) ; +; Local interconnects ; 379 / 888 ( 43 % ) ; +; R4s ; 199 / 704 ( 28 % ) ; ++-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.75) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; @@ -947,8 +603,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 6 ; 0 ; ; 7 ; 1 ; ; 8 ; 1 ; -; 9 ; 1 ; -; 10 ; 21 ; +; 9 ; 2 ; +; 10 ; 20 ; +--------------------------------------------+------------------------------+ @@ -957,19 +613,19 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +------------------------------------+------------------------------+ ; LAB-wide Signals (Average = 1.71) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Async. clear ; 3 ; -; 1 Clock ; 19 ; +; 1 Async. clear ; 5 ; +; 1 Clock ; 21 ; ; 1 Clock enable ; 5 ; -; 1 Sync. clear ; 6 ; +; 1 Sync. clear ; 4 ; ; 1 Sync. load ; 3 ; -; 2 Clocks ; 5 ; +; 2 Clocks ; 3 ; +------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.67) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 10.50) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -979,70 +635,70 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 5 ; 0 ; ; 6 ; 0 ; ; 7 ; 1 ; -; 8 ; 0 ; +; 8 ; 1 ; ; 9 ; 1 ; -; 10 ; 16 ; -; 11 ; 2 ; -; 12 ; 0 ; +; 10 ; 15 ; +; 11 ; 0 ; +; 12 ; 3 ; ; 13 ; 1 ; ; 14 ; 1 ; ; 15 ; 1 ; -; 16 ; 1 ; +----------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.54) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 7.42) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 0 ; -; 4 ; 0 ; +; 2 ; 0 ; +; 3 ; 1 ; +; 4 ; 2 ; ; 5 ; 4 ; -; 6 ; 2 ; -; 7 ; 4 ; -; 8 ; 4 ; -; 9 ; 4 ; -; 10 ; 4 ; -; 11 ; 1 ; +; 6 ; 4 ; +; 7 ; 2 ; +; 8 ; 2 ; +; 9 ; 1 ; +; 10 ; 6 ; +; 11 ; 0 ; +; 12 ; 2 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 16.08) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 14.13) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; ; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 1 ; +; 5 ; 2 ; +; 6 ; 2 ; ; 7 ; 0 ; ; 8 ; 1 ; ; 9 ; 1 ; -; 10 ; 3 ; -; 11 ; 0 ; -; 12 ; 1 ; -; 13 ; 2 ; -; 14 ; 0 ; +; 10 ; 2 ; +; 11 ; 1 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 4 ; ; 15 ; 3 ; ; 16 ; 1 ; ; 17 ; 1 ; -; 18 ; 2 ; -; 19 ; 1 ; -; 20 ; 2 ; +; 18 ; 1 ; +; 19 ; 0 ; +; 20 ; 1 ; ; 21 ; 0 ; -; 22 ; 2 ; +; 22 ; 1 ; ; 23 ; 0 ; -; 24 ; 0 ; -; 25 ; 0 ; -; 26 ; 3 ; +; 24 ; 1 ; +; 25 ; 1 ; +; 26 ; 1 ; +----------------------------------------------+------------------------------+ @@ -1057,18 +713,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Enable INIT_DONE output ; Off ; ; Configuration scheme ; Passive Serial ; ; Reserve all unused pins ; As output driving ground ; -; Base pin-out file on sameframe device ; Off ; +----------------------------------------------+--------------------------+ +-----------------+ ; Fitter Messages ; +-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected Info (119006): Selected device EPM240T100C5 for design "GR8RAM" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C -Info (171004): Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance +Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices Info (176445): Device EPM240T100I5 is compatible @@ -1076,6 +732,7 @@ Info (176444): Device migration not selected. If you intend to use device migrat Info (176445): Device EPM570T100C5 is compatible Info (176445): Device EPM570T100I5 is compatible Info (176445): Device EPM570T100A5 is compatible +Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 80 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. Info (332104): Reading SDC File: 'GR8RAM.sdc' Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements Info (332111): Found 2 clocks @@ -1084,42 +741,53 @@ Info (332111): Found 2 clocks Info (332111): 40.000 C25M Info (332111): 978.000 PHI0 Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 -Info (186216): Automatically promoted some destinations of signal "PHI0" to use Global clock +Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 9 +Info (186216): Automatically promoted some destinations of signal "PHI0" to use Global clock File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 9 Info (186217): Destination "comb~0" may be non-global or may not use global clock - Info (186217): Destination "PHI0r1" may be non-global or may not use global clock -Info (186228): Pin "PHI0" drives global clock, but is not placed in a dedicated clock pin position -Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock - Info (186217): Destination "IOROMEN" may be non-global or may not use global clock + Info (186217): Destination "PHI0r1" may be non-global or may not use global clock File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 10 +Info (186228): Pin "PHI0" drives global clock, but is not placed in a dedicated clock pin position File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 9 +Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 16 + Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 94 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing +Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement + Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 1 input, 0 output, 0 bidirectional) + Info (176212): I/O standards used: 3.3-V LVTTL. +Info (176215): I/O bank details before I/O pin placement + Info (176214): Statistics of I/O banks + Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available + Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used -- 1 pins available Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 34% of the available device resources - Info (170196): Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 30% of the available device resources + Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. + Info (170201): Optimizations that may affect the design's routability were skipped + Info (170200): Optimizations that may affect the design's timing were skipped Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 544 megabytes - Info: Processing ended: Tue Sep 14 01:35:30 2021 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:03 +Info (144001): Generated suppressed messages file /Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg +Info: Quartus Prime Fitter was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 13746 megabytes + Info: Processing ended: Tue Feb 28 11:21:23 2023 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:05 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. +The suppressed messages can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.fit.smsg. diff --git a/cpld/output_files/GR8RAM.fit.smsg b/cpld/output_files/GR8RAM.fit.smsg old mode 100755 new mode 100644 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary old mode 100755 new mode 100644 index 5d9e773..615a84b --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Tue Sep 14 01:35:30 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Fitter Status : Successful - Tue Feb 28 11:21:23 2023 +Quartus Prime Version : 22.1std.0 Build 915 10/25/2022 SC Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 234 / 240 ( 98 % ) +Total logic elements : 233 / 240 ( 97 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt old mode 100755 new mode 100644 index e7cc3c2..cb3a8d0 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,6 +1,6 @@ Flow report for GR8RAM -Tue Sep 14 01:35:34 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Tue Feb 28 11:21:31 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition --------------------- @@ -21,37 +21,38 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit ---------------- ; Legal Notice ; ---------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic +Copyright (C) 2022 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. -+-----------------------------------------------------------------------------+ -; Flow Summary ; -+---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Tue Sep 14 01:35:32 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 234 / 240 ( 98 % ) ; -; Total pins ; 80 / 80 ( 100 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+---------------------------+-------------------------------------------------+ ++------------------------------------------------------------------------+ +; Flow Summary ; ++-----------------------+------------------------------------------------+ +; Flow Status ; Successful - Tue Feb 28 11:21:31 2023 ; +; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; +; Revision Name ; GR8RAM ; +; Top-level Entity Name ; GR8RAM ; +; Family ; MAX II ; +; Device ; EPM240T100C5 ; +; Timing Models ; Final ; +; Total logic elements ; 233 / 240 ( 97 % ) ; +; Total pins ; 80 / 80 ( 100 % ) ; +; Total virtual pins ; 0 ; +; UFM blocks ; 0 / 1 ( 0 % ) ; ++-----------------------+------------------------------------------------+ +-----------------------------------------+ @@ -59,67 +60,60 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/14/2021 01:35:26 ; +; Start date & time ; 02/28/2023 11:20:54 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ -+---------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ -; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; -; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ; -; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; -; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; -; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 962837114763.163159772501756 ; -- ; -- ; -- ; -; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; -; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 2 ; -; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; MUX_RESTRUCTURE ; On ; Auto ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; -; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ; -; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; -; ROUTER_REGISTER_DUPLICATION ; Off ; Auto ; -- ; -- ; -; SEED ; 235 ; 1 ; -- ; -- ; -; STATE_MACHINE_PROCESSING ; Minimal Bits ; Auto ; -- ; -- ; -; SYNTHESIS_SEED ; 123 ; 1 ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++---------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++---------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ +; COMPILER_SIGNATURE_ID ; 121381084694.167760125411500 ; -- ; -- ; -- ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; +; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_symbol ; +; EDA_NETLIST_WRITER_OUTPUT_DIR ; simulation/questa ; -- ; -- ; eda_simulation ; +; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; +; EDA_SIMULATION_TOOL ; Questa Intel FPGA (Verilog) ; ; -- ; -- ; +; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ; +; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 1 ; +; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 2 ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; ++---------------------------------------+----------------------------------------+---------------+-------------+-----------------------------------+ -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 422 MB ; 00:00:01 ; -; Fitter ; 00:00:02 ; 1.0 ; 544 MB ; 00:00:02 ; -; Assembler ; 00:00:01 ; 1.0 ; 381 MB ; 00:00:01 ; -; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 374 MB ; 00:00:01 ; -; Total ; 00:00:07 ; -- ; -- ; 00:00:05 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------+ +; Flow Elapsed Time ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ +; Analysis & Synthesis ; 00:00:23 ; 1.0 ; 13114 MB ; 00:00:48 ; +; Fitter ; 00:00:06 ; 1.0 ; 13746 MB ; 00:00:05 ; +; Assembler ; 00:00:01 ; 1.0 ; 13099 MB ; 00:00:01 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13081 MB ; 00:00:01 ; +; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 13024 MB ; 00:00:01 ; +; Total ; 00:00:33 ; -- ; -- ; 00:00:56 ; ++----------------------+--------------+-------------------------+---------------------+------------------------------------+ -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Fitter ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Assembler ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; TimeQuest Timing Analyzer ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ ++------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; +; Fitter ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; +; Assembler ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; +; Timing Analyzer ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; +; EDA Netlist Writer ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; ++----------------------+------------------+------------+------------+----------------+ ------------ @@ -129,6 +123,7 @@ quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM quartus_sta GR8RAM -c GR8RAM +quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi old mode 100755 new mode 100644 index 1b5d781..b6cbf71 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt old mode 100755 new mode 100644 index 766c357..0dbf265 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Tue Sep 14 01:35:27 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Tue Feb 28 11:21:16 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition --------------------- @@ -26,128 +26,132 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit ---------------- ; Legal Notice ; ---------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic +Copyright (C) 2022 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. -+-------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Sep 14 01:35:27 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Total logic elements ; 257 ; -; Total pins ; 80 ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------------+-------------------------------------------------+ ++------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++-----------------------------+------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Tue Feb 28 11:21:16 2023 ; +; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; +; Revision Name ; GR8RAM ; +; Top-level Entity Name ; GR8RAM ; +; Family ; MAX II ; +; Total logic elements ; 253 ; +; Total pins ; 80 ; +; Total virtual pins ; 0 ; +; UFM blocks ; 0 / 1 ( 0 % ) ; ++-----------------------------+------------------------------------------------+ -+----------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; EPM240T100C5 ; ; -; Top-level entity name ; GR8RAM ; GR8RAM ; -; Family name ; MAX II ; Cyclone IV GX ; -; Restructure Multiplexers ; On ; Auto ; -; State Machine Processing ; Minimal Bits ; Auto ; -; Remove Redundant Logic Cells ; On ; Off ; -; Optimization Technique ; Area ; Balanced ; -; Perform WYSIWYG Primitive Resynthesis ; On ; Off ; -; Allow Shift Register Merging across Hierarchies ; Always ; Auto ; -; Auto Resource Sharing ; On ; Off ; -; Synthesis Seed ; 123 ; 1 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Block Design Naming ; Auto ; Auto ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -+----------------------------------------------------------------------------+--------------------+--------------------+ ++------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Settings ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Option ; Setting ; Default Value ; ++------------------------------------------------------------------+--------------------+--------------------+ +; Device ; EPM240T100C5 ; ; +; Top-level entity name ; GR8RAM ; GR8RAM ; +; Family name ; MAX II ; Cyclone V ; +; Use smart compilation ; Off ; Off ; +; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; +; Enable compact report table ; Off ; Off ; +; Restructure Multiplexers ; Auto ; Auto ; +; Create Debugging Nodes for IP Cores ; Off ; Off ; +; Preserve fewer node names ; On ; On ; +; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; +; Verilog Version ; Verilog_2001 ; Verilog_2001 ; +; VHDL Version ; VHDL_1993 ; VHDL_1993 ; +; State Machine Processing ; Auto ; Auto ; +; Safe State Machine ; Off ; Off ; +; Extract Verilog State Machines ; On ; On ; +; Extract VHDL State Machines ; On ; On ; +; Ignore Verilog initial constructs ; Off ; Off ; +; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; +; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; +; Add Pass-Through Logic to Inferred RAMs ; On ; On ; +; Infer RAMs from Raw Logic ; On ; On ; +; Parallel Synthesis ; On ; On ; +; NOT Gate Push-Back ; On ; On ; +; Power-Up Don't Care ; On ; On ; +; Remove Redundant Logic Cells ; Off ; Off ; +; Remove Duplicate Registers ; On ; On ; +; Ignore CARRY Buffers ; Off ; Off ; +; Ignore CASCADE Buffers ; Off ; Off ; +; Ignore GLOBAL Buffers ; Off ; Off ; +; Ignore ROW GLOBAL Buffers ; Off ; Off ; +; Ignore LCELL Buffers ; Off ; Off ; +; Ignore SOFT Buffers ; On ; On ; +; Limit AHDL Integers to 32 Bits ; Off ; Off ; +; Optimization Technique ; Balanced ; Balanced ; +; Carry Chain Length ; 70 ; 70 ; +; Auto Carry Chains ; On ; On ; +; Auto Open-Drain Pins ; On ; On ; +; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; +; Auto Shift Register Replacement ; Auto ; Auto ; +; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; +; Auto Clock Enable Replacement ; On ; On ; +; Allow Synchronous Control Signals ; On ; On ; +; Force Use of Synchronous Clear Signals ; Off ; Off ; +; Auto Resource Sharing ; Off ; Off ; +; Use LogicLock Constraints during Resource Balancing ; On ; On ; +; Ignore translate_off and synthesis_off directives ; Off ; Off ; +; Report Parameter Settings ; On ; On ; +; Report Source Assignments ; On ; On ; +; Report Connectivity Checks ; On ; On ; +; Ignore Maximum Fan-Out Assignments ; Off ; Off ; +; Synchronization Register Chain Length ; 2 ; 2 ; +; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; +; HDL message level ; Level2 ; Level2 ; +; Suppress Register Optimization Related Messages ; Off ; Off ; +; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; +; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; +; Clock MUX Protection ; On ; On ; +; Block Design Naming ; Auto ; Auto ; +; Synthesis Effort ; Auto ; Auto ; +; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; +; Analysis & Synthesis Message Level ; Medium ; Medium ; +; Disable Register Merging Across Hierarchies ; Auto ; Auto ; ++------------------------------------------------------------------+--------------------+--------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ ++-----------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------+-------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------+-------------------------------------------+---------+ +; gr8ram.v ; yes ; Auto-Found Verilog HDL File ; //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v ; ; ++----------------------------------+-----------------+------------------------------+-------------------------------------------+---------+ +-----------------------------------------------------+ @@ -155,59 +159,59 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 257 ; -; -- Combinational with no register ; 136 ; -; -- Register only ; 24 ; -; -- Combinational with a register ; 97 ; +; Total logic elements ; 253 ; +; -- Combinational with no register ; 129 ; +; -- Register only ; 26 ; +; -- Combinational with a register ; 98 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 126 ; -; -- 3 input functions ; 41 ; -; -- 2 input functions ; 65 ; +; -- 4 input functions ; 124 ; +; -- 3 input functions ; 30 ; +; -- 2 input functions ; 71 ; ; -- 1 input functions ; 0 ; -; -- 0 input functions ; 1 ; +; -- 0 input functions ; 2 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 224 ; +; -- normal mode ; 220 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 45 ; ; -- asynchronous clear/load mode ; 29 ; ; ; ; -; Total registers ; 121 ; +; Total registers ; 124 ; ; Total logic cells in carry chains ; 37 ; ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 107 ; -; Total fan-out ; 1095 ; -; Average fan-out ; 3.25 ; +; Maximum fan-out ; 110 ; +; Total fan-out ; 1076 ; +; Average fan-out ; 3.23 ; +---------------------------------------------+-------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 257 (257) ; 121 ; 0 ; 80 ; 0 ; 136 (136) ; 24 (24) ; 97 (97) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ +; |GR8RAM ; 253 (253) ; 124 ; 0 ; 80 ; 0 ; 129 (129) ; 26 (26) ; 98 (98) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; ++----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -Encoding Type: Minimal Bits -+-----------------------------------------------------------+ -; State Machine - |GR8RAM|IS ; -+--------+----------------+----------------+----------------+ -; Name ; IS.state_bit_2 ; IS.state_bit_1 ; IS.state_bit_0 ; -+--------+----------------+----------------+----------------+ -; IS.000 ; 0 ; 0 ; 0 ; -; IS.001 ; 0 ; 0 ; 1 ; -; IS.100 ; 1 ; 0 ; 0 ; -; IS.101 ; 1 ; 0 ; 1 ; -; IS.110 ; 0 ; 1 ; 0 ; -; IS.111 ; 0 ; 1 ; 1 ; -+--------+----------------+----------------+----------------+ +Encoding Type: One-Hot ++--------------------------------------------------------------+ +; State Machine - |GR8RAM|IS ; ++--------+--------+--------+--------+--------+--------+--------+ +; Name ; IS.111 ; IS.110 ; IS.101 ; IS.100 ; IS.001 ; IS.000 ; ++--------+--------+--------+--------+--------+--------+--------+ +; IS.000 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; IS.001 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; +; IS.100 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; +; IS.101 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; +; IS.110 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; +; IS.111 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; ++--------+--------+--------+--------+--------+--------+--------+ +------------------------------------------------------------+ @@ -215,8 +219,10 @@ Encoding Type: Minimal Bits +---------------------------------------+--------------------+ ; Register name ; Reason for Removal ; +---------------------------------------+--------------------+ +; IS~8 ; Lost fanout ; +; IS~9 ; Lost fanout ; ; IS~10 ; Lost fanout ; -; Total Number of Removed Registers = 1 ; ; +; Total Number of Removed Registers = 3 ; ; +---------------------------------------+--------------------+ @@ -225,12 +231,12 @@ Encoding Type: Minimal Bits +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 121 ; +; Total registers ; 124 ; ; Number of registers using Synchronous Clear ; 12 ; ; Number of registers using Synchronous Load ; 33 ; ; Number of registers using Asynchronous Clear ; 29 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 24 ; +; Number of registers using Clock Enable ; 29 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -264,7 +270,7 @@ Encoding Type: Minimal Bits ; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; ; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|RDD[4] ; ; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; -; 8:1 ; 5 bits ; 25 LEs ; 20 LEs ; 5 LEs ; No ; |GR8RAM|IS ; +; 7:1 ; 5 bits ; 20 LEs ; 20 LEs ; 0 LEs ; No ; |GR8RAM|IS ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -272,45 +278,45 @@ Encoding Type: Minimal Bits ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Sep 14 01:35:25 2021 +Info: Running Quartus Prime Analysis & Synthesis + Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition + Info: Processing started: Tue Feb 28 11:20:53 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v - Info (12023): Found entity 1: GR8RAM +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Warning (12125): Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project + Info (12023): Found entity 1: GR8RAM File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 1 Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(134): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(142): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(149): truncated value with size 32 to match size of target (8) -Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched +Warning (10230): Verilog HDL assignment warning at gr8ram.v(42): truncated value with size 32 to match size of target (4) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 42 +Warning (10230): Verilog HDL assignment warning at gr8ram.v(47): truncated value with size 32 to match size of target (14) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 47 +Warning (10230): Verilog HDL assignment warning at gr8ram.v(134): truncated value with size 32 to match size of target (8) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 134 +Warning (10230): Verilog HDL assignment warning at gr8ram.v(142): truncated value with size 32 to match size of target (8) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 142 +Warning (10230): Verilog HDL assignment warning at gr8ram.v(149): truncated value with size 32 to match size of target (8) File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 149 Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nNMIout" is stuck at VCC - Warning (13410): Pin "nIRQout" is stuck at VCC - Warning (13410): Pin "nRDYout" is stuck at VCC - Warning (13410): Pin "nINHout" is stuck at VCC - Warning (13410): Pin "RWout" is stuck at VCC - Warning (13410): Pin "nDMAout" is stuck at VCC - Warning (13410): Pin "RAdir" is stuck at VCC -Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Info (21057): Implemented 337 device resources after synthesis - the final resource count might be different + Warning (13410): Pin "nNMIout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 563 + Warning (13410): Pin "nIRQout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 566 + Warning (13410): Pin "nRDYout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 565 + Warning (13410): Pin "nINHout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 564 + Warning (13410): Pin "RWout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 567 + Warning (13410): Pin "nDMAout" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 562 + Warning (13410): Pin "RAdir" is stuck at VCC File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 561 +Info (17049): 3 registers lost all their fanouts during netlist optimizations. +Info (21057): Implemented 333 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 257 logic cells -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings - Info: Peak virtual memory: 422 megabytes - Info: Processing ended: Tue Sep 14 01:35:27 2021 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:01 + Info (21061): Implemented 253 logic cells +Info (144001): Generated suppressed messages file /Repos2/GR8RAM/cpld2/output_files/GR8RAM.map.smsg +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 15 warnings + Info: Peak virtual memory: 13114 megabytes + Info: Processing ended: Tue Feb 28 11:21:16 2023 + Info: Elapsed time: 00:00:23 + Info: Total CPU time (on all processors): 00:00:48 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in /Repos2/GR8RAM/cpld2/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg old mode 100755 new mode 100644 index 91314af..45e0f77 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(110): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(286): extended using "x" or "z" +Warning (10273): Verilog HDL warning at gr8ram.v(110): extended using "x" or "z" File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 110 +Warning (10273): Verilog HDL warning at gr8ram.v(286): extended using "x" or "z" File: //mac/iCloud/Repos2/GR8RAM/cpld2/gr8ram.v Line: 286 diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary old mode 100755 new mode 100644 index 6277dc1..6a8e211 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Tue Sep 14 01:35:27 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Analysis & Synthesis Status : Successful - Tue Feb 28 11:21:16 2023 +Quartus Prime Version : 22.1std.0 Build 915 10/25/2022 SC Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 257 +Total logic elements : 253 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin old mode 100755 new mode 100644 index c0079bd..33a0c06 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -1,21 +1,22 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic + -- Copyright (C) 2022 Intel Corporation. All rights reserved. + -- Your use of Intel Corporation's design tools, logic functions + -- and other software and tools, and any partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. + -- to the terms and conditions of the Intel Program License + -- Subscription Agreement, the Intel Quartus Prime License Agreement, + -- the Intel FPGA IP License Agreement, or other applicable license + -- agreement, including, without limitation, that your use is for + -- the sole purpose of programming logic devices manufactured by + -- Intel and sold by Intel or its authorized distributors. Please + -- refer to the applicable agreement for further details, at + -- https://fpgasoftware.intel.com/eula. -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. + -- This is a Quartus Prime output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus Prime input file. This file cannot be used + -- to make Quartus Prime pin assignments - for instructions on how to make pin + -- assignments, please see Quartus Prime help. --------------------------------------------------------------------------------- @@ -26,24 +27,24 @@ -- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V). -- VCCIO : Dedicated power pin, which MUST be connected to VCC -- of its bank. - -- Bank 1: 3.3V - -- Bank 2: 3.3V + -- Bank 1: 3.3V + -- Bank 2: 3.3V -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. + -- or leave it unconnected. -- RESERVED : Unused I/O pin, which MUST be left unconnected. -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. @@ -57,12 +58,12 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment ------------------------------------------------------------------------------------------------------------- -RA[4] : 1 : input : 3.3-V LVTTL : : 2 : Y +RA[4] : 1 : input : 3.3-V LVTTL : : 2 : N RA[5] : 2 : input : 3.3-V LVTTL : : 1 : Y RA[6] : 3 : input : 3.3-V LVTTL : : 1 : Y RA[3] : 4 : input : 3.3-V LVTTL : : 1 : Y diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof old mode 100755 new mode 100644 index f4339113dabd1791b6b96c6fdcddaa140e763ee5..c90017c8e733b15c08ef49baa4b7b01841f9a3eb GIT binary patch literal 7864 zcmeHMeQ;dWbzj9ub_2Gj1GLzQjD}3eA9S#>0>*LeB{4YdGW{icQe#`* zT4MK_P7QP>h9L?502Y;L6B>xL0mrEF&f9B(Z3wj_3fRJy-@AN7+9G-1lacp5@4mhF z^mp%D8S+>E>>oulZ|~lF&iS2l&pqed^Hz7~_<*4A~Ko9qM3aqHr*FZ$kfix#cCJ~kuvM_5|Zwsqsy z2iC2P)q_X>{c-G)`R0rMcuQNQh)Cjj){Jp7^8%52@Yx}~Zss0F5%Ep)PmeBmB>l~L zUyxe9eyn}4N_$JyuT6ojWb+jHhK>m^G@cs**z|hMK8+Xg<-;03z%8tO z*1nYXljc(tnvqZIC(q0ws|55z7A(de)41?sS}KM0Q7Qt%ae(jTB0L6rk|b(<~DdI z+Bty^Oz?5YX*%>uvu_)+Mjl5Ujd~sky`HBOOgw zcEB;_WH=Q#WS1=MSG~@aa;Z89|B^#o?;H3}Tc!Ath)!{GIlXcGR5`EY!rYVPf_DNu zmOf9&XQZt9x3eTd3v=y1epb;yV6!&kcgf8*xSNkWmtV(K4y8cM)aCbU2>o+^;^7#!^|59Ttrt+W{ zSH$Crw#VvU|8KVb{u_U|v;3u|1vD-*^I}=pNpi`b#}!LA)z3K07R;YBnJHhopn>L} z&CFY1>}xC?8#tQWP&jh<8E;#XEwRq-d%|sQE@bxYGxnvJduI3jZG*)J=G=1iRo~d3 z>Dikb%r&pm3^}b#B0`A7SF=mD8H2g9+fCDi8C2rzB1zQxpgD>=9_;NFc9MTJM zqvgpT9?>IL0_#lkYg}H`qlHINmzK{d&{CKK1}8bqcVT3DV|I-$xe%CgofjCDjbO_Y z2W~>oF{+e5jnBedL6apQj|Y6r z(7iq*FQrqC^l1Eh69))*r1@YE#-2*Ks1nKjki(pHU|V_}*)*Mh9`ad$JMgwaEA)2h zx^5?IMp*fNFtuFzgqsTIpBCc50QW7JamEZ6>4y8Zbk+CR6tqZ>(+Cj z7~?WdOxq^`rMz($=WSum2UKp#(e{AR&>^9c*Yv^f;sj-72{GpLER)+&7$Bbtf~un7 zu+&HGZgpf!qdYm}G8S5#?l$*R{LP`U$E(Lu1pI*IWrbcc_<|exo~5x#HRGmOs{Go_ zhP_uFl9kxJhWOmc+2`web^es%px4J@sinu}G+Z(3&}dg(V?#Xt>&Ex$l-8g5#^FO_ zkMDT&$;+ybA6+}EPF82myMekV8&xDP*`Y&YGh;iReD~1Yqig3t&&-uKP+ZfEd`riV zA3FN&hQqd<8Ct)!{hN(b^KL!byyPR~A2asT*mr(0{`FQmz{6w7fs}N2kLIiu>a-5bA*A)>ok^da5w1bYrgS`@tRZghS-^`04*Zf)@b9)a) zt_XNR?H(g8+**D@o*TNuF33yU20nv4?h%8p^+fq>=9~iH^U+XsyT}o(XEz?p=+kU`fS;$#Pt2=pa-&xTCc{D6NuL&>YA746R1BH z*XvQA0XlzCDtgB*wh81BPeQM93tfSH=U^nygjB`k0Xv{}74Pya3VU3^2^R==fMZMl zdEhY%4p-->%h;VeE+q{`@-pBqqcG*UnA>(ydI(~#RATK$zDU`E9}l^-lyHv0IYdJ$ z>Ww4?l!^mj~^KB3mXomlAG#ot?~W9fd2>}>L`DF23kGB(t+$=V}@ zLr;GR_rLXQ;hmsk%Pylo({cNk)qlSI&H5dxuqyW8L+j4JUszE8er4AcQU0`Ee@ATW zme|cZW4~RtD)#;P>)!a_gKO(!lTTg|i|k|Qivpk3AAa@9RX6YbcIo_7Vg2kGe&&jc zqWjNjn(__j=tMOH_y$v1br_TO~{KC1+tVq7GeERfYa>M#HhxaaAzjj&kuCx1& zJp8B4g~omJ62^WpIQa1Wt~=0n+Y$GHXZG2@dTO4N%dPC$-*4=<{BpmO+k$f+VLo*s zE~?0<K3PJr>ET z;$H0TE9-dC_=xus#*r4pmxI`YoXDqjeJDlemu~Y^aybLP_Q1G}jzG)Vp1~!_J@|pE zA{3)eR3vdqL)wxf20x`bii*h=t6fpPq6F%~u)>E7&`$zK;%)$LYwFZ^_bb(dm`{Z| z8B&ay_-O6m(jQ7;9r&KdrAox}s6DbLguhVVJJf^{fh@9s@?3;g$@DqMvv?F=5KB{6 z&_fi@>&SMGsITDc9IJViJ zjQ?oF@BaAosC=9IjOSXgGpA&cJXSXQv(cR@7kN2EbnnkcL`e?k)8wQ7tlfXa*SfUl zE1AvSt-YttNEuuc`jxIw<`x+I)@^Hloxf&B`>plgd%dB)cQkeW%Uk`k&6B%M&g?y* z?eDw)aC+gMe>{HKyS?ihoY9@z>(0%YY@WRQrkTq4Cw-3GzPfWoWQ%&e?YldJ8N238 zT|AZfAj)6M*?&Ym(s1mnYu}uE+m83X+jze2fuoZ%rW%_bGWMrR)s~}$Rla@tnW6Qo zP-j2N*zR?U3YppU!zTai!DG9}-LZkeImg|$6<1yU+|qwumD|6%=cQWy!A5sWlBAS{ zZREM|KKht#G=Q9n&fg1sPxqY@)W#%7T45a?W;Op(suywlV2h(8Q1fx_IEY(?paVAg zo*vf|B-I4!BjO4V$e?qe5FNJ#?yGiP_fh!M?ONW%0gK`X^FY^K-9NozDa4H!)N(Re zJAaNbK$DA#fVyDb|CAL-glH7Mn(kpe;qyG&FRAsy0N}uGJ#ro2qJ)^;HbOy>*bv?W z6>cqKUn4mPdtS{R+>QKhpAjY+P zT#>sWok)@Dc7~W7s3!j5R3fNK0n0%Dug*E=J`CwET6Oc=iVDd(*iJaqA-ymE!nFX{A|hc~Pmv+c&A zZzbc&eN*ijFS+uYsbXU?Gi%(Pu~aKHWBQ@O!qxs|`{&vC6^icq*) zf~1uT3o6&8mIk;V0!9P60%|%17*B`$k|gptBAdd{-&98akG0rFD92&@2KYW*6gf?P}m z`gegG@|z)_%BswS(C3SY`pgUcXFfxsx1nhR%WteFB`2`Ha$KgyA?|nJn}< zr$E;`(RM!3MRbZ=CeJF6EW=ujv)vt{AB254+#O8lU$j(t>rsyXpQRIcI;=IM)(29I$a$3i&1n@IgI2-J3V@ZC}~lV zt2W{%S(rQ3n}i>TJK#M))TS8OWsf}AGtog=c&`|wa?|mt^$y{EA|yAL@k)|h{l3_b zVyX8Jc~S|YjsW~FCHrYu;FiTtB~s}4k(9*FLn?FP2VpDpnsbrxquv+JC#c}uP96`$ zcuv<>7NoBFlpNlyLlo+pRj237~#^V(SJTaPSXcf=~IadF}FHYWETJy7ehPD0n z!M}XfNiKf)Wd2aR^Ix8xTYrA#`*SYIePk{DDe4*MiNBgXmVEiX5`S-QXY47^>)xLe zd$hdtCrf2?{4g_|-{1Sf$Af=%WV~&~ z(#*dd%sKtdE2rQ8PIl*Vh_9S21uC%@NR$>-994APsIaf z^rM*Pp4W8XCm=sfPbY9+PzvX)5Y;7IW))ybCTjACH$4Y`XQ1&z7U53I6Y>XiEPndl zsu8F2A9V=v`KdlaHTZ*hgb(=&3PI#QR2A^l^e|)3v_FiVNIp7$KF!A?e$=5q#Mk$i z!M(74Wlq2U*5nwA)`Lji;3oqc2GsaN+;6A{0e_Q7e5?vuZs;@iVGetl;TQV%XWgcv zUTQv)@$SM?L&QqkS71I=7|{P8(Cc_E*i)PTZ|l?a&&SAr|JQ!FB6i6|7ycvEr2iv? YgZ%vOa}Rv(f&Zr-m}UM~{M)OV literal 7879 zcmeHM|8rDTmT%AmT@hrhnUNiVXpPQp?aEgL6_QpFb{GY9U7)r!W>5%)BS{UCG^Fi* z&did*sl{2>Qo(FUX^l0t>n53!N|?~~-qq5G3Q3%uNg}lG-5nje7ec?67`h+bFZZ7P z-1`!AYX5-!VI`z*zkBZaoO93j?mYOw+DIfaEiyyD*5LJ_hAq2xH#}XjZe7LlTW`5% z$L@*;chzs-wPokdZM!NqZQJ$qj{3TaCCe5rUbv*f<%^aqU9@!Z zl4TVSZ`;+l;>5FIl{J z^=*;MB3EK-W8Ll@yPw*&HByE%>ffBm%)iiY)XT?8Nl8QkzlZf2CHLMTez$Y>;(S6NdBPlk;ukgR5D?JP)cbo(W79NFhi7q7tn$ML! z34l84JoqQ|16_b0`T!OCieImn^w-ZGxoQnatvIQ)Bue1b^~ey!sg4GjE$9);fPCN3 zbU{p=l){&W?DR{5(&RWknMNw4d!TYZspLw}XM&+afsm`_xEGWH4z370F=aKv z@s(2DFDF?vlfB5-%se@}{Mq8DC{lLAN0C7M^R&((&`n~A%+H=(T{iRNBG8kd)7mSi zfgaKNRi8O|fAjWOq4&D|4>aGnYK1v|YF__~%d+$Cm^*17*M0f>nM|(#-hH)yw2rjC z_G-`JRBCm5DvZwrT;YxzOdJYgRtkPVbg201v+YTK*vTU* zgw<2~WB~wS#=D6jLDC@|uZSynWCYd=ls^nf2J$NOuZ@}le1?5)Nyf2C7u;C2{}Wth zfgpwOc)v`8!s?aXM34;gm8rVmtwd_5{K{8SKu%K0Vc5aBV}?4%1ccxj@fW9|HV%BH zmx>QNEvZrDN$?>zC+!mVED8RgM$;3d*J#23hZivDmD7Bl&qIDBjSq{sCPg$rGNeF-X`0+J7b)fonj&Ht>m(0elhS6IW|{$rGp_u%E*ml7TWz zh-)o6tn^3rNkcqp2Y)IsOM%Y6jYtS< z828O_D%7V?pD9QZwDb#_UmzAjd4W+ylos%uds^8C@|1kS{SBg>RN(rVE$0@BE%vAA zto!JIJR`>qStkZ^>{0IiH|*3I(i1C;lB|)@dBWvueH!l)jO6fNmlCBXH|csz8Bcn} z5pmq^wCGM|)5ve;ql%dgM>T$H&&$!wWgqXorR?ok$FvXbD%*42!CCp}=+%e+pz~+Y zc;($p*~c@=%HF<8(Qo;rcxcw(;?a#ST@~8@*t&P*>|4t>PCrh|e=zg&o8*kz=21cO zmfxWHg>m22nfhOEDVzT8?5ZDJ@zXNdv%UPCRil;H{+E_tea5z*kL~u?AN;3Ztle_` zcx(R9q36e+{=E8*Q-;>x+rPg#bMx!D_n&&H&ON-+e&b-v;gu`@;lPoW&^|1ck^xKE zDcgsMJn^}N|9xKtS!iFM3O@6Mi~NfPG9kR6n;U_7iOwYKKcS)y@;ns>4t`O;!#YnX z+>=Y;*@zTGyYPb{kAlRMf8Z$eH(#I#x<0vTKS_*C()oh(Do+9=44!%Vs5xPHweUF} zxz^8so&%m?$FZVS0S?{DN0^3rV0y`6=p}NNphhDoCCKJVKbtuE6$lLHhevom0RDi- z%z)}F2YnO~ujtj(hE+I%<6bF`1HYj8D6i>w4kR)#fx8z-)n}2A0Vmr}YWn27LM9HX ziXn(Q1%vS154)f~li|BYd>HqkA)9J&EDuv4OGEhr6OT(ilF%jVO^J~0RU zHgXDe?bCMDHCL8=HKznVMxEA-59%A( z7qt9rpMOTSwbj)b1^bngTCQmrL4k<1KR{9G#2=|t^Ts&*?H!rQKZR2g7rcRf2K(-P zde6)Dg<@sV(EN56@^AIWd-u)s{e=~kkpuGC$T#QQc`^6RNQdfQqAAS3-q~aE`Ddyl z`}Rz`t2}b&h5R*FX#RGF{F||~`Hk!Sf%4khuRTy)eNFlN%7QqwK=Yd_|7QGpOYij; zqRm?mUc0Y2Z}j_4b__Ji)aP=l>JPJ z{Bytadd^)qn8{Qfv0ppZ;v89dUwi5+`8SUIvniWI%vbso@OPH_#FYPqC4Gj;Dxhxi z(t?hpWhRZd3H@fOd6s)ldqDLvj&rDs4n{gNU`*Q|9#(66%BOw=ftn8`LenM|+7DqV zy-tZZFyQ~JAV2M7M=&>p_=0;}atd@k;3Q2-owras(WiYKAI!r1hG)*XoXC4B-r-MZ zui^=BQtpV$`~YR1hI~%>fCe&%dEuv7s9&f@F2}uOI&e+;T`AcKex3KQAGL8woT_oS zd&SXgJ8|w55zqCc?T=$Z#u5zrV_>v#58*ZM`H)T&SNk zY}ZJ0Q*vtL|3279{4uM5Jlz@Mn_ak%f%7fkk5Vh-h(=XVctY1_o6!G=P9pzUGH8cf zM)>ImhAgfxr}iT*a=Z0Z0l z?0=G4dr&8_kymxqXN3k(AQ!LXTSvjqPvbdB8$}eW2lF8=LV8E{ioW_YCs3~reE3u3 z>ofiDP6%PB^F)2oB;*nk{z$Z+q7w<)&XR1qU-?SqX6;|Zq8uod=2i0H<+~*9`=ll4 zo@goMN?C3H#Idmd^zv4m&~^Ea;g-&OP_&?0;w6g)ePzSFN;v z`I{DJ*~)e8%Tl3zQs{qivKQK$6%OZl50MEueZ;V*?>1Ahih z4jP^r@8p)!pBbJUFpeaU=Sj~(Jde8&h&VSU>oeE+mnY6U#Gn)c`GoivIH~+ASS~>R zF&pnB&m<8qQlh}Cu~*ZHc?gyBT{P@%8c!iEyF8bx{6%Cd{!|Xf#!e1Fq9owgY@g2f=CGzHwghbqRmJ*@Ck2-v2#V#4;LT7 zu)h%{MLV^{3CrV*bAO_7D8|Ti4I|aBSY3KU=meYuol_ z_5IFSI@#a+cx@(ke#1+(?^!K&`|YXz7hhcc-2VMx{G~7;rdY}pfnSxPSTS(WkO+N* zgwjvY8O+}?|KHU2N@U@g+6$dRimFNb8)_9yB%Jz%Z&Go+xDNw}M@7+vXn}o@4JF^} z1Rrx#1{GzHQr~wZh-v%fX#}f5helAbW5~MzbBx7>h$7y=5C2k$VgA4=nc|So3XO>2 zQ!&n!e}Jp=&qn_#xp}JyCZ~;;il8X}BOZfuD|}hx#3lO3Ua0#8WSYp)Fg~;%;uP?k zPK;Q<_g<~1Vv#<@4&-}gP@<8MYP>IXaakm0sJd1po)7V{kG@(=D171{qT)4y4t*x^ zRed3EjFH!rO4Qn9+6CN6h^`QyC;bu)igsK?#<+_6!eNb1IfXx1$}yMn_3HjfvWsVl zQj>C-19}6lD0gx?TO>M1tkc9N1IWYoCn~Rr9zw0ec}wuLXBi}MUJvQT8e*qG&od@b zf7IN<8mW`_P(9Oj<-~RUCOLu@9rVAZRr^7xKfMY2(0}YOhuJZBJYlNu ztGJN>wvvB}au>ev*FD)6PuFZRjP-do`-BBj&(Y96CRr(&L-=%`!9CN*&IUrBL-yHC zBpvVz<6+oUzS7et=~$_Q=1q*RkerMJ$Cpi@|KZMgfAiV5r#b7NdGBb!a2{dhk<4c3MGeuvx;!)Q?mcA-Mo-ixulvhGr{*sH$z!vQ%!`yu72mm1-KTQK6{ps% zy6}t7jH;_`@$JUuo62b3WpjtZ`&V6_`PuzTdZVKQk0)<0TQhOl?&h0r8=X7-prP=^ z$ofy;-)?03W*#tVYpbF?) z(EcIF>C|){p4a=q)cvuxg?{Gr1(ehHZK^(n@eO)RPw{c)fzo}K@;6W}x`a|gCzENVpI~r*Gol0M7DVgJ!@L^w7Z+!L<5kD(250V@Z z3J3d2kEs>-{HxD;KiZakb%2cg-YT3M$oA diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt old mode 100755 new mode 100644 index 07fc1a7..26f6da6 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,13 +1,13 @@ -TimeQuest Timing Analyzer report for GR8RAM -Tue Sep 14 01:35:34 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Timing Analyzer report for GR8RAM +Tue Feb 28 11:21:29 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary + 2. Timing Analyzer Summary 3. Parallel Compilation 4. SDC File List 5. Clocks @@ -21,70 +21,70 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 13. Hold: 'C25M' 14. Recovery: 'C25M' 15. Removal: 'C25M' - 16. Minimum Pulse Width: 'C25M' - 17. Minimum Pulse Width: 'PHI0' - 18. Setup Times - 19. Hold Times - 20. Clock to Output Times - 21. Minimum Clock to Output Times - 22. Propagation Delay - 23. Minimum Propagation Delay - 24. Output Enable Times - 25. Minimum Output Enable Times - 26. Output Disable Times - 27. Minimum Output Disable Times - 28. Setup Transfers - 29. Hold Transfers - 30. Recovery Transfers - 31. Removal Transfers - 32. Report TCCS - 33. Report RSKM - 34. Unconstrained Paths - 35. TimeQuest Timing Analyzer Messages + 16. Setup Transfers + 17. Hold Transfers + 18. Recovery Transfers + 19. Removal Transfers + 20. Report TCCS + 21. Report RSKM + 22. Unconstrained Paths Summary + 23. Clock Status Summary + 24. Unconstrained Input Ports + 25. Unconstrained Output Ports + 26. Unconstrained Input Ports + 27. Unconstrained Output Ports + 28. Timing Analyzer Messages ---------------- ; Legal Notice ; ---------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic +Copyright (C) 2022 Intel Corporation. All rights reserved. +Your use of Intel Corporation's design tools, logic functions +and other software and tools, and any partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. +to the terms and conditions of the Intel Program License +Subscription Agreement, the Intel Quartus Prime License Agreement, +the Intel FPGA IP License Agreement, or other applicable license +agreement, including, without limitation, that your use is for +the sole purpose of programming logic devices manufactured by +Intel and sold by Intel or its authorized distributors. Please +refer to the applicable agreement for further details, at +https://fpgasoftware.intel.com/eula. -+----------------------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+-------------------------------------------------------------------+ -; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; -; Revision Name ; GR8RAM ; -; Device Family ; MAX II ; -; Device Name ; EPM240T100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+--------------------+-------------------------------------------------------------------+ ++--------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+--------------------------------------------------------+ +; Quartus Prime Version ; Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; GR8RAM ; +; Device Family ; MAX II ; +; Device Name ; EPM240T100C5 ; +; Timing Models ; Final ; +; Delay Model ; Slow Model ; +; Rise/Fall Delays ; Unavailable ; ++-----------------------+--------------------------------------------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 4 ; +; Maximum allowed ; 4 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; ++----------------------------+-------------+ +---------------------------------------------------+ @@ -92,7 +92,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +---------------+--------+--------------------------+ -; GR8RAM.sdc ; OK ; Tue Sep 14 01:35:33 2021 ; +; GR8RAM.sdc ; OK ; Tue Feb 28 11:21:29 2023 ; +---------------+--------+--------------------------+ @@ -111,7 +111,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ -; 65.95 MHz ; 65.95 MHz ; C25M ; ; +; 51.43 MHz ; 51.43 MHz ; C25M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -121,7 +121,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; 12.419 ; 0.000 ; +; C25M ; 10.278 ; 0.000 ; +-------+--------+---------------+ @@ -130,7 +130,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 1.393 ; 0.000 ; +; C25M ; 1.376 ; 0.000 ; +-------+-------+---------------+ @@ -139,7 +139,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; 33.300 ; 0.000 ; +; C25M ; 33.311 ; 0.000 ; +-------+--------+---------------+ @@ -148,7 +148,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 6.146 ; 0.000 ; +; C25M ; 6.135 ; 0.000 ; +-------+-------+---------------+ @@ -162,220 +162,220 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ -+----------------------------------------------------------------------------------------------------------------+ -; Setup: 'C25M' ; -+--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 12.419 ; REGEN ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.248 ; -; 12.825 ; REGEN ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.842 ; -; 12.826 ; REGEN ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.841 ; -; 12.830 ; REGEN ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.837 ; -; 12.861 ; REGEN ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.806 ; -; 12.948 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.719 ; -; 13.317 ; REGEN ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.350 ; -; 13.332 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.335 ; -; 13.332 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.335 ; -; 13.485 ; REGEN ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.182 ; -; 13.494 ; REGEN ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.173 ; -; 13.610 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.057 ; -; 13.610 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.057 ; -; 13.611 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.056 ; -; 13.611 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.056 ; -; 13.692 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.975 ; -; 13.794 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.873 ; -; 13.950 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.717 ; -; 13.955 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.712 ; -; 13.958 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.709 ; -; 13.965 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.702 ; -; 14.046 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.621 ; -; 14.046 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.621 ; -; 14.233 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.434 ; -; 14.234 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.433 ; -; 14.257 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.410 ; -; 14.387 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; -; 14.387 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; -; 14.387 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; -; 14.387 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; -; 14.387 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.280 ; -; 14.407 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.260 ; -; 14.442 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.225 ; -; 14.448 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.219 ; -; 14.638 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.029 ; -; 14.650 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.017 ; -; 14.665 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; -; 14.665 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; -; 14.665 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; -; 14.665 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; -; 14.665 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.002 ; -; 14.666 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; -; 14.666 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; -; 14.666 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; -; 14.666 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; -; 14.666 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.001 ; -; 14.669 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.998 ; -; 14.690 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.977 ; -; 14.700 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.967 ; -; 14.800 ; SetFWr[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.867 ; -; 14.801 ; SetFWr[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.866 ; -; 14.805 ; SetFWr[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.862 ; -; 14.871 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.796 ; -; 14.878 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.789 ; -; 15.101 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; -; 15.101 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; -; 15.101 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; -; 15.101 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; -; 15.101 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.566 ; -; 15.384 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.283 ; -; 15.456 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.211 ; -; 15.469 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.198 ; -; 15.505 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.162 ; -; 15.510 ; SetFWr[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.157 ; -; 15.817 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.850 ; -; 15.897 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.770 ; -; 16.230 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.437 ; -; 16.377 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.290 ; -; 25.936 ; REGEN ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.731 ; -; 26.182 ; REGEN ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.485 ; -; 26.524 ; REGEN ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.143 ; -; 26.906 ; Addr[23] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.761 ; -; 27.133 ; REGEN ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.534 ; -; 27.152 ; Addr[23] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.515 ; -; 27.487 ; REGEN ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.180 ; -; 27.494 ; Addr[23] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.173 ; -; 27.513 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.154 ; -; 27.759 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.908 ; -; 27.761 ; REGEN ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.906 ; -; 27.882 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.785 ; -; 27.915 ; REGEN ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.752 ; -; 28.015 ; IS.state_bit_1 ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.652 ; -; 28.101 ; IS.state_bit_1 ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.566 ; -; 28.103 ; Addr[23] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.564 ; -; 28.107 ; PS[1] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.560 ; -; 28.128 ; IS.state_bit_0 ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.539 ; -; 28.154 ; REGEN ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.513 ; -; 28.192 ; IS.state_bit_0 ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.475 ; -; 28.245 ; PS[1] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.422 ; -; 28.350 ; REGEN ; nRCS~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.317 ; -; 28.456 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.211 ; -; 28.457 ; Addr[23] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.210 ; -; 28.470 ; IS.state_bit_0 ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.197 ; -; 28.515 ; REGEN ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.152 ; -; 28.594 ; PS[0] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.073 ; -; 28.638 ; SetFWr[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.029 ; -; 28.731 ; Addr[23] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.936 ; -; 28.806 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.861 ; -; 28.812 ; REGEN ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.855 ; -; 28.884 ; SetFWr[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.783 ; -+--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------+ +; Setup: 'C25M' ; ++--------+-----------+------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+-----------+------------+--------------+-------------+--------------+------------+------------+ +; 10.278 ; REGEN ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.389 ; +; 10.285 ; REGEN ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.382 ; +; 10.289 ; REGEN ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.378 ; +; 10.642 ; REGEN ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.025 ; +; 11.085 ; REGEN ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.582 ; +; 11.357 ; REGEN ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.310 ; +; 11.401 ; REGEN ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.266 ; +; 11.402 ; REGEN ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.265 ; +; 12.395 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; +; 12.395 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; +; 12.395 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; +; 12.395 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; +; 12.440 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; +; 12.440 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; +; 12.440 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; +; 12.440 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; +; 12.450 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; +; 12.450 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; +; 12.450 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; +; 12.450 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; +; 12.495 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; +; 12.495 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; +; 12.495 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; +; 12.495 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; +; 12.804 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; +; 12.804 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; +; 12.804 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; +; 12.804 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; +; 12.849 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; +; 12.849 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; +; 12.849 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; +; 12.849 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; +; 13.331 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.336 ; +; 13.753 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; +; 13.753 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; +; 13.753 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; +; 13.753 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; +; 13.798 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; +; 13.798 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; +; 13.798 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; +; 13.798 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; +; 13.971 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.696 ; +; 14.103 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.564 ; +; 14.314 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.353 ; +; 14.675 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.992 ; +; 14.748 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.919 ; +; 14.753 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.914 ; +; 14.779 ; SetFWr[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.888 ; +; 14.780 ; SetFWr[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.887 ; +; 14.785 ; SetFWr[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.882 ; +; 14.975 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.692 ; +; 15.251 ; SetFWr[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.416 ; +; 15.322 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.345 ; +; 15.387 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.280 ; +; 15.489 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.178 ; +; 15.612 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.055 ; +; 15.651 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.016 ; +; 15.653 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.014 ; +; 15.700 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.967 ; +; 15.911 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.756 ; +; 16.065 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.602 ; +; 16.103 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.564 ; +; 16.349 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.318 ; +; 16.647 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.020 ; +; 16.656 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.011 ; +; 16.711 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.956 ; +; 16.777 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.890 ; +; 17.105 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.562 ; +; 22.720 ; Addr[23] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 16.947 ; +; 23.632 ; Addr[23] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 16.035 ; +; 23.717 ; REGEN ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.950 ; +; 23.986 ; SetFWr[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.681 ; +; 24.629 ; REGEN ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.038 ; +; 24.898 ; SetFWr[0] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.769 ; +; 25.067 ; SetFWr[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.600 ; +; 25.201 ; PS[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.466 ; +; 25.277 ; Addr[23] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.390 ; +; 25.323 ; PS[1] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.344 ; +; 25.783 ; Addr[23] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.884 ; +; 25.876 ; Addr[23] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.791 ; +; 25.979 ; SetFWr[1] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.688 ; +; 26.015 ; Addr[23] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.652 ; +; 26.018 ; Addr[23] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.649 ; +; 26.117 ; Addr[23] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.550 ; +; 26.222 ; PS[1] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; +; 26.222 ; PS[1] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; +; 26.222 ; PS[1] ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; +; 26.222 ; PS[1] ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; +; 26.222 ; PS[1] ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; +; 26.222 ; PS[1] ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; +; 26.222 ; PS[1] ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; +; 26.222 ; PS[1] ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; +; 26.274 ; REGEN ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.393 ; +; 26.312 ; Addr[23] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.355 ; +; 26.361 ; LS[7] ; IS.000 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.306 ; +; 26.498 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.169 ; +; 26.543 ; SetFWr[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.124 ; +; 26.596 ; Addr[23] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.071 ; +; 26.722 ; PS[0] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.945 ; +; 26.722 ; PS[0] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.945 ; ++--------+-----------+------------+--------------+-------------+--------------+------------+------------+ -+---------------------------------------------------------------------------------------------------------------+ -; Hold: 'C25M' ; -+-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.393 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.614 ; -; 1.400 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.621 ; -; 1.411 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; -; 1.413 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.634 ; -; 1.418 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.639 ; -; 1.418 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.639 ; -; 1.420 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; -; 1.421 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.642 ; -; 1.645 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.866 ; -; 1.649 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.870 ; -; 1.661 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.882 ; -; 1.695 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.916 ; -; 1.734 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.955 ; -; 1.778 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.999 ; -; 1.840 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.061 ; -; 1.930 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.151 ; -; 1.939 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.160 ; -; 1.944 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.165 ; -; 1.958 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.179 ; -; 1.994 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.215 ; -; 2.003 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.224 ; -; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; -; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.127 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.133 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; -; 2.133 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.354 ; -; 2.135 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; -; 2.137 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; -; 2.138 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.359 ; -; 2.145 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; -; 2.145 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; -; 2.151 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; -; 2.153 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; -; 2.160 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.381 ; -; 2.160 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.381 ; -; 2.161 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.382 ; -; 2.166 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.387 ; -; 2.169 ; PS[2] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.390 ; -; 2.222 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.230 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; PS[0] ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.232 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.240 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.240 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.242 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.463 ; -; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; -; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.252 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; -; 2.260 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; -; 2.261 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.262 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.264 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; -; 2.310 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.531 ; -; 2.312 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.533 ; -; 2.317 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.538 ; -; 2.319 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.540 ; -; 2.333 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.554 ; -; 2.345 ; PS[0] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.566 ; -; 2.448 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.669 ; -; 2.521 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.742 ; -; 2.531 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.752 ; -; 2.660 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.881 ; -; 2.673 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.894 ; -; 2.708 ; PS[2] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.929 ; -; 2.709 ; PS[0] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.930 ; -; 2.753 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.974 ; -; 2.782 ; PS[0] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.003 ; -; 2.829 ; PS[0] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.050 ; -; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.959 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; -; 2.965 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; -; 2.965 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.186 ; -; 2.983 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.204 ; -; 2.985 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; -; 2.992 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.213 ; -; 3.034 ; Addr[0] ; DQMH~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.255 ; -; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -+-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ++-----------------------------------------------------------------------------------------------------------+ +; Hold: 'C25M' ; ++-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ +; 1.376 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.597 ; +; 1.412 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; +; 1.412 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; +; 1.419 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.640 ; +; 1.420 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; +; 1.426 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.647 ; +; 1.429 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.650 ; +; 1.646 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.867 ; +; 1.649 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.870 ; +; 1.652 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.873 ; +; 1.653 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.874 ; +; 1.661 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 1.882 ; +; 1.664 ; Addr[19] ; SA[9]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.885 ; +; 1.670 ; IS.000 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 1.891 ; +; 1.675 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.896 ; +; 1.719 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.940 ; +; 1.720 ; PS[2] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 1.941 ; +; 1.793 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.014 ; +; 1.794 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.015 ; +; 1.806 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.027 ; +; 1.809 ; IS.101 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.030 ; +; 1.846 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.067 ; +; 1.942 ; Addr[21] ; SA[11]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.163 ; +; 1.948 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.169 ; +; 2.048 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.269 ; +; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; +; 2.082 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.303 ; +; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; +; 2.115 ; Addr[0] ; DQML~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.336 ; +; 2.116 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.337 ; +; 2.116 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.337 ; +; 2.117 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; +; 2.126 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.128 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.349 ; +; 2.137 ; IS.111 ; IS.111 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; +; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.145 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.149 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.370 ; +; 2.150 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.371 ; +; 2.151 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; +; 2.153 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; +; 2.185 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.406 ; +; 2.188 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.409 ; +; 2.232 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.232 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.232 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.239 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; +; 2.240 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; +; 2.241 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.242 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.463 ; +; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.250 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.250 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; +; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.252 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; +; 2.259 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.480 ; +; 2.261 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.261 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.263 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.484 ; +; 2.264 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; +; 2.264 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; +; 2.267 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.488 ; +; 2.270 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.491 ; +; 2.271 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.492 ; +; 2.272 ; SetFWLoaded ; SetFWr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; +; 2.272 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; +; 2.272 ; SetFWLoaded ; SetFWr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; +; 2.274 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.495 ; +; 2.276 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.497 ; +; 2.287 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.508 ; +; 2.573 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.794 ; +; 2.686 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.907 ; +; 2.690 ; Addr[0] ; DQMH~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.911 ; +; 2.902 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.123 ; +; 2.906 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.127 ; +; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; +; 2.948 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.169 ; +; 2.948 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.169 ; +; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.958 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.959 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; +; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; +; 2.983 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.204 ; +; 2.985 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; +; 3.001 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.222 ; +; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; +; 3.059 ; Addr[16] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.280 ; ++-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ +-----------------------------------------------------------------------------------------------------+ @@ -383,35 +383,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 33.300 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; -; 33.300 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.367 ; +; 33.311 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +; 33.311 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -420,917 +420,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 6.146 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; -; 6.146 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.367 ; +; 6.135 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +; 6.135 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -+-------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'C25M' ; -+--------+--------------+----------------+------------------+-------+------------+----------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------+------------+----------------+ -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncH ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncH ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncL ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncL ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; AddrIncM ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; AddrIncM ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[0] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[0] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[10] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[10] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[11] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[11] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[12] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[12] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[13] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[13] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[14] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[14] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[15] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[15] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[16] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[16] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[17] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[17] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[18] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[18] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[19] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[19] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[1] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[1] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[20] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[20] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[21] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[21] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[22] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[22] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[23] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[23] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[2] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[2] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[3] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[3] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[4] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[4] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[5] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[5] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[6] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[6] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[7] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[7] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[8] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[8] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Addr[9] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Addr[9] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; Bank ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; Bank ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DQMH~reg0 ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DQMH~reg0 ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DQML~reg0 ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DQML~reg0 ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCKOE ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCKOE ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCKout ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCKout ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCS ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCS ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOROMEN ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOROMEN ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_0 ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_0 ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_1 ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_1 ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS.state_bit_2 ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS.state_bit_2 ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[0] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[0] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[10] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[10] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[11] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[11] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[12] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[12] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[13] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[13] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[1] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[1] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[2] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[2] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[3] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[3] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[4] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[4] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[5] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[5] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[6] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[6] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[7] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[7] ; -; 19.734 ; 20.000 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[8] ; -; 19.734 ; 20.000 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[8] ; -+--------+--------------+----------------+------------------+-------+------------+----------------+ - - -+------------------------------------------------------------------------------------------------+ -; Minimum Pulse Width: 'PHI0' ; -+---------+--------------+----------------+------------------+-------+------------+--------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+---------+--------------+----------------+------------------+-------+------------+--------------+ -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; CXXXr ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; CXXXr ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[0] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[0] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[10] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[10] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[11] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[11] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[1] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[1] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[2] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[2] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[3] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[3] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[4] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[4] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[5] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[5] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[6] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[6] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[7] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[7] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[8] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[8] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; RAr[9] ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; RAr[9] ; -; 488.734 ; 489.000 ; 0.266 ; High Pulse Width ; PHI0 ; Rise ; nWEr ; -; 488.734 ; 489.000 ; 0.266 ; Low Pulse Width ; PHI0 ; Rise ; nWEr ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; CXXXr|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; CXXXr|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; PHI0|combout ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; PHI0|combout ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[0]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[0]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[10]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[10]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[11]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[11]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[1]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[1]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[2]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[2]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[3]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[3]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[4]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[4]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[5]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[5]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[6]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[6]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[7]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[7]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[8]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[8]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; RAr[9]|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; RAr[9]|clk ; -; 489.000 ; 489.000 ; 0.000 ; High Pulse Width ; PHI0 ; Rise ; nWEr|clk ; -; 489.000 ; 489.000 ; 0.000 ; Low Pulse Width ; PHI0 ; Rise ; nWEr|clk ; -; 974.000 ; 978.000 ; 4.000 ; Port Rate ; PHI0 ; Rise ; PHI0 ; -+---------+--------------+----------------+------------------+-------+------------+--------------+ - - -+-------------------------------------------------------------------------+ -; Setup Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; 4.863 ; 4.863 ; Rise ; C25M ; -; MOSI ; C25M ; 3.316 ; 3.316 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.278 ; 6.278 ; Rise ; C25M ; -; RD[0] ; C25M ; 4.055 ; 4.055 ; Rise ; C25M ; -; RD[1] ; C25M ; 3.822 ; 3.822 ; Rise ; C25M ; -; RD[2] ; C25M ; 3.312 ; 3.312 ; Rise ; C25M ; -; RD[3] ; C25M ; 3.974 ; 3.974 ; Rise ; C25M ; -; RD[4] ; C25M ; 3.441 ; 3.441 ; Rise ; C25M ; -; RD[5] ; C25M ; 3.969 ; 3.969 ; Rise ; C25M ; -; RD[6] ; C25M ; 6.278 ; 6.278 ; Rise ; C25M ; -; RD[7] ; C25M ; 4.093 ; 4.093 ; Rise ; C25M ; -; SetFW[*] ; C25M ; 4.149 ; 4.149 ; Rise ; C25M ; -; SetFW[0] ; C25M ; 4.149 ; 4.149 ; Rise ; C25M ; -; SetFW[1] ; C25M ; 3.738 ; 3.738 ; Rise ; C25M ; -; nDEVSEL ; C25M ; 9.957 ; 9.957 ; Rise ; C25M ; -; nIOSEL ; C25M ; 4.637 ; 4.637 ; Rise ; C25M ; -; nIOSTRB ; C25M ; 5.052 ; 5.052 ; Rise ; C25M ; -; nRES ; C25M ; 3.763 ; 3.763 ; Rise ; C25M ; -; SD[*] ; C25M ; 5.269 ; 5.269 ; Fall ; C25M ; -; SD[0] ; C25M ; 4.676 ; 4.676 ; Fall ; C25M ; -; SD[1] ; C25M ; 4.064 ; 4.064 ; Fall ; C25M ; -; SD[2] ; C25M ; 3.916 ; 3.916 ; Fall ; C25M ; -; SD[3] ; C25M ; 5.158 ; 5.158 ; Fall ; C25M ; -; SD[4] ; C25M ; 3.719 ; 3.719 ; Fall ; C25M ; -; SD[5] ; C25M ; 3.149 ; 3.149 ; Fall ; C25M ; -; SD[6] ; C25M ; 3.295 ; 3.295 ; Fall ; C25M ; -; SD[7] ; C25M ; 5.269 ; 5.269 ; Fall ; C25M ; -; RA[*] ; PHI0 ; 0.892 ; 0.892 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 0.414 ; 0.414 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; 0.713 ; 0.713 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 0.008 ; 0.008 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 0.464 ; 0.464 ; Rise ; PHI0 ; -; RA[4] ; PHI0 ; -0.520 ; -0.520 ; Rise ; PHI0 ; -; RA[5] ; PHI0 ; 0.727 ; 0.727 ; Rise ; PHI0 ; -; RA[6] ; PHI0 ; -0.603 ; -0.603 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; -0.772 ; -0.772 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; -1.522 ; -1.522 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; -1.478 ; -1.478 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; 0.892 ; 0.892 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; -0.105 ; -0.105 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; -0.073 ; -0.073 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; -0.133 ; -0.133 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; -0.434 ; -0.434 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 0.054 ; 0.054 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 1.076 ; 1.076 ; Rise ; PHI0 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Hold Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -4.309 ; -4.309 ; Rise ; C25M ; -; MOSI ; C25M ; -2.762 ; -2.762 ; Rise ; C25M ; -; RD[*] ; C25M ; -1.878 ; -1.878 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.106 ; -2.106 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.899 ; -2.899 ; Rise ; C25M ; -; RD[2] ; C25M ; -1.911 ; -1.911 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.031 ; -2.031 ; Rise ; C25M ; -; RD[4] ; C25M ; -2.065 ; -2.065 ; Rise ; C25M ; -; RD[5] ; C25M ; -1.878 ; -1.878 ; Rise ; C25M ; -; RD[6] ; C25M ; -2.052 ; -2.052 ; Rise ; C25M ; -; RD[7] ; C25M ; -1.899 ; -1.899 ; Rise ; C25M ; -; SetFW[*] ; C25M ; -3.184 ; -3.184 ; Rise ; C25M ; -; SetFW[0] ; C25M ; -3.595 ; -3.595 ; Rise ; C25M ; -; SetFW[1] ; C25M ; -3.184 ; -3.184 ; Rise ; C25M ; -; nDEVSEL ; C25M ; -4.698 ; -4.698 ; Rise ; C25M ; -; nIOSEL ; C25M ; -4.076 ; -4.076 ; Rise ; C25M ; -; nIOSTRB ; C25M ; -3.232 ; -3.232 ; Rise ; C25M ; -; nRES ; C25M ; -3.209 ; -3.209 ; Rise ; C25M ; -; SD[*] ; C25M ; -2.595 ; -2.595 ; Fall ; C25M ; -; SD[0] ; C25M ; -4.122 ; -4.122 ; Fall ; C25M ; -; SD[1] ; C25M ; -3.510 ; -3.510 ; Fall ; C25M ; -; SD[2] ; C25M ; -3.362 ; -3.362 ; Fall ; C25M ; -; SD[3] ; C25M ; -4.604 ; -4.604 ; Fall ; C25M ; -; SD[4] ; C25M ; -3.165 ; -3.165 ; Fall ; C25M ; -; SD[5] ; C25M ; -2.595 ; -2.595 ; Fall ; C25M ; -; SD[6] ; C25M ; -2.741 ; -2.741 ; Fall ; C25M ; -; SD[7] ; C25M ; -4.715 ; -4.715 ; Fall ; C25M ; -; RA[*] ; PHI0 ; 2.076 ; 2.076 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 0.140 ; 0.140 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; -0.159 ; -0.159 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 0.546 ; 0.546 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 0.090 ; 0.090 ; Rise ; PHI0 ; -; RA[4] ; PHI0 ; 1.074 ; 1.074 ; Rise ; PHI0 ; -; RA[5] ; PHI0 ; -0.173 ; -0.173 ; Rise ; PHI0 ; -; RA[6] ; PHI0 ; 1.157 ; 1.157 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; 1.326 ; 1.326 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; 2.076 ; 2.076 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; 2.032 ; 2.032 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; -0.338 ; -0.338 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; 0.659 ; 0.659 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; 0.627 ; 0.627 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; 0.687 ; 0.687 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; 0.988 ; 0.988 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 0.500 ; 0.500 ; Rise ; PHI0 ; -; nWE ; PHI0 ; -0.522 ; -0.522 ; Rise ; PHI0 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 17.381 ; 17.381 ; Rise ; C25M ; -; DQML ; C25M ; 17.650 ; 17.650 ; Rise ; C25M ; -; FCK ; C25M ; 17.362 ; 17.362 ; Rise ; C25M ; -; MOSI ; C25M ; 17.251 ; 17.251 ; Rise ; C25M ; -; RCKE ; C25M ; 17.169 ; 17.169 ; Rise ; C25M ; -; RDdir ; C25M ; 23.995 ; 23.995 ; Rise ; C25M ; -; SA[*] ; C25M ; 18.571 ; 18.571 ; Rise ; C25M ; -; SA[0] ; C25M ; 15.989 ; 15.989 ; Rise ; C25M ; -; SA[1] ; C25M ; 17.051 ; 17.051 ; Rise ; C25M ; -; SA[2] ; C25M ; 17.460 ; 17.460 ; Rise ; C25M ; -; SA[3] ; C25M ; 18.571 ; 18.571 ; Rise ; C25M ; -; SA[4] ; C25M ; 17.861 ; 17.861 ; Rise ; C25M ; -; SA[5] ; C25M ; 17.846 ; 17.846 ; Rise ; C25M ; -; SA[6] ; C25M ; 17.924 ; 17.924 ; Rise ; C25M ; -; SA[7] ; C25M ; 17.771 ; 17.771 ; Rise ; C25M ; -; SA[8] ; C25M ; 17.826 ; 17.826 ; Rise ; C25M ; -; SA[9] ; C25M ; 17.029 ; 17.029 ; Rise ; C25M ; -; SA[10] ; C25M ; 17.820 ; 17.820 ; Rise ; C25M ; -; SA[11] ; C25M ; 17.097 ; 17.097 ; Rise ; C25M ; -; SA[12] ; C25M ; 18.520 ; 18.520 ; Rise ; C25M ; -; SBA[*] ; C25M ; 18.530 ; 18.530 ; Rise ; C25M ; -; SBA[0] ; C25M ; 17.892 ; 17.892 ; Rise ; C25M ; -; SBA[1] ; C25M ; 18.530 ; 18.530 ; Rise ; C25M ; -; SD[*] ; C25M ; 17.061 ; 17.061 ; Rise ; C25M ; -; SD[0] ; C25M ; 17.061 ; 17.061 ; Rise ; C25M ; -; SD[1] ; C25M ; 15.918 ; 15.918 ; Rise ; C25M ; -; SD[2] ; C25M ; 16.402 ; 16.402 ; Rise ; C25M ; -; SD[3] ; C25M ; 16.297 ; 16.297 ; Rise ; C25M ; -; SD[4] ; C25M ; 15.834 ; 15.834 ; Rise ; C25M ; -; SD[5] ; C25M ; 16.821 ; 16.821 ; Rise ; C25M ; -; SD[6] ; C25M ; 16.477 ; 16.477 ; Rise ; C25M ; -; SD[7] ; C25M ; 16.328 ; 16.328 ; Rise ; C25M ; -; nCAS ; C25M ; 17.133 ; 17.133 ; Rise ; C25M ; -; nFCS ; C25M ; 17.510 ; 17.510 ; Rise ; C25M ; -; nRAS ; C25M ; 15.968 ; 15.968 ; Rise ; C25M ; -; nRCS ; C25M ; 17.139 ; 17.139 ; Rise ; C25M ; -; nRESout ; C25M ; 17.067 ; 17.067 ; Rise ; C25M ; -; nSWE ; C25M ; 17.830 ; 17.830 ; Rise ; C25M ; -; RD[*] ; C25M ; 10.221 ; 10.221 ; Fall ; C25M ; -; RD[0] ; C25M ; 8.885 ; 8.885 ; Fall ; C25M ; -; RD[1] ; C25M ; 9.048 ; 9.048 ; Fall ; C25M ; -; RD[2] ; C25M ; 9.448 ; 9.448 ; Fall ; C25M ; -; RD[3] ; C25M ; 9.926 ; 9.926 ; Fall ; C25M ; -; RD[4] ; C25M ; 9.443 ; 9.443 ; Fall ; C25M ; -; RD[5] ; C25M ; 10.114 ; 10.114 ; Fall ; C25M ; -; RD[6] ; C25M ; 9.651 ; 9.651 ; Fall ; C25M ; -; RD[7] ; C25M ; 10.221 ; 10.221 ; Fall ; C25M ; -; RDdir ; PHI0 ; 21.935 ; 21.935 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 21.935 ; 21.935 ; Fall ; PHI0 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+-------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 17.381 ; 17.381 ; Rise ; C25M ; -; DQML ; C25M ; 17.650 ; 17.650 ; Rise ; C25M ; -; FCK ; C25M ; 17.362 ; 17.362 ; Rise ; C25M ; -; MOSI ; C25M ; 17.251 ; 17.251 ; Rise ; C25M ; -; RCKE ; C25M ; 17.169 ; 17.169 ; Rise ; C25M ; -; RDdir ; C25M ; 20.487 ; 20.487 ; Rise ; C25M ; -; SA[*] ; C25M ; 15.989 ; 15.989 ; Rise ; C25M ; -; SA[0] ; C25M ; 15.989 ; 15.989 ; Rise ; C25M ; -; SA[1] ; C25M ; 17.051 ; 17.051 ; Rise ; C25M ; -; SA[2] ; C25M ; 17.460 ; 17.460 ; Rise ; C25M ; -; SA[3] ; C25M ; 18.571 ; 18.571 ; Rise ; C25M ; -; SA[4] ; C25M ; 17.861 ; 17.861 ; Rise ; C25M ; -; SA[5] ; C25M ; 17.846 ; 17.846 ; Rise ; C25M ; -; SA[6] ; C25M ; 17.924 ; 17.924 ; Rise ; C25M ; -; SA[7] ; C25M ; 17.771 ; 17.771 ; Rise ; C25M ; -; SA[8] ; C25M ; 17.826 ; 17.826 ; Rise ; C25M ; -; SA[9] ; C25M ; 17.029 ; 17.029 ; Rise ; C25M ; -; SA[10] ; C25M ; 17.820 ; 17.820 ; Rise ; C25M ; -; SA[11] ; C25M ; 17.097 ; 17.097 ; Rise ; C25M ; -; SA[12] ; C25M ; 18.520 ; 18.520 ; Rise ; C25M ; -; SBA[*] ; C25M ; 17.892 ; 17.892 ; Rise ; C25M ; -; SBA[0] ; C25M ; 17.892 ; 17.892 ; Rise ; C25M ; -; SBA[1] ; C25M ; 18.530 ; 18.530 ; Rise ; C25M ; -; SD[*] ; C25M ; 15.834 ; 15.834 ; Rise ; C25M ; -; SD[0] ; C25M ; 17.061 ; 17.061 ; Rise ; C25M ; -; SD[1] ; C25M ; 15.918 ; 15.918 ; Rise ; C25M ; -; SD[2] ; C25M ; 16.402 ; 16.402 ; Rise ; C25M ; -; SD[3] ; C25M ; 16.297 ; 16.297 ; Rise ; C25M ; -; SD[4] ; C25M ; 15.834 ; 15.834 ; Rise ; C25M ; -; SD[5] ; C25M ; 16.821 ; 16.821 ; Rise ; C25M ; -; SD[6] ; C25M ; 16.477 ; 16.477 ; Rise ; C25M ; -; SD[7] ; C25M ; 16.328 ; 16.328 ; Rise ; C25M ; -; nCAS ; C25M ; 17.133 ; 17.133 ; Rise ; C25M ; -; nFCS ; C25M ; 17.510 ; 17.510 ; Rise ; C25M ; -; nRAS ; C25M ; 15.968 ; 15.968 ; Rise ; C25M ; -; nRCS ; C25M ; 17.139 ; 17.139 ; Rise ; C25M ; -; nRESout ; C25M ; 17.067 ; 17.067 ; Rise ; C25M ; -; nSWE ; C25M ; 17.830 ; 17.830 ; Rise ; C25M ; -; RD[*] ; C25M ; 8.885 ; 8.885 ; Fall ; C25M ; -; RD[0] ; C25M ; 8.885 ; 8.885 ; Fall ; C25M ; -; RD[1] ; C25M ; 9.048 ; 9.048 ; Fall ; C25M ; -; RD[2] ; C25M ; 9.448 ; 9.448 ; Fall ; C25M ; -; RD[3] ; C25M ; 9.926 ; 9.926 ; Fall ; C25M ; -; RD[4] ; C25M ; 9.443 ; 9.443 ; Fall ; C25M ; -; RD[5] ; C25M ; 10.114 ; 10.114 ; Fall ; C25M ; -; RD[6] ; C25M ; 9.651 ; 9.651 ; Fall ; C25M ; -; RD[7] ; C25M ; 10.221 ; 10.221 ; Fall ; C25M ; -; RDdir ; PHI0 ; 21.935 ; 21.935 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 21.935 ; 21.935 ; Fall ; PHI0 ; -+-----------+------------+--------+--------+------------+-----------------+ - - -+------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; -; INTin ; INTout ; 8.862 ; ; ; 8.862 ; -; RA[0] ; RD[0] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[1] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[2] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[3] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[4] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[5] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[6] ; 13.565 ; ; ; 13.565 ; -; RA[0] ; RD[7] ; 13.565 ; ; ; 13.565 ; -; RA[0] ; RDdir ; 23.870 ; ; ; 23.870 ; -; RA[1] ; RD[0] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[1] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[2] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[3] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[4] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[5] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[6] ; 12.715 ; ; ; 12.715 ; -; RA[1] ; RD[7] ; 12.715 ; ; ; 12.715 ; -; RA[1] ; RDdir ; 23.020 ; ; ; 23.020 ; -; RA[2] ; RD[0] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[1] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[2] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[3] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[4] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[5] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[6] ; 13.207 ; ; ; 13.207 ; -; RA[2] ; RD[7] ; 13.207 ; ; ; 13.207 ; -; RA[2] ; RDdir ; 23.512 ; ; ; 23.512 ; -; RA[3] ; RD[0] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[1] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[2] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[3] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[4] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[5] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[6] ; 13.487 ; ; ; 13.487 ; -; RA[3] ; RD[7] ; 13.487 ; ; ; 13.487 ; -; RA[3] ; RDdir ; 23.792 ; ; ; 23.792 ; -; RA[4] ; RD[0] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[1] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[2] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[3] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[4] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[5] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[6] ; 13.397 ; ; ; 13.397 ; -; RA[4] ; RD[7] ; 13.397 ; ; ; 13.397 ; -; RA[4] ; RDdir ; 23.702 ; ; ; 23.702 ; -; RA[5] ; RD[0] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[1] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[2] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[3] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[4] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[5] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[6] ; 13.348 ; ; ; 13.348 ; -; RA[5] ; RD[7] ; 13.348 ; ; ; 13.348 ; -; RA[5] ; RDdir ; 23.653 ; ; ; 23.653 ; -; RA[6] ; RD[0] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[1] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[2] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[3] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[4] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[5] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[6] ; 13.645 ; ; ; 13.645 ; -; RA[6] ; RD[7] ; 13.645 ; ; ; 13.645 ; -; RA[6] ; RDdir ; 23.950 ; ; ; 23.950 ; -; RA[7] ; RD[0] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[1] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[2] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[3] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[4] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[5] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[6] ; 12.077 ; ; ; 12.077 ; -; RA[7] ; RD[7] ; 12.077 ; ; ; 12.077 ; -; RA[7] ; RDdir ; 22.382 ; ; ; 22.382 ; -; RA[8] ; RD[0] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[1] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[2] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[3] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[4] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[5] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[6] ; 11.460 ; ; ; 11.460 ; -; RA[8] ; RD[7] ; 11.460 ; ; ; 11.460 ; -; RA[8] ; RDdir ; 21.765 ; ; ; 21.765 ; -; RA[9] ; RD[0] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[1] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[2] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[3] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[4] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[5] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[6] ; 11.854 ; ; ; 11.854 ; -; RA[9] ; RD[7] ; 11.854 ; ; ; 11.854 ; -; RA[9] ; RDdir ; 22.159 ; ; ; 22.159 ; -; RA[10] ; RD[0] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[1] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[2] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[3] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[4] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[5] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[6] ; 12.993 ; ; ; 12.993 ; -; RA[10] ; RD[7] ; 12.993 ; ; ; 12.993 ; -; RA[10] ; RDdir ; 23.298 ; ; ; 23.298 ; -; nDEVSEL ; RD[0] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[1] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[2] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[3] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[4] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[5] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[6] ; 11.091 ; ; ; 11.091 ; -; nDEVSEL ; RD[7] ; 11.091 ; ; ; 11.091 ; -; nDEVSEL ; RDdir ; 21.396 ; ; ; 21.396 ; -; nIOSEL ; RD[0] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[1] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[2] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[3] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[4] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[5] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[6] ; 11.026 ; ; ; 11.026 ; -; nIOSEL ; RD[7] ; 11.026 ; ; ; 11.026 ; -; nIOSEL ; RDdir ; 21.331 ; ; ; 21.331 ; -; nIOSTRB ; RD[0] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[1] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[2] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[3] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[4] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[5] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[6] ; 12.370 ; ; ; 12.370 ; -; nIOSTRB ; RD[7] ; 12.370 ; ; ; 12.370 ; -; nIOSTRB ; RDdir ; 22.675 ; ; ; 22.675 ; -; nWE ; RD[0] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[1] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[2] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[3] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[4] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[5] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[6] ; 13.113 ; ; ; 13.113 ; -; nWE ; RD[7] ; 13.113 ; ; ; 13.113 ; -; nWE ; RDdir ; 23.418 ; ; ; 23.418 ; -+------------+-------------+--------+----+----+--------+ - - -+------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+--------+----+----+--------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; -; INTin ; INTout ; 8.862 ; ; ; 8.862 ; -; RA[0] ; RD[0] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[1] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[2] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[3] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[4] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[5] ; 13.610 ; ; ; 13.610 ; -; RA[0] ; RD[6] ; 13.565 ; ; ; 13.565 ; -; RA[0] ; RD[7] ; 13.565 ; ; ; 13.565 ; -; RA[0] ; RDdir ; 23.870 ; ; ; 23.870 ; -; RA[1] ; RD[0] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[1] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[2] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[3] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[4] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[5] ; 12.760 ; ; ; 12.760 ; -; RA[1] ; RD[6] ; 12.715 ; ; ; 12.715 ; -; RA[1] ; RD[7] ; 12.715 ; ; ; 12.715 ; -; RA[1] ; RDdir ; 23.020 ; ; ; 23.020 ; -; RA[2] ; RD[0] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[1] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[2] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[3] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[4] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[5] ; 13.252 ; ; ; 13.252 ; -; RA[2] ; RD[6] ; 13.207 ; ; ; 13.207 ; -; RA[2] ; RD[7] ; 13.207 ; ; ; 13.207 ; -; RA[2] ; RDdir ; 23.512 ; ; ; 23.512 ; -; RA[3] ; RD[0] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[1] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[2] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[3] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[4] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[5] ; 13.532 ; ; ; 13.532 ; -; RA[3] ; RD[6] ; 13.487 ; ; ; 13.487 ; -; RA[3] ; RD[7] ; 13.487 ; ; ; 13.487 ; -; RA[3] ; RDdir ; 23.792 ; ; ; 23.792 ; -; RA[4] ; RD[0] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[1] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[2] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[3] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[4] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[5] ; 13.442 ; ; ; 13.442 ; -; RA[4] ; RD[6] ; 13.397 ; ; ; 13.397 ; -; RA[4] ; RD[7] ; 13.397 ; ; ; 13.397 ; -; RA[4] ; RDdir ; 23.702 ; ; ; 23.702 ; -; RA[5] ; RD[0] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[1] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[2] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[3] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[4] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[5] ; 13.393 ; ; ; 13.393 ; -; RA[5] ; RD[6] ; 13.348 ; ; ; 13.348 ; -; RA[5] ; RD[7] ; 13.348 ; ; ; 13.348 ; -; RA[5] ; RDdir ; 23.653 ; ; ; 23.653 ; -; RA[6] ; RD[0] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[1] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[2] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[3] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[4] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[5] ; 13.690 ; ; ; 13.690 ; -; RA[6] ; RD[6] ; 13.645 ; ; ; 13.645 ; -; RA[6] ; RD[7] ; 13.645 ; ; ; 13.645 ; -; RA[6] ; RDdir ; 23.950 ; ; ; 23.950 ; -; RA[7] ; RD[0] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[1] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[2] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[3] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[4] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[5] ; 12.122 ; ; ; 12.122 ; -; RA[7] ; RD[6] ; 12.077 ; ; ; 12.077 ; -; RA[7] ; RD[7] ; 12.077 ; ; ; 12.077 ; -; RA[7] ; RDdir ; 22.382 ; ; ; 22.382 ; -; RA[8] ; RD[0] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[1] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[2] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[3] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[4] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[5] ; 11.505 ; ; ; 11.505 ; -; RA[8] ; RD[6] ; 11.460 ; ; ; 11.460 ; -; RA[8] ; RD[7] ; 11.460 ; ; ; 11.460 ; -; RA[8] ; RDdir ; 21.765 ; ; ; 21.765 ; -; RA[9] ; RD[0] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[1] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[2] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[3] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[4] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[5] ; 11.899 ; ; ; 11.899 ; -; RA[9] ; RD[6] ; 11.854 ; ; ; 11.854 ; -; RA[9] ; RD[7] ; 11.854 ; ; ; 11.854 ; -; RA[9] ; RDdir ; 22.159 ; ; ; 22.159 ; -; RA[10] ; RD[0] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[1] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[2] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[3] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[4] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[5] ; 13.038 ; ; ; 13.038 ; -; RA[10] ; RD[6] ; 12.993 ; ; ; 12.993 ; -; RA[10] ; RD[7] ; 12.993 ; ; ; 12.993 ; -; RA[10] ; RDdir ; 23.298 ; ; ; 23.298 ; -; nDEVSEL ; RD[0] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[1] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[2] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[3] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[4] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[5] ; 11.136 ; ; ; 11.136 ; -; nDEVSEL ; RD[6] ; 11.091 ; ; ; 11.091 ; -; nDEVSEL ; RD[7] ; 11.091 ; ; ; 11.091 ; -; nDEVSEL ; RDdir ; 21.396 ; ; ; 21.396 ; -; nIOSEL ; RD[0] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[1] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[2] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[3] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[4] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[5] ; 11.071 ; ; ; 11.071 ; -; nIOSEL ; RD[6] ; 11.026 ; ; ; 11.026 ; -; nIOSEL ; RD[7] ; 11.026 ; ; ; 11.026 ; -; nIOSEL ; RDdir ; 21.331 ; ; ; 21.331 ; -; nIOSTRB ; RD[0] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[1] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[2] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[3] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[4] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[5] ; 12.415 ; ; ; 12.415 ; -; nIOSTRB ; RD[6] ; 12.370 ; ; ; 12.370 ; -; nIOSTRB ; RD[7] ; 12.370 ; ; ; 12.370 ; -; nIOSTRB ; RDdir ; 22.675 ; ; ; 22.675 ; -; nWE ; RD[0] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[1] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[2] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[3] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[4] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[5] ; 13.158 ; ; ; 13.158 ; -; nWE ; RD[6] ; 13.113 ; ; ; 13.113 ; -; nWE ; RD[7] ; 13.113 ; ; ; 13.113 ; -; nWE ; RDdir ; 23.418 ; ; ; 23.418 ; -+------------+-------------+--------+----+----+--------+ - - -+-----------------------------------------------------------------------+ -; Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 15.951 ; ; Rise ; C25M ; -; MOSI ; C25M ; 15.242 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 13.690 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 13.690 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 13.690 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 13.373 ; ; Rise ; C25M ; -; nFCS ; C25M ; 15.969 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 15.951 ; ; Rise ; C25M ; -; MOSI ; C25M ; 15.242 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 10.182 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 10.182 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 10.182 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 13.373 ; ; Rise ; C25M ; -; nFCS ; C25M ; 15.969 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -+-----------+------------+--------+------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 15.951 ; ; Rise ; C25M ; -; MOSI ; C25M ; 15.242 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 13.690 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 13.735 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 13.690 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 13.690 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 13.373 ; ; Rise ; C25M ; -; nFCS ; C25M ; 15.969 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - -+-------------------------------------------------------------------------------+ -; Minimum Output Disable Times ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; -+-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 15.951 ; ; Rise ; C25M ; -; MOSI ; C25M ; 15.242 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 10.182 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 10.227 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 10.182 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 10.182 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 13.829 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 13.373 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 13.373 ; ; Rise ; C25M ; -; nFCS ; C25M ; 15.969 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 11.675 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 11.630 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 11.675 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 11.630 ; ; Fall ; PHI0 ; -+-----------+------------+-----------+-----------+------------+-----------------+ - - +-------------------------------------------------------------------------+ ; Setup Transfers ; +------------+----------+------------+------------+------------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1526 ; 0 ; 88 ; 0 ; +; C25M ; C25M ; 1520 ; 0 ; 88 ; 0 ; ; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; +------------+----------+------------+------------+------------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1341,7 +468,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+------------+------------+------------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1526 ; 0 ; 88 ; 0 ; +; C25M ; C25M ; 1520 ; 0 ; 88 ; 0 ; ; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; +------------+----------+------------+------------+------------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1376,11 +503,11 @@ No dedicated SERDES Transmitter circuitry present in device or used in design --------------- ; Report RSKM ; --------------- -No dedicated SERDES Receiver circuitry present in device or used in design +No non-DPA dedicated SERDES Receiver circuitry present in device or used in design +------------------------------------------------+ -; Unconstrained Paths ; +; Unconstrained Paths Summary ; +---------------------------------+-------+------+ ; Property ; Setup ; Hold ; +---------------------------------+-------+------+ @@ -1393,49 +520,271 @@ No dedicated SERDES Receiver circuitry present in device or used in design +---------------------------------+-------+------+ -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ ++-------------------------------------+ +; Clock Status Summary ; ++--------+-------+------+-------------+ +; Target ; Clock ; Type ; Status ; ++--------+-------+------+-------------+ +; C25M ; C25M ; Base ; Constrained ; +; PHI0 ; PHI0 ; Base ; Constrained ; ++--------+-------+------+-------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; DMAin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; INTin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; MISO ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; MOSI ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; PHI0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[11] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[12] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[13] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[14] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[15] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nRES ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nWE ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; DMAout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; DQMH ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; DQML ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; FCK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; INTout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; MOSI ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RCKE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RDdir ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nFCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nRCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nRESout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nSWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++---------------------------------------------------------------------------------------------------+ +; Unconstrained Input Ports ; ++------------+--------------------------------------------------------------------------------------+ +; Input Port ; Comment ; ++------------+--------------------------------------------------------------------------------------+ +; DMAin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; INTin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; MISO ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; MOSI ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; PHI0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[11] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[12] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[13] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[14] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RA[15] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nRES ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nWE ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ++------------+--------------------------------------------------------------------------------------+ + + ++-----------------------------------------------------------------------------------------------------+ +; Unconstrained Output Ports ; ++-------------+---------------------------------------------------------------------------------------+ +; Output Port ; Comment ; ++-------------+---------------------------------------------------------------------------------------+ +; DMAout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; DQMH ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; DQML ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; FCK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; INTout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; MOSI ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RCKE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; RDdir ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; SD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nFCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nRCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nRESout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; +; nSWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; ++-------------+---------------------------------------------------------------------------------------+ + + ++--------------------------+ +; Timing Analyzer Messages ; ++--------------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Sep 14 01:35:32 2021 +Info: Running Quartus Prime Timing Analyzer + Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition + Info: Processing started: Tue Feb 28 11:21:27 2023 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled +Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. +Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C -Info (306004): Started post-fitting delay annotation -Info (306005): Delay annotation completed successfully +Info (334003): Started post-fitting delay annotation +Info (334004): Delay annotation completed successfully Info (332104): Reading SDC File: 'GR8RAM.sdc' -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info (332146): Worst-case setup slack is 12.419 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): 12.419 0.000 C25M -Info (332146): Worst-case hold slack is 1.393 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): 1.393 0.000 C25M -Info (332146): Worst-case recovery slack is 33.300 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): 33.300 0.000 C25M -Info (332146): Worst-case removal slack is 6.146 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): 6.146 0.000 C25M +Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON +Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. +Info (332146): Worst-case setup slack is 10.278 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= =================== ===================== + Info (332119): 10.278 0.000 C25M +Info (332146): Worst-case hold slack is 1.376 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= =================== ===================== + Info (332119): 1.376 0.000 C25M +Info (332146): Worst-case recovery slack is 33.311 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= =================== ===================== + Info (332119): 33.311 0.000 C25M +Info (332146): Worst-case removal slack is 6.135 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= =================== ===================== + Info (332119): 6.135 0.000 C25M Info (332146): Worst-case minimum pulse width slack is 19.734 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= ============= ===================== - Info (332119): 19.734 0.000 C25M - Info (332119): 488.734 0.000 PHI0 + Info (332119): Slack End Point TNS Clock + Info (332119): ========= =================== ===================== + Info (332119): 19.734 0.000 C25M + Info (332119): 488.734 0.000 PHI0 Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 374 megabytes - Info: Processing ended: Tue Sep 14 01:35:34 2021 +Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning + Info: Peak virtual memory: 13081 megabytes + Info: Processing ended: Tue Feb 28 11:21:29 2023 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary old mode 100755 new mode 100644 index d814531..2f7497e --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -1,21 +1,21 @@ ------------------------------------------------------------ -TimeQuest Timing Analyzer Summary +Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : 12.419 +Slack : 10.278 TNS : 0.000 Type : Hold 'C25M' -Slack : 1.393 +Slack : 1.376 TNS : 0.000 Type : Recovery 'C25M' -Slack : 33.300 +Slack : 33.311 TNS : 0.000 Type : Removal 'C25M' -Slack : 6.146 +Slack : 6.135 TNS : 0.000 Type : Minimum Pulse Width 'C25M' diff --git a/cpld/output_files/GR8RAM.svf b/cpld/output_files/GR8RAM.svf index 74a77b5..432de55 100644 --- a/cpld/output_files/GR8RAM.svf +++ b/cpld/output_files/GR8RAM.svf @@ -15,11 +15,11 @@ ! !Quartus Prime SVF converter 22.1 ! -!Device #1: EPM240 - //Mac/iCloud/Repos2/GR8RAM/cpld/output_files/GR8RAM.pof Sat Feb 25 09:32:41 2023 +!Device #1: EPM240 - //mac/iCloud/Repos2/GR8RAM/cpld2/output_files/GR8RAM.pof Tue Feb 28 11:21:26 2023 ! -!NOTE "USERCODE" "00161CF0"; +!NOTE "USERCODE" "00163AA4"; ! -!NOTE "CHECKSUM" "001620E8"; +!NOTE "CHECKSUM" "00163E9C"; ! ! ! @@ -129,7 +129,7 @@ SDR 16 TDI (BFF7); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FFB); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; @@ -153,7 +153,7 @@ SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (67FF); +SDR 16 TDI (77FF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; @@ -161,25 +161,25 @@ SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (C666); RUNTEST 100 TCK; -SDR 16 TDI (6FF9); +SDR 16 TDI (6FFB); RUNTEST 100 TCK; SDR 16 TDI (FF7F); RUNTEST 100 TCK; SDR 16 TDI (BC66); RUNTEST 100 TCK; -SDR 16 TDI (67FE); +SDR 16 TDI (67F6); RUNTEST 100 TCK; SDR 16 TDI (733F); RUNTEST 100 TCK; SDR 16 TDI (FF19); RUNTEST 100 TCK; -SDR 16 TDI (BD3F); +SDR 16 TDI (AF3F); RUNTEST 100 TCK; -SDR 16 TDI (ECCF); +SDR 16 TDI (E4CF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (FF7F); RUNTEST 100 TCK; SDR 16 TDI (BFCC); RUNTEST 100 TCK; @@ -199,439 +199,39 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (FFF7); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (77BF); +SDR 16 TDI (77DF); RUNTEST 100 TCK; SDR 16 TDI (EFFF); RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFA); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (CFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (777F); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (77F7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (76FF); -RUNTEST 100 TCK; -SDR 16 TDI (ABFD); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDA); -RUNTEST 100 TCK; -SDR 16 TDI (7DFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FF5); -RUNTEST 100 TCK; -SDR 16 TDI (EF5F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7B7B); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFE); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (EF7E); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (EFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FCCD); -RUNTEST 100 TCK; -SDR 16 TDI (7BFD); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (77FD); -RUNTEST 100 TCK; -SDR 16 TDI (76FB); -RUNTEST 100 TCK; -SDR 16 TDI (D7AF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6DBB); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7EF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FB7); -RUNTEST 100 TCK; -SDR 16 TDI (BAFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BBF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BEEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; SDR 16 TDI (BBFF); RUNTEST 100 TCK; -SDR 16 TDI (FF7F); +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; @@ -639,29 +239,45 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (F7FD); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (B7BF); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (6CDF); +SDR 16 TDI (6FFF); RUNTEST 100 TCK; -SDR 16 TDI (DFFD); +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); RUNTEST 100 TCK; SDR 16 TDI (AFFF); RUNTEST 100 TCK; -SDR 16 TDI (FFDF); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFD); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; @@ -671,1631 +287,71 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (F9EF); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (3FD7); -RUNTEST 100 TCK; -SDR 16 TDI (BDEB); -RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EF7F); -RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (FF77); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (6FDF); RUNTEST 100 TCK; SDR 16 TDI (EEFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F77F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B55F); -RUNTEST 100 TCK; -SDR 16 TDI (FEBF); -RUNTEST 100 TCK; -SDR 16 TDI (6FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (B6FF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF6); -RUNTEST 100 TCK; -SDR 16 TDI (ADDF); -RUNTEST 100 TCK; -SDR 16 TDI (FB7C); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (3775); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FBCC); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (773F); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FE7); -RUNTEST 100 TCK; -SDR 16 TDI (DFAF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B55F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7DD); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF6); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FF75); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAAF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFB9); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (FBBA); -RUNTEST 100 TCK; -SDR 16 TDI (7DBB); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (D3F7); -RUNTEST 100 TCK; -SDR 16 TDI (B97F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FAF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (EDEF); -RUNTEST 100 TCK; -SDR 16 TDI (BF77); -RUNTEST 100 TCK; -SDR 16 TDI (75FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFC); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (77F9); -RUNTEST 100 TCK; -SDR 16 TDI (77FB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7C); -RUNTEST 100 TCK; -SDR 16 TDI (6DF7); -RUNTEST 100 TCK; -SDR 16 TDI (3E9F); -RUNTEST 100 TCK; -SDR 16 TDI (BC7F); -RUNTEST 100 TCK; -SDR 16 TDI (33FD); -RUNTEST 100 TCK; -SDR 16 TDI (6FCA); -RUNTEST 100 TCK; -SDR 16 TDI (FFB6); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF21); -RUNTEST 100 TCK; -SDR 16 TDI (7DE7); -RUNTEST 100 TCK; -SDR 16 TDI (8FBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (FCFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FBE3); -RUNTEST 100 TCK; -SDR 16 TDI (BFBB); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7F37); -RUNTEST 100 TCK; -SDR 16 TDI (FF4B); -RUNTEST 100 TCK; -SDR 16 TDI (B99F); -RUNTEST 100 TCK; -SDR 16 TDI (F9DE); -RUNTEST 100 TCK; -SDR 16 TDI (779B); -RUNTEST 100 TCK; -SDR 16 TDI (786F); -RUNTEST 100 TCK; -SDR 16 TDI (BDDC); -RUNTEST 100 TCK; -SDR 16 TDI (727F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (77FC); -RUNTEST 100 TCK; -SDR 16 TDI (E57C); -RUNTEST 100 TCK; -SDR 16 TDI (A3F7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFA); -RUNTEST 100 TCK; -SDR 16 TDI (77FD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6F7D); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BAAF); -RUNTEST 100 TCK; -SDR 16 TDI (8FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B66F); -RUNTEST 100 TCK; -SDR 16 TDI (FCFC); -RUNTEST 100 TCK; -SDR 16 TDI (67DE); -RUNTEST 100 TCK; -SDR 16 TDI (1FFA); -RUNTEST 100 TCK; -SDR 16 TDI (A000); -RUNTEST 100 TCK; -SDR 16 TDI (FFE0); -RUNTEST 100 TCK; -SDR 16 TDI (7882); -RUNTEST 100 TCK; -SDR 16 TDI (1F0F); -RUNTEST 100 TCK; -SDR 16 TDI (A61F); -RUNTEST 100 TCK; -SDR 16 TDI (7FE7); -RUNTEST 100 TCK; -SDR 16 TDI (7245); -RUNTEST 100 TCK; -SDR 16 TDI (A8EF); -RUNTEST 100 TCK; -SDR 16 TDI (B781); -RUNTEST 100 TCK; -SDR 16 TDI (80F5); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEDF); -RUNTEST 100 TCK; -SDR 16 TDI (FC3C); -RUNTEST 100 TCK; -SDR 16 TDI (67A0); -RUNTEST 100 TCK; -SDR 16 TDI (1BA2); -RUNTEST 100 TCK; -SDR 16 TDI (A000); -RUNTEST 100 TCK; -SDR 16 TDI (FFD0); -RUNTEST 100 TCK; -SDR 16 TDI (7800); -RUNTEST 100 TCK; -SDR 16 TDI (1F0F); -RUNTEST 100 TCK; -SDR 16 TDI (A01E); -RUNTEST 100 TCK; -SDR 16 TDI (F9F6); -RUNTEST 100 TCK; -SDR 16 TDI (6280); -RUNTEST 100 TCK; -SDR 16 TDI (A8CF); -RUNTEST 100 TCK; -SDR 16 TDI (AF81); -RUNTEST 100 TCK; -SDR 16 TDI (907F); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (FDFC); -RUNTEST 100 TCK; -SDR 16 TDI (67E7); -RUNTEST 100 TCK; -SDR 16 TDI (1FFB); -RUNTEST 100 TCK; -SDR 16 TDI (A230); -RUNTEST 100 TCK; -SDR 16 TDI (33F0); -RUNTEST 100 TCK; -SDR 16 TDI (6001); -RUNTEST 100 TCK; -SDR 16 TDI (9F0F); -RUNTEST 100 TCK; -SDR 16 TDI (BF9F); -RUNTEST 100 TCK; -SDR 16 TDI (FFE7); -RUNTEST 100 TCK; -SDR 16 TDI (7253); -RUNTEST 100 TCK; -SDR 16 TDI (00DF); -RUNTEST 100 TCK; -SDR 16 TDI (BF09); -RUNTEST 100 TCK; -SDR 16 TDI (80F5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDBE); -RUNTEST 100 TCK; -SDR 16 TDI (67E1); -RUNTEST 100 TCK; -SDR 16 TDI (01C2); -RUNTEST 100 TCK; -SDR 16 TDI (A030); -RUNTEST 100 TCK; -SDR 16 TDI (33F4); -RUNTEST 100 TCK; -SDR 16 TDI (6001); -RUNTEST 100 TCK; -SDR 16 TDI (9F7E); -RUNTEST 100 TCK; -SDR 16 TDI (BF9F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6290); -RUNTEST 100 TCK; -SDR 16 TDI (80DF); -RUNTEST 100 TCK; -SDR 16 TDI (BF09); -RUNTEST 100 TCK; -SDR 16 TDI (007F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAA7); -RUNTEST 100 TCK; -SDR 16 TDI (3332); -RUNTEST 100 TCK; -SDR 16 TDI (61E6); -RUNTEST 100 TCK; -SDR 16 TDI (EE6F); -RUNTEST 100 TCK; -SDR 16 TDI (A318); -RUNTEST 100 TCK; -SDR 16 TDI (11F2); -RUNTEST 100 TCK; -SDR 16 TDI (6144); -RUNTEST 100 TCK; -SDR 16 TDI (3CEC); -RUNTEST 100 TCK; -SDR 16 TDI (BDCF); -RUNTEST 100 TCK; -SDR 16 TDI (9BB8); -RUNTEST 100 TCK; -SDR 16 TDI (73C9); -RUNTEST 100 TCK; -SDR 16 TDI (CCE7); -RUNTEST 100 TCK; -SDR 16 TDI (B89C); -RUNTEST 100 TCK; -SDR 16 TDI (98FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7777); -RUNTEST 100 TCK; -SDR 16 TDI (6952); -RUNTEST 100 TCK; -SDR 16 TDI (6767); -RUNTEST 100 TCK; -SDR 16 TDI (B773); -RUNTEST 100 TCK; -SDR 16 TDI (22A1); -RUNTEST 100 TCK; -SDR 16 TDI (6627); -RUNTEST 100 TCK; -SDR 16 TDI (7DCD); -RUNTEST 100 TCK; -SDR 16 TDI (B985); -RUNTEST 100 TCK; -SDR 16 TDI (599D); -RUNTEST 100 TCK; -SDR 16 TDI (79DD); -RUNTEST 100 TCK; -SDR 16 TDI (DDC2); -RUNTEST 100 TCK; -SDR 16 TDI (B5DD); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (77CF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFD); -RUNTEST 100 TCK; -SDR 16 TDI (BF99); -RUNTEST 100 TCK; -SDR 16 TDI (DFEE); -RUNTEST 100 TCK; -SDR 16 TDI (7DDD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (B3BB); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EBFA); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFD7); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7F7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7D7D); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (6DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (DBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (D7FD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7D7F); -RUNTEST 100 TCK; -SDR 16 TDI (FDFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FE7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FB); -RUNTEST 100 TCK; -SDR 16 TDI (737F); -RUNTEST 100 TCK; -SDR 16 TDI (DBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FDF6); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7DC); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (EFAF); -RUNTEST 100 TCK; -SDR 16 TDI (BCFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7B7E); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF5D); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DEFF); -RUNTEST 100 TCK; -SDR 16 TDI (6BF9); -RUNTEST 100 TCK; -SDR 16 TDI (FBBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBDB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (6EBF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (6B77); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (BB5F); -RUNTEST 100 TCK; -SDR 16 TDI (FBF7); -RUNTEST 100 TCK; -SDR 16 TDI (7D7F); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FAFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FAFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFC); -RUNTEST 100 TCK; -SDR 16 TDI (BEFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (DFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFB7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (EFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF77); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7CBD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFE); -RUNTEST 100 TCK; -SDR 16 TDI (B96F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7D75); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFA); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBB); -RUNTEST 100 TCK; -SDR 16 TDI (F37F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEDF); -RUNTEST 100 TCK; -SDR 16 TDI (77FE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFF9); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B55F); -RUNTEST 100 TCK; -SDR 16 TDI (F7BF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (EFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFD); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DF4); -RUNTEST 100 TCK; -SDR 16 TDI (79FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (BF3A); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (BEFB); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBB); -RUNTEST 100 TCK; -SDR 16 TDI (77D9); -RUNTEST 100 TCK; -SDR 16 TDI (7BBF); -RUNTEST 100 TCK; -SDR 16 TDI (BEF3); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B55E); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFE); -RUNTEST 100 TCK; -SDR 16 TDI (BD9B); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (777F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDDF); -RUNTEST 100 TCK; -SDR 16 TDI (BD5F); -RUNTEST 100 TCK; -SDR 16 TDI (DF75); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AAAF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DEB); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFB7); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FF6); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7CFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (775B); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B6FF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (6CFB); -RUNTEST 100 TCK; -SDR 16 TDI (7DBF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (6DED); -RUNTEST 100 TCK; -SDR 16 TDI (F37F); -RUNTEST 100 TCK; -SDR 16 TDI (A77F); -RUNTEST 100 TCK; -SDR 16 TDI (CFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7F76); -RUNTEST 100 TCK; -SDR 16 TDI (BFF3); -RUNTEST 100 TCK; -SDR 16 TDI (B7FD); -RUNTEST 100 TCK; -SDR 16 TDI (3AFB); -RUNTEST 100 TCK; -SDR 16 TDI (7717); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF5); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEEC); -RUNTEST 100 TCK; -SDR 16 TDI (FE5F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFA); -RUNTEST 100 TCK; -SDR 16 TDI (7FFC); -RUNTEST 100 TCK; -SDR 16 TDI (B8BF); -RUNTEST 100 TCK; -SDR 16 TDI (77FB); -RUNTEST 100 TCK; -SDR 16 TDI (62EF); -RUNTEST 100 TCK; -SDR 16 TDI (FFCF); -RUNTEST 100 TCK; -SDR 16 TDI (ADBF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEB); -RUNTEST 100 TCK; -SDR 16 TDI (D45F); -RUNTEST 100 TCK; -SDR 16 TDI (BE5F); -RUNTEST 100 TCK; -SDR 16 TDI (566A); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (67F7); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (9FD7); -RUNTEST 100 TCK; -SDR 16 TDI (BFE3); -RUNTEST 100 TCK; -SDR 16 TDI (BBF5); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (5CFD); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (D71D); -RUNTEST 100 TCK; -SDR 16 TDI (78FD); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDAE); -RUNTEST 100 TCK; -SDR 16 TDI (EDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B99D); -RUNTEST 100 TCK; -SDR 16 TDI (69FC); -RUNTEST 100 TCK; -SDR 16 TDI (67C0); -RUNTEST 100 TCK; -SDR 16 TDI (0B9E); -RUNTEST 100 TCK; -SDR 16 TDI (B4A0); -RUNTEST 100 TCK; -SDR 16 TDI (03EE); -RUNTEST 100 TCK; -SDR 16 TDI (7580); -RUNTEST 100 TCK; -SDR 16 TDI (1302); -RUNTEST 100 TCK; -SDR 16 TDI (A01E); -RUNTEST 100 TCK; -SDR 16 TDI (1819); -RUNTEST 100 TCK; -SDR 16 TDI (70AF); -RUNTEST 100 TCK; -SDR 16 TDI (FC0F); -RUNTEST 100 TCK; -SDR 16 TDI (B079); -RUNTEST 100 TCK; -SDR 16 TDI (87F5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (ABFE); -RUNTEST 100 TCK; -SDR 16 TDI (E9C3); -RUNTEST 100 TCK; -SDR 16 TDI (67A0); -RUNTEST 100 TCK; -SDR 16 TDI (0BE0); -RUNTEST 100 TCK; -SDR 16 TDI (A0A0); -RUNTEST 100 TCK; -SDR 16 TDI (03D0); -RUNTEST 100 TCK; -SDR 16 TDI (7580); -RUNTEST 100 TCK; -SDR 16 TDI (1302); -RUNTEST 100 TCK; -SDR 16 TDI (B41B); -RUNTEST 100 TCK; -SDR 16 TDI (9F99); -RUNTEST 100 TCK; -SDR 16 TDI (70AB); -RUNTEST 100 TCK; -SDR 16 TDI (0C0F); -RUNTEST 100 TCK; -SDR 16 TDI (AAA9); -RUNTEST 100 TCK; -SDR 16 TDI (D07F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BD5D); -RUNTEST 100 TCK; -SDR 16 TDI (9283); -RUNTEST 100 TCK; -SDR 16 TDI (7FF0); -RUNTEST 100 TCK; -SDR 16 TDI (2C60); -RUNTEST 100 TCK; -SDR 16 TDI (AA40); -RUNTEST 100 TCK; -SDR 16 TDI (E3FF); -RUNTEST 100 TCK; -SDR 16 TDI (6C06); -RUNTEST 100 TCK; -SDR 16 TDI (30C7); -RUNTEST 100 TCK; -SDR 16 TDI (A47F); -RUNTEST 100 TCK; -SDR 16 TDI (E066); -RUNTEST 100 TCK; -SDR 16 TDI (610F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B86C); -RUNTEST 100 TCK; -SDR 16 TDI (84F5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (D2B0); -RUNTEST 100 TCK; -SDR 16 TDI (67F6); -RUNTEST 100 TCK; -SDR 16 TDI (0D86); -RUNTEST 100 TCK; -SDR 16 TDI (A040); -RUNTEST 100 TCK; -SDR 16 TDI (C3F0); -RUNTEST 100 TCK; -SDR 16 TDI (7C06); -RUNTEST 100 TCK; -SDR 16 TDI (10C1); -RUNTEST 100 TCK; -SDR 16 TDI (A07F); -RUNTEST 100 TCK; -SDR 16 TDI (9DE6); -RUNTEST 100 TCK; -SDR 16 TDI (6083); -RUNTEST 100 TCK; -SDR 16 TDI (3C0F); -RUNTEST 100 TCK; -SDR 16 TDI (BCC8); -RUNTEST 100 TCK; -SDR 16 TDI (07FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAA7); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (71EE); -RUNTEST 100 TCK; -SDR 16 TDI (2EEF); -RUNTEST 100 TCK; -SDR 16 TDI (B219); -RUNTEST 100 TCK; -SDR 16 TDI (31F1); -RUNTEST 100 TCK; -SDR 16 TDI (6286); -RUNTEST 100 TCK; -SDR 16 TDI (38CF); -RUNTEST 100 TCK; -SDR 16 TDI (B9AF); -RUNTEST 100 TCK; -SDR 16 TDI (9999); -RUNTEST 100 TCK; -SDR 16 TDI (71CF); -RUNTEST 100 TCK; -SDR 16 TDI (CEC7); -RUNTEST 100 TCK; -SDR 16 TDI (BA9C); -RUNTEST 100 TCK; -SDR 16 TDI (9BFE); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (1111); -RUNTEST 100 TCK; -SDR 16 TDI (6167); -RUNTEST 100 TCK; -SDR 16 TDI (6445); -RUNTEST 100 TCK; -SDR 16 TDI (B763); -RUNTEST 100 TCK; -SDR 16 TDI (36A9); -RUNTEST 100 TCK; -SDR 16 TDI (7677); -RUNTEST 100 TCK; -SDR 16 TDI (79DC); -RUNTEST 100 TCK; -SDR 16 TDI (BBA5); -RUNTEST 100 TCK; -SDR 16 TDI (19D9); -RUNTEST 100 TCK; -SDR 16 TDI (79DC); -RUNTEST 100 TCK; -SDR 16 TDI (DC9A); -RUNTEST 100 TCK; -SDR 16 TDI (B1CD); -RUNTEST 100 TCK; -SDR 16 TDI (D9FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (DDDD); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (FDDD); -RUNTEST 100 TCK; -SDR 16 TDI (BBDD); -RUNTEST 100 TCK; -SDR 16 TDI (BBEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FCF); -RUNTEST 100 TCK; -SDR 16 TDI (FEF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFC7); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFEF); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FDF7); -RUNTEST 100 TCK; -SDR 16 TDI (BF77); -RUNTEST 100 TCK; -SDR 16 TDI (EBFA); -RUNTEST 100 TCK; -SDR 16 TDI (7FEE); -RUNTEST 100 TCK; -SDR 16 TDI (DF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BEBF); -RUNTEST 100 TCK; -SDR 16 TDI (F7DF); -RUNTEST 100 TCK; -SDR 16 TDI (6DFB); -RUNTEST 100 TCK; -SDR 16 TDI (FEDF); -RUNTEST 100 TCK; -SDR 16 TDI (BD7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FF3F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7DF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DD7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FB7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (BBFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (EFDF); -RUNTEST 100 TCK; -SDR 16 TDI (6BF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77F7); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (EFFB); -RUNTEST 100 TCK; -SDR 16 TDI (77EF); -RUNTEST 100 TCK; -SDR 16 TDI (FF77); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; SDR 16 TDI (BDFF); RUNTEST 100 TCK; SDR 16 TDI (F7FF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BF7F); RUNTEST 100 TCK; -SDR 16 TDI (BBBF); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (DBED); -RUNTEST 100 TCK; -SDR 16 TDI (BD3F); -RUNTEST 100 TCK; -SDR 16 TDI (FEF7); -RUNTEST 100 TCK; -SDR 16 TDI (66FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FFFE); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFE); +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FF3); -RUNTEST 100 TCK; -SDR 16 TDI (F7FE); -RUNTEST 100 TCK; -SDR 16 TDI (BBDE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (EF7F); -RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FB7); +SDR 16 TDI (7FBF); RUNTEST 100 TCK; -SDR 16 TDI (EFBF); +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; @@ -2303,671 +359,79 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BDF7); RUNTEST 100 TCK; -SDR 16 TDI (7EEB); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (7DFF); RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (B77D); +SDR 16 TDI (EBFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (6EFE); -RUNTEST 100 TCK; -SDR 16 TDI (DF5F); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFB); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEB); -RUNTEST 100 TCK; -SDR 16 TDI (D7FB); -RUNTEST 100 TCK; -SDR 16 TDI (AFDF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF3); -RUNTEST 100 TCK; -SDR 16 TDI (F7FD); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (FF76); -RUNTEST 100 TCK; -SDR 16 TDI (7D7B); -RUNTEST 100 TCK; -SDR 16 TDI (EF5F); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAFF); -RUNTEST 100 TCK; -SDR 16 TDI (F9FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7B); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BDD); -RUNTEST 100 TCK; -SDR 16 TDI (F7FB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF6F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBE); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBEF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FB7B); -RUNTEST 100 TCK; -SDR 16 TDI (6FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (737F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEEF); -RUNTEST 100 TCK; -SDR 16 TDI (77FE); -RUNTEST 100 TCK; -SDR 16 TDI (DEEB); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6BBB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFA); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFAF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (EFF7); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (7DD5); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (B7DF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7EF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BB7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (DF3B); -RUNTEST 100 TCK; -SDR 16 TDI (B5FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (777F); -RUNTEST 100 TCK; -SDR 16 TDI (5FEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (6B7F); -RUNTEST 100 TCK; -SDR 16 TDI (FF5F); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (F5FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7BE); -RUNTEST 100 TCK; -SDR 16 TDI (FF7B); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FDB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (CBDE); -RUNTEST 100 TCK; -SDR 16 TDI (7DEB); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BCFB); -RUNTEST 100 TCK; -SDR 16 TDI (BF7D); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFB); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDDE); -RUNTEST 100 TCK; -SDR 16 TDI (BD3F); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEE); -RUNTEST 100 TCK; -SDR 16 TDI (FFEB); -RUNTEST 100 TCK; -SDR 16 TDI (BBDB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFD); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (6B7F); -RUNTEST 100 TCK; -SDR 16 TDI (FBF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD7D); -RUNTEST 100 TCK; -SDR 16 TDI (7B7F); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (EDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFBE); -RUNTEST 100 TCK; -SDR 16 TDI (EFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FF5F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (7DE0); -RUNTEST 100 TCK; -SDR 16 TDI (13F6); -RUNTEST 100 TCK; -SDR 16 TDI (AEFD); -RUNTEST 100 TCK; -SDR 16 TDI (4FFF); -RUNTEST 100 TCK; -SDR 16 TDI (6C97); -RUNTEST 100 TCK; -SDR 16 TDI (B3EF); -RUNTEST 100 TCK; -SDR 16 TDI (A77F); -RUNTEST 100 TCK; -SDR 16 TDI (F9F9); -RUNTEST 100 TCK; -SDR 16 TDI (7676); -RUNTEST 100 TCK; -SDR 16 TDI (FE6F); -RUNTEST 100 TCK; -SDR 16 TDI (BBE9); -RUNTEST 100 TCK; -SDR 16 TDI (33FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEDF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (B5F2); -RUNTEST 100 TCK; -SDR 16 TDI (FFFC); -RUNTEST 100 TCK; -SDR 16 TDI (7F7B); -RUNTEST 100 TCK; -SDR 16 TDI (DEDA); -RUNTEST 100 TCK; -SDR 16 TDI (BCFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7EF); -RUNTEST 100 TCK; -SDR 16 TDI (7FCF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (CD6A); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (677F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF5F); -RUNTEST 100 TCK; -SDR 16 TDI (BF2F); -RUNTEST 100 TCK; -SDR 16 TDI (F7F3); -RUNTEST 100 TCK; -SDR 16 TDI (73FD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (BF9E); -RUNTEST 100 TCK; -SDR 16 TDI (CE1E); -RUNTEST 100 TCK; -SDR 16 TDI (69BB); -RUNTEST 100 TCK; -SDR 16 TDI (2F9F); -RUNTEST 100 TCK; -SDR 16 TDI (BC9F); -RUNTEST 100 TCK; SDR 16 TDI (FEFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BBAD); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (69FC); +SDR 16 TDI (FFFE); RUNTEST 100 TCK; -SDR 16 TDI (67C2); -RUNTEST 100 TCK; -SDR 16 TDI (0B9E); -RUNTEST 100 TCK; -SDR 16 TDI (A130); -RUNTEST 100 TCK; -SDR 16 TDI (EFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7404); -RUNTEST 100 TCK; -SDR 16 TDI (BC00); -RUNTEST 100 TCK; -SDR 16 TDI (B17F); -RUNTEST 100 TCK; -SDR 16 TDI (0100); -RUNTEST 100 TCK; -SDR 16 TDI (6888); -RUNTEST 100 TCK; -SDR 16 TDI (5C1F); -RUNTEST 100 TCK; -SDR 16 TDI (B768); -RUNTEST 100 TCK; -SDR 16 TDI (0075); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); +SDR 16 TDI (6FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BF5E); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (E9C3); -RUNTEST 100 TCK; -SDR 16 TDI (67A0); -RUNTEST 100 TCK; -SDR 16 TDI (0BE0); -RUNTEST 100 TCK; -SDR 16 TDI (A3F0); -RUNTEST 100 TCK; -SDR 16 TDI (8FDE); -RUNTEST 100 TCK; -SDR 16 TDI (78A7); -RUNTEST 100 TCK; -SDR 16 TDI (BA00); -RUNTEST 100 TCK; -SDR 16 TDI (A11E); -RUNTEST 100 TCK; -SDR 16 TDI (8002); -RUNTEST 100 TCK; -SDR 16 TDI (6000); -RUNTEST 100 TCK; -SDR 16 TDI (5C2F); -RUNTEST 100 TCK; -SDR 16 TDI (AF08); -RUNTEST 100 TCK; -SDR 16 TDI (10FF); +SDR 16 TDI (F7FF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (B5FD); -RUNTEST 100 TCK; -SDR 16 TDI (9283); -RUNTEST 100 TCK; -SDR 16 TDI (7FE0); -RUNTEST 100 TCK; -SDR 16 TDI (4C61); -RUNTEST 100 TCK; -SDR 16 TDI (A538); -RUNTEST 100 TCK; -SDR 16 TDI (EFF3); -RUNTEST 100 TCK; -SDR 16 TDI (6066); -RUNTEST 100 TCK; -SDR 16 TDI (1CC8); -RUNTEST 100 TCK; -SDR 16 TDI (B19F); -RUNTEST 100 TCK; -SDR 16 TDI (C208); -RUNTEST 100 TCK; -SDR 16 TDI (6078); -RUNTEST 100 TCK; -SDR 16 TDI (BC6F); -RUNTEST 100 TCK; -SDR 16 TDI (BF99); -RUNTEST 100 TCK; -SDR 16 TDI (F875); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BDFE); +SDR 16 TDI (7DDF); RUNTEST 100 TCK; -SDR 16 TDI (D2B0); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (67E0); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (0D86); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (A338); +SDR 16 TDI (7FBF); RUNTEST 100 TCK; -SDR 16 TDI (2FFC); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (6126); +SDR 16 TDI (BDFF); RUNTEST 100 TCK; -SDR 16 TDI (19C8); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (A19F); +SDR 16 TDI (6FFF); RUNTEST 100 TCK; -SDR 16 TDI (E00A); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (70F0); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (9C1F); -RUNTEST 100 TCK; -SDR 16 TDI (BF98); -RUNTEST 100 TCK; -SDR 16 TDI (787F); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BA3F); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (71E6); -RUNTEST 100 TCK; -SDR 16 TDI (6EEF); -RUNTEST 100 TCK; -SDR 16 TDI (B333); -RUNTEST 100 TCK; -SDR 16 TDI (75F3); -RUNTEST 100 TCK; -SDR 16 TDI (6E7F); -RUNTEST 100 TCK; -SDR 16 TDI (7EE8); -RUNTEST 100 TCK; -SDR 16 TDI (B9CF); -RUNTEST 100 TCK; -SDR 16 TDI (D98B); -RUNTEST 100 TCK; -SDR 16 TDI (71E8); -RUNTEST 100 TCK; -SDR 16 TDI (8E83); -RUNTEST 100 TCK; -SDR 16 TDI (B998); -RUNTEST 100 TCK; -SDR 16 TDI (89FA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (BEF7); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BD7F); +SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (1111); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (6177); +SDR 16 TDI (BF7F); RUNTEST 100 TCK; -SDR 16 TDI (2445); -RUNTEST 100 TCK; -SDR 16 TDI (B777); -RUNTEST 100 TCK; -SDR 16 TDI (74AB); -RUNTEST 100 TCK; -SDR 16 TDI (6767); -RUNTEST 100 TCK; -SDR 16 TDI (7CCD); -RUNTEST 100 TCK; -SDR 16 TDI (BB85); -RUNTEST 100 TCK; -SDR 16 TDI (5DD9); -RUNTEST 100 TCK; -SDR 16 TDI (7BCD); -RUNTEST 100 TCK; -SDR 16 TDI (DCD2); -RUNTEST 100 TCK; -SDR 16 TDI (B5DD); -RUNTEST 100 TCK; -SDR 16 TDI (D9FF); +SDR 16 TDI (FFDF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; @@ -2975,27 +439,771 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFD); RUNTEST 100 TCK; -SDR 16 TDI (DDDD); +SDR 16 TDI (FFFE); RUNTEST 100 TCK; -SDR 16 TDI (7FCF); +SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FDDD); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BBBB); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (FFE7); +SDR 16 TDI (FFF7); RUNTEST 100 TCK; -SDR 16 TDI (7EFF); +SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (F7FF); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BCEF); +SDR 16 TDI (BFDF); RUNTEST 100 TCK; -SDR 16 TDI (3FFF); +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (77FF); RUNTEST 100 TCK; -SDR 16 TDI (E7FF); +SDR 16 TDI (FF7E); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (A7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7B7B); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FBF); +RUNTEST 100 TCK; +SDR 16 TDI (E7AF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (AFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77B7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9AA); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (AF6B); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (AFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FDBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (DDF5); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAAF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFDD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDD); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (CFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBAF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (3F73); +RUNTEST 100 TCK; +SDR 16 TDI (BBFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D9BD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFC); +RUNTEST 100 TCK; +SDR 16 TDI (ECFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (FF13); +RUNTEST 100 TCK; +SDR 16 TDI (AB5B); +RUNTEST 100 TCK; +SDR 16 TDI (9F7D); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (F4DD); +RUNTEST 100 TCK; +SDR 16 TDI (A99F); +RUNTEST 100 TCK; +SDR 16 TDI (E7F7); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B9BF); +RUNTEST 100 TCK; +SDR 16 TDI (BF3F); +RUNTEST 100 TCK; +SDR 16 TDI (6FF2); +RUNTEST 100 TCK; +SDR 16 TDI (FEEE); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD8F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3EF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFE); +RUNTEST 100 TCK; +SDR 16 TDI (767F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7B5); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF22); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (9FFC); +RUNTEST 100 TCK; +SDR 16 TDI (74FF); +RUNTEST 100 TCK; +SDR 16 TDI (CC5E); +RUNTEST 100 TCK; +SDR 16 TDI (B7B9); +RUNTEST 100 TCK; +SDR 16 TDI (D9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B76C); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (9E80); +RUNTEST 100 TCK; +SDR 16 TDI (A700); +RUNTEST 100 TCK; +SDR 16 TDI (1BE9); +RUNTEST 100 TCK; +SDR 16 TDI (78B9); +RUNTEST 100 TCK; +SDR 16 TDI (F00F); +RUNTEST 100 TCK; +SDR 16 TDI (A1FF); +RUNTEST 100 TCK; +SDR 16 TDI (2800); +RUNTEST 100 TCK; +SDR 16 TDI (60FF); +RUNTEST 100 TCK; +SDR 16 TDI (AF8F); +RUNTEST 100 TCK; +SDR 16 TDI (A004); +RUNTEST 100 TCK; +SDR 16 TDI (7875); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDC); +RUNTEST 100 TCK; +SDR 16 TDI (3FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FAA); +RUNTEST 100 TCK; +SDR 16 TDI (7EA0); +RUNTEST 100 TCK; +SDR 16 TDI (A300); +RUNTEST 100 TCK; +SDR 16 TDI (23F9); +RUNTEST 100 TCK; +SDR 16 TDI (7EBE); +RUNTEST 100 TCK; +SDR 16 TDI (102D); +RUNTEST 100 TCK; +SDR 16 TDI (A1FF); +RUNTEST 100 TCK; +SDR 16 TDI (A858); +RUNTEST 100 TCK; +SDR 16 TDI (60FF); +RUNTEST 100 TCK; +SDR 16 TDI (A08D); +RUNTEST 100 TCK; +SDR 16 TDI (B800); +RUNTEST 100 TCK; +SDR 16 TDI (007F); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B77C); +RUNTEST 100 TCK; +SDR 16 TDI (FCFC); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (9E00); +RUNTEST 100 TCK; +SDR 16 TDI (A0E3); +RUNTEST 100 TCK; +SDR 16 TDI (17FE); +RUNTEST 100 TCK; +SDR 16 TDI (6646); +RUNTEST 100 TCK; +SDR 16 TDI (1C06); +RUNTEST 100 TCK; +SDR 16 TDI (A19F); +RUNTEST 100 TCK; +SDR 16 TDI (D804); +RUNTEST 100 TCK; +SDR 16 TDI (78FF); +RUNTEST 100 TCK; +SDR 16 TDI (57BF); +RUNTEST 100 TCK; +SDR 16 TDI (B998); +RUNTEST 100 TCK; +SDR 16 TDI (00F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEC); +RUNTEST 100 TCK; +SDR 16 TDI (3CFC); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7E04); +RUNTEST 100 TCK; +SDR 16 TDI (A0C0); +RUNTEST 100 TCK; +SDR 16 TDI (23FE); +RUNTEST 100 TCK; +SDR 16 TDI (7858); +RUNTEST 100 TCK; +SDR 16 TDI (700F); +RUNTEST 100 TCK; +SDR 16 TDI (B99F); +RUNTEST 100 TCK; +SDR 16 TDI (C878); +RUNTEST 100 TCK; +SDR 16 TDI (60FF); +RUNTEST 100 TCK; +SDR 16 TDI (588F); +RUNTEST 100 TCK; +SDR 16 TDI (B998); +RUNTEST 100 TCK; +SDR 16 TDI (787F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B8AF); +RUNTEST 100 TCK; +SDR 16 TDI (33B3); +RUNTEST 100 TCK; +SDR 16 TDI (63EE); +RUNTEST 100 TCK; +SDR 16 TDI (E6E7); +RUNTEST 100 TCK; +SDR 16 TDI (A323); +RUNTEST 100 TCK; +SDR 16 TDI (39F7); +RUNTEST 100 TCK; +SDR 16 TDI (6EEE); +RUNTEST 100 TCK; +SDR 16 TDI (FEEC); +RUNTEST 100 TCK; +SDR 16 TDI (BD8F); +RUNTEST 100 TCK; +SDR 16 TDI (9989); +RUNTEST 100 TCK; +SDR 16 TDI (77CC); +RUNTEST 100 TCK; +SDR 16 TDI (CCE7); +RUNTEST 100 TCK; +SDR 16 TDI (B999); +RUNTEST 100 TCK; +SDR 16 TDI (99FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (7737); +RUNTEST 100 TCK; +SDR 16 TDI (6946); +RUNTEST 100 TCK; +SDR 16 TDI (7673); +RUNTEST 100 TCK; +SDR 16 TDI (B736); +RUNTEST 100 TCK; +SDR 16 TDI (70A2); +RUNTEST 100 TCK; +SDR 16 TDI (6444); +RUNTEST 100 TCK; +SDR 16 TDI (5CCD); +RUNTEST 100 TCK; +SDR 16 TDI (B9B5); +RUNTEST 100 TCK; +SDR 16 TDI (19DD); +RUNTEST 100 TCK; +SDR 16 TDI (73DD); +RUNTEST 100 TCK; +SDR 16 TDI (CCC2); +RUNTEST 100 TCK; +SDR 16 TDI (B5DC); +RUNTEST 100 TCK; +SDR 16 TDI (DCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77DF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFE); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (7F3B); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF73); RUNTEST 100 TCK; SDR 16 TDI (B3BF); RUNTEST 100 TCK; @@ -3007,27 +1215,11 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (BF7D); +SDR 16 TDI (FDF7); RUNTEST 100 TCK; -SDR 16 TDI (7FEF); +SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6DFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7DB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (CDDB); -RUNTEST 100 TCK; -SDR 16 TDI (7F67); -RUNTEST 100 TCK; -SDR 16 TDI (DBFF); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BEFF); RUNTEST 100 TCK; @@ -3035,1887 +1227,527 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (F77B); -RUNTEST 100 TCK; -SDR 16 TDI (B5DF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF6); -RUNTEST 100 TCK; -SDR 16 TDI (7FDE); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFD); -RUNTEST 100 TCK; -SDR 16 TDI (FF6F); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B5DD); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (73BF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7E); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7E); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (F57F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDE7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFDB); -RUNTEST 100 TCK; -SDR 16 TDI (7BE7); -RUNTEST 100 TCK; -SDR 16 TDI (D7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BEDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (F7FB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (DD37); -RUNTEST 100 TCK; -SDR 16 TDI (7DF5); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7DEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (7F3F); -RUNTEST 100 TCK; -SDR 16 TDI (AFF7); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDD); -RUNTEST 100 TCK; -SDR 16 TDI (77D7); -RUNTEST 100 TCK; -SDR 16 TDI (77FD); -RUNTEST 100 TCK; -SDR 16 TDI (EFDF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6BEF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF3); -RUNTEST 100 TCK; -SDR 16 TDI (67FF); -RUNTEST 100 TCK; -SDR 16 TDI (FEEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (DBFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7B7); -RUNTEST 100 TCK; -SDR 16 TDI (FBF7); -RUNTEST 100 TCK; -SDR 16 TDI (7EDB); -RUNTEST 100 TCK; -SDR 16 TDI (DF77); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (CB5F); -RUNTEST 100 TCK; -SDR 16 TDI (7EF5); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (F9FB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BA7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6DEC); -RUNTEST 100 TCK; -SDR 16 TDI (EDE7); -RUNTEST 100 TCK; -SDR 16 TDI (B5FF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (7B9F); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF6); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEE); -RUNTEST 100 TCK; -SDR 16 TDI (BEFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (BB7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF77); -RUNTEST 100 TCK; -SDR 16 TDI (6EF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (B7EF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFB7); -RUNTEST 100 TCK; -SDR 16 TDI (7BF6); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FEBB); -RUNTEST 100 TCK; -SDR 16 TDI (77FE); -RUNTEST 100 TCK; -SDR 16 TDI (DBFB); -RUNTEST 100 TCK; -SDR 16 TDI (BD7F); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (6EFB); -RUNTEST 100 TCK; -SDR 16 TDI (55AB); -RUNTEST 100 TCK; -SDR 16 TDI (B3FF); -RUNTEST 100 TCK; -SDR 16 TDI (FB7A); -RUNTEST 100 TCK; -SDR 16 TDI (7D6F); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFB6); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDAD); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFE); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFD9); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFC); -RUNTEST 100 TCK; -SDR 16 TDI (FFB9); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (6E77); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BEF5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF33); -RUNTEST 100 TCK; -SDR 16 TDI (B5FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7EDF); -RUNTEST 100 TCK; -SDR 16 TDI (B5FF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (F5FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7EDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B65E); -RUNTEST 100 TCK; -SDR 16 TDI (FF7B); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (E7FA); -RUNTEST 100 TCK; -SDR 16 TDI (73AF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (3FFD); -RUNTEST 100 TCK; -SDR 16 TDI (7BEB); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (F7F5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (B76B); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FEFE); -RUNTEST 100 TCK; -SDR 16 TDI (757F); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF3); -RUNTEST 100 TCK; -SDR 16 TDI (7B6A); -RUNTEST 100 TCK; -SDR 16 TDI (75FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (B99D); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7775); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7DF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FD6F); -RUNTEST 100 TCK; -SDR 16 TDI (AEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7DED); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFB); -RUNTEST 100 TCK; -SDR 16 TDI (5BFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (AABF); -RUNTEST 100 TCK; -SDR 16 TDI (FCFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7BF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF75); -RUNTEST 100 TCK; -SDR 16 TDI (6DEC); -RUNTEST 100 TCK; -SDR 16 TDI (B3F6); -RUNTEST 100 TCK; -SDR 16 TDI (A7D3); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FB1); -RUNTEST 100 TCK; -SDR 16 TDI (93C1); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FF3E); -RUNTEST 100 TCK; -SDR 16 TDI (73C3); -RUNTEST 100 TCK; -SDR 16 TDI (3FBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (5BFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B3FC); -RUNTEST 100 TCK; -SDR 16 TDI (7EDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (D7FC); -RUNTEST 100 TCK; -SDR 16 TDI (73FF); -RUNTEST 100 TCK; -SDR 16 TDI (FC3F); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (E6FD); -RUNTEST 100 TCK; -SDR 16 TDI (7EFD); -RUNTEST 100 TCK; -SDR 16 TDI (E6EF); -RUNTEST 100 TCK; -SDR 16 TDI (BCE9); -RUNTEST 100 TCK; -SDR 16 TDI (BCEA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (E7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF3); -RUNTEST 100 TCK; -SDR 16 TDI (5F5F); -RUNTEST 100 TCK; -SDR 16 TDI (B8BC); -RUNTEST 100 TCK; -SDR 16 TDI (FBEB); -RUNTEST 100 TCK; -SDR 16 TDI (6C4E); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (A11B); -RUNTEST 100 TCK; -SDR 16 TDI (D9DF); -RUNTEST 100 TCK; -SDR 16 TDI (6D3F); -RUNTEST 100 TCK; -SDR 16 TDI (D95F); -RUNTEST 100 TCK; -SDR 16 TDI (B75F); -RUNTEST 100 TCK; -SDR 16 TDI (E77F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BE5D); -RUNTEST 100 TCK; -SDR 16 TDI (69FC); -RUNTEST 100 TCK; -SDR 16 TDI (67C0); -RUNTEST 100 TCK; -SDR 16 TDI (0B9E); -RUNTEST 100 TCK; -SDR 16 TDI (A320); -RUNTEST 100 TCK; -SDR 16 TDI (03C0); -RUNTEST 100 TCK; -SDR 16 TDI (60A2); -RUNTEST 100 TCK; -SDR 16 TDI (5E39); -RUNTEST 100 TCK; -SDR 16 TDI (B997); -RUNTEST 100 TCK; -SDR 16 TDI (6084); -RUNTEST 100 TCK; -SDR 16 TDI (7CB5); -RUNTEST 100 TCK; -SDR 16 TDI (F48F); -RUNTEST 100 TCK; -SDR 16 TDI (A49F); -RUNTEST 100 TCK; -SDR 16 TDI (E3F5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAFE); -RUNTEST 100 TCK; -SDR 16 TDI (E9C3); -RUNTEST 100 TCK; -SDR 16 TDI (67A0); -RUNTEST 100 TCK; -SDR 16 TDI (0BE0); -RUNTEST 100 TCK; -SDR 16 TDI (A382); -RUNTEST 100 TCK; -SDR 16 TDI (03D0); -RUNTEST 100 TCK; -SDR 16 TDI (62E0); -RUNTEST 100 TCK; -SDR 16 TDI (1201); -RUNTEST 100 TCK; -SDR 16 TDI (A01F); -RUNTEST 100 TCK; -SDR 16 TDI (8884); -RUNTEST 100 TCK; -SDR 16 TDI (6080); -RUNTEST 100 TCK; -SDR 16 TDI (F43F); -RUNTEST 100 TCK; -SDR 16 TDI (AC1E); -RUNTEST 100 TCK; -SDR 16 TDI (897F); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF6D); -RUNTEST 100 TCK; -SDR 16 TDI (9283); -RUNTEST 100 TCK; -SDR 16 TDI (7FF0); -RUNTEST 100 TCK; -SDR 16 TDI (0C61); -RUNTEST 100 TCK; -SDR 16 TDI (A32C); -RUNTEST 100 TCK; -SDR 16 TDI (23F9); -RUNTEST 100 TCK; -SDR 16 TDI (6000); -RUNTEST 100 TCK; -SDR 16 TDI (7CDB); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (E7E6); -RUNTEST 100 TCK; -SDR 16 TDI (7BCF); -RUNTEST 100 TCK; -SDR 16 TDI (0F8F); -RUNTEST 100 TCK; -SDR 16 TDI (BEBF); -RUNTEST 100 TCK; -SDR 16 TDI (F975); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDE); -RUNTEST 100 TCK; -SDR 16 TDI (D2B0); -RUNTEST 100 TCK; -SDR 16 TDI (67F6); -RUNTEST 100 TCK; -SDR 16 TDI (4D86); -RUNTEST 100 TCK; -SDR 16 TDI (A37E); -RUNTEST 100 TCK; -SDR 16 TDI (33F0); -RUNTEST 100 TCK; -SDR 16 TDI (6000); -RUNTEST 100 TCK; -SDR 16 TDI (7CD3); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (EE66); -RUNTEST 100 TCK; -SDR 16 TDI (6BC9); -RUNTEST 100 TCK; -SDR 16 TDI (49FF); -RUNTEST 100 TCK; -SDR 16 TDI (BE3C); -RUNTEST 100 TCK; -SDR 16 TDI (897F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BE27); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (71EE); -RUNTEST 100 TCK; -SDR 16 TDI (6EEF); -RUNTEST 100 TCK; -SDR 16 TDI (A3BB); -RUNTEST 100 TCK; -SDR 16 TDI (B1F3); -RUNTEST 100 TCK; -SDR 16 TDI (66E6); -RUNTEST 100 TCK; -SDR 16 TDI (7CCF); -RUNTEST 100 TCK; -SDR 16 TDI (B98F); -RUNTEST 100 TCK; -SDR 16 TDI (D99F); -RUNTEST 100 TCK; -SDR 16 TDI (73EE); -RUNTEST 100 TCK; -SDR 16 TDI (8EC7); -RUNTEST 100 TCK; -SDR 16 TDI (BDD9); -RUNTEST 100 TCK; -SDR 16 TDI (99EB); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF77); -RUNTEST 100 TCK; -SDR 16 TDI (1111); -RUNTEST 100 TCK; -SDR 16 TDI (6167); -RUNTEST 100 TCK; -SDR 16 TDI (6445); -RUNTEST 100 TCK; -SDR 16 TDI (A777); -RUNTEST 100 TCK; -SDR 16 TDI (74A9); -RUNTEST 100 TCK; -SDR 16 TDI (7277); -RUNTEST 100 TCK; -SDR 16 TDI (3CDC); -RUNTEST 100 TCK; -SDR 16 TDI (BB25); -RUNTEST 100 TCK; -SDR 16 TDI (1DD9); -RUNTEST 100 TCK; -SDR 16 TDI (7BCC); -RUNTEST 100 TCK; -SDR 16 TDI (DC92); -RUNTEST 100 TCK; -SDR 16 TDI (B5CC); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDD); -RUNTEST 100 TCK; -SDR 16 TDI (DDDD); -RUNTEST 100 TCK; -SDR 16 TDI (7FDE); -RUNTEST 100 TCK; -SDR 16 TDI (FDDD); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (F8FF); -RUNTEST 100 TCK; -SDR 16 TDI (6FCE); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BDEF); -RUNTEST 100 TCK; -SDR 16 TDI (77BF); -RUNTEST 100 TCK; -SDR 16 TDI (7F77); -RUNTEST 100 TCK; -SDR 16 TDI (E7F7); -RUNTEST 100 TCK; -SDR 16 TDI (B3BB); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAEE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEDF); -RUNTEST 100 TCK; -SDR 16 TDI (B7EF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (DF6D); -RUNTEST 100 TCK; -SDR 16 TDI (6B5F); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBDE); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF77); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FD); -RUNTEST 100 TCK; -SDR 16 TDI (BE7D); -RUNTEST 100 TCK; -SDR 16 TDI (EFFB); -RUNTEST 100 TCK; -SDR 16 TDI (76EB); -RUNTEST 100 TCK; -SDR 16 TDI (F7DE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EBDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (BBDF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7B); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (76E5); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (B7DE); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFAB); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (D6BF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FAFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DF5E); -RUNTEST 100 TCK; -SDR 16 TDI (7B7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDE); -RUNTEST 100 TCK; -SDR 16 TDI (F5FB); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FEF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FF3); -RUNTEST 100 TCK; -SDR 16 TDI (FECD); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EF7); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (CF77); -RUNTEST 100 TCK; -SDR 16 TDI (777F); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFB); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (75BF); -RUNTEST 100 TCK; -SDR 16 TDI (AB7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (B7DF); -RUNTEST 100 TCK; -SDR 16 TDI (77FD); -RUNTEST 100 TCK; -SDR 16 TDI (EFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (677E); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; SDR 16 TDI (FDF7); RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FF37); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (EBF7); -RUNTEST 100 TCK; -SDR 16 TDI (6ACF); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (ADF7); -RUNTEST 100 TCK; -SDR 16 TDI (77FB); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDD); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (75BF); -RUNTEST 100 TCK; -SDR 16 TDI (B7DF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FDE); -RUNTEST 100 TCK; -SDR 16 TDI (DE6F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBBF); -RUNTEST 100 TCK; -SDR 16 TDI (79BF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (EDD7); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AEBE); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FF3); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF3); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (D757); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F4EF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7B); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (77DF); -RUNTEST 100 TCK; -SDR 16 TDI (D77B); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FE); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FBE); -RUNTEST 100 TCK; -SDR 16 TDI (B6DE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF5); -RUNTEST 100 TCK; -SDR 16 TDI (7DEF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDAD); -RUNTEST 100 TCK; -SDR 16 TDI (77BF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AE5F); -RUNTEST 100 TCK; -SDR 16 TDI (EBF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (DFDB); -RUNTEST 100 TCK; -SDR 16 TDI (BAB7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (ABDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (ABFD); -RUNTEST 100 TCK; SDR 16 TDI (FFDF); RUNTEST 100 TCK; -SDR 16 TDI (77FB); -RUNTEST 100 TCK; -SDR 16 TDI (FAEF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7F77); -RUNTEST 100 TCK; -SDR 16 TDI (FFF9); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BB77); -RUNTEST 100 TCK; -SDR 16 TDI (7ADB); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); +SDR 16 TDI (6F7D); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (AF5F); +SDR 16 TDI (BCFF); RUNTEST 100 TCK; -SDR 16 TDI (9FAE); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FF7); +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (EFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (F7FB); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (77BB); +SDR 16 TDI (6FEB); RUNTEST 100 TCK; -SDR 16 TDI (FEDF); +SDR 16 TDI (DBFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (FF7D); +SDR 16 TDI (FFFD); RUNTEST 100 TCK; SDR 16 TDI (7FFB); RUNTEST 100 TCK; -SDR 16 TDI (EEFE); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFE); RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (A5FE); -RUNTEST 100 TCK; -SDR 16 TDI (FE7F); -RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (AE7F); -RUNTEST 100 TCK; -SDR 16 TDI (EFF6); -RUNTEST 100 TCK; -SDR 16 TDI (7B6F); -RUNTEST 100 TCK; -SDR 16 TDI (BFDD); -RUNTEST 100 TCK; -SDR 16 TDI (BF7D); -RUNTEST 100 TCK; -SDR 16 TDI (F5FF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEA); -RUNTEST 100 TCK; -SDR 16 TDI (75FF); -RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (6BFD); -RUNTEST 100 TCK; -SDR 16 TDI (FD57); -RUNTEST 100 TCK; -SDR 16 TDI (9FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FA77); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFAA); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (FDB7); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (B7E7); -RUNTEST 100 TCK; -SDR 16 TDI (D7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFB); -RUNTEST 100 TCK; -SDR 16 TDI (DB7F); -RUNTEST 100 TCK; -SDR 16 TDI (BEDF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7F5E); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BD25); -RUNTEST 100 TCK; -SDR 16 TDI (4DEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BA5B); -RUNTEST 100 TCK; -SDR 16 TDI (E7F5); -RUNTEST 100 TCK; -SDR 16 TDI (7D5B); -RUNTEST 100 TCK; -SDR 16 TDI (F5FF); -RUNTEST 100 TCK; -SDR 16 TDI (9E9D); -RUNTEST 100 TCK; -SDR 16 TDI (9FD7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFA); -RUNTEST 100 TCK; -SDR 16 TDI (DE7D); -RUNTEST 100 TCK; -SDR 16 TDI (BEFE); +SDR 16 TDI (BDFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (DEF7); RUNTEST 100 TCK; -SDR 16 TDI (B5FC); -RUNTEST 100 TCK; -SDR 16 TDI (67FA); -RUNTEST 100 TCK; -SDR 16 TDI (77F9); -RUNTEST 100 TCK; -SDR 16 TDI (4AE3); -RUNTEST 100 TCK; -SDR 16 TDI (ADF7); -RUNTEST 100 TCK; -SDR 16 TDI (DF7F); -RUNTEST 100 TCK; -SDR 16 TDI (56EF); -RUNTEST 100 TCK; -SDR 16 TDI (7E82); -RUNTEST 100 TCK; -SDR 16 TDI (9BFF); -RUNTEST 100 TCK; -SDR 16 TDI (F0EB); -RUNTEST 100 TCK; -SDR 16 TDI (5B97); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7B); -RUNTEST 100 TCK; -SDR 16 TDI (57FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DEDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (F51E); -RUNTEST 100 TCK; -SDR 16 TDI (B7AF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFA); -RUNTEST 100 TCK; -SDR 16 TDI (6BB5); -RUNTEST 100 TCK; -SDR 16 TDI (9B7D); -RUNTEST 100 TCK; -SDR 16 TDI (A77F); -RUNTEST 100 TCK; -SDR 16 TDI (EF3C); -RUNTEST 100 TCK; -SDR 16 TDI (4E6D); -RUNTEST 100 TCK; -SDR 16 TDI (F5FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (8F5F); -RUNTEST 100 TCK; -SDR 16 TDI (002E); -RUNTEST 100 TCK; -SDR 16 TDI (5FCF); -RUNTEST 100 TCK; -SDR 16 TDI (EFBA); -RUNTEST 100 TCK; -SDR 16 TDI (A36B); -RUNTEST 100 TCK; -SDR 16 TDI (F7EC); -RUNTEST 100 TCK; -SDR 16 TDI (602B); -RUNTEST 100 TCK; -SDR 16 TDI (9970); -RUNTEST 100 TCK; -SDR 16 TDI (8A77); -RUNTEST 100 TCK; -SDR 16 TDI (084C); -RUNTEST 100 TCK; -SDR 16 TDI (7041); -RUNTEST 100 TCK; -SDR 16 TDI (A06F); -RUNTEST 100 TCK; -SDR 16 TDI (A4E2); -RUNTEST 100 TCK; -SDR 16 TDI (E7EC); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (A7FE); -RUNTEST 100 TCK; -SDR 16 TDI (8022); -RUNTEST 100 TCK; -SDR 16 TDI (47A5); -RUNTEST 100 TCK; -SDR 16 TDI (E422); -RUNTEST 100 TCK; -SDR 16 TDI (AB2B); -RUNTEST 100 TCK; -SDR 16 TDI (F2F0); -RUNTEST 100 TCK; -SDR 16 TDI (6A0B); -RUNTEST 100 TCK; -SDR 16 TDI (91F4); -RUNTEST 100 TCK; -SDR 16 TDI (881B); -RUNTEST 100 TCK; -SDR 16 TDI (8944); -RUNTEST 100 TCK; -SDR 16 TDI (4040); -RUNTEST 100 TCK; -SDR 16 TDI (A08F); -RUNTEST 100 TCK; -SDR 16 TDI (BCFA); -RUNTEST 100 TCK; -SDR 16 TDI (F86F); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9D5F); -RUNTEST 100 TCK; -SDR 16 TDI (022E); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (F7BA); -RUNTEST 100 TCK; -SDR 16 TDI (A28F); -RUNTEST 100 TCK; -SDR 16 TDI (EBFB); -RUNTEST 100 TCK; -SDR 16 TDI (6410); -RUNTEST 100 TCK; -SDR 16 TDI (1EB0); -RUNTEST 100 TCK; -SDR 16 TDI (AFBF); -RUNTEST 100 TCK; -SDR 16 TDI (F86B); -RUNTEST 100 TCK; -SDR 16 TDI (7040); -RUNTEST 100 TCK; -SDR 16 TDI (6C5F); -RUNTEST 100 TCK; -SDR 16 TDI (9F19); -RUNTEST 100 TCK; -SDR 16 TDI (187D); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFE); -RUNTEST 100 TCK; -SDR 16 TDI (6422); -RUNTEST 100 TCK; -SDR 16 TDI (67E4); -RUNTEST 100 TCK; -SDR 16 TDI (0422); -RUNTEST 100 TCK; -SDR 16 TDI (A203); -RUNTEST 100 TCK; -SDR 16 TDI (E3FB); -RUNTEST 100 TCK; -SDR 16 TDI (7213); -RUNTEST 100 TCK; -SDR 16 TDI (36F0); -RUNTEST 100 TCK; -SDR 16 TDI (8BBF); -RUNTEST 100 TCK; -SDR 16 TDI (E063); -RUNTEST 100 TCK; -SDR 16 TDI (6FD0); -RUNTEST 100 TCK; -SDR 16 TDI (608F); -RUNTEST 100 TCK; -SDR 16 TDI (BF61); -RUNTEST 100 TCK; -SDR 16 TDI (61BF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BA3B); -RUNTEST 100 TCK; -SDR 16 TDI (71EE); -RUNTEST 100 TCK; -SDR 16 TDI (EEEF); -RUNTEST 100 TCK; -SDR 16 TDI (A123); -RUNTEST 100 TCK; -SDR 16 TDI (11F3); -RUNTEST 100 TCK; -SDR 16 TDI (484D); -RUNTEST 100 TCK; -SDR 16 TDI (3888); -RUNTEST 100 TCK; -SDR 16 TDI (938F); -RUNTEST 100 TCK; -SDR 16 TDI (B888); -RUNTEST 100 TCK; -SDR 16 TDI (50CC); -RUNTEST 100 TCK; -SDR 16 TDI (CCE7); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (BBEA); -RUNTEST 100 TCK; -SDR 16 TDI (67FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (97FF); -RUNTEST 100 TCK; -SDR 16 TDI (3373); -RUNTEST 100 TCK; -SDR 16 TDI (6146); -RUNTEST 100 TCK; -SDR 16 TDI (6667); -RUNTEST 100 TCK; -SDR 16 TDI (B737); -RUNTEST 100 TCK; -SDR 16 TDI (72A9); -RUNTEST 100 TCK; -SDR 16 TDI (6623); -RUNTEST 100 TCK; -SDR 16 TDI (7DD9); -RUNTEST 100 TCK; -SDR 16 TDI (BBB5); -RUNTEST 100 TCK; -SDR 16 TDI (1CDD); -RUNTEST 100 TCK; -SDR 16 TDI (73C9); -RUNTEST 100 TCK; -SDR 16 TDI (C9C2); -RUNTEST 100 TCK; -SDR 16 TDI (9111); -RUNTEST 100 TCK; -SDR 16 TDI (117F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDD); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (FFDD); RUNTEST 100 TCK; -SDR 16 TDI (7FDD); -RUNTEST 100 TCK; -SDR 16 TDI (FDDD); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (DFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7CDD); -RUNTEST 100 TCK; -SDR 16 TDI (FFEE); -RUNTEST 100 TCK; -SDR 16 TDI (9FDF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (7E7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); +SDR 16 TDI (7FFE); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (A7F7); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (EEEF); -RUNTEST 100 TCK; -SDR 16 TDI (95E7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFB5); -RUNTEST 100 TCK; -SDR 16 TDI (6FF5); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BF7C); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFBE); -RUNTEST 100 TCK; -SDR 16 TDI (7BF6); -RUNTEST 100 TCK; -SDR 16 TDI (74D5); -RUNTEST 100 TCK; -SDR 16 TDI (FF9F); -RUNTEST 100 TCK; -SDR 16 TDI (B2BF); -RUNTEST 100 TCK; -SDR 16 TDI (D2FF); -RUNTEST 100 TCK; -SDR 16 TDI (5F7F); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (9FBF); -RUNTEST 100 TCK; -SDR 16 TDI (ADDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (8FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (5FEF); -RUNTEST 100 TCK; -SDR 16 TDI (F7F5); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (77DD); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (9F7F); -RUNTEST 100 TCK; -SDR 16 TDI (DFDD); -RUNTEST 100 TCK; -SDR 16 TDI (6FF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; SDR 16 TDI (BEFF); RUNTEST 100 TCK; -SDR 16 TDI (FDFF); +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBE); +RUNTEST 100 TCK; +SDR 16 TDI (6FF3); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7D); +RUNTEST 100 TCK; +SDR 16 TDI (CFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB77); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (6F6F); +RUNTEST 100 TCK; +SDR 16 TDI (CF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFBD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (DFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FB75); +RUNTEST 100 TCK; +SDR 16 TDI (6FEB); +RUNTEST 100 TCK; +SDR 16 TDI (77FA); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB3F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFB); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BB7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (ABFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (F5FD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBF7); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7B9F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7AFA); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (9FB7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (EECD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEAE); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDE); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFA); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; SDR 16 TDI (AFFF); RUNTEST 100 TCK; -SDR 16 TDI (D7FF); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FDF); RUNTEST 100 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FF7F); RUNTEST 100 TCK; -SDR 16 TDI (99D7); +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AAAD); +RUNTEST 100 TCK; +SDR 16 TDI (7BF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFDD); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (7DEE); +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); RUNTEST 100 TCK; SDR 16 TDI (FFDF); RUNTEST 100 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (BFBF); RUNTEST 100 TCK; -SDR 16 TDI (EFF7); +SDR 16 TDI (FF6F); RUNTEST 100 TCK; -SDR 16 TDI (7F7D); +SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FBBF); +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (6FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F9FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEFD); RUNTEST 100 TCK; SDR 16 TDI (BFFC); RUNTEST 100 TCK; @@ -4925,543 +1757,1279 @@ SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFD); RUNTEST 100 TCK; -SDR 16 TDI (FEFF); +SDR 16 TDI (FFBF); RUNTEST 100 TCK; -SDR 16 TDI (5FFD); +SDR 16 TDI (7FF7); RUNTEST 100 TCK; -SDR 16 TDI (FF5F); +SDR 16 TDI (5777); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFBD); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (7FDD); +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B37F); +RUNTEST 100 TCK; +SDR 16 TDI (DDCF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); RUNTEST 100 TCK; SDR 16 TDI (FBFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD6F); -RUNTEST 100 TCK; -SDR 16 TDI (6EFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9BEE); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (57FF); +SDR 16 TDI (BFBF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (5F77); -RUNTEST 100 TCK; -SDR 16 TDI (AF7D); -RUNTEST 100 TCK; -SDR 16 TDI (9F7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BF9); -RUNTEST 100 TCK; -SDR 16 TDI (FB5F); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FEE); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (D4ED); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (BBDF); +SDR 16 TDI (DFFB); RUNTEST 100 TCK; -SDR 16 TDI (57EC); +SDR 16 TDI (6BFF); RUNTEST 100 TCK; -SDR 16 TDI (EF7F); +SDR 16 TDI (F5BF); RUNTEST 100 TCK; -SDR 16 TDI (9FFB); +SDR 16 TDI (AFFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFB); +SDR 16 TDI (FEFE); RUNTEST 100 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (6FFD); RUNTEST 100 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (F5FE); RUNTEST 100 TCK; -SDR 16 TDI (9EFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (4FFF); -RUNTEST 100 TCK; -SDR 16 TDI (D7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BDF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BF9E); RUNTEST 100 TCK; SDR 16 TDI (5FFF); RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BEEF); +RUNTEST 100 TCK; +SDR 16 TDI (EF3F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBDF); +RUNTEST 100 TCK; +SDR 16 TDI (AFED); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (773F); +RUNTEST 100 TCK; +SDR 16 TDI (DBCE); +RUNTEST 100 TCK; +SDR 16 TDI (B8BF); +RUNTEST 100 TCK; +SDR 16 TDI (9BF3); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (53F3); +RUNTEST 100 TCK; +SDR 16 TDI (67F1); +RUNTEST 100 TCK; +SDR 16 TDI (3F33); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBD); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FE7D); +RUNTEST 100 TCK; +SDR 16 TDI (BF5F); +RUNTEST 100 TCK; +SDR 16 TDI (FF8D); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); RUNTEST 100 TCK; SDR 16 TDI (FDFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (9BFF); +SDR 16 TDI (B59C); RUNTEST 100 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (000F); RUNTEST 100 TCK; -SDR 16 TDI (5ED3); +SDR 16 TDI (7FC0); RUNTEST 100 TCK; -SDR 16 TDI (D77D); +SDR 16 TDI (0200); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BE9F); RUNTEST 100 TCK; -SDR 16 TDI (FDF7); +SDR 16 TDI (C3E0); RUNTEST 100 TCK; -SDR 16 TDI (59F6); +SDR 16 TDI (6019); RUNTEST 100 TCK; -SDR 16 TDI (FF9F); +SDR 16 TDI (F803); RUNTEST 100 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BF5F); RUNTEST 100 TCK; -SDR 16 TDI (3BFF); +SDR 16 TDI (0098); +RUNTEST 100 TCK; +SDR 16 TDI (60FC); +RUNTEST 100 TCK; +SDR 16 TDI (F00F); +RUNTEST 100 TCK; +SDR 16 TDI (B01F); +RUNTEST 100 TCK; +SDR 16 TDI (8075); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFC); +RUNTEST 100 TCK; +SDR 16 TDI (000F); +RUNTEST 100 TCK; +SDR 16 TDI (7FA0); +RUNTEST 100 TCK; +SDR 16 TDI (0000); +RUNTEST 100 TCK; +SDR 16 TDI (BE9C); +RUNTEST 100 TCK; +SDR 16 TDI (3370); +RUNTEST 100 TCK; +SDR 16 TDI (6018); +RUNTEST 100 TCK; +SDR 16 TDI (1003); +RUNTEST 100 TCK; +SDR 16 TDI (A7DE); +RUNTEST 100 TCK; +SDR 16 TDI (80B8); +RUNTEST 100 TCK; +SDR 16 TDI (60FC); +RUNTEST 100 TCK; +SDR 16 TDI (FC0D); +RUNTEST 100 TCK; +SDR 16 TDI (B81B); +RUNTEST 100 TCK; +SDR 16 TDI (807F); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (9FF7); +SDR 16 TDI (B95C); RUNTEST 100 TCK; -SDR 16 TDI (BDDF); +SDR 16 TDI (2303); RUNTEST 100 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (67F1); RUNTEST 100 TCK; -SDR 16 TDI (D7D7); +SDR 16 TDI (0111); RUNTEST 100 TCK; -SDR 16 TDI (BAFF); +SDR 16 TDI (A928); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (3FF1); RUNTEST 100 TCK; -SDR 16 TDI (5FAD); +SDR 16 TDI (6326); RUNTEST 100 TCK; -SDR 16 TDI (FB7F); +SDR 16 TDI (1080); RUNTEST 100 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (BF3F); RUNTEST 100 TCK; -SDR 16 TDI (FDFE); +SDR 16 TDI (E098); RUNTEST 100 TCK; -SDR 16 TDI (77FF); +SDR 16 TDI (6CFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F90F); RUNTEST 100 TCK; -SDR 16 TDI (9FFF); +SDR 16 TDI (B87F); RUNTEST 100 TCK; -SDR 16 TDI (FBAF); +SDR 16 TDI (8075); RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FBE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (93FF); -RUNTEST 100 TCK; -SDR 16 TDI (BF77); -RUNTEST 100 TCK; -SDR 16 TDI (5EFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (8FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (5EDD); -RUNTEST 100 TCK; -SDR 16 TDI (BE66); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDBB); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFB); -RUNTEST 100 TCK; -SDR 16 TDI (7EEF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (ABBF); -RUNTEST 100 TCK; -SDR 16 TDI (B5BF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEE); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FF6F); -RUNTEST 100 TCK; -SDR 16 TDI (79FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFB7); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AB5F); -RUNTEST 100 TCK; -SDR 16 TDI (B7FD); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (AFF6); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (BB9F); -RUNTEST 100 TCK; -SDR 16 TDI (97FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDD); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FB6); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (979F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (57FF); -RUNTEST 100 TCK; -SDR 16 TDI (FDF5); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (BD7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (8A5F); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7D); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (DBF7); -RUNTEST 100 TCK; -SDR 16 TDI (7DFE); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (AEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFA); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (5BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FD7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (6EFF); -RUNTEST 100 TCK; -SDR 16 TDI (F5FB); -RUNTEST 100 TCK; -SDR 16 TDI (9DFF); -RUNTEST 100 TCK; -SDR 16 TDI (F6FD); -RUNTEST 100 TCK; -SDR 16 TDI (57FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FD7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (BBDF); -RUNTEST 100 TCK; -SDR 16 TDI (9DF6); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (6EFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAEF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (D5DF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF35); -RUNTEST 100 TCK; -SDR 16 TDI (96BD); -RUNTEST 100 TCK; -SDR 16 TDI (EBFD); -RUNTEST 100 TCK; -SDR 16 TDI (5DDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEBD); -RUNTEST 100 TCK; -SDR 16 TDI (F7EF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFE); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9BFF); -RUNTEST 100 TCK; -SDR 16 TDI (B72F); -RUNTEST 100 TCK; -SDR 16 TDI (4FEF); -RUNTEST 100 TCK; -SDR 16 TDI (9256); -RUNTEST 100 TCK; -SDR 16 TDI (B3DE); -RUNTEST 100 TCK; -SDR 16 TDI (DBFA); -RUNTEST 100 TCK; -SDR 16 TDI (6AFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEB2); -RUNTEST 100 TCK; -SDR 16 TDI (AFFD); -RUNTEST 100 TCK; -SDR 16 TDI (F0D3); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (9DED); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DDD); -RUNTEST 100 TCK; -SDR 16 TDI (7FB7); -RUNTEST 100 TCK; -SDR 16 TDI (FDBF); -RUNTEST 100 TCK; -SDR 16 TDI (BE6D); -RUNTEST 100 TCK; -SDR 16 TDI (A7FD); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (DB5D); -RUNTEST 100 TCK; -SDR 16 TDI (97DF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (57BF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BB5E); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); +SDR 16 TDI (6BFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFE); RUNTEST 100 TCK; -SDR 16 TDI (CAFB); +SDR 16 TDI (0303); RUNTEST 100 TCK; -SDR 16 TDI (7FFE); +SDR 16 TDI (67E0); RUNTEST 100 TCK; -SDR 16 TDI (7FE9); +SDR 16 TDI (0001); RUNTEST 100 TCK; -SDR 16 TDI (9DB3); +SDR 16 TDI (A92B); +RUNTEST 100 TCK; +SDR 16 TDI (03F0); +RUNTEST 100 TCK; +SDR 16 TDI (6326); +RUNTEST 100 TCK; +SDR 16 TDI (7000); +RUNTEST 100 TCK; +SDR 16 TDI (BE9F); +RUNTEST 100 TCK; +SDR 16 TDI (E0C2); +RUNTEST 100 TCK; +SDR 16 TDI (6CFF); +RUNTEST 100 TCK; +SDR 16 TDI (FC0F); +RUNTEST 100 TCK; +SDR 16 TDI (B87F); +RUNTEST 100 TCK; +SDR 16 TDI (807F); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (5D5F); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (75FF); +SDR 16 TDI (BAAE); RUNTEST 100 TCK; -SDR 16 TDI (B83F); +SDR 16 TDI (3181); RUNTEST 100 TCK; -SDR 16 TDI (CFEC); +SDR 16 TDI (63E2); +RUNTEST 100 TCK; +SDR 16 TDI (6023); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (B9F3); +RUNTEST 100 TCK; +SDR 16 TDI (662E); +RUNTEST 100 TCK; +SDR 16 TDI (F682); +RUNTEST 100 TCK; +SDR 16 TDI (B8CF); +RUNTEST 100 TCK; +SDR 16 TDI (98B2); +RUNTEST 100 TCK; +SDR 16 TDI (63CC); +RUNTEST 100 TCK; +SDR 16 TDI (ECC7); +RUNTEST 100 TCK; +SDR 16 TDI (B999); +RUNTEST 100 TCK; +SDR 16 TDI (99FE); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6732); +RUNTEST 100 TCK; +SDR 16 TDI (6557); +RUNTEST 100 TCK; +SDR 16 TDI (2776); +RUNTEST 100 TCK; +SDR 16 TDI (B111); +RUNTEST 100 TCK; +SDR 16 TDI (10AB); +RUNTEST 100 TCK; +SDR 16 TDI (7364); +RUNTEST 100 TCK; +SDR 16 TDI (58DC); +RUNTEST 100 TCK; +SDR 16 TDI (B315); +RUNTEST 100 TCK; +SDR 16 TDI (4D89); +RUNTEST 100 TCK; +SDR 16 TDI (79DC); +RUNTEST 100 TCK; +SDR 16 TDI (C9CA); +RUNTEST 100 TCK; +SDR 16 TDI (B199); +RUNTEST 100 TCK; +SDR 16 TDI (99FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD9D); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F7); +RUNTEST 100 TCK; +SDR 16 TDI (BCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (F77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F6FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7B); +RUNTEST 100 TCK; +SDR 16 TDI (DFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBE9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7F7B); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (A7DF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF9); +RUNTEST 100 TCK; +SDR 16 TDI (77EF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF3F); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); RUNTEST 100 TCK; SDR 16 TDI (7FFB); RUNTEST 100 TCK; +SDR 16 TDI (EFB7); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (DFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDA); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (FEFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFD7); +RUNTEST 100 TCK; +SDR 16 TDI (77EF); +RUNTEST 100 TCK; +SDR 16 TDI (FF5A); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7F9); +RUNTEST 100 TCK; +SDR 16 TDI (6FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DF77); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E6FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A5FB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (F5E6); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7D); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFA); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFA7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (EDBB); +RUNTEST 100 TCK; +SDR 16 TDI (BF5E); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BE7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBB7); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEEE); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7EFD); +RUNTEST 100 TCK; +SDR 16 TDI (D7F7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (D5BF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (CBBB); +RUNTEST 100 TCK; +SDR 16 TDI (B776); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDEE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (77E7); +RUNTEST 100 TCK; +SDR 16 TDI (DEEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFCB); +RUNTEST 100 TCK; +SDR 16 TDI (5DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (EEAD); +RUNTEST 100 TCK; +SDR 16 TDI (BBEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FFC); +RUNTEST 100 TCK; +SDR 16 TDI (DEF7); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFB5); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FE5); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; SDR 16 TDI (FFFE); RUNTEST 100 TCK; -SDR 16 TDI (BEFB); +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F2F); +RUNTEST 100 TCK; +SDR 16 TDI (FFDE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (ABBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F3FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BEF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (B7BF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FE); +RUNTEST 100 TCK; +SDR 16 TDI (7DBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7AF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F6FD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F5E7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFC); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFBD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7B33); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF3); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (EDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (F577); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF3F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB7D); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF6); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (F5BE); +RUNTEST 100 TCK; +SDR 16 TDI (BAFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7AFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEE); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDB7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFC); +RUNTEST 100 TCK; +SDR 16 TDI (FA29); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7E44); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE1D); +RUNTEST 100 TCK; +SDR 16 TDI (CFCA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (761F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (56FE); +RUNTEST 100 TCK; +SDR 16 TDI (7FAF); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B616); +RUNTEST 100 TCK; +SDR 16 TDI (D7F4); +RUNTEST 100 TCK; +SDR 16 TDI (6FBB); +RUNTEST 100 TCK; +SDR 16 TDI (B727); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7E9D); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBF5); +RUNTEST 100 TCK; +SDR 16 TDI (EE6A); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (EB49); +RUNTEST 100 TCK; +SDR 16 TDI (67F3); +RUNTEST 100 TCK; +SDR 16 TDI (B5D6); +RUNTEST 100 TCK; +SDR 16 TDI (BDE9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (D9D8); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBD); +RUNTEST 100 TCK; +SDR 16 TDI (79E7); +RUNTEST 100 TCK; +SDR 16 TDI (CBEF); +RUNTEST 100 TCK; +SDR 16 TDI (AECE); +RUNTEST 100 TCK; +SDR 16 TDI (B1FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B9AE); +RUNTEST 100 TCK; +SDR 16 TDI (F238); +RUNTEST 100 TCK; +SDR 16 TDI (7FD3); +RUNTEST 100 TCK; +SDR 16 TDI (4B82); +RUNTEST 100 TCK; +SDR 16 TDI (A001); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (6200); +RUNTEST 100 TCK; +SDR 16 TDI (3E81); +RUNTEST 100 TCK; +SDR 16 TDI (A61F); +RUNTEST 100 TCK; +SDR 16 TDI (07E7); +RUNTEST 100 TCK; +SDR 16 TDI (78A0); +RUNTEST 100 TCK; +SDR 16 TDI (075F); +RUNTEST 100 TCK; +SDR 16 TDI (B118); +RUNTEST 100 TCK; +SDR 16 TDI (8075); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B6AC); +RUNTEST 100 TCK; +SDR 16 TDI (0A00); +RUNTEST 100 TCK; +SDR 16 TDI (67A2); +RUNTEST 100 TCK; +SDR 16 TDI (0022); +RUNTEST 100 TCK; +SDR 16 TDI (A100); +RUNTEST 100 TCK; +SDR 16 TDI (43DC); +RUNTEST 100 TCK; +SDR 16 TDI (6200); +RUNTEST 100 TCK; +SDR 16 TDI (1A58); +RUNTEST 100 TCK; +SDR 16 TDI (A613); +RUNTEST 100 TCK; +SDR 16 TDI (8787); +RUNTEST 100 TCK; +SDR 16 TDI (74A0); +RUNTEST 100 TCK; +SDR 16 TDI (000F); +RUNTEST 100 TCK; +SDR 16 TDI (A918); +RUNTEST 100 TCK; +SDR 16 TDI (007F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (A023); +RUNTEST 100 TCK; +SDR 16 TDI (83F8); +RUNTEST 100 TCK; +SDR 16 TDI (6222); +RUNTEST 100 TCK; +SDR 16 TDI (19E2); +RUNTEST 100 TCK; +SDR 16 TDI (AF1F); +RUNTEST 100 TCK; +SDR 16 TDI (CFE7); +RUNTEST 100 TCK; +SDR 16 TDI (66B0); +RUNTEST 100 TCK; +SDR 16 TDI (C06F); +RUNTEST 100 TCK; +SDR 16 TDI (B918); +RUNTEST 100 TCK; +SDR 16 TDI (20F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (04CF); +RUNTEST 100 TCK; +SDR 16 TDI (6FE7); +RUNTEST 100 TCK; +SDR 16 TDI (6E39); +RUNTEST 100 TCK; +SDR 16 TDI (BC02); +RUNTEST 100 TCK; +SDR 16 TDI (83FC); +RUNTEST 100 TCK; +SDR 16 TDI (6200); +RUNTEST 100 TCK; +SDR 16 TDI (1960); +RUNTEST 100 TCK; +SDR 16 TDI (AE1F); +RUNTEST 100 TCK; +SDR 16 TDI (CF8F); +RUNTEST 100 TCK; +SDR 16 TDI (68BF); +RUNTEST 100 TCK; +SDR 16 TDI (402F); +RUNTEST 100 TCK; +SDR 16 TDI (B910); +RUNTEST 100 TCK; +SDR 16 TDI (007F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B89F); +RUNTEST 100 TCK; +SDR 16 TDI (3B3B); +RUNTEST 100 TCK; +SDR 16 TDI (73EE); +RUNTEST 100 TCK; +SDR 16 TDI (E666); +RUNTEST 100 TCK; +SDR 16 TDI (AA23); +RUNTEST 100 TCK; +SDR 16 TDI (19F4); +RUNTEST 100 TCK; +SDR 16 TDI (6626); +RUNTEST 100 TCK; +SDR 16 TDI (DCCC); +RUNTEST 100 TCK; +SDR 16 TDI (B18F); +RUNTEST 100 TCK; +SDR 16 TDI (99A3); +RUNTEST 100 TCK; +SDR 16 TDI (61E8); +RUNTEST 100 TCK; +SDR 16 TDI (CCE7); +RUNTEST 100 TCK; +SDR 16 TDI (B899); +RUNTEST 100 TCK; +SDR 16 TDI (98FA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7373); +RUNTEST 100 TCK; +SDR 16 TDI (6146); +RUNTEST 100 TCK; +SDR 16 TDI (7777); +RUNTEST 100 TCK; +SDR 16 TDI (B376); +RUNTEST 100 TCK; +SDR 16 TDI (72A3); +RUNTEST 100 TCK; +SDR 16 TDI (7736); +RUNTEST 100 TCK; +SDR 16 TDI (3999); +RUNTEST 100 TCK; +SDR 16 TDI (BB35); +RUNTEST 100 TCK; +SDR 16 TDI (5998); +RUNTEST 100 TCK; +SDR 16 TDI (7BDD); +RUNTEST 100 TCK; +SDR 16 TDI (DDC2); +RUNTEST 100 TCK; +SDR 16 TDI (B5CD); +RUNTEST 100 TCK; +SDR 16 TDI (9DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD6F); +RUNTEST 100 TCK; +SDR 16 TDI (9F9D); +RUNTEST 100 TCK; +SDR 16 TDI (7BDD); +RUNTEST 100 TCK; +SDR 16 TDI (CEEE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (3FF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EE73); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E7F5); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7B); +RUNTEST 100 TCK; +SDR 16 TDI (AD9F); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFC); +RUNTEST 100 TCK; +SDR 16 TDI (F7BF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDB); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (3FFF); RUNTEST 100 TCK; @@ -5469,609 +3037,33 @@ SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (875C); -RUNTEST 100 TCK; -SDR 16 TDI (B834); -RUNTEST 100 TCK; -SDR 16 TDI (47D8); -RUNTEST 100 TCK; -SDR 16 TDI (807B); -RUNTEST 100 TCK; -SDR 16 TDI (B23E); -RUNTEST 100 TCK; -SDR 16 TDI (23ED); -RUNTEST 100 TCK; -SDR 16 TDI (6FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7B3A); -RUNTEST 100 TCK; -SDR 16 TDI (B997); -RUNTEST 100 TCK; -SDR 16 TDI (192C); -RUNTEST 100 TCK; -SDR 16 TDI (617A); -RUNTEST 100 TCK; -SDR 16 TDI (7F0F); -RUNTEST 100 TCK; -SDR 16 TDI (9000); -RUNTEST 100 TCK; -SDR 16 TDI (67EA); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AEFC); -RUNTEST 100 TCK; -SDR 16 TDI (9420); -RUNTEST 100 TCK; -SDR 16 TDI (67B8); -RUNTEST 100 TCK; -SDR 16 TDI (821E); -RUNTEST 100 TCK; -SDR 16 TDI (A0F8); -RUNTEST 100 TCK; -SDR 16 TDI (0FD3); -RUNTEST 100 TCK; -SDR 16 TDI (667E); -RUNTEST 100 TCK; -SDR 16 TDI (1814); -RUNTEST 100 TCK; -SDR 16 TDI (999B); -RUNTEST 100 TCK; -SDR 16 TDI (980C); -RUNTEST 100 TCK; -SDR 16 TDI (417A); -RUNTEST 100 TCK; -SDR 16 TDI (70CD); -RUNTEST 100 TCK; -SDR 16 TDI (B800); -RUNTEST 100 TCK; -SDR 16 TDI (607F); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B75F); -RUNTEST 100 TCK; -SDR 16 TDI (763B); -RUNTEST 100 TCK; -SDR 16 TDI (7FE0); -RUNTEST 100 TCK; -SDR 16 TDI (109B); -RUNTEST 100 TCK; -SDR 16 TDI (923C); -RUNTEST 100 TCK; -SDR 16 TDI (2FF1); -RUNTEST 100 TCK; -SDR 16 TDI (47FE); -RUNTEST 100 TCK; -SDR 16 TDI (7CB0); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (D86E); -RUNTEST 100 TCK; -SDR 16 TDI (61A4); -RUNTEST 100 TCK; -SDR 16 TDI (A0FF); -RUNTEST 100 TCK; -SDR 16 TDI (B8C0); -RUNTEST 100 TCK; -SDR 16 TDI (986B); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (7C20); +SDR 16 TDI (7EEF); RUNTEST 100 TCK; -SDR 16 TDI (67E1); +SDR 16 TDI (7FF7); RUNTEST 100 TCK; -SDR 16 TDI (328C); +SDR 16 TDI (F7FF); RUNTEST 100 TCK; -SDR 16 TDI (A3FC); -RUNTEST 100 TCK; -SDR 16 TDI (0FF3); -RUNTEST 100 TCK; -SDR 16 TDI (467E); -RUNTEST 100 TCK; -SDR 16 TDI (1CA0); -RUNTEST 100 TCK; -SDR 16 TDI (A23F); -RUNTEST 100 TCK; -SDR 16 TDI (C02E); -RUNTEST 100 TCK; -SDR 16 TDI (61A4); -RUNTEST 100 TCK; -SDR 16 TDI (AC0F); -RUNTEST 100 TCK; -SDR 16 TDI (9840); -RUNTEST 100 TCK; -SDR 16 TDI (19FE); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFD7); -RUNTEST 100 TCK; -SDR 16 TDI (B23B); -RUNTEST 100 TCK; -SDR 16 TDI (63E6); -RUNTEST 100 TCK; -SDR 16 TDI (FE67); -RUNTEST 100 TCK; -SDR 16 TDI (B330); -RUNTEST 100 TCK; -SDR 16 TDI (B1FE); -RUNTEST 100 TCK; -SDR 16 TDI (6666); -RUNTEST 100 TCK; -SDR 16 TDI (7CFC); -RUNTEST 100 TCK; -SDR 16 TDI (9DCF); -RUNTEST 100 TCK; -SDR 16 TDI (B9F9); -RUNTEST 100 TCK; -SDR 16 TDI (51EE); -RUNTEST 100 TCK; -SDR 16 TDI (EEE7); -RUNTEST 100 TCK; -SDR 16 TDI (B9C9); -RUNTEST 100 TCK; -SDR 16 TDI (BBFA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (3773); -RUNTEST 100 TCK; -SDR 16 TDI (6956); -RUNTEST 100 TCK; -SDR 16 TDI (6777); -RUNTEST 100 TCK; -SDR 16 TDI (9273); -RUNTEST 100 TCK; -SDR 16 TDI (26A1); -RUNTEST 100 TCK; -SDR 16 TDI (5626); -RUNTEST 100 TCK; -SDR 16 TDI (7DCC); -RUNTEST 100 TCK; -SDR 16 TDI (B985); -RUNTEST 100 TCK; -SDR 16 TDI (1C9D); -RUNTEST 100 TCK; -SDR 16 TDI (7B44); -RUNTEST 100 TCK; -SDR 16 TDI (4442); -RUNTEST 100 TCK; -SDR 16 TDI (B5CD); -RUNTEST 100 TCK; -SDR 16 TDI (917F); +SDR 16 TDI (B7F7); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FF9); -RUNTEST 100 TCK; -SDR 16 TDI (FBDF); -RUNTEST 100 TCK; -SDR 16 TDI (53CF); +SDR 16 TDI (7FF7); RUNTEST 100 TCK; SDR 16 TDI (FEEE); RUNTEST 100 TCK; -SDR 16 TDI (BBFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFEE); -RUNTEST 100 TCK; -SDR 16 TDI (6FDE); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; SDR 16 TDI (B3FF); RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7BF7); -RUNTEST 100 TCK; -SDR 16 TDI (7E6D); -RUNTEST 100 TCK; -SDR 16 TDI (BEDF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFB); -RUNTEST 100 TCK; -SDR 16 TDI (7B7F); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7577); -RUNTEST 100 TCK; -SDR 16 TDI (6FFD); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (B7DD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (777D); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (D5D7); -RUNTEST 100 TCK; -SDR 16 TDI (6FFB); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FFB); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FD); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (77FD); -RUNTEST 100 TCK; -SDR 16 TDI (FFAF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (AD77); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F57F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7D76); -RUNTEST 100 TCK; -SDR 16 TDI (FDFD); -RUNTEST 100 TCK; -SDR 16 TDI (BB7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFDA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FBBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FF5E); -RUNTEST 100 TCK; -SDR 16 TDI (B5DF); -RUNTEST 100 TCK; -SDR 16 TDI (D7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (F9BE); -RUNTEST 100 TCK; -SDR 16 TDI (BD3F); -RUNTEST 100 TCK; -SDR 16 TDI (FEF3); -RUNTEST 100 TCK; -SDR 16 TDI (7EF7); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFA); -RUNTEST 100 TCK; -SDR 16 TDI (5DFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (DFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (AADF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF6); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EA7E); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FB7B); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEDB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (D7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BB5F); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (5DFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF6); -RUNTEST 100 TCK; -SDR 16 TDI (77EF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FB); -RUNTEST 100 TCK; -SDR 16 TDI (5FDF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF5); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF9); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (4FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF9); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; SDR 16 TDI (BEFF); RUNTEST 100 TCK; -SDR 16 TDI (DFFF); +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; @@ -6079,47 +3071,319 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (FFEE); +SDR 16 TDI (7FFD); RUNTEST 100 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (7BF4); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FED); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (F6FE); +RUNTEST 100 TCK; +SDR 16 TDI (BD77); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (3FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDA); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (DEF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FE7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFD); RUNTEST 100 TCK; -SDR 16 TDI (EFFD); +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (E7DF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EF5); +RUNTEST 100 TCK; +SDR 16 TDI (DFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (E9FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FEC); +RUNTEST 100 TCK; +SDR 16 TDI (FFDE); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (E7F7); +RUNTEST 100 TCK; +SDR 16 TDI (7CCC); +RUNTEST 100 TCK; +SDR 16 TDI (FF9D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF3B); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB77); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEB); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; SDR 16 TDI (B7FF); RUNTEST 100 TCK; -SDR 16 TDI (EFFF); +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (DFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF9); +RUNTEST 100 TCK; +SDR 16 TDI (ED9F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFAF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDB); +RUNTEST 100 TCK; +SDR 16 TDI (BAF6); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; +SDR 16 TDI (7B3F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7D7E); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7E); +RUNTEST 100 TCK; +SDR 16 TDI (76F7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (BCFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F57); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (B7BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (FDFF); RUNTEST 100 TCK; -SDR 16 TDI (7FFD); +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE7F); +RUNTEST 100 TCK; +SDR 16 TDI (AEB7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFBA); +RUNTEST 100 TCK; +SDR 16 TDI (BDF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7D5F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEB); +RUNTEST 100 TCK; +SDR 16 TDI (7FBD); RUNTEST 100 TCK; SDR 16 TDI (DFFF); RUNTEST 100 TCK; -SDR 16 TDI (BFDF); +SDR 16 TDI (BFEF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EF7F); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; @@ -6127,81 +3391,737 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EAEF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (EBDF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; +SDR 16 TDI (7FB6); +RUNTEST 100 TCK; +SDR 16 TDI (BE5B); +RUNTEST 100 TCK; +SDR 16 TDI (57F9); +RUNTEST 100 TCK; +SDR 16 TDI (6D3F); +RUNTEST 100 TCK; +SDR 16 TDI (5DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (CFDF); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; +SDR 16 TDI (B6AE); +RUNTEST 100 TCK; +SDR 16 TDI (FDBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF3); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FFF); +SDR 16 TDI (7FF7); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (F7FD); RUNTEST 100 TCK; SDR 16 TDI (BF7F); RUNTEST 100 TCK; +SDR 16 TDI (FAFC); +RUNTEST 100 TCK; +SDR 16 TDI (7DDF); +RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (7FF8); +SDR 16 TDI (BFB5); RUNTEST 100 TCK; -SDR 16 TDI (FFBF); +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B9AE); +RUNTEST 100 TCK; +SDR 16 TDI (7FD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EFBF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFD); +RUNTEST 100 TCK; +SDR 16 TDI (FBDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FCF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (DEEF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEA); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EF9); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFB); +RUNTEST 100 TCK; +SDR 16 TDI (AFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (FFBB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F6F); +RUNTEST 100 TCK; +SDR 16 TDI (7DEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FB); RUNTEST 100 TCK; SDR 16 TDI (BF77); RUNTEST 100 TCK; +SDR 16 TDI (FFBB); +RUNTEST 100 TCK; +SDR 16 TDI (7FEC); +RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BFFC); RUNTEST 100 TCK; -SDR 16 TDI (FFFE); +SDR 16 TDI (8EDB); RUNTEST 100 TCK; -SDR 16 TDI (5FFD); +SDR 16 TDI (6FF9); +RUNTEST 100 TCK; +SDR 16 TDI (973B); +RUNTEST 100 TCK; +SDR 16 TDI (A7BF); +RUNTEST 100 TCK; +SDR 16 TDI (4BFD); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7BAF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF33); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEF3); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7AA5); +RUNTEST 100 TCK; +SDR 16 TDI (DBFB); +RUNTEST 100 TCK; +SDR 16 TDI (AFBF); +RUNTEST 100 TCK; +SDR 16 TDI (EB7D); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFEA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; +SDR 16 TDI (FD26); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (E9C4); +RUNTEST 100 TCK; +SDR 16 TDI (B94E); +RUNTEST 100 TCK; +SDR 16 TDI (F7B2); +RUNTEST 100 TCK; +SDR 16 TDI (755B); +RUNTEST 100 TCK; +SDR 16 TDI (37BF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (D4DF); +RUNTEST 100 TCK; +SDR 16 TDI (6F5F); +RUNTEST 100 TCK; +SDR 16 TDI (DEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (78FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B66E); +RUNTEST 100 TCK; +SDR 16 TDI (260D); +RUNTEST 100 TCK; +SDR 16 TDI (7DD4); +RUNTEST 100 TCK; +SDR 16 TDI (01F6); +RUNTEST 100 TCK; +SDR 16 TDI (B2A0); +RUNTEST 100 TCK; +SDR 16 TDI (2AE3); +RUNTEST 100 TCK; +SDR 16 TDI (60A0); +RUNTEST 100 TCK; +SDR 16 TDI (75A7); +RUNTEST 100 TCK; +SDR 16 TDI (BE1F); +RUNTEST 100 TCK; +SDR 16 TDI (382E); +RUNTEST 100 TCK; +SDR 16 TDI (6F8F); +RUNTEST 100 TCK; +SDR 16 TDI (CC0F); +RUNTEST 100 TCK; +SDR 16 TDI (B19B); +RUNTEST 100 TCK; +SDR 16 TDI (E075); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; SDR 16 TDI (BFED); RUNTEST 100 TCK; -SDR 16 TDI (DFFD); +SDR 16 TDI (4623); +RUNTEST 100 TCK; +SDR 16 TDI (7EF4); +RUNTEST 100 TCK; +SDR 16 TDI (E941); +RUNTEST 100 TCK; +SDR 16 TDI (AB28); +RUNTEST 100 TCK; +SDR 16 TDI (2B75); +RUNTEST 100 TCK; +SDR 16 TDI (6660); +RUNTEST 100 TCK; +SDR 16 TDI (7BA7); +RUNTEST 100 TCK; +SDR 16 TDI (A19E); +RUNTEST 100 TCK; +SDR 16 TDI (802F); +RUNTEST 100 TCK; +SDR 16 TDI (700F); +RUNTEST 100 TCK; +SDR 16 TDI (CC0F); +RUNTEST 100 TCK; +SDR 16 TDI (A802); +RUNTEST 100 TCK; +SDR 16 TDI (E07F); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B97E); +RUNTEST 100 TCK; +SDR 16 TDI (2FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77ED); +RUNTEST 100 TCK; +SDR 16 TDI (802F); +RUNTEST 100 TCK; +SDR 16 TDI (B7FA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FE3); +RUNTEST 100 TCK; +SDR 16 TDI (764A); +RUNTEST 100 TCK; +SDR 16 TDI (A1EF); +RUNTEST 100 TCK; +SDR 16 TDI (C0B1); +RUNTEST 100 TCK; +SDR 16 TDI (70CF); +RUNTEST 100 TCK; +SDR 16 TDI (D0CF); +RUNTEST 100 TCK; +SDR 16 TDI (B987); +RUNTEST 100 TCK; +SDR 16 TDI (8075); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7B); +RUNTEST 100 TCK; +SDR 16 TDI (CDA3); +RUNTEST 100 TCK; +SDR 16 TDI (7FE1); +RUNTEST 100 TCK; +SDR 16 TDI (6033); +RUNTEST 100 TCK; +SDR 16 TDI (A426); +RUNTEST 100 TCK; +SDR 16 TDI (53F0); +RUNTEST 100 TCK; +SDR 16 TDI (7201); +RUNTEST 100 TCK; +SDR 16 TDI (1B4A); +RUNTEST 100 TCK; +SDR 16 TDI (B81F); +RUNTEST 100 TCK; +SDR 16 TDI (8036); +RUNTEST 100 TCK; +SDR 16 TDI (630F); +RUNTEST 100 TCK; +SDR 16 TDI (C0CF); +RUNTEST 100 TCK; +SDR 16 TDI (B804); +RUNTEST 100 TCK; +SDR 16 TDI (817F); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (B6FF); +SDR 16 TDI (BEAF); +RUNTEST 100 TCK; +SDR 16 TDI (3FBA); +RUNTEST 100 TCK; +SDR 16 TDI (63E7); +RUNTEST 100 TCK; +SDR 16 TDI (626F); +RUNTEST 100 TCK; +SDR 16 TDI (BB3B); +RUNTEST 100 TCK; +SDR 16 TDI (39F3); +RUNTEST 100 TCK; +SDR 16 TDI (6662); +RUNTEST 100 TCK; +SDR 16 TDI (FEEE); +RUNTEST 100 TCK; +SDR 16 TDI (BDC7); +RUNTEST 100 TCK; +SDR 16 TDI (B9BB); +RUNTEST 100 TCK; +SDR 16 TDI (778C); +RUNTEST 100 TCK; +SDR 16 TDI (0CE7); +RUNTEST 100 TCK; +SDR 16 TDI (B9B0); +RUNTEST 100 TCK; +SDR 16 TDI (A0EB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (7333); +RUNTEST 100 TCK; +SDR 16 TDI (6957); +RUNTEST 100 TCK; +SDR 16 TDI (7767); +RUNTEST 100 TCK; +SDR 16 TDI (B373); +RUNTEST 100 TCK; +SDR 16 TDI (70AB); +RUNTEST 100 TCK; +SDR 16 TDI (7776); +RUNTEST 100 TCK; +SDR 16 TDI (7C44); +RUNTEST 100 TCK; +SDR 16 TDI (A885); +RUNTEST 100 TCK; +SDR 16 TDI (9C91); +RUNTEST 100 TCK; +SDR 16 TDI (62DD); +RUNTEST 100 TCK; +SDR 16 TDI (CDCA); +RUNTEST 100 TCK; +SDR 16 TDI (B58D); +RUNTEST 100 TCK; +SDR 16 TDI (9DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77CE); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFE7); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (F777); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3F7); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (76FE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE75); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B73E); +RUNTEST 100 TCK; +SDR 16 TDI (BA7B); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (7B7B); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB5); +RUNTEST 100 TCK; +SDR 16 TDI (77F7); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABBB); +RUNTEST 100 TCK; +SDR 16 TDI (FBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F6EE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (7EF6); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DBD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB73); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEE); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (D95F); +SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (BDCF); +SDR 16 TDI (BFFD); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; -SDR 16 TDI (5FFF); +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBD); +RUNTEST 100 TCK; +SDR 16 TDI (EFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FA); +RUNTEST 100 TCK; +SDR 16 TDI (6FFD); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBE); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7B); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB75); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; @@ -6211,10 +4131,2090 @@ SDR 16 TDI (FDDF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (AFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EDB); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FEF3); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (E775); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B9DE); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (DDEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (6FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (75FB); +RUNTEST 100 TCK; +SDR 16 TDI (F77F); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDBD); +RUNTEST 100 TCK; +SDR 16 TDI (7FEB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BF7); +RUNTEST 100 TCK; +SDR 16 TDI (B66E); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDE5); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7E); +RUNTEST 100 TCK; +SDR 16 TDI (767F); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A75F); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7DF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (7EF9); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBCB); +RUNTEST 100 TCK; +SDR 16 TDI (7FF9); +RUNTEST 100 TCK; +SDR 16 TDI (FDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FBF6); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A65F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (A7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFE7); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (77DB); +RUNTEST 100 TCK; +SDR 16 TDI (DB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FBF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF3); +RUNTEST 100 TCK; +SDR 16 TDI (2B3F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F7); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD77); +RUNTEST 100 TCK; +SDR 16 TDI (FFEA); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (9EEF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFB); +RUNTEST 100 TCK; +SDR 16 TDI (7DFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (B37F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9DF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (EDDF); +RUNTEST 100 TCK; +SDR 16 TDI (6A9F); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEE); +RUNTEST 100 TCK; +SDR 16 TDI (F77F); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (9FFF); RUNTEST 100 TCK; +SDR 16 TDI (FD2F); +RUNTEST 100 TCK; +SDR 16 TDI (4FFB); +RUNTEST 100 TCK; +SDR 16 TDI (C5CD); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (D6F7); +RUNTEST 100 TCK; +SDR 16 TDI (773F); +RUNTEST 100 TCK; +SDR 16 TDI (FBE1); +RUNTEST 100 TCK; +SDR 16 TDI (9DDF); +RUNTEST 100 TCK; +SDR 16 TDI (97EB); +RUNTEST 100 TCK; +SDR 16 TDI (675D); +RUNTEST 100 TCK; +SDR 16 TDI (95CF); +RUNTEST 100 TCK; +SDR 16 TDI (BE4D); +RUNTEST 100 TCK; +SDR 16 TDI (5D7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAD6); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (BB37); +RUNTEST 100 TCK; +SDR 16 TDI (A7E5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (4DFF); +RUNTEST 100 TCK; +SDR 16 TDI (55DE); +RUNTEST 100 TCK; +SDR 16 TDI (8FFE); +RUNTEST 100 TCK; +SDR 16 TDI (EDF5); +RUNTEST 100 TCK; +SDR 16 TDI (5EA7); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (B9F7); +RUNTEST 100 TCK; +SDR 16 TDI (E6FB); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFC); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77E6); +RUNTEST 100 TCK; +SDR 16 TDI (7EFA); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF9); +RUNTEST 100 TCK; +SDR 16 TDI (7BF3); +RUNTEST 100 TCK; +SDR 16 TDI (FEBF); +RUNTEST 100 TCK; +SDR 16 TDI (B33F); +RUNTEST 100 TCK; +SDR 16 TDI (FA1E); +RUNTEST 100 TCK; +SDR 16 TDI (5BFA); +RUNTEST 100 TCK; +SDR 16 TDI (EF3F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8B5E); +RUNTEST 100 TCK; +SDR 16 TDI (702F); +RUNTEST 100 TCK; +SDR 16 TDI (47DF); +RUNTEST 100 TCK; +SDR 16 TDI (FF12); +RUNTEST 100 TCK; +SDR 16 TDI (B69F); +RUNTEST 100 TCK; +SDR 16 TDI (C3E0); +RUNTEST 100 TCK; +SDR 16 TDI (60BD); +RUNTEST 100 TCK; +SDR 16 TDI (FF2C); +RUNTEST 100 TCK; +SDR 16 TDI (8A5F); +RUNTEST 100 TCK; +SDR 16 TDI (1AA1); +RUNTEST 100 TCK; +SDR 16 TDI (7CC2); +RUNTEST 100 TCK; +SDR 16 TDI (FA2F); +RUNTEST 100 TCK; +SDR 16 TDI (B000); +RUNTEST 100 TCK; +SDR 16 TDI (60F4); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFC); +RUNTEST 100 TCK; +SDR 16 TDI (7203); +RUNTEST 100 TCK; +SDR 16 TDI (47BD); +RUNTEST 100 TCK; +SDR 16 TDI (FCB1); +RUNTEST 100 TCK; +SDR 16 TDI (AE9C); +RUNTEST 100 TCK; +SDR 16 TDI (33D0); +RUNTEST 100 TCK; +SDR 16 TDI (60BC); +RUNTEST 100 TCK; +SDR 16 TDI (1028); +RUNTEST 100 TCK; +SDR 16 TDI (805E); +RUNTEST 100 TCK; +SDR 16 TDI (9A81); +RUNTEST 100 TCK; +SDR 16 TDI (50C3); +RUNTEST 100 TCK; +SDR 16 TDI (0B7F); +RUNTEST 100 TCK; +SDR 16 TDI (AD58); +RUNTEST 100 TCK; +SDR 16 TDI (70EE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (965F); +RUNTEST 100 TCK; +SDR 16 TDI (B3EF); +RUNTEST 100 TCK; +SDR 16 TDI (6BFE); +RUNTEST 100 TCK; +SDR 16 TDI (9E0E); +RUNTEST 100 TCK; +SDR 16 TDI (B928); +RUNTEST 100 TCK; +SDR 16 TDI (3FF4); +RUNTEST 100 TCK; +SDR 16 TDI (62CA); +RUNTEST 100 TCK; +SDR 16 TDI (11D4); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (CE40); +RUNTEST 100 TCK; +SDR 16 TDI (6F93); +RUNTEST 100 TCK; +SDR 16 TDI (F00F); +RUNTEST 100 TCK; +SDR 16 TDI (9E01); +RUNTEST 100 TCK; +SDR 16 TDI (98FD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF9); +RUNTEST 100 TCK; +SDR 16 TDI (B3F3); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (132D); +RUNTEST 100 TCK; +SDR 16 TDI (AD2B); +RUNTEST 100 TCK; +SDR 16 TDI (03F0); +RUNTEST 100 TCK; +SDR 16 TDI (60CA); +RUNTEST 100 TCK; +SDR 16 TDI (71D0); +RUNTEST 100 TCK; +SDR 16 TDI (9BBF); +RUNTEST 100 TCK; +SDR 16 TDI (987C); +RUNTEST 100 TCK; +SDR 16 TDI (7303); +RUNTEST 100 TCK; +SDR 16 TDI (D00F); +RUNTEST 100 TCK; +SDR 16 TDI (BFF8); +RUNTEST 100 TCK; +SDR 16 TDI (7CFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (3B37); +RUNTEST 100 TCK; +SDR 16 TDI (61E6); +RUNTEST 100 TCK; +SDR 16 TDI (6267); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (B8F3); +RUNTEST 100 TCK; +SDR 16 TDI (42EE); +RUNTEST 100 TCK; +SDR 16 TDI (FCEE); +RUNTEST 100 TCK; +SDR 16 TDI (99CF); +RUNTEST 100 TCK; +SDR 16 TDI (BB99); +RUNTEST 100 TCK; +SDR 16 TDI (57CE); +RUNTEST 100 TCK; +SDR 16 TDI (CDE7); +RUNTEST 100 TCK; +SDR 16 TDI (B9F9); +RUNTEST 100 TCK; +SDR 16 TDI (99C8); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7277); +RUNTEST 100 TCK; +SDR 16 TDI (6957); +RUNTEST 100 TCK; +SDR 16 TDI (3737); +RUNTEST 100 TCK; +SDR 16 TDI (B111); +RUNTEST 100 TCK; +SDR 16 TDI (10B9); +RUNTEST 100 TCK; +SDR 16 TDI (7644); +RUNTEST 100 TCK; +SDR 16 TDI (5DCD); +RUNTEST 100 TCK; +SDR 16 TDI (BB85); +RUNTEST 100 TCK; +SDR 16 TDI (59DD); +RUNTEST 100 TCK; +SDR 16 TDI (73DC); +RUNTEST 100 TCK; +SDR 16 TDI (DDC2); +RUNTEST 100 TCK; +SDR 16 TDI (959D); +RUNTEST 100 TCK; +SDR 16 TDI (DDFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77CE); +RUNTEST 100 TCK; +SDR 16 TDI (EFEE); +RUNTEST 100 TCK; +SDR 16 TDI (BDDD); +RUNTEST 100 TCK; +SDR 16 TDI (DFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (DE7F); +RUNTEST 100 TCK; +SDR 16 TDI (9CEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF3B); +RUNTEST 100 TCK; +SDR 16 TDI (7EEF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (3BDF); +RUNTEST 100 TCK; +SDR 16 TDI (99EE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFDF); +RUNTEST 100 TCK; +SDR 16 TDI (6FD6); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EF6); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F5); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFED); +RUNTEST 100 TCK; +SDR 16 TDI (BF3F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (5FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFE7); +RUNTEST 100 TCK; +SDR 16 TDI (5FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (B6ED); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEBD); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FE6); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D5BD); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (DDDE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BBFD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EFBF); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF7E); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7F); +RUNTEST 100 TCK; +SDR 16 TDI (57F7); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (89DE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5BFD); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9EF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFE); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5BFE); +RUNTEST 100 TCK; +SDR 16 TDI (EDDF); +RUNTEST 100 TCK; +SDR 16 TDI (9DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7E7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (9FBE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5BDB); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FF7); +RUNTEST 100 TCK; +SDR 16 TDI (DFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7E5E); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFD); +RUNTEST 100 TCK; +SDR 16 TDI (ADBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BDE); +RUNTEST 100 TCK; +SDR 16 TDI (FDEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFB); +RUNTEST 100 TCK; +SDR 16 TDI (DEFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FDF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A75E); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (7F76); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (9BFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFDD); +RUNTEST 100 TCK; +SDR 16 TDI (5B75); +RUNTEST 100 TCK; +SDR 16 TDI (EEF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF76); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFBB); +RUNTEST 100 TCK; +SDR 16 TDI (9FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFD7); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8B5E); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F37F); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77DD); +RUNTEST 100 TCK; +SDR 16 TDI (B9F7); +RUNTEST 100 TCK; +SDR 16 TDI (AFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (9D7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEEF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (9DFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBFB); +RUNTEST 100 TCK; +SDR 16 TDI (5DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFF4); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (5DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BAB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (57DD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEF); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (DD6F); +RUNTEST 100 TCK; +SDR 16 TDI (BDB7); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFD); +RUNTEST 100 TCK; +SDR 16 TDI (7F7D); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFCA); +RUNTEST 100 TCK; +SDR 16 TDI (DBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BBB); +RUNTEST 100 TCK; +SDR 16 TDI (F8D5); +RUNTEST 100 TCK; +SDR 16 TDI (AABE); +RUNTEST 100 TCK; +SDR 16 TDI (E465); +RUNTEST 100 TCK; +SDR 16 TDI (69FD); +RUNTEST 100 TCK; +SDR 16 TDI (DFCF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F6D7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEA); +RUNTEST 100 TCK; +SDR 16 TDI (77F5); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (AFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7667); +RUNTEST 100 TCK; +SDR 16 TDI (1FFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5EEF); +RUNTEST 100 TCK; +SDR 16 TDI (A43F); +RUNTEST 100 TCK; +SDR 16 TDI (BA35); +RUNTEST 100 TCK; +SDR 16 TDI (717F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF5E); +RUNTEST 100 TCK; +SDR 16 TDI (803F); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (4FDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEA); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (DB9A); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (7BF7); +RUNTEST 100 TCK; +SDR 16 TDI (BDCF); +RUNTEST 100 TCK; +SDR 16 TDI (8EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8A5D); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (47D6); +RUNTEST 100 TCK; +SDR 16 TDI (0B9F); +RUNTEST 100 TCK; +SDR 16 TDI (B780); +RUNTEST 100 TCK; +SDR 16 TDI (33EA); +RUNTEST 100 TCK; +SDR 16 TDI (6420); +RUNTEST 100 TCK; +SDR 16 TDI (1CCA); +RUNTEST 100 TCK; +SDR 16 TDI (B21F); +RUNTEST 100 TCK; +SDR 16 TDI (2147); +RUNTEST 100 TCK; +SDR 16 TDI (6430); +RUNTEST 100 TCK; +SDR 16 TDI (EECF); +RUNTEST 100 TCK; +SDR 16 TDI (939A); +RUNTEST 100 TCK; +SDR 16 TDI (A9EB); +RUNTEST 100 TCK; +SDR 16 TDI (4BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFE); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67B6); +RUNTEST 100 TCK; +SDR 16 TDI (0BE1); +RUNTEST 100 TCK; +SDR 16 TDI (BCF4); +RUNTEST 100 TCK; +SDR 16 TDI (03D0); +RUNTEST 100 TCK; +SDR 16 TDI (6400); +RUNTEST 100 TCK; +SDR 16 TDI (1CC4); +RUNTEST 100 TCK; +SDR 16 TDI (85FE); +RUNTEST 100 TCK; +SDR 16 TDI (A006); +RUNTEST 100 TCK; +SDR 16 TDI (500F); +RUNTEST 100 TCK; +SDR 16 TDI (22AF); +RUNTEST 100 TCK; +SDR 16 TDI (AA29); +RUNTEST 100 TCK; +SDR 16 TDI (9AEB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB5D); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7BEE); +RUNTEST 100 TCK; +SDR 16 TDI (4C61); +RUNTEST 100 TCK; +SDR 16 TDI (9B7D); +RUNTEST 100 TCK; +SDR 16 TDI (03FC); +RUNTEST 100 TCK; +SDR 16 TDI (5E05); +RUNTEST 100 TCK; +SDR 16 TDI (D9F0); +RUNTEST 100 TCK; +SDR 16 TDI (A01F); +RUNTEST 100 TCK; +SDR 16 TDI (C207); +RUNTEST 100 TCK; +SDR 16 TDI (7030); +RUNTEST 100 TCK; +SDR 16 TDI (DDC7); +RUNTEST 100 TCK; +SDR 16 TDI (BD9C); +RUNTEST 100 TCK; +SDR 16 TDI (C9FF); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFA); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67EE); +RUNTEST 100 TCK; +SDR 16 TDI (4D86); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (1BF0); +RUNTEST 100 TCK; +SDR 16 TDI (5E00); +RUNTEST 100 TCK; +SDR 16 TDI (59F0); +RUNTEST 100 TCK; +SDR 16 TDI (A01F); +RUNTEST 100 TCK; +SDR 16 TDI (8007); +RUNTEST 100 TCK; +SDR 16 TDI (7000); +RUNTEST 100 TCK; +SDR 16 TDI (119F); +RUNTEST 100 TCK; +SDR 16 TDI (9C49); +RUNTEST 100 TCK; +SDR 16 TDI (9CBF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (EEEF); +RUNTEST 100 TCK; +SDR 16 TDI (B33B); +RUNTEST 100 TCK; +SDR 16 TDI (B1F7); +RUNTEST 100 TCK; +SDR 16 TDI (6623); +RUNTEST 100 TCK; +SDR 16 TDI (FECE); +RUNTEST 100 TCK; +SDR 16 TDI (9D8F); +RUNTEST 100 TCK; +SDR 16 TDI (D998); +RUNTEST 100 TCK; +SDR 16 TDI (59C8); +RUNTEST 100 TCK; +SDR 16 TDI (EEE3); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5555); +RUNTEST 100 TCK; +SDR 16 TDI (6946); +RUNTEST 100 TCK; +SDR 16 TDI (7555); +RUNTEST 100 TCK; +SDR 16 TDI (9773); +RUNTEST 100 TCK; +SDR 16 TDI (34A3); +RUNTEST 100 TCK; +SDR 16 TDI (5776); +RUNTEST 100 TCK; +SDR 16 TDI (7C9C); +RUNTEST 100 TCK; +SDR 16 TDI (B925); +RUNTEST 100 TCK; +SDR 16 TDI (5DDD); +RUNTEST 100 TCK; +SDR 16 TDI (7B9D); +RUNTEST 100 TCK; +SDR 16 TDI (CCC2); +RUNTEST 100 TCK; +SDR 16 TDI (B199); +RUNTEST 100 TCK; +SDR 16 TDI (99FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BF9); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FBEF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (3FBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (B777); +RUNTEST 100 TCK; +SDR 16 TDI (773F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEB7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DCEF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7F5F); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77F5); +RUNTEST 100 TCK; +SDR 16 TDI (FF7D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (9B9F); +RUNTEST 100 TCK; +SDR 16 TDI (BFDE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDEF); +RUNTEST 100 TCK; +SDR 16 TDI (BEBF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFA); +RUNTEST 100 TCK; +SDR 16 TDI (7EB7); +RUNTEST 100 TCK; +SDR 16 TDI (FFBD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (DFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FA); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (D6FF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5ED7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (E5FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FABF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AF7F); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5EEF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5F6F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF8); +RUNTEST 100 TCK; +SDR 16 TDI (DDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEEF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FDF); +RUNTEST 100 TCK; SDR 16 TDI (EFFD); RUNTEST 100 TCK; SDR 16 TDI (53FF); @@ -6227,9 +6227,9 @@ SDR 16 TDI (E7FF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (7FF3); +SDR 16 TDI (FFF3); RUNTEST 100 TCK; -SDR 16 TDI (BF7F); +SDR 16 TDI (BFFF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; @@ -6243,25 +6243,25 @@ SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; SDR 16 TDI (BFFF); RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (5FFF); RUNTEST 100 TCK; SDR 16 TDI (FFFB); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (B7FF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; SDR 16 TDI (7FFF); RUNTEST 100 TCK; -SDR 16 TDI (FFFF); +SDR 16 TDI (BBBF); RUNTEST 100 TCK; -SDR 16 TDI (BFFF); +SDR 16 TDI (BEEF); RUNTEST 100 TCK; SDR 16 TDI (FFFF); RUNTEST 100 TCK; @@ -7806,7 +7806,7 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFF7); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); @@ -7818,20 +7818,20 @@ SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (77FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (C666); -SDR 16 TDI (FFFF) TDO (6FF9); +SDR 16 TDI (FFFF) TDO (6FFB); SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BC66); -SDR 16 TDI (FFFF) TDO (67FE); +SDR 16 TDI (FFFF) TDO (67F6); SDR 16 TDI (FFFF) TDO (733F); SDR 16 TDI (FFFF) TDO (FF19); -SDR 16 TDI (FFFF) TDO (BD3F); -SDR 16 TDI (FFFF) TDO (ECCF); -SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AF3F); +SDR 16 TDI (FFFF) TDO (E4CF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); SDR 16 TDI (FFFF) TDO (BFCC); SDR 16 TDI (FFFF) TDO (CFFF); SDR 16 TDI (FFFF) TDO (6FFF); @@ -7841,3038 +7841,3038 @@ SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77DF); SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (76FF); -SDR 16 TDI (FFFF) TDO (ABFD); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FFDA); -SDR 16 TDI (FFFF) TDO (7DFE); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (EF5F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (7B7B); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EF7E); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FCCD); -SDR 16 TDI (FFFF) TDO (7BFD); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (76FB); -SDR 16 TDI (FFFF) TDO (D7AF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6DBB); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FB7); -SDR 16 TDI (FFFF) TDO (BAFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BBF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (AFFD); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FD); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (6CDF); -SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F9EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (3FD7); -SDR 16 TDI (FFFF) TDO (BDEB); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FDF); SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (FEBF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (B6FF); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (ADDF); -SDR 16 TDI (FFFF) TDO (FB7C); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (3775); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FBCC); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (773F); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FE7); -SDR 16 TDI (FFFF) TDO (DFAF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7DD); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF6); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FF75); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAAF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFB9); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FBBA); -SDR 16 TDI (FFFF) TDO (7DBB); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (D3F7); -SDR 16 TDI (FFFF) TDO (B97F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FAF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (EDEF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7BFC); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (77F9); -SDR 16 TDI (FFFF) TDO (77FB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7C); -SDR 16 TDI (FFFF) TDO (6DF7); -SDR 16 TDI (FFFF) TDO (3E9F); -SDR 16 TDI (FFFF) TDO (BC7F); -SDR 16 TDI (FFFF) TDO (33FD); -SDR 16 TDI (FFFF) TDO (6FCA); -SDR 16 TDI (FFFF) TDO (FFB6); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FF21); -SDR 16 TDI (FFFF) TDO (7DE7); -SDR 16 TDI (FFFF) TDO (8FBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FBE3); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7F37); -SDR 16 TDI (FFFF) TDO (FF4B); -SDR 16 TDI (FFFF) TDO (B99F); -SDR 16 TDI (FFFF) TDO (F9DE); -SDR 16 TDI (FFFF) TDO (779B); -SDR 16 TDI (FFFF) TDO (786F); -SDR 16 TDI (FFFF) TDO (BDDC); -SDR 16 TDI (FFFF) TDO (727F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (77FC); -SDR 16 TDI (FFFF) TDO (E57C); -SDR 16 TDI (FFFF) TDO (A3F7); -SDR 16 TDI (FFFF) TDO (FFFA); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6F7D); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BAAF); -SDR 16 TDI (FFFF) TDO (8FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B66F); -SDR 16 TDI (FFFF) TDO (FCFC); -SDR 16 TDI (FFFF) TDO (67DE); -SDR 16 TDI (FFFF) TDO (1FFA); -SDR 16 TDI (FFFF) TDO (A000); -SDR 16 TDI (FFFF) TDO (FFE0); -SDR 16 TDI (FFFF) TDO (7882); -SDR 16 TDI (FFFF) TDO (1F0F); -SDR 16 TDI (FFFF) TDO (A61F); -SDR 16 TDI (FFFF) TDO (7FE7); -SDR 16 TDI (FFFF) TDO (7245); -SDR 16 TDI (FFFF) TDO (A8EF); -SDR 16 TDI (FFFF) TDO (B781); -SDR 16 TDI (FFFF) TDO (80F5); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (FC3C); -SDR 16 TDI (FFFF) TDO (67A0); -SDR 16 TDI (FFFF) TDO (1BA2); -SDR 16 TDI (FFFF) TDO (A000); -SDR 16 TDI (FFFF) TDO (FFD0); -SDR 16 TDI (FFFF) TDO (7800); -SDR 16 TDI (FFFF) TDO (1F0F); -SDR 16 TDI (FFFF) TDO (A01E); -SDR 16 TDI (FFFF) TDO (F9F6); -SDR 16 TDI (FFFF) TDO (6280); -SDR 16 TDI (FFFF) TDO (A8CF); -SDR 16 TDI (FFFF) TDO (AF81); -SDR 16 TDI (FFFF) TDO (907F); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (FDFC); -SDR 16 TDI (FFFF) TDO (67E7); -SDR 16 TDI (FFFF) TDO (1FFB); -SDR 16 TDI (FFFF) TDO (A230); -SDR 16 TDI (FFFF) TDO (33F0); -SDR 16 TDI (FFFF) TDO (6001); -SDR 16 TDI (FFFF) TDO (9F0F); -SDR 16 TDI (FFFF) TDO (BF9F); -SDR 16 TDI (FFFF) TDO (FFE7); -SDR 16 TDI (FFFF) TDO (7253); -SDR 16 TDI (FFFF) TDO (00DF); -SDR 16 TDI (FFFF) TDO (BF09); -SDR 16 TDI (FFFF) TDO (80F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FDBE); -SDR 16 TDI (FFFF) TDO (67E1); -SDR 16 TDI (FFFF) TDO (01C2); -SDR 16 TDI (FFFF) TDO (A030); -SDR 16 TDI (FFFF) TDO (33F4); -SDR 16 TDI (FFFF) TDO (6001); -SDR 16 TDI (FFFF) TDO (9F7E); -SDR 16 TDI (FFFF) TDO (BF9F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6290); -SDR 16 TDI (FFFF) TDO (80DF); -SDR 16 TDI (FFFF) TDO (BF09); -SDR 16 TDI (FFFF) TDO (007F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAA7); -SDR 16 TDI (FFFF) TDO (3332); -SDR 16 TDI (FFFF) TDO (61E6); -SDR 16 TDI (FFFF) TDO (EE6F); -SDR 16 TDI (FFFF) TDO (A318); -SDR 16 TDI (FFFF) TDO (11F2); -SDR 16 TDI (FFFF) TDO (6144); -SDR 16 TDI (FFFF) TDO (3CEC); -SDR 16 TDI (FFFF) TDO (BDCF); -SDR 16 TDI (FFFF) TDO (9BB8); -SDR 16 TDI (FFFF) TDO (73C9); -SDR 16 TDI (FFFF) TDO (CCE7); -SDR 16 TDI (FFFF) TDO (B89C); -SDR 16 TDI (FFFF) TDO (98FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (6952); -SDR 16 TDI (FFFF) TDO (6767); -SDR 16 TDI (FFFF) TDO (B773); -SDR 16 TDI (FFFF) TDO (22A1); -SDR 16 TDI (FFFF) TDO (6627); -SDR 16 TDI (FFFF) TDO (7DCD); -SDR 16 TDI (FFFF) TDO (B985); -SDR 16 TDI (FFFF) TDO (599D); -SDR 16 TDI (FFFF) TDO (79DD); -SDR 16 TDI (FFFF) TDO (DDC2); -SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEF7); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (77CF); -SDR 16 TDI (FFFF) TDO (FEFD); -SDR 16 TDI (FFFF) TDO (BF99); -SDR 16 TDI (FFFF) TDO (DFEE); -SDR 16 TDI (FFFF) TDO (7DDD); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (B3BB); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EBFA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7D7D); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (D7FD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7D7F); -SDR 16 TDI (FFFF) TDO (FDFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFB); -SDR 16 TDI (FFFF) TDO (7FE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (737F); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FDF6); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7DC); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EFAF); -SDR 16 TDI (FFFF) TDO (BCFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7B7E); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5D); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (6BF9); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBDB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6EBF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (6B77); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (BB5F); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (7D7F); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FAFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FAFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFC); -SDR 16 TDI (FFFF) TDO (BEFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFB7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7CBD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFE); -SDR 16 TDI (FFFF) TDO (B96F); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7D75); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (F37F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFF9); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7DF4); -SDR 16 TDI (FFFF) TDO (79FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BF3A); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (BEFB); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (77D9); -SDR 16 TDI (FFFF) TDO (7BBF); -SDR 16 TDI (FFFF) TDO (BEF3); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55E); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (BD9B); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DDDF); -SDR 16 TDI (FFFF) TDO (BD5F); -SDR 16 TDI (FFFF) TDO (DF75); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AAAF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DEB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (6FF6); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFE); -SDR 16 TDI (FFFF) TDO (7CFF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (775B); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6FF); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (6CFB); -SDR 16 TDI (FFFF) TDO (7DBF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6DED); -SDR 16 TDI (FFFF) TDO (F37F); -SDR 16 TDI (FFFF) TDO (A77F); -SDR 16 TDI (FFFF) TDO (CFFE); -SDR 16 TDI (FFFF) TDO (7F76); -SDR 16 TDI (FFFF) TDO (BFF3); -SDR 16 TDI (FFFF) TDO (B7FD); -SDR 16 TDI (FFFF) TDO (3AFB); -SDR 16 TDI (FFFF) TDO (7717); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEC); -SDR 16 TDI (FFFF) TDO (FE5F); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (7FFC); -SDR 16 TDI (FFFF) TDO (B8BF); -SDR 16 TDI (FFFF) TDO (77FB); -SDR 16 TDI (FFFF) TDO (62EF); -SDR 16 TDI (FFFF) TDO (FFCF); -SDR 16 TDI (FFFF) TDO (ADBF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FEB); -SDR 16 TDI (FFFF) TDO (D45F); -SDR 16 TDI (FFFF) TDO (BE5F); -SDR 16 TDI (FFFF) TDO (566A); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (67F7); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (9FD7); -SDR 16 TDI (FFFF) TDO (BFE3); -SDR 16 TDI (FFFF) TDO (BBF5); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (5CFD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (D71D); -SDR 16 TDI (FFFF) TDO (78FD); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (BDAE); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B99D); -SDR 16 TDI (FFFF) TDO (69FC); -SDR 16 TDI (FFFF) TDO (67C0); -SDR 16 TDI (FFFF) TDO (0B9E); -SDR 16 TDI (FFFF) TDO (B4A0); -SDR 16 TDI (FFFF) TDO (03EE); -SDR 16 TDI (FFFF) TDO (7580); -SDR 16 TDI (FFFF) TDO (1302); -SDR 16 TDI (FFFF) TDO (A01E); -SDR 16 TDI (FFFF) TDO (1819); -SDR 16 TDI (FFFF) TDO (70AF); -SDR 16 TDI (FFFF) TDO (FC0F); -SDR 16 TDI (FFFF) TDO (B079); -SDR 16 TDI (FFFF) TDO (87F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABFE); -SDR 16 TDI (FFFF) TDO (E9C3); -SDR 16 TDI (FFFF) TDO (67A0); -SDR 16 TDI (FFFF) TDO (0BE0); -SDR 16 TDI (FFFF) TDO (A0A0); -SDR 16 TDI (FFFF) TDO (03D0); -SDR 16 TDI (FFFF) TDO (7580); -SDR 16 TDI (FFFF) TDO (1302); -SDR 16 TDI (FFFF) TDO (B41B); -SDR 16 TDI (FFFF) TDO (9F99); -SDR 16 TDI (FFFF) TDO (70AB); -SDR 16 TDI (FFFF) TDO (0C0F); -SDR 16 TDI (FFFF) TDO (AAA9); -SDR 16 TDI (FFFF) TDO (D07F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD5D); -SDR 16 TDI (FFFF) TDO (9283); -SDR 16 TDI (FFFF) TDO (7FF0); -SDR 16 TDI (FFFF) TDO (2C60); -SDR 16 TDI (FFFF) TDO (AA40); -SDR 16 TDI (FFFF) TDO (E3FF); -SDR 16 TDI (FFFF) TDO (6C06); -SDR 16 TDI (FFFF) TDO (30C7); -SDR 16 TDI (FFFF) TDO (A47F); -SDR 16 TDI (FFFF) TDO (E066); -SDR 16 TDI (FFFF) TDO (610F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (B86C); -SDR 16 TDI (FFFF) TDO (84F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (D2B0); -SDR 16 TDI (FFFF) TDO (67F6); -SDR 16 TDI (FFFF) TDO (0D86); -SDR 16 TDI (FFFF) TDO (A040); -SDR 16 TDI (FFFF) TDO (C3F0); -SDR 16 TDI (FFFF) TDO (7C06); -SDR 16 TDI (FFFF) TDO (10C1); -SDR 16 TDI (FFFF) TDO (A07F); -SDR 16 TDI (FFFF) TDO (9DE6); -SDR 16 TDI (FFFF) TDO (6083); -SDR 16 TDI (FFFF) TDO (3C0F); -SDR 16 TDI (FFFF) TDO (BCC8); -SDR 16 TDI (FFFF) TDO (07FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAA7); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (71EE); -SDR 16 TDI (FFFF) TDO (2EEF); -SDR 16 TDI (FFFF) TDO (B219); -SDR 16 TDI (FFFF) TDO (31F1); -SDR 16 TDI (FFFF) TDO (6286); -SDR 16 TDI (FFFF) TDO (38CF); -SDR 16 TDI (FFFF) TDO (B9AF); -SDR 16 TDI (FFFF) TDO (9999); -SDR 16 TDI (FFFF) TDO (71CF); -SDR 16 TDI (FFFF) TDO (CEC7); -SDR 16 TDI (FFFF) TDO (BA9C); -SDR 16 TDI (FFFF) TDO (9BFE); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (1111); -SDR 16 TDI (FFFF) TDO (6167); -SDR 16 TDI (FFFF) TDO (6445); -SDR 16 TDI (FFFF) TDO (B763); -SDR 16 TDI (FFFF) TDO (36A9); -SDR 16 TDI (FFFF) TDO (7677); -SDR 16 TDI (FFFF) TDO (79DC); -SDR 16 TDI (FFFF) TDO (BBA5); -SDR 16 TDI (FFFF) TDO (19D9); -SDR 16 TDI (FFFF) TDO (79DC); -SDR 16 TDI (FFFF) TDO (DC9A); -SDR 16 TDI (FFFF) TDO (B1CD); -SDR 16 TDI (FFFF) TDO (D9FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DDDD); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FDDD); -SDR 16 TDI (FFFF) TDO (BBDD); -SDR 16 TDI (FFFF) TDO (BBEF); -SDR 16 TDI (FFFF) TDO (7FCF); -SDR 16 TDI (FFFF) TDO (FEF7); -SDR 16 TDI (FFFF) TDO (BFC7); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (EBFA); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (6DFB); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FF3F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DD7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FB7F); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (BBFD); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (6BF7); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (77EF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (DBED); -SDR 16 TDI (FFFF) TDO (BD3F); -SDR 16 TDI (FFFF) TDO (FEF7); -SDR 16 TDI (FFFF) TDO (66FF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (F7FE); -SDR 16 TDI (FFFF) TDO (BBDE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EF7F); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FB7); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (7EEB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (B77D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (EBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6EFE); -SDR 16 TDI (FFFF) TDO (DF5F); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEB); -SDR 16 TDI (FFFF) TDO (D7FB); -SDR 16 TDI (FFFF) TDO (AFDF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (F7FD); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FF76); -SDR 16 TDI (FFFF) TDO (7D7B); -SDR 16 TDI (FFFF) TDO (EF5F); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (F9FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BF7B); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BDD); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BBEF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB7B); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (737F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (DEEB); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6BBB); -SDR 16 TDI (FFFF) TDO (FFFA); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7DD5); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (B7DF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7EF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DF3B); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (5FEF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (6B7F); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7BE); -SDR 16 TDI (FFFF) TDO (FF7B); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FDB); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (CBDE); -SDR 16 TDI (FFFF) TDO (7DEB); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BCFB); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDDE); -SDR 16 TDI (FFFF) TDO (BD3F); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFEB); -SDR 16 TDI (FFFF) TDO (BBDB); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (7DFD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BDDD); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6B7F); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD7D); -SDR 16 TDI (FFFF) TDO (7B7F); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (AFBE); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7DE0); -SDR 16 TDI (FFFF) TDO (13F6); -SDR 16 TDI (FFFF) TDO (AEFD); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (6C97); -SDR 16 TDI (FFFF) TDO (B3EF); -SDR 16 TDI (FFFF) TDO (A77F); -SDR 16 TDI (FFFF) TDO (F9F9); -SDR 16 TDI (FFFF) TDO (7676); -SDR 16 TDI (FFFF) TDO (FE6F); -SDR 16 TDI (FFFF) TDO (BBE9); -SDR 16 TDI (FFFF) TDO (33FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (B5F2); -SDR 16 TDI (FFFF) TDO (FFFC); -SDR 16 TDI (FFFF) TDO (7F7B); -SDR 16 TDI (FFFF) TDO (DEDA); -SDR 16 TDI (FFFF) TDO (BCFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (7FCF); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (CD6A); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (677F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BF2F); -SDR 16 TDI (FFFF) TDO (F7F3); -SDR 16 TDI (FFFF) TDO (73FD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (BF9E); -SDR 16 TDI (FFFF) TDO (CE1E); -SDR 16 TDI (FFFF) TDO (69BB); -SDR 16 TDI (FFFF) TDO (2F9F); -SDR 16 TDI (FFFF) TDO (BC9F); SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBAD); -SDR 16 TDI (FFFF) TDO (69FC); -SDR 16 TDI (FFFF) TDO (67C2); -SDR 16 TDI (FFFF) TDO (0B9E); -SDR 16 TDI (FFFF) TDO (A130); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (7404); -SDR 16 TDI (FFFF) TDO (BC00); -SDR 16 TDI (FFFF) TDO (B17F); -SDR 16 TDI (FFFF) TDO (0100); -SDR 16 TDI (FFFF) TDO (6888); -SDR 16 TDI (FFFF) TDO (5C1F); -SDR 16 TDI (FFFF) TDO (B768); -SDR 16 TDI (FFFF) TDO (0075); -SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF5E); -SDR 16 TDI (FFFF) TDO (E9C3); -SDR 16 TDI (FFFF) TDO (67A0); -SDR 16 TDI (FFFF) TDO (0BE0); -SDR 16 TDI (FFFF) TDO (A3F0); -SDR 16 TDI (FFFF) TDO (8FDE); -SDR 16 TDI (FFFF) TDO (78A7); -SDR 16 TDI (FFFF) TDO (BA00); -SDR 16 TDI (FFFF) TDO (A11E); -SDR 16 TDI (FFFF) TDO (8002); -SDR 16 TDI (FFFF) TDO (6000); -SDR 16 TDI (FFFF) TDO (5C2F); -SDR 16 TDI (FFFF) TDO (AF08); -SDR 16 TDI (FFFF) TDO (10FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5FD); -SDR 16 TDI (FFFF) TDO (9283); -SDR 16 TDI (FFFF) TDO (7FE0); -SDR 16 TDI (FFFF) TDO (4C61); -SDR 16 TDI (FFFF) TDO (A538); -SDR 16 TDI (FFFF) TDO (EFF3); -SDR 16 TDI (FFFF) TDO (6066); -SDR 16 TDI (FFFF) TDO (1CC8); -SDR 16 TDI (FFFF) TDO (B19F); -SDR 16 TDI (FFFF) TDO (C208); -SDR 16 TDI (FFFF) TDO (6078); -SDR 16 TDI (FFFF) TDO (BC6F); -SDR 16 TDI (FFFF) TDO (BF99); -SDR 16 TDI (FFFF) TDO (F875); -SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (D2B0); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (0D86); -SDR 16 TDI (FFFF) TDO (A338); -SDR 16 TDI (FFFF) TDO (2FFC); -SDR 16 TDI (FFFF) TDO (6126); -SDR 16 TDI (FFFF) TDO (19C8); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (E00A); -SDR 16 TDI (FFFF) TDO (70F0); -SDR 16 TDI (FFFF) TDO (9C1F); -SDR 16 TDI (FFFF) TDO (BF98); -SDR 16 TDI (FFFF) TDO (787F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BA3F); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (71E6); -SDR 16 TDI (FFFF) TDO (6EEF); -SDR 16 TDI (FFFF) TDO (B333); -SDR 16 TDI (FFFF) TDO (75F3); -SDR 16 TDI (FFFF) TDO (6E7F); -SDR 16 TDI (FFFF) TDO (7EE8); -SDR 16 TDI (FFFF) TDO (B9CF); -SDR 16 TDI (FFFF) TDO (D98B); -SDR 16 TDI (FFFF) TDO (71E8); -SDR 16 TDI (FFFF) TDO (8E83); -SDR 16 TDI (FFFF) TDO (B998); -SDR 16 TDI (FFFF) TDO (89FA); -SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BEF7); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (1111); -SDR 16 TDI (FFFF) TDO (6177); -SDR 16 TDI (FFFF) TDO (2445); -SDR 16 TDI (FFFF) TDO (B777); -SDR 16 TDI (FFFF) TDO (74AB); -SDR 16 TDI (FFFF) TDO (6767); -SDR 16 TDI (FFFF) TDO (7CCD); -SDR 16 TDI (FFFF) TDO (BB85); -SDR 16 TDI (FFFF) TDO (5DD9); -SDR 16 TDI (FFFF) TDO (7BCD); -SDR 16 TDI (FFFF) TDO (DCD2); -SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DDDD); -SDR 16 TDI (FFFF) TDO (7FCF); -SDR 16 TDI (FFFF) TDO (FDDD); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (FFE7); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BCEF); -SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (FF7E); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (A7FF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7B7B); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (E7AF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (AFAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77B7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F9AA); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (AF6B); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DDF5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (CFEF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BBAF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (3F73); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D9BD); +SDR 16 TDI (FFFF) TDO (AFFC); +SDR 16 TDI (FFFF) TDO (ECFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (FF13); +SDR 16 TDI (FFFF) TDO (AB5B); +SDR 16 TDI (FFFF) TDO (9F7D); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (F4DD); +SDR 16 TDI (FFFF) TDO (A99F); +SDR 16 TDI (FFFF) TDO (E7F7); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9BF); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (6FF2); +SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD8F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B3EF); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (767F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (B7B5); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (FF22); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (9FFC); +SDR 16 TDI (FFFF) TDO (74FF); +SDR 16 TDI (FFFF) TDO (CC5E); +SDR 16 TDI (FFFF) TDO (B7B9); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B76C); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (9E80); +SDR 16 TDI (FFFF) TDO (A700); +SDR 16 TDI (FFFF) TDO (1BE9); +SDR 16 TDI (FFFF) TDO (78B9); +SDR 16 TDI (FFFF) TDO (F00F); +SDR 16 TDI (FFFF) TDO (A1FF); +SDR 16 TDI (FFFF) TDO (2800); +SDR 16 TDI (FFFF) TDO (60FF); +SDR 16 TDI (FFFF) TDO (AF8F); +SDR 16 TDI (FFFF) TDO (A004); +SDR 16 TDI (FFFF) TDO (7875); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEDC); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FAA); +SDR 16 TDI (FFFF) TDO (7EA0); +SDR 16 TDI (FFFF) TDO (A300); +SDR 16 TDI (FFFF) TDO (23F9); +SDR 16 TDI (FFFF) TDO (7EBE); +SDR 16 TDI (FFFF) TDO (102D); +SDR 16 TDI (FFFF) TDO (A1FF); +SDR 16 TDI (FFFF) TDO (A858); +SDR 16 TDI (FFFF) TDO (60FF); +SDR 16 TDI (FFFF) TDO (A08D); +SDR 16 TDI (FFFF) TDO (B800); +SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B77C); +SDR 16 TDI (FFFF) TDO (FCFC); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (9E00); +SDR 16 TDI (FFFF) TDO (A0E3); +SDR 16 TDI (FFFF) TDO (17FE); +SDR 16 TDI (FFFF) TDO (6646); +SDR 16 TDI (FFFF) TDO (1C06); +SDR 16 TDI (FFFF) TDO (A19F); +SDR 16 TDI (FFFF) TDO (D804); +SDR 16 TDI (FFFF) TDO (78FF); +SDR 16 TDI (FFFF) TDO (57BF); +SDR 16 TDI (FFFF) TDO (B998); +SDR 16 TDI (FFFF) TDO (00F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEC); +SDR 16 TDI (FFFF) TDO (3CFC); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7E04); +SDR 16 TDI (FFFF) TDO (A0C0); +SDR 16 TDI (FFFF) TDO (23FE); +SDR 16 TDI (FFFF) TDO (7858); +SDR 16 TDI (FFFF) TDO (700F); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (C878); +SDR 16 TDI (FFFF) TDO (60FF); +SDR 16 TDI (FFFF) TDO (588F); +SDR 16 TDI (FFFF) TDO (B998); +SDR 16 TDI (FFFF) TDO (787F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B8AF); +SDR 16 TDI (FFFF) TDO (33B3); +SDR 16 TDI (FFFF) TDO (63EE); +SDR 16 TDI (FFFF) TDO (E6E7); +SDR 16 TDI (FFFF) TDO (A323); +SDR 16 TDI (FFFF) TDO (39F7); +SDR 16 TDI (FFFF) TDO (6EEE); +SDR 16 TDI (FFFF) TDO (FEEC); +SDR 16 TDI (FFFF) TDO (BD8F); +SDR 16 TDI (FFFF) TDO (9989); +SDR 16 TDI (FFFF) TDO (77CC); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (7737); +SDR 16 TDI (FFFF) TDO (6946); +SDR 16 TDI (FFFF) TDO (7673); +SDR 16 TDI (FFFF) TDO (B736); +SDR 16 TDI (FFFF) TDO (70A2); +SDR 16 TDI (FFFF) TDO (6444); +SDR 16 TDI (FFFF) TDO (5CCD); +SDR 16 TDI (FFFF) TDO (B9B5); +SDR 16 TDI (FFFF) TDO (19DD); +SDR 16 TDI (FFFF) TDO (73DD); +SDR 16 TDI (FFFF) TDO (CCC2); +SDR 16 TDI (FFFF) TDO (B5DC); +SDR 16 TDI (FFFF) TDO (DCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77DF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (7F3B); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF73); SDR 16 TDI (FFFF) TDO (B3BF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (F7DB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CDDB); -SDR 16 TDI (FFFF) TDO (7F67); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (F77B); -SDR 16 TDI (FFFF) TDO (B5DF); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (7FDE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFD); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5DD); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (73BF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (7F7E); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F57F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDE7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFDB); -SDR 16 TDI (FFFF) TDO (7BE7); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (DD37); -SDR 16 TDI (FFFF) TDO (7DF5); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7DEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (7F3F); -SDR 16 TDI (FFFF) TDO (AFF7); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (77D7); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6BEF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (AFDF); -SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (67FF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (DBFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7B7); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (7EDB); -SDR 16 TDI (FFFF) TDO (DF77); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (CB5F); -SDR 16 TDI (FFFF) TDO (7EF5); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F9FB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BA7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6DEC); -SDR 16 TDI (FFFF) TDO (EDE7); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (7B9F); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (BFF6); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (BEFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (6EF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DF7); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (7BF6); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FEBB); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (DBFB); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (6EFB); -SDR 16 TDI (FFFF) TDO (55AB); -SDR 16 TDI (FFFF) TDO (B3FF); -SDR 16 TDI (FFFF) TDO (FB7A); -SDR 16 TDI (FFFF) TDO (7D6F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (BFB6); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDAD); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFD9); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFC); -SDR 16 TDI (FFFF) TDO (FFB9); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (6E77); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BEF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF33); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B65E); -SDR 16 TDI (FFFF) TDO (FF7B); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (E7FA); -SDR 16 TDI (FFFF) TDO (73AF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (3FFD); -SDR 16 TDI (FFFF) TDO (7BEB); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (F7F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (B76B); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (757F); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFF3); -SDR 16 TDI (FFFF) TDO (7B6A); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (B99D); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7775); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FD6F); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7DED); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (AFFB); -SDR 16 TDI (FFFF) TDO (5BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (AABF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF75); -SDR 16 TDI (FFFF) TDO (6DEC); -SDR 16 TDI (FFFF) TDO (B3F6); -SDR 16 TDI (FFFF) TDO (A7D3); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FB1); -SDR 16 TDI (FFFF) TDO (93C1); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FF3E); -SDR 16 TDI (FFFF) TDO (73C3); -SDR 16 TDI (FFFF) TDO (3FBF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (5BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B3FC); -SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (D7FC); -SDR 16 TDI (FFFF) TDO (73FF); -SDR 16 TDI (FFFF) TDO (FC3F); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (E6FD); -SDR 16 TDI (FFFF) TDO (7EFD); -SDR 16 TDI (FFFF) TDO (E6EF); -SDR 16 TDI (FFFF) TDO (BCE9); -SDR 16 TDI (FFFF) TDO (BCEA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (5F5F); -SDR 16 TDI (FFFF) TDO (B8BC); -SDR 16 TDI (FFFF) TDO (FBEB); -SDR 16 TDI (FFFF) TDO (6C4E); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (A11B); -SDR 16 TDI (FFFF) TDO (D9DF); -SDR 16 TDI (FFFF) TDO (6D3F); -SDR 16 TDI (FFFF) TDO (D95F); -SDR 16 TDI (FFFF) TDO (B75F); -SDR 16 TDI (FFFF) TDO (E77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BE5D); -SDR 16 TDI (FFFF) TDO (69FC); -SDR 16 TDI (FFFF) TDO (67C0); -SDR 16 TDI (FFFF) TDO (0B9E); -SDR 16 TDI (FFFF) TDO (A320); -SDR 16 TDI (FFFF) TDO (03C0); -SDR 16 TDI (FFFF) TDO (60A2); -SDR 16 TDI (FFFF) TDO (5E39); -SDR 16 TDI (FFFF) TDO (B997); -SDR 16 TDI (FFFF) TDO (6084); -SDR 16 TDI (FFFF) TDO (7CB5); -SDR 16 TDI (FFFF) TDO (F48F); -SDR 16 TDI (FFFF) TDO (A49F); -SDR 16 TDI (FFFF) TDO (E3F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAFE); -SDR 16 TDI (FFFF) TDO (E9C3); -SDR 16 TDI (FFFF) TDO (67A0); -SDR 16 TDI (FFFF) TDO (0BE0); -SDR 16 TDI (FFFF) TDO (A382); -SDR 16 TDI (FFFF) TDO (03D0); -SDR 16 TDI (FFFF) TDO (62E0); -SDR 16 TDI (FFFF) TDO (1201); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (8884); -SDR 16 TDI (FFFF) TDO (6080); -SDR 16 TDI (FFFF) TDO (F43F); -SDR 16 TDI (FFFF) TDO (AC1E); -SDR 16 TDI (FFFF) TDO (897F); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF6D); -SDR 16 TDI (FFFF) TDO (9283); -SDR 16 TDI (FFFF) TDO (7FF0); -SDR 16 TDI (FFFF) TDO (0C61); -SDR 16 TDI (FFFF) TDO (A32C); -SDR 16 TDI (FFFF) TDO (23F9); -SDR 16 TDI (FFFF) TDO (6000); -SDR 16 TDI (FFFF) TDO (7CDB); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (E7E6); -SDR 16 TDI (FFFF) TDO (7BCF); -SDR 16 TDI (FFFF) TDO (0F8F); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (F975); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDE); -SDR 16 TDI (FFFF) TDO (D2B0); -SDR 16 TDI (FFFF) TDO (67F6); -SDR 16 TDI (FFFF) TDO (4D86); -SDR 16 TDI (FFFF) TDO (A37E); -SDR 16 TDI (FFFF) TDO (33F0); -SDR 16 TDI (FFFF) TDO (6000); -SDR 16 TDI (FFFF) TDO (7CD3); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (EE66); -SDR 16 TDI (FFFF) TDO (6BC9); -SDR 16 TDI (FFFF) TDO (49FF); -SDR 16 TDI (FFFF) TDO (BE3C); -SDR 16 TDI (FFFF) TDO (897F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BE27); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (71EE); -SDR 16 TDI (FFFF) TDO (6EEF); -SDR 16 TDI (FFFF) TDO (A3BB); -SDR 16 TDI (FFFF) TDO (B1F3); -SDR 16 TDI (FFFF) TDO (66E6); -SDR 16 TDI (FFFF) TDO (7CCF); -SDR 16 TDI (FFFF) TDO (B98F); -SDR 16 TDI (FFFF) TDO (D99F); -SDR 16 TDI (FFFF) TDO (73EE); -SDR 16 TDI (FFFF) TDO (8EC7); -SDR 16 TDI (FFFF) TDO (BDD9); -SDR 16 TDI (FFFF) TDO (99EB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (1111); -SDR 16 TDI (FFFF) TDO (6167); -SDR 16 TDI (FFFF) TDO (6445); -SDR 16 TDI (FFFF) TDO (A777); -SDR 16 TDI (FFFF) TDO (74A9); -SDR 16 TDI (FFFF) TDO (7277); -SDR 16 TDI (FFFF) TDO (3CDC); -SDR 16 TDI (FFFF) TDO (BB25); -SDR 16 TDI (FFFF) TDO (1DD9); -SDR 16 TDI (FFFF) TDO (7BCC); -SDR 16 TDI (FFFF) TDO (DC92); -SDR 16 TDI (FFFF) TDO (B5CC); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (DDDD); -SDR 16 TDI (FFFF) TDO (7FDE); -SDR 16 TDI (FFFF) TDO (FDDD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (F8FF); -SDR 16 TDI (FFFF) TDO (6FCE); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BDEF); -SDR 16 TDI (FFFF) TDO (77BF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (E7F7); -SDR 16 TDI (FFFF) TDO (B3BB); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BAEE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (DF6D); -SDR 16 TDI (FFFF) TDO (6B5F); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BBDE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FD); -SDR 16 TDI (FFFF) TDO (BE7D); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (76EB); -SDR 16 TDI (FFFF) TDO (F7DE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EBDF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (BF7B); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (76E5); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (B7DE); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFAB); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (D6BF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FAFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DF5E); -SDR 16 TDI (FFFF) TDO (7B7F); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BDDE); -SDR 16 TDI (FFFF) TDO (F5FB); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FEF7); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (FECD); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7EF7); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (CF77); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (75BF); -SDR 16 TDI (FFFF) TDO (AB7F); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (B7DF); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (677E); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FF37); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (EBF7); -SDR 16 TDI (FFFF) TDO (6ACF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (ADF7); -SDR 16 TDI (FFFF) TDO (77FB); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDF7); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (75BF); -SDR 16 TDI (FFFF) TDO (B7DF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FDE); -SDR 16 TDI (FFFF) TDO (DE6F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (79BF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (EDD7); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (AEBE); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BFF3); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (D757); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F4EF); -SDR 16 TDI (FFFF) TDO (BF7B); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (77DF); -SDR 16 TDI (FFFF) TDO (D77B); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FE); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (5FBE); -SDR 16 TDI (FFFF) TDO (B6DE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (7DEF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FDAD); -SDR 16 TDI (FFFF) TDO (77BF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AE5F); -SDR 16 TDI (FFFF) TDO (EBF7); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (DFDB); -SDR 16 TDI (FFFF) TDO (BAB7); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (ABDF); -SDR 16 TDI (FFFF) TDO (FFDE); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABFD); SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (77FB); -SDR 16 TDI (FFFF) TDO (FAEF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (FFF9); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BB77); -SDR 16 TDI (FFFF) TDO (7ADB); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (6F7D); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AF5F); -SDR 16 TDI (FFFF) TDO (9FAE); -SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (EFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (77BB); -SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FEB); +SDR 16 TDI (FFFF) TDO (DBFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (FFFD); SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EEFE); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A5FE); -SDR 16 TDI (FFFF) TDO (FE7F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (AE7F); -SDR 16 TDI (FFFF) TDO (EFF6); -SDR 16 TDI (FFFF) TDO (7B6F); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (BF7D); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFEA); -SDR 16 TDI (FFFF) TDO (75FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (6BFD); -SDR 16 TDI (FFFF) TDO (FD57); -SDR 16 TDI (FFFF) TDO (9FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FA77); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFAA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FDB7); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FEFD); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (B7E7); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (7BFB); -SDR 16 TDI (FFFF) TDO (DB7F); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (7F5E); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BD25); -SDR 16 TDI (FFFF) TDO (4DEF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BA5B); -SDR 16 TDI (FFFF) TDO (E7F5); -SDR 16 TDI (FFFF) TDO (7D5B); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (9E9D); -SDR 16 TDI (FFFF) TDO (9FD7); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (DE7D); -SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (BDFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B5FC); -SDR 16 TDI (FFFF) TDO (67FA); -SDR 16 TDI (FFFF) TDO (77F9); -SDR 16 TDI (FFFF) TDO (4AE3); -SDR 16 TDI (FFFF) TDO (ADF7); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (56EF); -SDR 16 TDI (FFFF) TDO (7E82); -SDR 16 TDI (FFFF) TDO (9BFF); -SDR 16 TDI (FFFF) TDO (F0EB); -SDR 16 TDI (FFFF) TDO (5B97); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FF7B); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (DEDF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F51E); -SDR 16 TDI (FFFF) TDO (B7AF); -SDR 16 TDI (FFFF) TDO (FBFA); -SDR 16 TDI (FFFF) TDO (6BB5); -SDR 16 TDI (FFFF) TDO (9B7D); -SDR 16 TDI (FFFF) TDO (A77F); -SDR 16 TDI (FFFF) TDO (EF3C); -SDR 16 TDI (FFFF) TDO (4E6D); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8F5F); -SDR 16 TDI (FFFF) TDO (002E); -SDR 16 TDI (FFFF) TDO (5FCF); -SDR 16 TDI (FFFF) TDO (EFBA); -SDR 16 TDI (FFFF) TDO (A36B); -SDR 16 TDI (FFFF) TDO (F7EC); -SDR 16 TDI (FFFF) TDO (602B); -SDR 16 TDI (FFFF) TDO (9970); -SDR 16 TDI (FFFF) TDO (8A77); -SDR 16 TDI (FFFF) TDO (084C); -SDR 16 TDI (FFFF) TDO (7041); -SDR 16 TDI (FFFF) TDO (A06F); -SDR 16 TDI (FFFF) TDO (A4E2); -SDR 16 TDI (FFFF) TDO (E7EC); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A7FE); -SDR 16 TDI (FFFF) TDO (8022); -SDR 16 TDI (FFFF) TDO (47A5); -SDR 16 TDI (FFFF) TDO (E422); -SDR 16 TDI (FFFF) TDO (AB2B); -SDR 16 TDI (FFFF) TDO (F2F0); -SDR 16 TDI (FFFF) TDO (6A0B); -SDR 16 TDI (FFFF) TDO (91F4); -SDR 16 TDI (FFFF) TDO (881B); -SDR 16 TDI (FFFF) TDO (8944); -SDR 16 TDI (FFFF) TDO (4040); -SDR 16 TDI (FFFF) TDO (A08F); -SDR 16 TDI (FFFF) TDO (BCFA); -SDR 16 TDI (FFFF) TDO (F86F); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9D5F); -SDR 16 TDI (FFFF) TDO (022E); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F7BA); -SDR 16 TDI (FFFF) TDO (A28F); -SDR 16 TDI (FFFF) TDO (EBFB); -SDR 16 TDI (FFFF) TDO (6410); -SDR 16 TDI (FFFF) TDO (1EB0); -SDR 16 TDI (FFFF) TDO (AFBF); -SDR 16 TDI (FFFF) TDO (F86B); -SDR 16 TDI (FFFF) TDO (7040); -SDR 16 TDI (FFFF) TDO (6C5F); -SDR 16 TDI (FFFF) TDO (9F19); -SDR 16 TDI (FFFF) TDO (187D); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (6422); -SDR 16 TDI (FFFF) TDO (67E4); -SDR 16 TDI (FFFF) TDO (0422); -SDR 16 TDI (FFFF) TDO (A203); -SDR 16 TDI (FFFF) TDO (E3FB); -SDR 16 TDI (FFFF) TDO (7213); -SDR 16 TDI (FFFF) TDO (36F0); -SDR 16 TDI (FFFF) TDO (8BBF); -SDR 16 TDI (FFFF) TDO (E063); -SDR 16 TDI (FFFF) TDO (6FD0); -SDR 16 TDI (FFFF) TDO (608F); -SDR 16 TDI (FFFF) TDO (BF61); -SDR 16 TDI (FFFF) TDO (61BF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (BA3B); -SDR 16 TDI (FFFF) TDO (71EE); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (A123); -SDR 16 TDI (FFFF) TDO (11F3); -SDR 16 TDI (FFFF) TDO (484D); -SDR 16 TDI (FFFF) TDO (3888); -SDR 16 TDI (FFFF) TDO (938F); -SDR 16 TDI (FFFF) TDO (B888); -SDR 16 TDI (FFFF) TDO (50CC); -SDR 16 TDI (FFFF) TDO (CCE7); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (BBEA); -SDR 16 TDI (FFFF) TDO (67FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (97FF); -SDR 16 TDI (FFFF) TDO (3373); -SDR 16 TDI (FFFF) TDO (6146); -SDR 16 TDI (FFFF) TDO (6667); -SDR 16 TDI (FFFF) TDO (B737); -SDR 16 TDI (FFFF) TDO (72A9); -SDR 16 TDI (FFFF) TDO (6623); -SDR 16 TDI (FFFF) TDO (7DD9); -SDR 16 TDI (FFFF) TDO (BBB5); -SDR 16 TDI (FFFF) TDO (1CDD); -SDR 16 TDI (FFFF) TDO (73C9); -SDR 16 TDI (FFFF) TDO (C9C2); -SDR 16 TDI (FFFF) TDO (9111); -SDR 16 TDI (FFFF) TDO (117F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (DEF7); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (7FDD); -SDR 16 TDI (FFFF) TDO (FDDD); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (DFEF); -SDR 16 TDI (FFFF) TDO (7CDD); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (9FDF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7E7F); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFE); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (A7F7); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (95E7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFB5); -SDR 16 TDI (FFFF) TDO (6FF5); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (BF7C); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (BFBE); -SDR 16 TDI (FFFF) TDO (7BF6); -SDR 16 TDI (FFFF) TDO (74D5); -SDR 16 TDI (FFFF) TDO (FF9F); -SDR 16 TDI (FFFF) TDO (B2BF); -SDR 16 TDI (FFFF) TDO (D2FF); -SDR 16 TDI (FFFF) TDO (5F7F); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (9FBF); -SDR 16 TDI (FFFF) TDO (ADDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8FFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (5FEF); -SDR 16 TDI (FFFF) TDO (F7F5); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (9F7F); -SDR 16 TDI (FFFF) TDO (DFDD); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBAF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FBE); +SDR 16 TDI (FFFF) TDO (6FF3); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BB77); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (6F6F); +SDR 16 TDI (FFFF) TDO (CF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFBD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FB75); +SDR 16 TDI (FFFF) TDO (6FEB); +SDR 16 TDI (FFFF) TDO (77FA); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB3F); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7DFB); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6BB7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (ABFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (F5FD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DDF7); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DDF7); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBF7); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (7B9F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7AFA); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (9FB7); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (EECD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEAE); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFDE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (99D7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAAD); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (DFDD); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7DEE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (7F7D); -SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FEFD); SDR 16 TDI (FFFF) TDO (BFFC); SDR 16 TDI (FFFF) TDO (FFFE); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (5777); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFBD); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B37F); +SDR 16 TDI (FFFF) TDO (DDCF); +SDR 16 TDI (FFFF) TDO (7BFF); SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD6F); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (9BEE); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (BFBF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (5F77); -SDR 16 TDI (FFFF) TDO (AF7D); -SDR 16 TDI (FFFF) TDO (9F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BF9); -SDR 16 TDI (FFFF) TDO (FB5F); -SDR 16 TDI (FFFF) TDO (9FFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (57EC); -SDR 16 TDI (FFFF) TDO (EF7F); -SDR 16 TDI (FFFF) TDO (9FFB); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (9EFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (D4ED); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (F5BF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (F5FE); +SDR 16 TDI (FFFF) TDO (BF9E); SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (EF3F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EBDF); +SDR 16 TDI (FFFF) TDO (AFED); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (773F); +SDR 16 TDI (FFFF) TDO (DBCE); +SDR 16 TDI (FFFF) TDO (B8BF); +SDR 16 TDI (FFFF) TDO (9BF3); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (53F3); +SDR 16 TDI (FFFF) TDO (67F1); +SDR 16 TDI (FFFF) TDO (3F33); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFBD); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FE7D); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (FF8D); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFB); SDR 16 TDI (FFFF) TDO (FDFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (9BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (5ED3); -SDR 16 TDI (FFFF) TDO (D77D); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (59F6); -SDR 16 TDI (FFFF) TDO (FF9F); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (3BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B59C); +SDR 16 TDI (FFFF) TDO (000F); +SDR 16 TDI (FFFF) TDO (7FC0); +SDR 16 TDI (FFFF) TDO (0200); +SDR 16 TDI (FFFF) TDO (BE9F); +SDR 16 TDI (FFFF) TDO (C3E0); +SDR 16 TDI (FFFF) TDO (6019); +SDR 16 TDI (FFFF) TDO (F803); +SDR 16 TDI (FFFF) TDO (BF5F); +SDR 16 TDI (FFFF) TDO (0098); +SDR 16 TDI (FFFF) TDO (60FC); +SDR 16 TDI (FFFF) TDO (F00F); +SDR 16 TDI (FFFF) TDO (B01F); +SDR 16 TDI (FFFF) TDO (8075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFC); +SDR 16 TDI (FFFF) TDO (000F); +SDR 16 TDI (FFFF) TDO (7FA0); +SDR 16 TDI (FFFF) TDO (0000); +SDR 16 TDI (FFFF) TDO (BE9C); +SDR 16 TDI (FFFF) TDO (3370); +SDR 16 TDI (FFFF) TDO (6018); +SDR 16 TDI (FFFF) TDO (1003); +SDR 16 TDI (FFFF) TDO (A7DE); +SDR 16 TDI (FFFF) TDO (80B8); +SDR 16 TDI (FFFF) TDO (60FC); +SDR 16 TDI (FFFF) TDO (FC0D); +SDR 16 TDI (FFFF) TDO (B81B); +SDR 16 TDI (FFFF) TDO (807F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FF7); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (D7D7); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FAD); -SDR 16 TDI (FFFF) TDO (FB7F); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FDFE); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FBAF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FEF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (9FBE); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (93FF); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (5EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (8FFD); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (5EDD); -SDR 16 TDI (FFFF) TDO (BE66); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BDBB); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFB); -SDR 16 TDI (FFFF) TDO (7EEF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (ABBF); -SDR 16 TDI (FFFF) TDO (B5BF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (79FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFB7); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AB5F); -SDR 16 TDI (FFFF) TDO (B7FD); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (AFF6); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (BB9F); -SDR 16 TDI (FFFF) TDO (97FF); -SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FB6); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (979F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (FDF5); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8A5F); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FF7D); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (DBF7); -SDR 16 TDI (FFFF) TDO (7DFE); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFA); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (5BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFD); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (F5FB); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (F6FD); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FD7); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (9DF6); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (6EFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BAEF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (D5DF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FF35); -SDR 16 TDI (FFFF) TDO (96BD); -SDR 16 TDI (FFFF) TDO (EBFD); -SDR 16 TDI (FFFF) TDO (5DDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BEBD); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFE); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9BFF); -SDR 16 TDI (FFFF) TDO (B72F); -SDR 16 TDI (FFFF) TDO (4FEF); -SDR 16 TDI (FFFF) TDO (9256); -SDR 16 TDI (FFFF) TDO (B3DE); -SDR 16 TDI (FFFF) TDO (DBFA); -SDR 16 TDI (FFFF) TDO (6AFE); -SDR 16 TDI (FFFF) TDO (FEB2); -SDR 16 TDI (FFFF) TDO (AFFD); -SDR 16 TDI (FFFF) TDO (F0D3); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (9DED); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7DDD); -SDR 16 TDI (FFFF) TDO (7FB7); -SDR 16 TDI (FFFF) TDO (FDBF); -SDR 16 TDI (FFFF) TDO (BE6D); -SDR 16 TDI (FFFF) TDO (A7FD); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (DB5D); -SDR 16 TDI (FFFF) TDO (97DF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (57BF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BB5E); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B95C); +SDR 16 TDI (FFFF) TDO (2303); +SDR 16 TDI (FFFF) TDO (67F1); +SDR 16 TDI (FFFF) TDO (0111); +SDR 16 TDI (FFFF) TDO (A928); +SDR 16 TDI (FFFF) TDO (3FF1); +SDR 16 TDI (FFFF) TDO (6326); +SDR 16 TDI (FFFF) TDO (1080); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (E098); +SDR 16 TDI (FFFF) TDO (6CFF); +SDR 16 TDI (FFFF) TDO (F90F); +SDR 16 TDI (FFFF) TDO (B87F); +SDR 16 TDI (FFFF) TDO (8075); +SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (CAFB); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (7FE9); -SDR 16 TDI (FFFF) TDO (9DB3); +SDR 16 TDI (FFFF) TDO (0303); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (0001); +SDR 16 TDI (FFFF) TDO (A92B); +SDR 16 TDI (FFFF) TDO (03F0); +SDR 16 TDI (FFFF) TDO (6326); +SDR 16 TDI (FFFF) TDO (7000); +SDR 16 TDI (FFFF) TDO (BE9F); +SDR 16 TDI (FFFF) TDO (E0C2); +SDR 16 TDI (FFFF) TDO (6CFF); +SDR 16 TDI (FFFF) TDO (FC0F); +SDR 16 TDI (FFFF) TDO (B87F); +SDR 16 TDI (FFFF) TDO (807F); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (5D5F); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (B83F); -SDR 16 TDI (FFFF) TDO (CFEC); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAAE); +SDR 16 TDI (FFFF) TDO (3181); +SDR 16 TDI (FFFF) TDO (63E2); +SDR 16 TDI (FFFF) TDO (6023); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (B9F3); +SDR 16 TDI (FFFF) TDO (662E); +SDR 16 TDI (FFFF) TDO (F682); +SDR 16 TDI (FFFF) TDO (B8CF); +SDR 16 TDI (FFFF) TDO (98B2); +SDR 16 TDI (FFFF) TDO (63CC); +SDR 16 TDI (FFFF) TDO (ECC7); +SDR 16 TDI (FFFF) TDO (B999); +SDR 16 TDI (FFFF) TDO (99FE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6732); +SDR 16 TDI (FFFF) TDO (6557); +SDR 16 TDI (FFFF) TDO (2776); +SDR 16 TDI (FFFF) TDO (B111); +SDR 16 TDI (FFFF) TDO (10AB); +SDR 16 TDI (FFFF) TDO (7364); +SDR 16 TDI (FFFF) TDO (58DC); +SDR 16 TDI (FFFF) TDO (B315); +SDR 16 TDI (FFFF) TDO (4D89); +SDR 16 TDI (FFFF) TDO (79DC); +SDR 16 TDI (FFFF) TDO (C9CA); +SDR 16 TDI (FFFF) TDO (B199); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD9D); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F6FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7B); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BBE9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7F7B); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (A7DF); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FF); SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFB7); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (DFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFDA); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFD7); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FF5A); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (B7F9); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (DF77); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E6FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (A5FB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (F5E6); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFFA); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFA7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EDBB); +SDR 16 TDI (FFFF) TDO (BF5E); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BE7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBB7); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (D7F7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (D5BF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (CBBB); +SDR 16 TDI (FFFF) TDO (B776); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FDEE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (77E7); +SDR 16 TDI (FFFF) TDO (DEEF); +SDR 16 TDI (FFFF) TDO (BFCB); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (EEAD); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (7FFC); +SDR 16 TDI (FFFF) TDO (DEF7); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DFB5); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFBD); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (6FE5); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7F2F); +SDR 16 TDI (FFFF) TDO (FFDE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (ABBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F3FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (7DBF); +SDR 16 TDI (FFFF) TDO (F7AF); +SDR 16 TDI (FFFF) TDO (AFFE); +SDR 16 TDI (FFFF) TDO (F6FD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F5E7); +SDR 16 TDI (FFFF) TDO (6FFC); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (BFBD); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7B33); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (EDDF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (F577); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BB7D); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (F5BE); +SDR 16 TDI (FFFF) TDO (BAFD); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7AFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEE); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDB7); +SDR 16 TDI (FFFF) TDO (7FFC); +SDR 16 TDI (FFFF) TDO (FA29); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7E44); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BE1D); +SDR 16 TDI (FFFF) TDO (CFCA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (761F); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (56FE); +SDR 16 TDI (FFFF) TDO (7FAF); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (B616); +SDR 16 TDI (FFFF) TDO (D7F4); +SDR 16 TDI (FFFF) TDO (6FBB); +SDR 16 TDI (FFFF) TDO (B727); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7E9D); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BBF5); +SDR 16 TDI (FFFF) TDO (EE6A); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (EB49); +SDR 16 TDI (FFFF) TDO (67F3); +SDR 16 TDI (FFFF) TDO (B5D6); +SDR 16 TDI (FFFF) TDO (BDE9); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (D9D8); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFBD); +SDR 16 TDI (FFFF) TDO (79E7); +SDR 16 TDI (FFFF) TDO (CBEF); +SDR 16 TDI (FFFF) TDO (AECE); +SDR 16 TDI (FFFF) TDO (B1FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9AE); +SDR 16 TDI (FFFF) TDO (F238); +SDR 16 TDI (FFFF) TDO (7FD3); +SDR 16 TDI (FFFF) TDO (4B82); +SDR 16 TDI (FFFF) TDO (A001); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (6200); +SDR 16 TDI (FFFF) TDO (3E81); +SDR 16 TDI (FFFF) TDO (A61F); +SDR 16 TDI (FFFF) TDO (07E7); +SDR 16 TDI (FFFF) TDO (78A0); +SDR 16 TDI (FFFF) TDO (075F); +SDR 16 TDI (FFFF) TDO (B118); +SDR 16 TDI (FFFF) TDO (8075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6AC); +SDR 16 TDI (FFFF) TDO (0A00); +SDR 16 TDI (FFFF) TDO (67A2); +SDR 16 TDI (FFFF) TDO (0022); +SDR 16 TDI (FFFF) TDO (A100); +SDR 16 TDI (FFFF) TDO (43DC); +SDR 16 TDI (FFFF) TDO (6200); +SDR 16 TDI (FFFF) TDO (1A58); +SDR 16 TDI (FFFF) TDO (A613); +SDR 16 TDI (FFFF) TDO (8787); +SDR 16 TDI (FFFF) TDO (74A0); +SDR 16 TDI (FFFF) TDO (000F); +SDR 16 TDI (FFFF) TDO (A918); +SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (A023); +SDR 16 TDI (FFFF) TDO (83F8); +SDR 16 TDI (FFFF) TDO (6222); +SDR 16 TDI (FFFF) TDO (19E2); +SDR 16 TDI (FFFF) TDO (AF1F); +SDR 16 TDI (FFFF) TDO (CFE7); +SDR 16 TDI (FFFF) TDO (66B0); +SDR 16 TDI (FFFF) TDO (C06F); +SDR 16 TDI (FFFF) TDO (B918); +SDR 16 TDI (FFFF) TDO (20F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (04CF); +SDR 16 TDI (FFFF) TDO (6FE7); +SDR 16 TDI (FFFF) TDO (6E39); +SDR 16 TDI (FFFF) TDO (BC02); +SDR 16 TDI (FFFF) TDO (83FC); +SDR 16 TDI (FFFF) TDO (6200); +SDR 16 TDI (FFFF) TDO (1960); +SDR 16 TDI (FFFF) TDO (AE1F); +SDR 16 TDI (FFFF) TDO (CF8F); +SDR 16 TDI (FFFF) TDO (68BF); +SDR 16 TDI (FFFF) TDO (402F); +SDR 16 TDI (FFFF) TDO (B910); +SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B89F); +SDR 16 TDI (FFFF) TDO (3B3B); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (E666); +SDR 16 TDI (FFFF) TDO (AA23); +SDR 16 TDI (FFFF) TDO (19F4); +SDR 16 TDI (FFFF) TDO (6626); +SDR 16 TDI (FFFF) TDO (DCCC); +SDR 16 TDI (FFFF) TDO (B18F); +SDR 16 TDI (FFFF) TDO (99A3); +SDR 16 TDI (FFFF) TDO (61E8); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (B899); +SDR 16 TDI (FFFF) TDO (98FA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (7373); +SDR 16 TDI (FFFF) TDO (6146); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (B376); +SDR 16 TDI (FFFF) TDO (72A3); +SDR 16 TDI (FFFF) TDO (7736); +SDR 16 TDI (FFFF) TDO (3999); +SDR 16 TDI (FFFF) TDO (BB35); +SDR 16 TDI (FFFF) TDO (5998); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (DDC2); +SDR 16 TDI (FFFF) TDO (B5CD); +SDR 16 TDI (FFFF) TDO (9DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD6F); +SDR 16 TDI (FFFF) TDO (9F9D); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (CEEE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3FF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (EE73); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7F5); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7F7B); +SDR 16 TDI (FFFF) TDO (AD9F); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7DFC); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDB); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (3FFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (875C); -SDR 16 TDI (FFFF) TDO (B834); -SDR 16 TDI (FFFF) TDO (47D8); -SDR 16 TDI (FFFF) TDO (807B); -SDR 16 TDI (FFFF) TDO (B23E); -SDR 16 TDI (FFFF) TDO (23ED); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (7B3A); -SDR 16 TDI (FFFF) TDO (B997); -SDR 16 TDI (FFFF) TDO (192C); -SDR 16 TDI (FFFF) TDO (617A); -SDR 16 TDI (FFFF) TDO (7F0F); -SDR 16 TDI (FFFF) TDO (9000); -SDR 16 TDI (FFFF) TDO (67EA); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFC); -SDR 16 TDI (FFFF) TDO (9420); -SDR 16 TDI (FFFF) TDO (67B8); -SDR 16 TDI (FFFF) TDO (821E); -SDR 16 TDI (FFFF) TDO (A0F8); -SDR 16 TDI (FFFF) TDO (0FD3); -SDR 16 TDI (FFFF) TDO (667E); -SDR 16 TDI (FFFF) TDO (1814); -SDR 16 TDI (FFFF) TDO (999B); -SDR 16 TDI (FFFF) TDO (980C); -SDR 16 TDI (FFFF) TDO (417A); -SDR 16 TDI (FFFF) TDO (70CD); -SDR 16 TDI (FFFF) TDO (B800); -SDR 16 TDI (FFFF) TDO (607F); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B75F); -SDR 16 TDI (FFFF) TDO (763B); -SDR 16 TDI (FFFF) TDO (7FE0); -SDR 16 TDI (FFFF) TDO (109B); -SDR 16 TDI (FFFF) TDO (923C); -SDR 16 TDI (FFFF) TDO (2FF1); -SDR 16 TDI (FFFF) TDO (47FE); -SDR 16 TDI (FFFF) TDO (7CB0); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (D86E); -SDR 16 TDI (FFFF) TDO (61A4); -SDR 16 TDI (FFFF) TDO (A0FF); -SDR 16 TDI (FFFF) TDO (B8C0); -SDR 16 TDI (FFFF) TDO (986B); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7C20); -SDR 16 TDI (FFFF) TDO (67E1); -SDR 16 TDI (FFFF) TDO (328C); -SDR 16 TDI (FFFF) TDO (A3FC); -SDR 16 TDI (FFFF) TDO (0FF3); -SDR 16 TDI (FFFF) TDO (467E); -SDR 16 TDI (FFFF) TDO (1CA0); -SDR 16 TDI (FFFF) TDO (A23F); -SDR 16 TDI (FFFF) TDO (C02E); -SDR 16 TDI (FFFF) TDO (61A4); -SDR 16 TDI (FFFF) TDO (AC0F); -SDR 16 TDI (FFFF) TDO (9840); -SDR 16 TDI (FFFF) TDO (19FE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (B23B); -SDR 16 TDI (FFFF) TDO (63E6); -SDR 16 TDI (FFFF) TDO (FE67); -SDR 16 TDI (FFFF) TDO (B330); -SDR 16 TDI (FFFF) TDO (B1FE); -SDR 16 TDI (FFFF) TDO (6666); -SDR 16 TDI (FFFF) TDO (7CFC); -SDR 16 TDI (FFFF) TDO (9DCF); -SDR 16 TDI (FFFF) TDO (B9F9); -SDR 16 TDI (FFFF) TDO (51EE); -SDR 16 TDI (FFFF) TDO (EEE7); -SDR 16 TDI (FFFF) TDO (B9C9); -SDR 16 TDI (FFFF) TDO (BBFA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (3773); -SDR 16 TDI (FFFF) TDO (6956); -SDR 16 TDI (FFFF) TDO (6777); -SDR 16 TDI (FFFF) TDO (9273); -SDR 16 TDI (FFFF) TDO (26A1); -SDR 16 TDI (FFFF) TDO (5626); -SDR 16 TDI (FFFF) TDO (7DCC); -SDR 16 TDI (FFFF) TDO (B985); -SDR 16 TDI (FFFF) TDO (1C9D); -SDR 16 TDI (FFFF) TDO (7B44); -SDR 16 TDI (FFFF) TDO (4442); -SDR 16 TDI (FFFF) TDO (B5CD); -SDR 16 TDI (FFFF) TDO (917F); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7F7); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FF9); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (53CF); +SDR 16 TDI (FFFF) TDO (7FF7); SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (BBFD); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (6FDE); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (B3FF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (7E6D); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (FEFB); -SDR 16 TDI (FFFF) TDO (7B7F); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7577); -SDR 16 TDI (FFFF) TDO (6FFD); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (B7DD); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (777D); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (D5D7); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (B7FD); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (FFAF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (AD77); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (F57F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7D76); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (FFDA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDFB); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FF5E); -SDR 16 TDI (FFFF) TDO (B5DF); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (F9BE); -SDR 16 TDI (FFFF) TDO (BD3F); -SDR 16 TDI (FFFF) TDO (FEF3); -SDR 16 TDI (FFFF) TDO (7EF7); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFA); -SDR 16 TDI (FFFF) TDO (5DFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (AADF); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EA7E); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB7B); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEDB); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (7BFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB5F); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (5DFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (77EF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FB); -SDR 16 TDI (FFFF) TDO (5FDF); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF9); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (4FBF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FF9); -SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FFDF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7BF4); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FED); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (D7FB); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (F6FE); +SDR 16 TDI (FFFF) TDO (BD77); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (3FFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDA); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (DEF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (E7DF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EF5); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FEFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (E9FB); +SDR 16 TDI (FFFF) TDO (7FEC); +SDR 16 TDI (FFFF) TDO (FFDE); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (E7F7); +SDR 16 TDI (FFFF) TDO (7CCC); +SDR 16 TDI (FFFF) TDO (FF9D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF3B); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB77); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (DFF7); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (ED9F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DFAF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (BAF6); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7B3F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7D7E); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7E); +SDR 16 TDI (FFFF) TDO (76F7); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (BCFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F57); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FF5F); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE7F); +SDR 16 TDI (FFFF) TDO (AEB7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BFBA); +SDR 16 TDI (FFFF) TDO (BDF6); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7D5F); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (7FBD); SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (EF7F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (EAEF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (EBDF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FB6); +SDR 16 TDI (FFFF) TDO (BE5B); +SDR 16 TDI (FFFF) TDO (57F9); +SDR 16 TDI (FFFF) TDO (6D3F); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CFDF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FEF5); +SDR 16 TDI (FFFF) TDO (6BFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6AE); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (6FF3); +SDR 16 TDI (FFFF) TDO (BEFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7FD); SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FAFC); +SDR 16 TDI (FFFF) TDO (7DDF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF8); -SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFB5); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9AE); +SDR 16 TDI (FFFF) TDO (7FD7); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (B7FE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (BDAF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (DEEF); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (7FEA); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6EF9); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (AFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F6F); +SDR 16 TDI (FFFF) TDO (7DEF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F5FB); SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (7FEC); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (8EDB); +SDR 16 TDI (FFFF) TDO (6FF9); +SDR 16 TDI (FFFF) TDO (973B); +SDR 16 TDI (FFFF) TDO (A7BF); +SDR 16 TDI (FFFF) TDO (4BFD); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7BAF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BF33); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BEF3); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7AA5); +SDR 16 TDI (FFFF) TDO (DBFB); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (EB7D); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD26); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (E9C4); +SDR 16 TDI (FFFF) TDO (B94E); +SDR 16 TDI (FFFF) TDO (F7B2); +SDR 16 TDI (FFFF) TDO (755B); +SDR 16 TDI (FFFF) TDO (37BF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (D4DF); +SDR 16 TDI (FFFF) TDO (6F5F); +SDR 16 TDI (FFFF) TDO (DEDF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (78FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B66E); +SDR 16 TDI (FFFF) TDO (260D); +SDR 16 TDI (FFFF) TDO (7DD4); +SDR 16 TDI (FFFF) TDO (01F6); +SDR 16 TDI (FFFF) TDO (B2A0); +SDR 16 TDI (FFFF) TDO (2AE3); +SDR 16 TDI (FFFF) TDO (60A0); +SDR 16 TDI (FFFF) TDO (75A7); +SDR 16 TDI (FFFF) TDO (BE1F); +SDR 16 TDI (FFFF) TDO (382E); +SDR 16 TDI (FFFF) TDO (6F8F); +SDR 16 TDI (FFFF) TDO (CC0F); +SDR 16 TDI (FFFF) TDO (B19B); +SDR 16 TDI (FFFF) TDO (E075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (4623); +SDR 16 TDI (FFFF) TDO (7EF4); +SDR 16 TDI (FFFF) TDO (E941); +SDR 16 TDI (FFFF) TDO (AB28); +SDR 16 TDI (FFFF) TDO (2B75); +SDR 16 TDI (FFFF) TDO (6660); +SDR 16 TDI (FFFF) TDO (7BA7); +SDR 16 TDI (FFFF) TDO (A19E); +SDR 16 TDI (FFFF) TDO (802F); +SDR 16 TDI (FFFF) TDO (700F); +SDR 16 TDI (FFFF) TDO (CC0F); +SDR 16 TDI (FFFF) TDO (A802); +SDR 16 TDI (FFFF) TDO (E07F); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B97E); +SDR 16 TDI (FFFF) TDO (2FFF); +SDR 16 TDI (FFFF) TDO (77ED); +SDR 16 TDI (FFFF) TDO (802F); +SDR 16 TDI (FFFF) TDO (B7FA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7FE3); +SDR 16 TDI (FFFF) TDO (764A); +SDR 16 TDI (FFFF) TDO (A1EF); +SDR 16 TDI (FFFF) TDO (C0B1); +SDR 16 TDI (FFFF) TDO (70CF); +SDR 16 TDI (FFFF) TDO (D0CF); +SDR 16 TDI (FFFF) TDO (B987); +SDR 16 TDI (FFFF) TDO (8075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD7B); +SDR 16 TDI (FFFF) TDO (CDA3); +SDR 16 TDI (FFFF) TDO (7FE1); +SDR 16 TDI (FFFF) TDO (6033); +SDR 16 TDI (FFFF) TDO (A426); +SDR 16 TDI (FFFF) TDO (53F0); +SDR 16 TDI (FFFF) TDO (7201); +SDR 16 TDI (FFFF) TDO (1B4A); +SDR 16 TDI (FFFF) TDO (B81F); +SDR 16 TDI (FFFF) TDO (8036); +SDR 16 TDI (FFFF) TDO (630F); +SDR 16 TDI (FFFF) TDO (C0CF); +SDR 16 TDI (FFFF) TDO (B804); +SDR 16 TDI (FFFF) TDO (817F); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (BEAF); +SDR 16 TDI (FFFF) TDO (3FBA); +SDR 16 TDI (FFFF) TDO (63E7); +SDR 16 TDI (FFFF) TDO (626F); +SDR 16 TDI (FFFF) TDO (BB3B); +SDR 16 TDI (FFFF) TDO (39F3); +SDR 16 TDI (FFFF) TDO (6662); +SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (BDC7); +SDR 16 TDI (FFFF) TDO (B9BB); +SDR 16 TDI (FFFF) TDO (778C); +SDR 16 TDI (FFFF) TDO (0CE7); +SDR 16 TDI (FFFF) TDO (B9B0); +SDR 16 TDI (FFFF) TDO (A0EB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (7333); +SDR 16 TDI (FFFF) TDO (6957); +SDR 16 TDI (FFFF) TDO (7767); +SDR 16 TDI (FFFF) TDO (B373); +SDR 16 TDI (FFFF) TDO (70AB); +SDR 16 TDI (FFFF) TDO (7776); +SDR 16 TDI (FFFF) TDO (7C44); +SDR 16 TDI (FFFF) TDO (A885); +SDR 16 TDI (FFFF) TDO (9C91); +SDR 16 TDI (FFFF) TDO (62DD); +SDR 16 TDI (FFFF) TDO (CDCA); +SDR 16 TDI (FFFF) TDO (B58D); +SDR 16 TDI (FFFF) TDO (9DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77CE); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (F777); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (B3F7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (76FE); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BE75); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B73E); +SDR 16 TDI (FFFF) TDO (BA7B); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7B7B); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFB5); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ABBB); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F6EE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (7EF6); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6DBD); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB73); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (D95F); -SDR 16 TDI (FFFF) TDO (BDCF); SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FBD); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7FA); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FBE); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7F7B); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB75); +SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FDDF); SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6EDB); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FEF3); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (E775); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B9DE); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DDEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (6FBF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (75FB); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FDBD); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (B66E); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BDE5); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (FF7E); +SDR 16 TDI (FFFF) TDO (767F); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A75F); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (D7DF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (7EF9); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FBCB); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FBF6); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A65F); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (A7FF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (77DB); +SDR 16 TDI (FFFF) TDO (DB7F); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FBF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFE); +SDR 16 TDI (FFFF) TDO (FEBF); +SDR 16 TDI (FFFF) TDO (6FF3); +SDR 16 TDI (FFFF) TDO (2B3F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BD77); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (9EEF); +SDR 16 TDI (FFFF) TDO (FEFB); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (B37F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFD); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F9DF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (EDDF); +SDR 16 TDI (FFFF) TDO (6A9F); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BEEE); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FD2F); +SDR 16 TDI (FFFF) TDO (4FFB); +SDR 16 TDI (FFFF) TDO (C5CD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (D6F7); +SDR 16 TDI (FFFF) TDO (773F); +SDR 16 TDI (FFFF) TDO (FBE1); +SDR 16 TDI (FFFF) TDO (9DDF); +SDR 16 TDI (FFFF) TDO (97EB); +SDR 16 TDI (FFFF) TDO (675D); +SDR 16 TDI (FFFF) TDO (95CF); +SDR 16 TDI (FFFF) TDO (BE4D); +SDR 16 TDI (FFFF) TDO (5D7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BAD6); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (BB37); +SDR 16 TDI (FFFF) TDO (A7E5); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (4DFF); +SDR 16 TDI (FFFF) TDO (55DE); +SDR 16 TDI (FFFF) TDO (8FFE); +SDR 16 TDI (FFFF) TDO (EDF5); +SDR 16 TDI (FFFF) TDO (5EA7); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B9F7); +SDR 16 TDI (FFFF) TDO (E6FB); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFC); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77E6); +SDR 16 TDI (FFFF) TDO (7EFA); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (7BF3); +SDR 16 TDI (FFFF) TDO (FEBF); +SDR 16 TDI (FFFF) TDO (B33F); +SDR 16 TDI (FFFF) TDO (FA1E); +SDR 16 TDI (FFFF) TDO (5BFA); +SDR 16 TDI (FFFF) TDO (EF3F); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8B5E); +SDR 16 TDI (FFFF) TDO (702F); +SDR 16 TDI (FFFF) TDO (47DF); +SDR 16 TDI (FFFF) TDO (FF12); +SDR 16 TDI (FFFF) TDO (B69F); +SDR 16 TDI (FFFF) TDO (C3E0); +SDR 16 TDI (FFFF) TDO (60BD); +SDR 16 TDI (FFFF) TDO (FF2C); +SDR 16 TDI (FFFF) TDO (8A5F); +SDR 16 TDI (FFFF) TDO (1AA1); +SDR 16 TDI (FFFF) TDO (7CC2); +SDR 16 TDI (FFFF) TDO (FA2F); +SDR 16 TDI (FFFF) TDO (B000); +SDR 16 TDI (FFFF) TDO (60F4); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFC); +SDR 16 TDI (FFFF) TDO (7203); +SDR 16 TDI (FFFF) TDO (47BD); +SDR 16 TDI (FFFF) TDO (FCB1); +SDR 16 TDI (FFFF) TDO (AE9C); +SDR 16 TDI (FFFF) TDO (33D0); +SDR 16 TDI (FFFF) TDO (60BC); +SDR 16 TDI (FFFF) TDO (1028); +SDR 16 TDI (FFFF) TDO (805E); +SDR 16 TDI (FFFF) TDO (9A81); +SDR 16 TDI (FFFF) TDO (50C3); +SDR 16 TDI (FFFF) TDO (0B7F); +SDR 16 TDI (FFFF) TDO (AD58); +SDR 16 TDI (FFFF) TDO (70EE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (965F); +SDR 16 TDI (FFFF) TDO (B3EF); +SDR 16 TDI (FFFF) TDO (6BFE); +SDR 16 TDI (FFFF) TDO (9E0E); +SDR 16 TDI (FFFF) TDO (B928); +SDR 16 TDI (FFFF) TDO (3FF4); +SDR 16 TDI (FFFF) TDO (62CA); +SDR 16 TDI (FFFF) TDO (11D4); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (CE40); +SDR 16 TDI (FFFF) TDO (6F93); +SDR 16 TDI (FFFF) TDO (F00F); +SDR 16 TDI (FFFF) TDO (9E01); +SDR 16 TDI (FFFF) TDO (98FD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF9); +SDR 16 TDI (FFFF) TDO (B3F3); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (132D); +SDR 16 TDI (FFFF) TDO (AD2B); +SDR 16 TDI (FFFF) TDO (03F0); +SDR 16 TDI (FFFF) TDO (60CA); +SDR 16 TDI (FFFF) TDO (71D0); +SDR 16 TDI (FFFF) TDO (9BBF); +SDR 16 TDI (FFFF) TDO (987C); +SDR 16 TDI (FFFF) TDO (7303); +SDR 16 TDI (FFFF) TDO (D00F); +SDR 16 TDI (FFFF) TDO (BFF8); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (3B37); +SDR 16 TDI (FFFF) TDO (61E6); +SDR 16 TDI (FFFF) TDO (6267); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (B8F3); +SDR 16 TDI (FFFF) TDO (42EE); +SDR 16 TDI (FFFF) TDO (FCEE); +SDR 16 TDI (FFFF) TDO (99CF); +SDR 16 TDI (FFFF) TDO (BB99); +SDR 16 TDI (FFFF) TDO (57CE); +SDR 16 TDI (FFFF) TDO (CDE7); +SDR 16 TDI (FFFF) TDO (B9F9); +SDR 16 TDI (FFFF) TDO (99C8); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (7277); +SDR 16 TDI (FFFF) TDO (6957); +SDR 16 TDI (FFFF) TDO (3737); +SDR 16 TDI (FFFF) TDO (B111); +SDR 16 TDI (FFFF) TDO (10B9); +SDR 16 TDI (FFFF) TDO (7644); +SDR 16 TDI (FFFF) TDO (5DCD); +SDR 16 TDI (FFFF) TDO (BB85); +SDR 16 TDI (FFFF) TDO (59DD); +SDR 16 TDI (FFFF) TDO (73DC); +SDR 16 TDI (FFFF) TDO (DDC2); +SDR 16 TDI (FFFF) TDO (959D); +SDR 16 TDI (FFFF) TDO (DDFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (77CE); +SDR 16 TDI (FFFF) TDO (EFEE); +SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (DFE7); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (DE7F); +SDR 16 TDI (FFFF) TDO (9CEF); +SDR 16 TDI (FFFF) TDO (FF3B); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3BDF); +SDR 16 TDI (FFFF) TDO (99EE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (6FD6); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (7EF6); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F7F5); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFED); +SDR 16 TDI (FFFF) TDO (BF3F); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (5FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (DFE7); +SDR 16 TDI (FFFF) TDO (5FFE); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (B6ED); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FEBD); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FE6); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (D5BD); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (DDDE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (DDF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (5FFB); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9BFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FF7E); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (57F7); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (89DE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5BFD); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9EF7); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5BFE); +SDR 16 TDI (FFFF) TDO (EDDF); +SDR 16 TDI (FFFF) TDO (9DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FBF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (9FBE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5BDB); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FF7); +SDR 16 TDI (FFFF) TDO (DFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9BFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7E5E); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9EFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (DBFD); +SDR 16 TDI (FFFF) TDO (ADBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BDE); +SDR 16 TDI (FFFF) TDO (FDEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (9FDF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A75E); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (7F76); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (9BFE); +SDR 16 TDI (FFFF) TDO (DFDD); +SDR 16 TDI (FFFF) TDO (5B75); +SDR 16 TDI (FFFF) TDO (EEF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FF76); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFBB); +SDR 16 TDI (FFFF) TDO (9FF7); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFD7); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (BEDD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8B5E); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (77DD); +SDR 16 TDI (FFFF) TDO (B9F7); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (9D7F); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEEF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (9DFF); +SDR 16 TDI (FFFF) TDO (EBFB); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFF4); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (9FFD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9BAB); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (57DD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (DD6F); +SDR 16 TDI (FFFF) TDO (BDB7); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFD); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFCA); +SDR 16 TDI (FFFF) TDO (DBFB); +SDR 16 TDI (FFFF) TDO (7BBB); +SDR 16 TDI (FFFF) TDO (F8D5); +SDR 16 TDI (FFFF) TDO (AABE); +SDR 16 TDI (FFFF) TDO (E465); +SDR 16 TDI (FFFF) TDO (69FD); +SDR 16 TDI (FFFF) TDO (DFCF); +SDR 16 TDI (FFFF) TDO (9FFA); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F6D7); +SDR 16 TDI (FFFF) TDO (7FEA); +SDR 16 TDI (FFFF) TDO (77F5); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (AFF6); +SDR 16 TDI (FFFF) TDO (7667); +SDR 16 TDI (FFFF) TDO (1FFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5EEF); +SDR 16 TDI (FFFF) TDO (A43F); +SDR 16 TDI (FFFF) TDO (BA35); +SDR 16 TDI (FFFF) TDO (717F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FF5E); +SDR 16 TDI (FFFF) TDO (803F); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (4FDD); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (DB9A); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (BDCF); +SDR 16 TDI (FFFF) TDO (8EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8A5D); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (47D6); +SDR 16 TDI (FFFF) TDO (0B9F); +SDR 16 TDI (FFFF) TDO (B780); +SDR 16 TDI (FFFF) TDO (33EA); +SDR 16 TDI (FFFF) TDO (6420); +SDR 16 TDI (FFFF) TDO (1CCA); +SDR 16 TDI (FFFF) TDO (B21F); +SDR 16 TDI (FFFF) TDO (2147); +SDR 16 TDI (FFFF) TDO (6430); +SDR 16 TDI (FFFF) TDO (EECF); +SDR 16 TDI (FFFF) TDO (939A); +SDR 16 TDI (FFFF) TDO (A9EB); +SDR 16 TDI (FFFF) TDO (4BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFE); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67B6); +SDR 16 TDI (FFFF) TDO (0BE1); +SDR 16 TDI (FFFF) TDO (BCF4); +SDR 16 TDI (FFFF) TDO (03D0); +SDR 16 TDI (FFFF) TDO (6400); +SDR 16 TDI (FFFF) TDO (1CC4); +SDR 16 TDI (FFFF) TDO (85FE); +SDR 16 TDI (FFFF) TDO (A006); +SDR 16 TDI (FFFF) TDO (500F); +SDR 16 TDI (FFFF) TDO (22AF); +SDR 16 TDI (FFFF) TDO (AA29); +SDR 16 TDI (FFFF) TDO (9AEB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB5D); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7BEE); +SDR 16 TDI (FFFF) TDO (4C61); +SDR 16 TDI (FFFF) TDO (9B7D); +SDR 16 TDI (FFFF) TDO (03FC); +SDR 16 TDI (FFFF) TDO (5E05); +SDR 16 TDI (FFFF) TDO (D9F0); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (C207); +SDR 16 TDI (FFFF) TDO (7030); +SDR 16 TDI (FFFF) TDO (DDC7); +SDR 16 TDI (FFFF) TDO (BD9C); +SDR 16 TDI (FFFF) TDO (C9FF); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67EE); +SDR 16 TDI (FFFF) TDO (4D86); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (1BF0); +SDR 16 TDI (FFFF) TDO (5E00); +SDR 16 TDI (FFFF) TDO (59F0); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8007); +SDR 16 TDI (FFFF) TDO (7000); +SDR 16 TDI (FFFF) TDO (119F); +SDR 16 TDI (FFFF) TDO (9C49); +SDR 16 TDI (FFFF) TDO (9CBF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (B33B); +SDR 16 TDI (FFFF) TDO (B1F7); +SDR 16 TDI (FFFF) TDO (6623); +SDR 16 TDI (FFFF) TDO (FECE); +SDR 16 TDI (FFFF) TDO (9D8F); +SDR 16 TDI (FFFF) TDO (D998); +SDR 16 TDI (FFFF) TDO (59C8); +SDR 16 TDI (FFFF) TDO (EEE3); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5555); +SDR 16 TDI (FFFF) TDO (6946); +SDR 16 TDI (FFFF) TDO (7555); +SDR 16 TDI (FFFF) TDO (9773); +SDR 16 TDI (FFFF) TDO (34A3); +SDR 16 TDI (FFFF) TDO (5776); +SDR 16 TDI (FFFF) TDO (7C9C); +SDR 16 TDI (FFFF) TDO (B925); +SDR 16 TDI (FFFF) TDO (5DDD); +SDR 16 TDI (FFFF) TDO (7B9D); +SDR 16 TDI (FFFF) TDO (CCC2); +SDR 16 TDI (FFFF) TDO (B199); +SDR 16 TDI (FFFF) TDO (99FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9BF9); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (3FBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (773F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEB7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DCEF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (7F5F); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77F5); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (9B9F); +SDR 16 TDI (FFFF) TDO (BFDE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFBA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDEF); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (5FFA); +SDR 16 TDI (FFFF) TDO (7EB7); +SDR 16 TDI (FFFF) TDO (FFBD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (DFEF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FA); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (D6FF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5ED7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFAF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (E5FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FABF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7EFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AF7F); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (5FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5EEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5F6F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (5FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF8); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FDF); SDR 16 TDI (FFFF) TDO (EFFD); SDR 16 TDI (FFFF) TDO (53FF); SDR 16 TDI (FFFF) TDO (FFFB); SDR 16 TDI (FFFF) TDO (977F); SDR 16 TDI (FFFF) TDO (E7FF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FF3); -SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (5FFF); SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BEEF); SDR 16 TDI (FFFF) TDO (FFFF); SDR 16 TDI (FFFF) TDO (7FFF); SDR 16 TDI (FFFF) TDO (FFFF); diff --git a/cpld/serv_req_info.txt b/cpld/serv_req_info.txt deleted file mode 100755 index 065cfbd..0000000 --- a/cpld/serv_req_info.txt +++ /dev/null @@ -1,8 +0,0 @@ - - quartus.exe - MEM - *** Fatal Error: Out of memory in module quartus.exe (1999 megabytes used) - Mon Mar 22 01:13:02 2021 - Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - diff --git a/cpld/simulation/questa/GR8RAM.sft b/cpld/simulation/questa/GR8RAM.sft new file mode 100644 index 0000000..9a92a1e --- /dev/null +++ b/cpld/simulation/questa/GR8RAM.sft @@ -0,0 +1 @@ +set tool_name "Questa Intel FPGA (Verilog)" diff --git a/cpld/simulation/questa/GR8RAM.vo b/cpld/simulation/questa/GR8RAM.vo new file mode 100644 index 0000000..a571de9 --- /dev/null +++ b/cpld/simulation/questa/GR8RAM.vo @@ -0,0 +1,9751 @@ +// Copyright (C) 2022 Intel Corporation. All rights reserved. +// Your use of Intel Corporation's design tools, logic functions +// and other software and tools, and any partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Intel Program License +// Subscription Agreement, the Intel Quartus Prime License Agreement, +// the Intel FPGA IP License Agreement, or other applicable license +// agreement, including, without limitation, that your use is for +// the sole purpose of programming logic devices manufactured by +// Intel and sold by Intel or its authorized distributors. Please +// refer to the applicable agreement for further details, at +// https://fpgasoftware.intel.com/eula. + +// VENDOR "Altera" +// PROGRAM "Quartus Prime" +// VERSION "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" + +// DATE "02/28/2023 11:21:31" + +// +// Device: Altera EPM240T100C5 Package TQFP100 +// + +// +// This Verilog file should be used for Questa Intel FPGA (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module GR8RAM ( + C25M, + PHI0, + nRES, + nRESout, + SetFW, + INTin, + INTout, + DMAin, + DMAout, + nNMIout, + nIRQout, + nRDYout, + nINHout, + RWout, + nDMAout, + RA, + nWE, + RD, + RAdir, + RDdir, + nIOSEL, + nDEVSEL, + nIOSTRB, + SBA, + SA, + nRCS, + nRAS, + nCAS, + nSWE, + DQML, + DQMH, + RCKE, + SD, + nFCS, + FCK, + MISO, + MOSI); +input C25M; +input PHI0; +input nRES; +output nRESout; +input [1:0] SetFW; +input INTin; +output INTout; +input DMAin; +output DMAout; +output nNMIout; +output nIRQout; +output nRDYout; +output nINHout; +output RWout; +output nDMAout; +input [15:0] RA; +input nWE; +inout [7:0] RD; +output RAdir; +output RDdir; +input nIOSEL; +input nDEVSEL; +input nIOSTRB; +output [1:0] SBA; +output [12:0] SA; +output nRCS; +output nRAS; +output nCAS; +output nSWE; +output DQML; +output DQMH; +output RCKE; +inout [7:0] SD; +output nFCS; +output FCK; +input MISO; +inout MOSI; + +// Design Ports Information + + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +wire \nIOSTRBr~regout ; +wire \RD[0]~0 ; +wire \RD[1]~1 ; +wire \RD[2]~2 ; +wire \RD[3]~3 ; +wire \RD[4]~4 ; +wire \RD[5]~5 ; +wire \RD[6]~6 ; +wire \RD[7]~7 ; +wire \SD[0]~0 ; +wire \SD[1]~1 ; +wire \SD[2]~2 ; +wire \SD[3]~3 ; +wire \SD[4]~4 ; +wire \SD[5]~5 ; +wire \SD[6]~6 ; +wire \SD[7]~7 ; +wire \MOSI~0 ; +wire \C25M~combout ; +wire \Equal1~0_combout ; +wire \PHI0~combout ; +wire \PHI0r1~regout ; +wire \nWE~combout ; +wire \PHI0r2~regout ; +wire \PS~0 ; +wire \Equal2~1_combout ; +wire \LS[1]~3 ; +wire \LS[2]~7 ; +wire \LS[2]~7COUT1_28 ; +wire \LS[3]~11 ; +wire \LS[3]~11COUT1_29 ; +wire \LS[4]~13 ; +wire \LS[4]~13COUT1_30 ; +wire \LS[5]~15 ; +wire \LS[5]~15COUT1_31 ; +wire \LS[6]~17 ; +wire \Equal3~0_combout ; +wire \LS[7]~19 ; +wire \LS[7]~19COUT1_32 ; +wire \LS[8]~21 ; +wire \LS[8]~21COUT1_33 ; +wire \LS[9]~23 ; +wire \LS[9]~23COUT1_34 ; +wire \LS[10]~1 ; +wire \LS[10]~1COUT1_35 ; +wire \LS[11]~5 ; +wire \Equal3~1_combout ; +wire \Equal3~2_combout ; +wire \Equal5~0_combout ; +wire \Equal6~0_combout ; +wire \LS[12]~9 ; +wire \LS[12]~9COUT1_36 ; +wire \IS.111~regout ; +wire \nRESout~reg0_regout ; +wire \INTin~combout ; +wire \DMAin~combout ; +wire \IS~17_combout ; +wire \IS~18_combout ; +wire \Equal3~3_combout ; +wire \Equal4~0 ; +wire \Equal3~4 ; +wire \IS~19_combout ; +wire \IS.110~regout ; +wire \SA[1]~3_combout ; +wire \Mux22~0_combout ; +wire \RAMRegSpecSEL~0 ; +wire \SA[1]~2_combout ; +wire \Mux24~0_combout ; +wire \Mux24~1_combout ; +wire \nRES~combout ; +wire \nRESr~regout ; +wire \nDEVSEL~combout ; +wire \nRCS~0_combout ; +wire \nWEr~regout ; +wire \Equal19~0_combout ; +wire \always9~1_combout ; +wire \RAMRegSpecSEL~1 ; +wire \always9~3_combout ; +wire \always9~4_combout ; +wire \always9~5_combout ; +wire \AddrIncL~regout ; +wire \Addr[0]~47 ; +wire \Addr[0]~47COUT1_61 ; +wire \Addr[1]~5 ; +wire \Addr[1]~5COUT1_62 ; +wire \Addr[2]~9 ; +wire \Addr[2]~9COUT1_63 ; +wire \Addr[3]~13 ; +wire \Addr[3]~13COUT1_64 ; +wire \Addr[4]~17 ; +wire \Addr[5]~21 ; +wire \Addr[5]~21COUT1_65 ; +wire \Addr[6]~25 ; +wire \Addr[6]~25COUT1_66 ; +wire \AddrIncM~1_combout ; +wire \AddrIncM~0_combout ; +wire \AddrIncM~2_combout ; +wire \AddrIncM~regout ; +wire \Addr[8]~33 ; +wire \Addr[8]~33COUT1_55 ; +wire \Addr[9]~37 ; +wire \Addr[9]~37COUT1_56 ; +wire \Addr[10]~3 ; +wire \Addr[10]~3COUT1_57 ; +wire \Mux23~0_combout ; +wire \Mux23~1_combout ; +wire \nIOSEL~combout ; +wire \REGEN~regout ; +wire \CXXXr~regout ; +wire \Equal9~0 ; +wire \always9~0_combout ; +wire \SetFWLoaded~regout ; +wire \always9~2_combout ; +wire \AddrIncH~0_combout ; +wire \Addr[11]~7 ; +wire \Addr[11]~7COUT1_58 ; +wire \Addr[12]~11 ; +wire \Addr[13]~15 ; +wire \Addr[13]~15COUT1_59 ; +wire \AddrIncH~1_combout ; +wire \AddrIncH~2_combout ; +wire \Addr[14]~19 ; +wire \Addr[14]~19COUT1_60 ; +wire \AddrIncH~regout ; +wire \Addr[16]~27 ; +wire \Addr[16]~27COUT1_49 ; +wire \Addr[17]~31 ; +wire \Addr[17]~31COUT1_50 ; +wire \Addr[18]~35 ; +wire \Addr[18]~35COUT1_51 ; +wire \Addr[19]~39 ; +wire \Addr[19]~39COUT1_52 ; +wire \Addr[20]~41 ; +wire \Addr[21]~43 ; +wire \Addr[21]~43COUT1_53 ; +wire \Addr[22]~45 ; +wire \Addr[22]~45COUT1_54 ; +wire \RAMSpecSEL~0 ; +wire \RAMSpecSEL~1_combout ; +wire \SA[1]~4_combout ; +wire \always8~0 ; +wire \nIOSTRB~combout ; +wire \always8~1 ; +wire \always8~2 ; +wire \always8~3 ; +wire \always8~4_combout ; +wire \IOROMEN~regout ; +wire \Equal16~0_combout ; +wire \Equal16~1_combout ; +wire \Equal16~2_combout ; +wire \comb~1_combout ; +wire \comb~0 ; +wire \comb~2_combout ; +wire \Mux14~2_combout ; +wire \Mux14~3_combout ; +wire \Mux14~0_combout ; +wire \Mux14~1_combout ; +wire \SBA[0]~reg0_regout ; +wire \Mux13~0_combout ; +wire \SBA[1]~reg0_regout ; +wire \Mux24~2 ; +wire \Mux24~3_combout ; +wire \SA[1]~5_combout ; +wire \SA[1]~6_combout ; +wire \SA[0]~reg0_regout ; +wire \Mux23~2 ; +wire \Mux23~3_combout ; +wire \SA[1]~reg0_regout ; +wire \Mux22~1 ; +wire \Bank~regout ; +wire \Mux22~2_combout ; +wire \Mux22~3_combout ; +wire \SA[2]~reg0_regout ; +wire \SA[3]~15_combout ; +wire \SA[3]~9_combout ; +wire \Mux21~3_combout ; +wire \Mux21~2 ; +wire \Mux21~4_combout ; +wire \SA[3]~8_combout ; +wire \SA[3]~reg0_regout ; +wire \Mux20~4_combout ; +wire \Mux20~2_combout ; +wire \Mux20~3_combout ; +wire \SA[4]~reg0_regout ; +wire \Mux19~3_combout ; +wire \Mux19~5_combout ; +wire \Mux19~2 ; +wire \Mux19~4_combout ; +wire \SA[5]~reg0_regout ; +wire \Mux18~4_combout ; +wire \Mux18~3_combout ; +wire \Mux18~2_combout ; +wire \SA[6]~reg0_regout ; +wire \Mux17~4_combout ; +wire \Mux17~2_combout ; +wire \Mux17~3_combout ; +wire \SA[7]~reg0_regout ; +wire \Mux16~3_combout ; +wire \Mux16~4_combout ; +wire \Mux16~2 ; +wire \SA[8]~reg0_regout ; +wire \SA[1]~7_combout ; +wire \SA~10_combout ; +wire \SA[9]~reg0_regout ; +wire \Mux15~0_combout ; +wire \Mux15~1_combout ; +wire \SA[10]~reg0_regout ; +wire \SA[11]~reg0_regout ; +wire \SA[12]~reg0_regout ; +wire \nRCS~3_combout ; +wire \nRCS~4_combout ; +wire \Mux12~1_combout ; +wire \Mux12~2_combout ; +wire \nRCS~5_combout ; +wire \Mux12~3_combout ; +wire \nRCS~1 ; +wire \IS.000~regout ; +wire \nRCS~2_combout ; +wire \Mux12~0_combout ; +wire \nRCS~reg0_regout ; +wire \nRAS~reg0_regout ; +wire \nCAS~reg0_regout ; +wire \IS.001~regout ; +wire \Selector0~0_combout ; +wire \nSWE~reg0_regout ; +wire \Equal1~1_combout ; +wire \Selector1~0_combout ; +wire \DQMH~0_combout ; +wire \DQML~reg0_regout ; +wire \Selector2~0_combout ; +wire \DQMH~reg0_regout ; +wire \Mux11~0_combout ; +wire \Mux11~1_combout ; +wire \Mux11~2_combout ; +wire \Mux11~3_combout ; +wire \Equal2~0_combout ; +wire \RCKE~reg0_regout ; +wire \IS.100~regout ; +wire \IS.101~regout ; +wire \FCS~regout ; +wire \FCKOE~regout ; +wire \FCKout~regout ; +wire \RDD[1]~23_combout ; +wire \RDD[1]~22_combout ; +wire \RDD~4_combout ; +wire \Equal20~0_combout ; +wire \RDD~6_combout ; +wire \RDD~8_combout ; +wire \RDD~10_combout ; +wire \RDD[4]~12_combout ; +wire \RDD~14_combout ; +wire \RDD[4]~13_combout ; +wire \RDD~16_combout ; +wire \RDD~18_combout ; +wire \RDD~20_combout ; +wire \SDOE~regout ; +wire \MISO~combout ; +wire \Mux2~0_combout ; +wire \Mux2~1_combout ; +wire \Mux2~2 ; +wire \Mux2~3_combout ; +wire \SA[1]~14_combout ; +wire \MOSIout~regout ; +wire \MOSIOE~regout ; +wire [1:0] SetFWr; +wire [15:0] \RA~combout ; +wire [1:0] \SetFW~combout ; +wire [3:0] PS; +wire [11:0] RAr; +wire [23:0] Addr; +wire [13:0] LS; +wire [7:0] RDD; +wire [3:0] nRESf; +wire [7:0] WRD; + + +// Location: PIN_86, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RD[0]~I ( + .datain(RDD[0]), + .oe(\comb~2_combout ), + .combout(\RD[0]~0 ), + .padio(RD[0])); +// synopsys translate_off +defparam \RD[0]~I .bus_hold = "true"; +defparam \RD[0]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_87, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RD[1]~I ( + .datain(RDD[1]), + .oe(\comb~2_combout ), + .combout(\RD[1]~1 ), + .padio(RD[1])); +// synopsys translate_off +defparam \RD[1]~I .bus_hold = "true"; +defparam \RD[1]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_88, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RD[2]~I ( + .datain(RDD[2]), + .oe(\comb~2_combout ), + .combout(\RD[2]~2 ), + .padio(RD[2])); +// synopsys translate_off +defparam \RD[2]~I .bus_hold = "true"; +defparam \RD[2]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_89, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RD[3]~I ( + .datain(RDD[3]), + .oe(\comb~2_combout ), + .combout(\RD[3]~3 ), + .padio(RD[3])); +// synopsys translate_off +defparam \RD[3]~I .bus_hold = "true"; +defparam \RD[3]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_90, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RD[4]~I ( + .datain(RDD[4]), + .oe(\comb~2_combout ), + .combout(\RD[4]~4 ), + .padio(RD[4])); +// synopsys translate_off +defparam \RD[4]~I .bus_hold = "true"; +defparam \RD[4]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_91, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RD[5]~I ( + .datain(RDD[5]), + .oe(\comb~2_combout ), + .combout(\RD[5]~5 ), + .padio(RD[5])); +// synopsys translate_off +defparam \RD[5]~I .bus_hold = "true"; +defparam \RD[5]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_92, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RD[6]~I ( + .datain(RDD[6]), + .oe(\comb~2_combout ), + .combout(\RD[6]~6 ), + .padio(RD[6])); +// synopsys translate_off +defparam \RD[6]~I .bus_hold = "true"; +defparam \RD[6]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_99, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RD[7]~I ( + .datain(RDD[7]), + .oe(\comb~2_combout ), + .combout(\RD[7]~7 ), + .padio(RD[7])); +// synopsys translate_off +defparam \RD[7]~I .bus_hold = "true"; +defparam \RD[7]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_50, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \SD[0]~I ( + .datain(WRD[0]), + .oe(\SDOE~regout ), + .combout(\SD[0]~0 ), + .padio(SD[0])); +// synopsys translate_off +defparam \SD[0]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_47, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \SD[1]~I ( + .datain(WRD[1]), + .oe(\SDOE~regout ), + .combout(\SD[1]~1 ), + .padio(SD[1])); +// synopsys translate_off +defparam \SD[1]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_56, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \SD[2]~I ( + .datain(WRD[2]), + .oe(\SDOE~regout ), + .combout(\SD[2]~2 ), + .padio(SD[2])); +// synopsys translate_off +defparam \SD[2]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_55, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \SD[3]~I ( + .datain(WRD[3]), + .oe(\SDOE~regout ), + .combout(\SD[3]~3 ), + .padio(SD[3])); +// synopsys translate_off +defparam \SD[3]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_51, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \SD[4]~I ( + .datain(WRD[4]), + .oe(\SDOE~regout ), + .combout(\SD[4]~4 ), + .padio(SD[4])); +// synopsys translate_off +defparam \SD[4]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_52, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \SD[5]~I ( + .datain(WRD[5]), + .oe(\SDOE~regout ), + .combout(\SD[5]~5 ), + .padio(SD[5])); +// synopsys translate_off +defparam \SD[5]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_53, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \SD[6]~I ( + .datain(WRD[6]), + .oe(\SDOE~regout ), + .combout(\SD[6]~6 ), + .padio(SD[6])); +// synopsys translate_off +defparam \SD[6]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_54, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \SD[7]~I ( + .datain(WRD[7]), + .oe(\SDOE~regout ), + .combout(\SD[7]~7 ), + .padio(SD[7])); +// synopsys translate_off +defparam \SD[7]~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_15, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \MOSI~I ( + .datain(\MOSIout~regout ), + .oe(\MOSIOE~regout ), + .combout(\MOSI~0 ), + .padio(MOSI)); +// synopsys translate_off +defparam \MOSI~I .bus_hold = "true"; +defparam \MOSI~I .operation_mode = "bidir"; +// synopsys translate_on + +// Location: PIN_64, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \C25M~I ( + .datain(gnd), + .oe(gnd), + .combout(\C25M~combout ), + .padio(C25M)); +// synopsys translate_off +defparam \C25M~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X7_Y2_N4 +maxii_lcell \Equal1~0 ( +// Equation(s): +// \Equal1~0_combout = (!PS[1] & (((!PS[3])))) + + .clk(gnd), + .dataa(PS[1]), + .datab(vcc), + .datac(PS[3]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal1~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal1~0 .lut_mask = "0505"; +defparam \Equal1~0 .operation_mode = "normal"; +defparam \Equal1~0 .output_mode = "comb_only"; +defparam \Equal1~0 .register_cascade_mode = "off"; +defparam \Equal1~0 .sum_lutc_input = "datac"; +defparam \Equal1~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_41, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default +maxii_io \PHI0~I ( + .datain(gnd), + .oe(gnd), + .combout(\PHI0~combout ), + .padio(PHI0)); +// synopsys translate_off +defparam \PHI0~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X5_Y1_N6 +maxii_lcell PHI0r1( +// Equation(s): +// \PS~0 = (((PHI0r1 & !\PHI0r2~regout ))) +// \PHI0r1~regout = DFFEAS(\PS~0 , GLOBAL(\C25M~combout ), VCC, , , \PHI0~combout , , , VCC) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\PHI0~combout ), + .datad(\PHI0r2~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\PS~0 ), + .regout(\PHI0r1~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam PHI0r1.lut_mask = "00f0"; +defparam PHI0r1.operation_mode = "normal"; +defparam PHI0r1.output_mode = "reg_and_comb"; +defparam PHI0r1.register_cascade_mode = "off"; +defparam PHI0r1.sum_lutc_input = "qfbk"; +defparam PHI0r1.synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_43, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default +maxii_io \nWE~I ( + .datain(gnd), + .oe(gnd), + .combout(\nWE~combout ), + .padio(nWE)); +// synopsys translate_off +defparam \nWE~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X5_Y1_N8 +maxii_lcell PHI0r2( +// Equation(s): +// \comb~0 = (\PHI0~combout & (((PHI0r2 & \nWE~combout )))) +// \PHI0r2~regout = DFFEAS(\comb~0 , GLOBAL(\C25M~combout ), VCC, , , \PHI0r1~regout , , , VCC) + + .clk(\C25M~combout ), + .dataa(\PHI0~combout ), + .datab(vcc), + .datac(\PHI0r1~regout ), + .datad(\nWE~combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\comb~0 ), + .regout(\PHI0r2~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam PHI0r2.lut_mask = "a000"; +defparam PHI0r2.operation_mode = "normal"; +defparam PHI0r2.output_mode = "reg_and_comb"; +defparam PHI0r2.register_cascade_mode = "off"; +defparam PHI0r2.sum_lutc_input = "qfbk"; +defparam PHI0r2.synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y1_N1 +maxii_lcell \PS[0] ( +// Equation(s): +// PS[0] = DFFEAS((!PS[0] & (((\PS~0 ) # (PS[2])) # (!\Equal1~0_combout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(\Equal1~0_combout ), + .datab(\PS~0 ), + .datac(PS[2]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(PS[0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \PS[0] .lut_mask = "00fd"; +defparam \PS[0] .operation_mode = "normal"; +defparam \PS[0] .output_mode = "reg_only"; +defparam \PS[0] .register_cascade_mode = "off"; +defparam \PS[0] .sum_lutc_input = "datac"; +defparam \PS[0] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y4_N8 +maxii_lcell \PS[1] ( +// Equation(s): +// PS[1] = DFFEAS(PS[1] $ ((((PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(PS[1]), + .datab(vcc), + .datac(vcc), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(PS[1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \PS[1] .lut_mask = "55aa"; +defparam \PS[1] .operation_mode = "normal"; +defparam \PS[1] .output_mode = "reg_only"; +defparam \PS[1] .register_cascade_mode = "off"; +defparam \PS[1] .sum_lutc_input = "datac"; +defparam \PS[1] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y1_N2 +maxii_lcell \PS[2] ( +// Equation(s): +// PS[2] = DFFEAS((PS[2] $ (((PS[1] & PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(PS[2]), + .datac(PS[1]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(PS[2]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \PS[2] .lut_mask = "3ccc"; +defparam \PS[2] .operation_mode = "normal"; +defparam \PS[2] .output_mode = "reg_only"; +defparam \PS[2] .register_cascade_mode = "off"; +defparam \PS[2] .sum_lutc_input = "datac"; +defparam \PS[2] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y1_N3 +maxii_lcell \PS[3] ( +// Equation(s): +// PS[3] = DFFEAS(PS[3] $ (((PS[2] & (PS[1] & PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(PS[3]), + .datab(PS[2]), + .datac(PS[1]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(PS[3]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \PS[3] .lut_mask = "6aaa"; +defparam \PS[3] .operation_mode = "normal"; +defparam \PS[3] .output_mode = "reg_only"; +defparam \PS[3] .register_cascade_mode = "off"; +defparam \PS[3] .sum_lutc_input = "datac"; +defparam \PS[3] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y1_N5 +maxii_lcell \Equal2~1 ( +// Equation(s): +// \Equal2~1_combout = (PS[3] & (PS[2] & (PS[1] & PS[0]))) + + .clk(gnd), + .dataa(PS[3]), + .datab(PS[2]), + .datac(PS[1]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal2~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal2~1 .lut_mask = "8000"; +defparam \Equal2~1 .operation_mode = "normal"; +defparam \Equal2~1 .output_mode = "comb_only"; +defparam \Equal2~1 .register_cascade_mode = "off"; +defparam \Equal2~1 .sum_lutc_input = "datac"; +defparam \Equal2~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N1 +maxii_lcell \LS[0] ( +// Equation(s): +// LS[0] = DFFEAS(((\Equal2~1_combout $ (LS[0]))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\Equal2~1_combout ), + .datad(LS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \LS[0] .lut_mask = "0ff0"; +defparam \LS[0] .operation_mode = "normal"; +defparam \LS[0] .output_mode = "reg_only"; +defparam \LS[0] .register_cascade_mode = "off"; +defparam \LS[0] .sum_lutc_input = "datac"; +defparam \LS[0] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N4 +maxii_lcell \LS[1] ( +// Equation(s): +// LS[1] = DFFEAS(LS[1] $ ((LS[0])), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[1]~3 = CARRY((LS[1] & (LS[0]))) + + .clk(\C25M~combout ), + .dataa(LS[1]), + .datab(LS[0]), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[1]), + .cout(\LS[1]~3 ), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \LS[1] .lut_mask = "6688"; +defparam \LS[1] .operation_mode = "arithmetic"; +defparam \LS[1] .output_mode = "reg_only"; +defparam \LS[1] .register_cascade_mode = "off"; +defparam \LS[1] .sum_lutc_input = "datac"; +defparam \LS[1] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N5 +maxii_lcell \LS[2] ( +// Equation(s): +// LS[2] = DFFEAS(LS[2] $ ((((\LS[1]~3 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[2]~7 = CARRY(((!\LS[1]~3 )) # (!LS[2])) +// \LS[2]~7COUT1_28 = CARRY(((!\LS[1]~3 )) # (!LS[2])) + + .clk(\C25M~combout ), + .dataa(LS[2]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[1]~3 ), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[2]), + .cout(), + .cout0(\LS[2]~7 ), + .cout1(\LS[2]~7COUT1_28 )); +// synopsys translate_off +defparam \LS[2] .cin_used = "true"; +defparam \LS[2] .lut_mask = "5a5f"; +defparam \LS[2] .operation_mode = "arithmetic"; +defparam \LS[2] .output_mode = "reg_only"; +defparam \LS[2] .register_cascade_mode = "off"; +defparam \LS[2] .sum_lutc_input = "cin"; +defparam \LS[2] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N6 +maxii_lcell \LS[3] ( +// Equation(s): +// LS[3] = DFFEAS(LS[3] $ ((((!(!\LS[1]~3 & \LS[2]~7 ) # (\LS[1]~3 & \LS[2]~7COUT1_28 ))))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[3]~11 = CARRY((LS[3] & ((!\LS[2]~7 )))) +// \LS[3]~11COUT1_29 = CARRY((LS[3] & ((!\LS[2]~7COUT1_28 )))) + + .clk(\C25M~combout ), + .dataa(LS[3]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[1]~3 ), + .cin0(\LS[2]~7 ), + .cin1(\LS[2]~7COUT1_28 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[3]), + .cout(), + .cout0(\LS[3]~11 ), + .cout1(\LS[3]~11COUT1_29 )); +// synopsys translate_off +defparam \LS[3] .cin0_used = "true"; +defparam \LS[3] .cin1_used = "true"; +defparam \LS[3] .cin_used = "true"; +defparam \LS[3] .lut_mask = "a50a"; +defparam \LS[3] .operation_mode = "arithmetic"; +defparam \LS[3] .output_mode = "reg_only"; +defparam \LS[3] .register_cascade_mode = "off"; +defparam \LS[3] .sum_lutc_input = "cin"; +defparam \LS[3] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N7 +maxii_lcell \LS[4] ( +// Equation(s): +// LS[4] = DFFEAS((LS[4] $ (((!\LS[1]~3 & \LS[3]~11 ) # (\LS[1]~3 & \LS[3]~11COUT1_29 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[4]~13 = CARRY(((!\LS[3]~11 ) # (!LS[4]))) +// \LS[4]~13COUT1_30 = CARRY(((!\LS[3]~11COUT1_29 ) # (!LS[4]))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(LS[4]), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[1]~3 ), + .cin0(\LS[3]~11 ), + .cin1(\LS[3]~11COUT1_29 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[4]), + .cout(), + .cout0(\LS[4]~13 ), + .cout1(\LS[4]~13COUT1_30 )); +// synopsys translate_off +defparam \LS[4] .cin0_used = "true"; +defparam \LS[4] .cin1_used = "true"; +defparam \LS[4] .cin_used = "true"; +defparam \LS[4] .lut_mask = "3c3f"; +defparam \LS[4] .operation_mode = "arithmetic"; +defparam \LS[4] .output_mode = "reg_only"; +defparam \LS[4] .register_cascade_mode = "off"; +defparam \LS[4] .sum_lutc_input = "cin"; +defparam \LS[4] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N8 +maxii_lcell \LS[5] ( +// Equation(s): +// LS[5] = DFFEAS(LS[5] $ ((((!(!\LS[1]~3 & \LS[4]~13 ) # (\LS[1]~3 & \LS[4]~13COUT1_30 ))))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[5]~15 = CARRY((LS[5] & ((!\LS[4]~13 )))) +// \LS[5]~15COUT1_31 = CARRY((LS[5] & ((!\LS[4]~13COUT1_30 )))) + + .clk(\C25M~combout ), + .dataa(LS[5]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[1]~3 ), + .cin0(\LS[4]~13 ), + .cin1(\LS[4]~13COUT1_30 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[5]), + .cout(), + .cout0(\LS[5]~15 ), + .cout1(\LS[5]~15COUT1_31 )); +// synopsys translate_off +defparam \LS[5] .cin0_used = "true"; +defparam \LS[5] .cin1_used = "true"; +defparam \LS[5] .cin_used = "true"; +defparam \LS[5] .lut_mask = "a50a"; +defparam \LS[5] .operation_mode = "arithmetic"; +defparam \LS[5] .output_mode = "reg_only"; +defparam \LS[5] .register_cascade_mode = "off"; +defparam \LS[5] .sum_lutc_input = "cin"; +defparam \LS[5] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N9 +maxii_lcell \LS[6] ( +// Equation(s): +// LS[6] = DFFEAS((LS[6] $ (((!\LS[1]~3 & \LS[5]~15 ) # (\LS[1]~3 & \LS[5]~15COUT1_31 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[6]~17 = CARRY(((!\LS[5]~15COUT1_31 ) # (!LS[6]))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(LS[6]), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[1]~3 ), + .cin0(\LS[5]~15 ), + .cin1(\LS[5]~15COUT1_31 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[6]), + .cout(\LS[6]~17 ), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \LS[6] .cin0_used = "true"; +defparam \LS[6] .cin1_used = "true"; +defparam \LS[6] .cin_used = "true"; +defparam \LS[6] .lut_mask = "3c3f"; +defparam \LS[6] .operation_mode = "arithmetic"; +defparam \LS[6] .output_mode = "reg_only"; +defparam \LS[6] .register_cascade_mode = "off"; +defparam \LS[6] .sum_lutc_input = "cin"; +defparam \LS[6] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N0 +maxii_lcell \LS[7] ( +// Equation(s): +// LS[7] = DFFEAS((LS[7] $ ((!\LS[6]~17 ))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[7]~19 = CARRY(((LS[7] & !\LS[6]~17 ))) +// \LS[7]~19COUT1_32 = CARRY(((LS[7] & !\LS[6]~17 ))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(LS[7]), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[6]~17 ), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[7]), + .cout(), + .cout0(\LS[7]~19 ), + .cout1(\LS[7]~19COUT1_32 )); +// synopsys translate_off +defparam \LS[7] .cin_used = "true"; +defparam \LS[7] .lut_mask = "c30c"; +defparam \LS[7] .operation_mode = "arithmetic"; +defparam \LS[7] .output_mode = "reg_only"; +defparam \LS[7] .register_cascade_mode = "off"; +defparam \LS[7] .sum_lutc_input = "cin"; +defparam \LS[7] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N2 +maxii_lcell \Equal3~0 ( +// Equation(s): +// \Equal3~0_combout = (LS[1] & (LS[7] & (LS[3] & LS[6]))) + + .clk(gnd), + .dataa(LS[1]), + .datab(LS[7]), + .datac(LS[3]), + .datad(LS[6]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal3~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal3~0 .lut_mask = "8000"; +defparam \Equal3~0 .operation_mode = "normal"; +defparam \Equal3~0 .output_mode = "comb_only"; +defparam \Equal3~0 .register_cascade_mode = "off"; +defparam \Equal3~0 .sum_lutc_input = "datac"; +defparam \Equal3~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N1 +maxii_lcell \LS[8] ( +// Equation(s): +// LS[8] = DFFEAS((LS[8] $ (((!\LS[6]~17 & \LS[7]~19 ) # (\LS[6]~17 & \LS[7]~19COUT1_32 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[8]~21 = CARRY(((!\LS[7]~19 ) # (!LS[8]))) +// \LS[8]~21COUT1_33 = CARRY(((!\LS[7]~19COUT1_32 ) # (!LS[8]))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(LS[8]), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[6]~17 ), + .cin0(\LS[7]~19 ), + .cin1(\LS[7]~19COUT1_32 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[8]), + .cout(), + .cout0(\LS[8]~21 ), + .cout1(\LS[8]~21COUT1_33 )); +// synopsys translate_off +defparam \LS[8] .cin0_used = "true"; +defparam \LS[8] .cin1_used = "true"; +defparam \LS[8] .cin_used = "true"; +defparam \LS[8] .lut_mask = "3c3f"; +defparam \LS[8] .operation_mode = "arithmetic"; +defparam \LS[8] .output_mode = "reg_only"; +defparam \LS[8] .register_cascade_mode = "off"; +defparam \LS[8] .sum_lutc_input = "cin"; +defparam \LS[8] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N2 +maxii_lcell \LS[9] ( +// Equation(s): +// LS[9] = DFFEAS((LS[9] $ ((!(!\LS[6]~17 & \LS[8]~21 ) # (\LS[6]~17 & \LS[8]~21COUT1_33 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[9]~23 = CARRY(((LS[9] & !\LS[8]~21 ))) +// \LS[9]~23COUT1_34 = CARRY(((LS[9] & !\LS[8]~21COUT1_33 ))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(LS[9]), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[6]~17 ), + .cin0(\LS[8]~21 ), + .cin1(\LS[8]~21COUT1_33 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[9]), + .cout(), + .cout0(\LS[9]~23 ), + .cout1(\LS[9]~23COUT1_34 )); +// synopsys translate_off +defparam \LS[9] .cin0_used = "true"; +defparam \LS[9] .cin1_used = "true"; +defparam \LS[9] .cin_used = "true"; +defparam \LS[9] .lut_mask = "c30c"; +defparam \LS[9] .operation_mode = "arithmetic"; +defparam \LS[9] .output_mode = "reg_only"; +defparam \LS[9] .register_cascade_mode = "off"; +defparam \LS[9] .sum_lutc_input = "cin"; +defparam \LS[9] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N3 +maxii_lcell \LS[10] ( +// Equation(s): +// LS[10] = DFFEAS(LS[10] $ (((((!\LS[6]~17 & \LS[9]~23 ) # (\LS[6]~17 & \LS[9]~23COUT1_34 ))))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[10]~1 = CARRY(((!\LS[9]~23 )) # (!LS[10])) +// \LS[10]~1COUT1_35 = CARRY(((!\LS[9]~23COUT1_34 )) # (!LS[10])) + + .clk(\C25M~combout ), + .dataa(LS[10]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[6]~17 ), + .cin0(\LS[9]~23 ), + .cin1(\LS[9]~23COUT1_34 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[10]), + .cout(), + .cout0(\LS[10]~1 ), + .cout1(\LS[10]~1COUT1_35 )); +// synopsys translate_off +defparam \LS[10] .cin0_used = "true"; +defparam \LS[10] .cin1_used = "true"; +defparam \LS[10] .cin_used = "true"; +defparam \LS[10] .lut_mask = "5a5f"; +defparam \LS[10] .operation_mode = "arithmetic"; +defparam \LS[10] .output_mode = "reg_only"; +defparam \LS[10] .register_cascade_mode = "off"; +defparam \LS[10] .sum_lutc_input = "cin"; +defparam \LS[10] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N4 +maxii_lcell \LS[11] ( +// Equation(s): +// LS[11] = DFFEAS(LS[11] $ ((((!(!\LS[6]~17 & \LS[10]~1 ) # (\LS[6]~17 & \LS[10]~1COUT1_35 ))))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[11]~5 = CARRY((LS[11] & ((!\LS[10]~1COUT1_35 )))) + + .clk(\C25M~combout ), + .dataa(LS[11]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[6]~17 ), + .cin0(\LS[10]~1 ), + .cin1(\LS[10]~1COUT1_35 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[11]), + .cout(\LS[11]~5 ), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \LS[11] .cin0_used = "true"; +defparam \LS[11] .cin1_used = "true"; +defparam \LS[11] .cin_used = "true"; +defparam \LS[11] .lut_mask = "a50a"; +defparam \LS[11] .operation_mode = "arithmetic"; +defparam \LS[11] .output_mode = "reg_only"; +defparam \LS[11] .register_cascade_mode = "off"; +defparam \LS[11] .sum_lutc_input = "cin"; +defparam \LS[11] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N5 +maxii_lcell \LS[12] ( +// Equation(s): +// LS[12] = DFFEAS(LS[12] $ ((((\LS[11]~5 )))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) +// \LS[12]~9 = CARRY(((!\LS[11]~5 )) # (!LS[12])) +// \LS[12]~9COUT1_36 = CARRY(((!\LS[11]~5 )) # (!LS[12])) + + .clk(\C25M~combout ), + .dataa(LS[12]), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[11]~5 ), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[12]), + .cout(), + .cout0(\LS[12]~9 ), + .cout1(\LS[12]~9COUT1_36 )); +// synopsys translate_off +defparam \LS[12] .cin_used = "true"; +defparam \LS[12] .lut_mask = "5a5f"; +defparam \LS[12] .operation_mode = "arithmetic"; +defparam \LS[12] .output_mode = "reg_only"; +defparam \LS[12] .register_cascade_mode = "off"; +defparam \LS[12] .sum_lutc_input = "cin"; +defparam \LS[12] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N9 +maxii_lcell \Equal3~1 ( +// Equation(s): +// \Equal3~1_combout = (LS[10] & (LS[8] & (LS[11] & LS[9]))) + + .clk(gnd), + .dataa(LS[10]), + .datab(LS[8]), + .datac(LS[11]), + .datad(LS[9]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal3~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal3~1 .lut_mask = "8000"; +defparam \Equal3~1 .operation_mode = "normal"; +defparam \Equal3~1 .output_mode = "comb_only"; +defparam \Equal3~1 .register_cascade_mode = "off"; +defparam \Equal3~1 .sum_lutc_input = "datac"; +defparam \Equal3~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N8 +maxii_lcell \Equal3~2 ( +// Equation(s): +// \Equal3~2_combout = ((\Equal3~0_combout & (LS[12] & \Equal3~1_combout ))) + + .clk(gnd), + .dataa(vcc), + .datab(\Equal3~0_combout ), + .datac(LS[12]), + .datad(\Equal3~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal3~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal3~2 .lut_mask = "c000"; +defparam \Equal3~2 .operation_mode = "normal"; +defparam \Equal3~2 .output_mode = "comb_only"; +defparam \Equal3~2 .register_cascade_mode = "off"; +defparam \Equal3~2 .sum_lutc_input = "datac"; +defparam \Equal3~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N3 +maxii_lcell \Equal5~0 ( +// Equation(s): +// \Equal5~0_combout = (LS[5] & (((LS[4] & \Equal3~2_combout )))) + + .clk(gnd), + .dataa(LS[5]), + .datab(vcc), + .datac(LS[4]), + .datad(\Equal3~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal5~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal5~0 .lut_mask = "a000"; +defparam \Equal5~0 .operation_mode = "normal"; +defparam \Equal5~0 .output_mode = "comb_only"; +defparam \Equal5~0 .register_cascade_mode = "off"; +defparam \Equal5~0 .sum_lutc_input = "datac"; +defparam \Equal5~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y2_N6 +maxii_lcell \Equal6~0 ( +// Equation(s): +// \Equal6~0_combout = (LS[0] & (\Equal5~0_combout & (LS[2]))) + + .clk(gnd), + .dataa(LS[0]), + .datab(\Equal5~0_combout ), + .datac(LS[2]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal6~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal6~0 .lut_mask = "8080"; +defparam \Equal6~0 .operation_mode = "normal"; +defparam \Equal6~0 .output_mode = "comb_only"; +defparam \Equal6~0 .register_cascade_mode = "off"; +defparam \Equal6~0 .sum_lutc_input = "datac"; +defparam \Equal6~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N6 +maxii_lcell \LS[13] ( +// Equation(s): +// LS[13] = DFFEAS((((!\LS[11]~5 & \LS[12]~9 ) # (\LS[11]~5 & \LS[12]~9COUT1_36 ) $ (!LS[13]))), GLOBAL(\C25M~combout ), VCC, , \Equal2~1_combout , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(LS[13]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal2~1_combout ), + .cin(\LS[11]~5 ), + .cin0(\LS[12]~9 ), + .cin1(\LS[12]~9COUT1_36 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(LS[13]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \LS[13] .cin0_used = "true"; +defparam \LS[13] .cin1_used = "true"; +defparam \LS[13] .cin_used = "true"; +defparam \LS[13] .lut_mask = "f00f"; +defparam \LS[13] .operation_mode = "normal"; +defparam \LS[13] .output_mode = "reg_only"; +defparam \LS[13] .register_cascade_mode = "off"; +defparam \LS[13] .sum_lutc_input = "cin"; +defparam \LS[13] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y2_N9 +maxii_lcell \IS.111 ( +// Equation(s): +// \IS.111~regout = DFFEAS((\IS.111~regout ) # ((\Equal2~1_combout & (\Equal6~0_combout & LS[13]))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(\Equal2~1_combout ), + .datab(\IS.111~regout ), + .datac(\Equal6~0_combout ), + .datad(LS[13]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\IS.111~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS.111 .lut_mask = "eccc"; +defparam \IS.111 .operation_mode = "normal"; +defparam \IS.111 .output_mode = "reg_only"; +defparam \IS.111 .register_cascade_mode = "off"; +defparam \IS.111 .sum_lutc_input = "datac"; +defparam \IS.111 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y1_N8 +maxii_lcell \nRESout~reg0 ( +// Equation(s): +// \nRESout~reg0_regout = DFFEAS((((\IS.111~regout ) # (\nRESout~reg0_regout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\IS.111~regout ), + .datad(\nRESout~reg0_regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\nRESout~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRESout~reg0 .lut_mask = "fff0"; +defparam \nRESout~reg0 .operation_mode = "normal"; +defparam \nRESout~reg0 .output_mode = "reg_only"; +defparam \nRESout~reg0 .register_cascade_mode = "off"; +defparam \nRESout~reg0 .sum_lutc_input = "datac"; +defparam \nRESout~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_49, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \INTin~I ( + .datain(gnd), + .oe(gnd), + .combout(\INTin~combout ), + .padio(INTin)); +// synopsys translate_off +defparam \INTin~I .operation_mode = "input"; +// synopsys translate_on + +// Location: PIN_48, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \DMAin~I ( + .datain(gnd), + .oe(gnd), + .combout(\DMAin~combout ), + .padio(DMAin)); +// synopsys translate_off +defparam \DMAin~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X2_Y2_N4 +maxii_lcell \IS~17 ( +// Equation(s): +// \IS~17_combout = (((\IS.111~regout ) # (!\Equal2~1_combout ))) + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(\Equal2~1_combout ), + .datad(\IS.111~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\IS~17_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS~17 .lut_mask = "ff0f"; +defparam \IS~17 .operation_mode = "normal"; +defparam \IS~17 .output_mode = "comb_only"; +defparam \IS~17 .register_cascade_mode = "off"; +defparam \IS~17 .sum_lutc_input = "datac"; +defparam \IS~17 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y2_N2 +maxii_lcell \IS~18 ( +// Equation(s): +// \IS~18_combout = ((LS[2] & (!LS[0])) # (!LS[2] & ((LS[0]) # (LS[13])))) # (!\Equal5~0_combout ) + + .clk(gnd), + .dataa(LS[2]), + .datab(\Equal5~0_combout ), + .datac(LS[0]), + .datad(LS[13]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\IS~18_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS~18 .lut_mask = "7f7b"; +defparam \IS~18 .operation_mode = "normal"; +defparam \IS~18 .output_mode = "comb_only"; +defparam \IS~18 .register_cascade_mode = "off"; +defparam \IS~18 .sum_lutc_input = "datac"; +defparam \IS~18 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y3_N0 +maxii_lcell \Equal3~3 ( +// Equation(s): +// \Equal3~3_combout = (LS[2] & (!LS[4] & (!LS[13] & !LS[5]))) + + .clk(gnd), + .dataa(LS[2]), + .datab(LS[4]), + .datac(LS[13]), + .datad(LS[5]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal3~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal3~3 .lut_mask = "0002"; +defparam \Equal3~3 .operation_mode = "normal"; +defparam \Equal3~3 .output_mode = "comb_only"; +defparam \Equal3~3 .register_cascade_mode = "off"; +defparam \Equal3~3 .sum_lutc_input = "datac"; +defparam \Equal3~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y2_N0 +maxii_lcell \IS.100 ( +// Equation(s): +// \Equal4~0 = (LS[0] & (((\Equal3~3_combout & \Equal3~2_combout )))) +// \IS.100~regout = DFFEAS(\Equal4~0 , GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) + + .clk(\C25M~combout ), + .dataa(LS[0]), + .datab(vcc), + .datac(\Equal3~3_combout ), + .datad(\Equal3~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\IS~19_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal4~0 ), + .regout(\IS.100~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS.100 .lut_mask = "a000"; +defparam \IS.100 .operation_mode = "normal"; +defparam \IS.100 .output_mode = "reg_and_comb"; +defparam \IS.100 .register_cascade_mode = "off"; +defparam \IS.100 .sum_lutc_input = "datac"; +defparam \IS.100 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y2_N3 +maxii_lcell \IS.001 ( +// Equation(s): +// \Equal3~4 = (!LS[0] & (((\Equal3~3_combout & \Equal3~2_combout )))) +// \IS.001~regout = DFFEAS(\Equal3~4 , GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) + + .clk(\C25M~combout ), + .dataa(LS[0]), + .datab(vcc), + .datac(\Equal3~3_combout ), + .datad(\Equal3~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\IS~19_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal3~4 ), + .regout(\IS.001~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS.001 .lut_mask = "5000"; +defparam \IS.001 .operation_mode = "normal"; +defparam \IS.001 .output_mode = "reg_and_comb"; +defparam \IS.001 .register_cascade_mode = "off"; +defparam \IS.001 .sum_lutc_input = "datac"; +defparam \IS.001 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y2_N7 +maxii_lcell \IS~19 ( +// Equation(s): +// \IS~19_combout = (!\IS~17_combout & (((\Equal4~0 ) # (\Equal3~4 )) # (!\IS~18_combout ))) + + .clk(gnd), + .dataa(\IS~17_combout ), + .datab(\IS~18_combout ), + .datac(\Equal4~0 ), + .datad(\Equal3~4 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\IS~19_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS~19 .lut_mask = "5551"; +defparam \IS~19 .operation_mode = "normal"; +defparam \IS~19 .output_mode = "comb_only"; +defparam \IS~19 .register_cascade_mode = "off"; +defparam \IS~19 .sum_lutc_input = "datac"; +defparam \IS~19 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y2_N5 +maxii_lcell \IS.110 ( +// Equation(s): +// \IS.110~regout = DFFEAS((LS[2] & (\Equal5~0_combout & (LS[0] & !LS[13]))), GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) + + .clk(\C25M~combout ), + .dataa(LS[2]), + .datab(\Equal5~0_combout ), + .datac(LS[0]), + .datad(LS[13]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\IS~19_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\IS.110~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS.110 .lut_mask = "0080"; +defparam \IS.110 .operation_mode = "normal"; +defparam \IS.110 .output_mode = "reg_only"; +defparam \IS.110 .register_cascade_mode = "off"; +defparam \IS.110 .sum_lutc_input = "datac"; +defparam \IS.110 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y2_N3 +maxii_lcell \SA[1]~3 ( +// Equation(s): +// \SA[1]~3_combout = (((!PS[1] & \IS.110~regout )) # (!PS[0])) + + .clk(gnd), + .dataa(vcc), + .datab(PS[1]), + .datac(\IS.110~regout ), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[1]~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[1]~3 .lut_mask = "30ff"; +defparam \SA[1]~3 .operation_mode = "normal"; +defparam \SA[1]~3 .output_mode = "comb_only"; +defparam \SA[1]~3 .register_cascade_mode = "off"; +defparam \SA[1]~3 .sum_lutc_input = "datac"; +defparam \SA[1]~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y3_N7 +maxii_lcell \Mux22~0 ( +// Equation(s): +// \Mux22~0_combout = ((PS[0] & (LS[12]))) + + .clk(gnd), + .dataa(vcc), + .datab(PS[0]), + .datac(LS[12]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux22~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux22~0 .lut_mask = "c0c0"; +defparam \Mux22~0 .operation_mode = "normal"; +defparam \Mux22~0 .output_mode = "comb_only"; +defparam \Mux22~0 .register_cascade_mode = "off"; +defparam \Mux22~0 .sum_lutc_input = "datac"; +defparam \Mux22~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_4, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[3]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [3]), + .padio(RA[3])); +// synopsys translate_off +defparam \RA[3]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: PIN_98, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[1]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [1]), + .padio(RA[1])); +// synopsys translate_off +defparam \RA[1]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X4_Y3_N4 +maxii_lcell \RAr[1] ( +// Equation(s): +// \RAMRegSpecSEL~0 = (RAr[0] & (((RAr[1])))) +// RAr[1] = DFFEAS(\RAMRegSpecSEL~0 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [1], , , VCC) + + .clk(\PHI0~combout ), + .dataa(RAr[0]), + .datab(vcc), + .datac(\RA~combout [1]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RAMRegSpecSEL~0 ), + .regout(RAr[1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[1] .lut_mask = "a0a0"; +defparam \RAr[1] .operation_mode = "normal"; +defparam \RAr[1] .output_mode = "reg_and_comb"; +defparam \RAr[1] .register_cascade_mode = "off"; +defparam \RAr[1] .sum_lutc_input = "qfbk"; +defparam \RAr[1] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y2_N3 +maxii_lcell \SA[1]~2 ( +// Equation(s): +// \SA[1]~2_combout = (PS[1]) # (((\IS.110~regout ) # (!PS[0]))) + + .clk(gnd), + .dataa(PS[1]), + .datab(vcc), + .datac(\IS.110~regout ), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[1]~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[1]~2 .lut_mask = "faff"; +defparam \SA[1]~2 .operation_mode = "normal"; +defparam \SA[1]~2 .output_mode = "comb_only"; +defparam \SA[1]~2 .register_cascade_mode = "off"; +defparam \SA[1]~2 .sum_lutc_input = "datac"; +defparam \SA[1]~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y3_N8 +maxii_lcell \Mux24~0 ( +// Equation(s): +// \Mux24~0_combout = ((LS[10] & ((PS[0])))) + + .clk(gnd), + .dataa(vcc), + .datab(LS[10]), + .datac(vcc), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux24~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux24~0 .lut_mask = "cc00"; +defparam \Mux24~0 .operation_mode = "normal"; +defparam \Mux24~0 .output_mode = "comb_only"; +defparam \Mux24~0 .register_cascade_mode = "off"; +defparam \Mux24~0 .sum_lutc_input = "datac"; +defparam \Mux24~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y3_N3 +maxii_lcell \Mux24~1 ( +// Equation(s): +// \Mux24~1_combout = (\SA[1]~4_combout & (((RAr[1] & \SA[1]~3_combout )))) # (!\SA[1]~4_combout & ((\Mux24~0_combout ) # ((!\SA[1]~3_combout )))) + + .clk(gnd), + .dataa(\Mux24~0_combout ), + .datab(\SA[1]~4_combout ), + .datac(RAr[1]), + .datad(\SA[1]~3_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux24~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux24~1 .lut_mask = "e233"; +defparam \Mux24~1 .operation_mode = "normal"; +defparam \Mux24~1 .output_mode = "comb_only"; +defparam \Mux24~1 .register_cascade_mode = "off"; +defparam \Mux24~1 .sum_lutc_input = "datac"; +defparam \Mux24~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_14, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[10]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [10]), + .padio(RA[10])); +// synopsys translate_off +defparam \RA[10]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: PIN_44, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default +maxii_io \nRES~I ( + .datain(gnd), + .oe(gnd), + .combout(\nRES~combout ), + .padio(nRES)); +// synopsys translate_off +defparam \nRES~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X3_Y1_N4 +maxii_lcell \nRESf[0] ( +// Equation(s): +// nRESf[0] = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , \nRES~combout , , , VCC) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\nRES~combout ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(nRESf[0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRESf[0] .lut_mask = "0000"; +defparam \nRESf[0] .operation_mode = "normal"; +defparam \nRESf[0] .output_mode = "reg_only"; +defparam \nRESf[0] .register_cascade_mode = "off"; +defparam \nRESf[0] .sum_lutc_input = "datac"; +defparam \nRESf[0] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y1_N6 +maxii_lcell \nRESf[1] ( +// Equation(s): +// nRESf[1] = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , nRESf[0], , , VCC) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(nRESf[0]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(nRESf[1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRESf[1] .lut_mask = "0000"; +defparam \nRESf[1] .operation_mode = "normal"; +defparam \nRESf[1] .output_mode = "reg_only"; +defparam \nRESf[1] .register_cascade_mode = "off"; +defparam \nRESf[1] .sum_lutc_input = "datac"; +defparam \nRESf[1] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y1_N5 +maxii_lcell \nRESf[2] ( +// Equation(s): +// nRESf[2] = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , nRESf[1], , , VCC) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(nRESf[1]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(nRESf[2]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRESf[2] .lut_mask = "0000"; +defparam \nRESf[2] .operation_mode = "normal"; +defparam \nRESf[2] .output_mode = "reg_only"; +defparam \nRESf[2] .register_cascade_mode = "off"; +defparam \nRESf[2] .sum_lutc_input = "datac"; +defparam \nRESf[2] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y1_N9 +maxii_lcell \nRESf[3] ( +// Equation(s): +// nRESf[3] = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , nRESf[2], , , VCC) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(nRESf[2]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(nRESf[3]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRESf[3] .lut_mask = "0000"; +defparam \nRESf[3] .operation_mode = "normal"; +defparam \nRESf[3] .output_mode = "reg_only"; +defparam \nRESf[3] .register_cascade_mode = "off"; +defparam \nRESf[3] .sum_lutc_input = "datac"; +defparam \nRESf[3] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y1_N7 +maxii_lcell nRESr( +// Equation(s): +// \nRESr~regout = DFFEAS((nRESf[0]) # ((nRESf[3]) # ((nRESf[2]) # (nRESf[1]))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(nRESf[0]), + .datab(nRESf[3]), + .datac(nRESf[2]), + .datad(nRESf[1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\nRESr~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam nRESr.lut_mask = "fffe"; +defparam nRESr.operation_mode = "normal"; +defparam nRESr.output_mode = "reg_only"; +defparam nRESr.register_cascade_mode = "off"; +defparam nRESr.sum_lutc_input = "datac"; +defparam nRESr.synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_40, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default +maxii_io \nDEVSEL~I ( + .datain(gnd), + .oe(gnd), + .combout(\nDEVSEL~combout ), + .padio(nDEVSEL)); +// synopsys translate_off +defparam \nDEVSEL~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X5_Y1_N3 +maxii_lcell \nRCS~0 ( +// Equation(s): +// \nRCS~0_combout = (((!\nDEVSEL~combout & \IS.111~regout ))) + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(\nDEVSEL~combout ), + .datad(\IS.111~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\nRCS~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRCS~0 .lut_mask = "0f00"; +defparam \nRCS~0 .operation_mode = "normal"; +defparam \nRCS~0 .output_mode = "comb_only"; +defparam \nRCS~0 .register_cascade_mode = "off"; +defparam \nRCS~0 .sum_lutc_input = "datac"; +defparam \nRCS~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y1_N2 +maxii_lcell nWEr( +// Equation(s): +// \nRCS~1 = (\IS.110~regout ) # ((\nRCS~0_combout & (\RAMSpecSEL~1_combout & !nWEr))) +// \nWEr~regout = DFFEAS(\nRCS~1 , GLOBAL(\PHI0~combout ), VCC, , , \nWE~combout , , , VCC) + + .clk(\PHI0~combout ), + .dataa(\nRCS~0_combout ), + .datab(\RAMSpecSEL~1_combout ), + .datac(\nWE~combout ), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\nRCS~1 ), + .regout(\nWEr~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam nWEr.lut_mask = "ff08"; +defparam nWEr.operation_mode = "normal"; +defparam nWEr.output_mode = "reg_and_comb"; +defparam nWEr.register_cascade_mode = "off"; +defparam nWEr.sum_lutc_input = "qfbk"; +defparam nWEr.synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X2_Y1_N7 +maxii_lcell \Equal19~0 ( +// Equation(s): +// \Equal19~0_combout = (PS[3] & (!PS[2] & (!PS[1] & !PS[0]))) + + .clk(gnd), + .dataa(PS[3]), + .datab(PS[2]), + .datac(PS[1]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal19~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal19~0 .lut_mask = "0002"; +defparam \Equal19~0 .operation_mode = "normal"; +defparam \Equal19~0 .output_mode = "comb_only"; +defparam \Equal19~0 .register_cascade_mode = "off"; +defparam \Equal19~0 .sum_lutc_input = "datac"; +defparam \Equal19~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y1_N5 +maxii_lcell \always9~1 ( +// Equation(s): +// \always9~1_combout = (!\nWEr~regout & (!\nDEVSEL~combout & (\Equal19~0_combout & \always9~0_combout ))) + + .clk(gnd), + .dataa(\nWEr~regout ), + .datab(\nDEVSEL~combout ), + .datac(\Equal19~0_combout ), + .datad(\always9~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always9~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \always9~1 .lut_mask = "1000"; +defparam \always9~1 .operation_mode = "normal"; +defparam \always9~1 .output_mode = "comb_only"; +defparam \always9~1 .register_cascade_mode = "off"; +defparam \always9~1 .sum_lutc_input = "datac"; +defparam \always9~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_97, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[2]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [2]), + .padio(RA[2])); +// synopsys translate_off +defparam \RA[2]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X4_Y3_N0 +maxii_lcell \RAr[2] ( +// Equation(s): +// \RAMRegSpecSEL~1 = (!RAr[3] & (((!RAr[2])))) +// RAr[2] = DFFEAS(\RAMRegSpecSEL~1 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [2], , , VCC) + + .clk(\PHI0~combout ), + .dataa(RAr[3]), + .datab(vcc), + .datac(\RA~combout [2]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RAMRegSpecSEL~1 ), + .regout(RAr[2]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[2] .lut_mask = "0505"; +defparam \RAr[2] .operation_mode = "normal"; +defparam \RAr[2] .output_mode = "reg_and_comb"; +defparam \RAr[2] .register_cascade_mode = "off"; +defparam \RAr[2] .sum_lutc_input = "qfbk"; +defparam \RAr[2] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y3_N7 +maxii_lcell \always9~3 ( +// Equation(s): +// \always9~3_combout = (\always9~1_combout & (RAr[0] & (!RAr[1] & \RAMRegSpecSEL~1 ))) + + .clk(gnd), + .dataa(\always9~1_combout ), + .datab(RAr[0]), + .datac(RAr[1]), + .datad(\RAMRegSpecSEL~1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always9~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \always9~3 .lut_mask = "0800"; +defparam \always9~3 .operation_mode = "normal"; +defparam \always9~3 .output_mode = "comb_only"; +defparam \always9~3 .register_cascade_mode = "off"; +defparam \always9~3 .sum_lutc_input = "datac"; +defparam \always9~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y3_N9 +maxii_lcell \always9~4 ( +// Equation(s): +// \always9~4_combout = (\RAMRegSpecSEL~1 & (!RAr[1] & (\always9~1_combout & !RAr[0]))) + + .clk(gnd), + .dataa(\RAMRegSpecSEL~1 ), + .datab(RAr[1]), + .datac(\always9~1_combout ), + .datad(RAr[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always9~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \always9~4 .lut_mask = "0020"; +defparam \always9~4 .operation_mode = "normal"; +defparam \always9~4 .output_mode = "comb_only"; +defparam \always9~4 .register_cascade_mode = "off"; +defparam \always9~4 .sum_lutc_input = "datac"; +defparam \always9~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y1_N1 +maxii_lcell \always9~5 ( +// Equation(s): +// \always9~5_combout = (!\nDEVSEL~combout & (((\Equal19~0_combout )))) + + .clk(gnd), + .dataa(\nDEVSEL~combout ), + .datab(vcc), + .datac(\Equal19~0_combout ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always9~5_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \always9~5 .lut_mask = "5050"; +defparam \always9~5 .operation_mode = "normal"; +defparam \always9~5 .output_mode = "comb_only"; +defparam \always9~5 .register_cascade_mode = "off"; +defparam \always9~5 .sum_lutc_input = "datac"; +defparam \always9~5 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y3_N6 +maxii_lcell AddrIncL( +// Equation(s): +// \AddrIncL~regout = DFFEAS((\always9~5_combout & (\always9~0_combout & (\RAMRegSpecSEL~0 & \RAMRegSpecSEL~1 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) + + .clk(\C25M~combout ), + .dataa(\always9~5_combout ), + .datab(\always9~0_combout ), + .datac(\RAMRegSpecSEL~0 ), + .datad(\RAMRegSpecSEL~1 ), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\AddrIncL~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam AddrIncL.lut_mask = "8000"; +defparam AddrIncL.operation_mode = "normal"; +defparam AddrIncL.output_mode = "reg_only"; +defparam AddrIncL.register_cascade_mode = "off"; +defparam AddrIncL.sum_lutc_input = "datac"; +defparam AddrIncL.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y3_N0 +maxii_lcell \Addr[0] ( +// Equation(s): +// Addr[0] = DFFEAS(\AddrIncL~regout $ ((Addr[0])), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[0]~0 , , , \always9~4_combout ) +// \Addr[0]~47 = CARRY((\AddrIncL~regout & (Addr[0]))) +// \Addr[0]~47COUT1_61 = CARRY((\AddrIncL~regout & (Addr[0]))) + + .clk(\C25M~combout ), + .dataa(\AddrIncL~regout ), + .datab(Addr[0]), + .datac(\RD[0]~0 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~4_combout ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[0]), + .cout(), + .cout0(\Addr[0]~47 ), + .cout1(\Addr[0]~47COUT1_61 )); +// synopsys translate_off +defparam \Addr[0] .lut_mask = "6688"; +defparam \Addr[0] .operation_mode = "arithmetic"; +defparam \Addr[0] .output_mode = "reg_only"; +defparam \Addr[0] .register_cascade_mode = "off"; +defparam \Addr[0] .sum_lutc_input = "datac"; +defparam \Addr[0] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y3_N1 +maxii_lcell \Addr[1] ( +// Equation(s): +// Addr[1] = DFFEAS((Addr[1] $ ((\Addr[0]~47 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[1]~1 , , , \always9~4_combout ) +// \Addr[1]~5 = CARRY(((!\Addr[0]~47 ) # (!Addr[1]))) +// \Addr[1]~5COUT1_62 = CARRY(((!\Addr[0]~47COUT1_61 ) # (!Addr[1]))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[1]), + .datac(\RD[1]~1 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~4_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[0]~47 ), + .cin1(\Addr[0]~47COUT1_61 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[1]), + .cout(), + .cout0(\Addr[1]~5 ), + .cout1(\Addr[1]~5COUT1_62 )); +// synopsys translate_off +defparam \Addr[1] .cin0_used = "true"; +defparam \Addr[1] .cin1_used = "true"; +defparam \Addr[1] .lut_mask = "3c3f"; +defparam \Addr[1] .operation_mode = "arithmetic"; +defparam \Addr[1] .output_mode = "reg_only"; +defparam \Addr[1] .register_cascade_mode = "off"; +defparam \Addr[1] .sum_lutc_input = "cin"; +defparam \Addr[1] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y3_N2 +maxii_lcell \Addr[2] ( +// Equation(s): +// Addr[2] = DFFEAS((Addr[2] $ ((!\Addr[1]~5 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[2]~2 , , , \always9~4_combout ) +// \Addr[2]~9 = CARRY(((Addr[2] & !\Addr[1]~5 ))) +// \Addr[2]~9COUT1_63 = CARRY(((Addr[2] & !\Addr[1]~5COUT1_62 ))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[2]), + .datac(\RD[2]~2 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~4_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[1]~5 ), + .cin1(\Addr[1]~5COUT1_62 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[2]), + .cout(), + .cout0(\Addr[2]~9 ), + .cout1(\Addr[2]~9COUT1_63 )); +// synopsys translate_off +defparam \Addr[2] .cin0_used = "true"; +defparam \Addr[2] .cin1_used = "true"; +defparam \Addr[2] .lut_mask = "c30c"; +defparam \Addr[2] .operation_mode = "arithmetic"; +defparam \Addr[2] .output_mode = "reg_only"; +defparam \Addr[2] .register_cascade_mode = "off"; +defparam \Addr[2] .sum_lutc_input = "cin"; +defparam \Addr[2] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y3_N3 +maxii_lcell \Addr[3] ( +// Equation(s): +// Addr[3] = DFFEAS(Addr[3] $ ((((\Addr[2]~9 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[3]~3 , , , \always9~4_combout ) +// \Addr[3]~13 = CARRY(((!\Addr[2]~9 )) # (!Addr[3])) +// \Addr[3]~13COUT1_64 = CARRY(((!\Addr[2]~9COUT1_63 )) # (!Addr[3])) + + .clk(\C25M~combout ), + .dataa(Addr[3]), + .datab(vcc), + .datac(\RD[3]~3 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~4_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[2]~9 ), + .cin1(\Addr[2]~9COUT1_63 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[3]), + .cout(), + .cout0(\Addr[3]~13 ), + .cout1(\Addr[3]~13COUT1_64 )); +// synopsys translate_off +defparam \Addr[3] .cin0_used = "true"; +defparam \Addr[3] .cin1_used = "true"; +defparam \Addr[3] .lut_mask = "5a5f"; +defparam \Addr[3] .operation_mode = "arithmetic"; +defparam \Addr[3] .output_mode = "reg_only"; +defparam \Addr[3] .register_cascade_mode = "off"; +defparam \Addr[3] .sum_lutc_input = "cin"; +defparam \Addr[3] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y3_N4 +maxii_lcell \Addr[4] ( +// Equation(s): +// Addr[4] = DFFEAS(Addr[4] $ ((((!\Addr[3]~13 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[4]~4 , , , \always9~4_combout ) +// \Addr[4]~17 = CARRY((Addr[4] & ((!\Addr[3]~13COUT1_64 )))) + + .clk(\C25M~combout ), + .dataa(Addr[4]), + .datab(vcc), + .datac(\RD[4]~4 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~4_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[3]~13 ), + .cin1(\Addr[3]~13COUT1_64 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[4]), + .cout(\Addr[4]~17 ), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Addr[4] .cin0_used = "true"; +defparam \Addr[4] .cin1_used = "true"; +defparam \Addr[4] .lut_mask = "a50a"; +defparam \Addr[4] .operation_mode = "arithmetic"; +defparam \Addr[4] .output_mode = "reg_only"; +defparam \Addr[4] .register_cascade_mode = "off"; +defparam \Addr[4] .sum_lutc_input = "cin"; +defparam \Addr[4] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y3_N5 +maxii_lcell \Addr[5] ( +// Equation(s): +// Addr[5] = DFFEAS(Addr[5] $ ((((\Addr[4]~17 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[5]~5 , , , \always9~4_combout ) +// \Addr[5]~21 = CARRY(((!\Addr[4]~17 )) # (!Addr[5])) +// \Addr[5]~21COUT1_65 = CARRY(((!\Addr[4]~17 )) # (!Addr[5])) + + .clk(\C25M~combout ), + .dataa(Addr[5]), + .datab(vcc), + .datac(\RD[5]~5 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~4_combout ), + .ena(vcc), + .cin(\Addr[4]~17 ), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[5]), + .cout(), + .cout0(\Addr[5]~21 ), + .cout1(\Addr[5]~21COUT1_65 )); +// synopsys translate_off +defparam \Addr[5] .cin_used = "true"; +defparam \Addr[5] .lut_mask = "5a5f"; +defparam \Addr[5] .operation_mode = "arithmetic"; +defparam \Addr[5] .output_mode = "reg_only"; +defparam \Addr[5] .register_cascade_mode = "off"; +defparam \Addr[5] .sum_lutc_input = "cin"; +defparam \Addr[5] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y3_N6 +maxii_lcell \Addr[6] ( +// Equation(s): +// Addr[6] = DFFEAS((Addr[6] $ ((!(!\Addr[4]~17 & \Addr[5]~21 ) # (\Addr[4]~17 & \Addr[5]~21COUT1_65 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[6]~6 , , , \always9~4_combout ) +// \Addr[6]~25 = CARRY(((Addr[6] & !\Addr[5]~21 ))) +// \Addr[6]~25COUT1_66 = CARRY(((Addr[6] & !\Addr[5]~21COUT1_65 ))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[6]), + .datac(\RD[6]~6 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~4_combout ), + .ena(vcc), + .cin(\Addr[4]~17 ), + .cin0(\Addr[5]~21 ), + .cin1(\Addr[5]~21COUT1_65 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[6]), + .cout(), + .cout0(\Addr[6]~25 ), + .cout1(\Addr[6]~25COUT1_66 )); +// synopsys translate_off +defparam \Addr[6] .cin0_used = "true"; +defparam \Addr[6] .cin1_used = "true"; +defparam \Addr[6] .cin_used = "true"; +defparam \Addr[6] .lut_mask = "c30c"; +defparam \Addr[6] .operation_mode = "arithmetic"; +defparam \Addr[6] .output_mode = "reg_only"; +defparam \Addr[6] .register_cascade_mode = "off"; +defparam \Addr[6] .sum_lutc_input = "cin"; +defparam \Addr[6] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y3_N7 +maxii_lcell \Addr[7] ( +// Equation(s): +// Addr[7] = DFFEAS((Addr[7] $ (((!\Addr[4]~17 & \Addr[6]~25 ) # (\Addr[4]~17 & \Addr[6]~25COUT1_66 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[7]~7 , , , \always9~4_combout ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[7]), + .datac(\RD[7]~7 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~4_combout ), + .ena(vcc), + .cin(\Addr[4]~17 ), + .cin0(\Addr[6]~25 ), + .cin1(\Addr[6]~25COUT1_66 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[7]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Addr[7] .cin0_used = "true"; +defparam \Addr[7] .cin1_used = "true"; +defparam \Addr[7] .cin_used = "true"; +defparam \Addr[7] .lut_mask = "3c3c"; +defparam \Addr[7] .operation_mode = "normal"; +defparam \Addr[7] .output_mode = "reg_only"; +defparam \Addr[7] .register_cascade_mode = "off"; +defparam \Addr[7] .sum_lutc_input = "cin"; +defparam \Addr[7] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y3_N8 +maxii_lcell \AddrIncM~1 ( +// Equation(s): +// \AddrIncM~1_combout = (Addr[5] & (Addr[6] & (Addr[4] & Addr[3]))) + + .clk(gnd), + .dataa(Addr[5]), + .datab(Addr[6]), + .datac(Addr[4]), + .datad(Addr[3]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\AddrIncM~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \AddrIncM~1 .lut_mask = "8000"; +defparam \AddrIncM~1 .operation_mode = "normal"; +defparam \AddrIncM~1 .output_mode = "comb_only"; +defparam \AddrIncM~1 .register_cascade_mode = "off"; +defparam \AddrIncM~1 .sum_lutc_input = "datac"; +defparam \AddrIncM~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N3 +maxii_lcell \AddrIncM~0 ( +// Equation(s): +// \AddrIncM~0_combout = ((\AddrIncL~regout & (Addr[0]))) + + .clk(gnd), + .dataa(vcc), + .datab(\AddrIncL~regout ), + .datac(Addr[0]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\AddrIncM~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \AddrIncM~0 .lut_mask = "c0c0"; +defparam \AddrIncM~0 .operation_mode = "normal"; +defparam \AddrIncM~0 .output_mode = "comb_only"; +defparam \AddrIncM~0 .register_cascade_mode = "off"; +defparam \AddrIncM~0 .sum_lutc_input = "datac"; +defparam \AddrIncM~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N6 +maxii_lcell \AddrIncM~2 ( +// Equation(s): +// \AddrIncM~2_combout = (Addr[1] & (Addr[2] & (\AddrIncM~1_combout & \AddrIncM~0_combout ))) + + .clk(gnd), + .dataa(Addr[1]), + .datab(Addr[2]), + .datac(\AddrIncM~1_combout ), + .datad(\AddrIncM~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\AddrIncM~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \AddrIncM~2 .lut_mask = "8000"; +defparam \AddrIncM~2 .operation_mode = "normal"; +defparam \AddrIncM~2 .output_mode = "comb_only"; +defparam \AddrIncM~2 .register_cascade_mode = "off"; +defparam \AddrIncM~2 .sum_lutc_input = "datac"; +defparam \AddrIncM~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y2_N9 +maxii_lcell AddrIncM( +// Equation(s): +// \AddrIncM~regout = DFFEAS((Addr[7] & ((\always9~4_combout & ((!\RD[7]~7 ))) # (!\always9~4_combout & (\AddrIncM~2_combout )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) + + .clk(\C25M~combout ), + .dataa(Addr[7]), + .datab(\AddrIncM~2_combout ), + .datac(\RD[7]~7 ), + .datad(\always9~4_combout ), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\AddrIncM~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam AddrIncM.lut_mask = "0a88"; +defparam AddrIncM.operation_mode = "normal"; +defparam AddrIncM.output_mode = "reg_only"; +defparam AddrIncM.register_cascade_mode = "off"; +defparam AddrIncM.sum_lutc_input = "datac"; +defparam AddrIncM.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y2_N0 +maxii_lcell \Addr[8] ( +// Equation(s): +// Addr[8] = DFFEAS(\AddrIncM~regout $ ((Addr[8])), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[0]~0 , , , \always9~3_combout ) +// \Addr[8]~33 = CARRY((\AddrIncM~regout & (Addr[8]))) +// \Addr[8]~33COUT1_55 = CARRY((\AddrIncM~regout & (Addr[8]))) + + .clk(\C25M~combout ), + .dataa(\AddrIncM~regout ), + .datab(Addr[8]), + .datac(\RD[0]~0 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~3_combout ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[8]), + .cout(), + .cout0(\Addr[8]~33 ), + .cout1(\Addr[8]~33COUT1_55 )); +// synopsys translate_off +defparam \Addr[8] .lut_mask = "6688"; +defparam \Addr[8] .operation_mode = "arithmetic"; +defparam \Addr[8] .output_mode = "reg_only"; +defparam \Addr[8] .register_cascade_mode = "off"; +defparam \Addr[8] .sum_lutc_input = "datac"; +defparam \Addr[8] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X5_Y2_N1 +maxii_lcell \Addr[9] ( +// Equation(s): +// Addr[9] = DFFEAS((Addr[9] $ ((\Addr[8]~33 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[1]~1 , , , \always9~3_combout ) +// \Addr[9]~37 = CARRY(((!\Addr[8]~33 ) # (!Addr[9]))) +// \Addr[9]~37COUT1_56 = CARRY(((!\Addr[8]~33COUT1_55 ) # (!Addr[9]))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[9]), + .datac(\RD[1]~1 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~3_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[8]~33 ), + .cin1(\Addr[8]~33COUT1_55 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[9]), + .cout(), + .cout0(\Addr[9]~37 ), + .cout1(\Addr[9]~37COUT1_56 )); +// synopsys translate_off +defparam \Addr[9] .cin0_used = "true"; +defparam \Addr[9] .cin1_used = "true"; +defparam \Addr[9] .lut_mask = "3c3f"; +defparam \Addr[9] .operation_mode = "arithmetic"; +defparam \Addr[9] .output_mode = "reg_only"; +defparam \Addr[9] .register_cascade_mode = "off"; +defparam \Addr[9] .sum_lutc_input = "cin"; +defparam \Addr[9] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X5_Y2_N2 +maxii_lcell \Addr[10] ( +// Equation(s): +// Addr[10] = DFFEAS((Addr[10] $ ((!\Addr[9]~37 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[2]~2 , , , \always9~3_combout ) +// \Addr[10]~3 = CARRY(((Addr[10] & !\Addr[9]~37 ))) +// \Addr[10]~3COUT1_57 = CARRY(((Addr[10] & !\Addr[9]~37COUT1_56 ))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[10]), + .datac(\RD[2]~2 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~3_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[9]~37 ), + .cin1(\Addr[9]~37COUT1_56 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[10]), + .cout(), + .cout0(\Addr[10]~3 ), + .cout1(\Addr[10]~3COUT1_57 )); +// synopsys translate_off +defparam \Addr[10] .cin0_used = "true"; +defparam \Addr[10] .cin1_used = "true"; +defparam \Addr[10] .lut_mask = "c30c"; +defparam \Addr[10] .operation_mode = "arithmetic"; +defparam \Addr[10] .output_mode = "reg_only"; +defparam \Addr[10] .register_cascade_mode = "off"; +defparam \Addr[10] .sum_lutc_input = "cin"; +defparam \Addr[10] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y2_N4 +maxii_lcell \RAr[10] ( +// Equation(s): +// \Mux24~2 = (\SA[1]~2_combout & (\Mux24~1_combout )) # (!\SA[1]~2_combout & ((\Mux24~1_combout & (RAr[10])) # (!\Mux24~1_combout & ((Addr[10]))))) +// RAr[10] = DFFEAS(\Mux24~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [10], , , VCC) + + .clk(\PHI0~combout ), + .dataa(\SA[1]~2_combout ), + .datab(\Mux24~1_combout ), + .datac(\RA~combout [10]), + .datad(Addr[10]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux24~2 ), + .regout(RAr[10]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[10] .lut_mask = "d9c8"; +defparam \RAr[10] .operation_mode = "normal"; +defparam \RAr[10] .output_mode = "reg_and_comb"; +defparam \RAr[10] .register_cascade_mode = "off"; +defparam \RAr[10] .sum_lutc_input = "qfbk"; +defparam \RAr[10] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_8, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[9]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [9]), + .padio(RA[9])); +// synopsys translate_off +defparam \RA[9]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X3_Y2_N2 +maxii_lcell \RAr[9] ( +// Equation(s): +// \Mux16~2 = (((RAr[9] & !PS[0]))) +// RAr[9] = DFFEAS(\Mux16~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [9], , , VCC) + + .clk(\PHI0~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\RA~combout [9]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux16~2 ), + .regout(RAr[9]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[9] .lut_mask = "00f0"; +defparam \RAr[9] .operation_mode = "normal"; +defparam \RAr[9] .output_mode = "reg_and_comb"; +defparam \RAr[9] .register_cascade_mode = "off"; +defparam \RAr[9] .sum_lutc_input = "qfbk"; +defparam \RAr[9] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_6, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[7]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [7]), + .padio(RA[7])); +// synopsys translate_off +defparam \RA[7]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X5_Y2_N3 +maxii_lcell \Addr[11] ( +// Equation(s): +// Addr[11] = DFFEAS(Addr[11] $ ((((\Addr[10]~3 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[3]~3 , , , \always9~3_combout ) +// \Addr[11]~7 = CARRY(((!\Addr[10]~3 )) # (!Addr[11])) +// \Addr[11]~7COUT1_58 = CARRY(((!\Addr[10]~3COUT1_57 )) # (!Addr[11])) + + .clk(\C25M~combout ), + .dataa(Addr[11]), + .datab(vcc), + .datac(\RD[3]~3 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~3_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[10]~3 ), + .cin1(\Addr[10]~3COUT1_57 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[11]), + .cout(), + .cout0(\Addr[11]~7 ), + .cout1(\Addr[11]~7COUT1_58 )); +// synopsys translate_off +defparam \Addr[11] .cin0_used = "true"; +defparam \Addr[11] .cin1_used = "true"; +defparam \Addr[11] .lut_mask = "5a5f"; +defparam \Addr[11] .operation_mode = "arithmetic"; +defparam \Addr[11] .output_mode = "reg_only"; +defparam \Addr[11] .register_cascade_mode = "off"; +defparam \Addr[11] .sum_lutc_input = "cin"; +defparam \Addr[11] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_34, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[11]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [11]), + .padio(RA[11])); +// synopsys translate_off +defparam \RA[11]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X3_Y2_N8 +maxii_lcell \Mux23~0 ( +// Equation(s): +// \Mux23~0_combout = ((LS[11] & ((PS[0])))) + + .clk(gnd), + .dataa(vcc), + .datab(LS[11]), + .datac(vcc), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux23~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux23~0 .lut_mask = "cc00"; +defparam \Mux23~0 .operation_mode = "normal"; +defparam \Mux23~0 .output_mode = "comb_only"; +defparam \Mux23~0 .register_cascade_mode = "off"; +defparam \Mux23~0 .sum_lutc_input = "datac"; +defparam \Mux23~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y2_N1 +maxii_lcell \Mux23~1 ( +// Equation(s): +// \Mux23~1_combout = (\SA[1]~3_combout & ((\SA[1]~4_combout & ((RAr[2]))) # (!\SA[1]~4_combout & (\Mux23~0_combout )))) # (!\SA[1]~3_combout & (!\SA[1]~4_combout )) + + .clk(gnd), + .dataa(\SA[1]~3_combout ), + .datab(\SA[1]~4_combout ), + .datac(\Mux23~0_combout ), + .datad(RAr[2]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux23~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux23~1 .lut_mask = "b931"; +defparam \Mux23~1 .operation_mode = "normal"; +defparam \Mux23~1 .output_mode = "comb_only"; +defparam \Mux23~1 .register_cascade_mode = "off"; +defparam \Mux23~1 .sum_lutc_input = "datac"; +defparam \Mux23~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y2_N7 +maxii_lcell \RAr[11] ( +// Equation(s): +// \Mux23~2 = (\SA[1]~2_combout & (((\Mux23~1_combout )))) # (!\SA[1]~2_combout & ((\Mux23~1_combout & ((RAr[11]))) # (!\Mux23~1_combout & (Addr[11])))) +// RAr[11] = DFFEAS(\Mux23~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [11], , , VCC) + + .clk(\PHI0~combout ), + .dataa(\SA[1]~2_combout ), + .datab(Addr[11]), + .datac(\RA~combout [11]), + .datad(\Mux23~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux23~2 ), + .regout(RAr[11]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[11] .lut_mask = "fa44"; +defparam \RAr[11] .operation_mode = "normal"; +defparam \RAr[11] .output_mode = "reg_and_comb"; +defparam \RAr[11] .register_cascade_mode = "off"; +defparam \RAr[11] .sum_lutc_input = "qfbk"; +defparam \RAr[11] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_7, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[8]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [8]), + .padio(RA[8])); +// synopsys translate_off +defparam \RA[8]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X3_Y2_N6 +maxii_lcell \RAr[8] ( +// Equation(s): +// \Equal9~0 = (!RAr[10] & (!RAr[11] & (!RAr[8] & !RAr[9]))) +// RAr[8] = DFFEAS(\Equal9~0 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [8], , , VCC) + + .clk(\PHI0~combout ), + .dataa(RAr[10]), + .datab(RAr[11]), + .datac(\RA~combout [8]), + .datad(RAr[9]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal9~0 ), + .regout(RAr[8]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[8] .lut_mask = "0001"; +defparam \RAr[8] .operation_mode = "normal"; +defparam \RAr[8] .output_mode = "reg_and_comb"; +defparam \RAr[8] .register_cascade_mode = "off"; +defparam \RAr[8] .sum_lutc_input = "qfbk"; +defparam \RAr[8] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y2_N0 +maxii_lcell \RAr[7] ( +// Equation(s): +// \always8~2 = (RAr[10] & (RAr[9] & (RAr[7] & RAr[8]))) +// RAr[7] = DFFEAS(\always8~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [7], , , VCC) + + .clk(\PHI0~combout ), + .dataa(RAr[10]), + .datab(RAr[9]), + .datac(\RA~combout [7]), + .datad(RAr[8]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always8~2 ), + .regout(RAr[7]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[7] .lut_mask = "8000"; +defparam \RAr[7] .operation_mode = "normal"; +defparam \RAr[7] .output_mode = "reg_and_comb"; +defparam \RAr[7] .register_cascade_mode = "off"; +defparam \RAr[7] .sum_lutc_input = "qfbk"; +defparam \RAr[7] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_39, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default +maxii_io \nIOSEL~I ( + .datain(gnd), + .oe(gnd), + .combout(\nIOSEL~combout ), + .padio(nIOSEL)); +// synopsys translate_off +defparam \nIOSEL~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X4_Y1_N0 +maxii_lcell REGEN( +// Equation(s): +// \REGEN~regout = DFFEAS(((\REGEN~regout ) # ((\Equal19~0_combout & !\nIOSEL~combout ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(\REGEN~regout ), + .datac(\Equal19~0_combout ), + .datad(\nIOSEL~combout ), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\REGEN~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam REGEN.lut_mask = "ccfc"; +defparam REGEN.operation_mode = "normal"; +defparam REGEN.output_mode = "reg_only"; +defparam REGEN.register_cascade_mode = "off"; +defparam REGEN.sum_lutc_input = "datac"; +defparam REGEN.synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_37, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[14]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [14]), + .padio(RA[14])); +// synopsys translate_off +defparam \RA[14]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: PIN_35, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[12]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [12]), + .padio(RA[12])); +// synopsys translate_off +defparam \RA[12]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: PIN_38, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[15]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [15]), + .padio(RA[15])); +// synopsys translate_off +defparam \RA[15]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: PIN_36, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[13]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [13]), + .padio(RA[13])); +// synopsys translate_off +defparam \RA[13]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X4_Y1_N6 +maxii_lcell CXXXr( +// Equation(s): +// \CXXXr~regout = DFFEAS((\RA~combout [14] & (!\RA~combout [12] & (\RA~combout [15] & !\RA~combout [13]))), GLOBAL(\PHI0~combout ), VCC, , , , , , ) + + .clk(\PHI0~combout ), + .dataa(\RA~combout [14]), + .datab(\RA~combout [12]), + .datac(\RA~combout [15]), + .datad(\RA~combout [13]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\CXXXr~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam CXXXr.lut_mask = "0020"; +defparam CXXXr.operation_mode = "normal"; +defparam CXXXr.output_mode = "reg_only"; +defparam CXXXr.register_cascade_mode = "off"; +defparam CXXXr.sum_lutc_input = "datac"; +defparam CXXXr.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y1_N5 +maxii_lcell \always9~0 ( +// Equation(s): +// \always9~0_combout = (RAr[7] & (\REGEN~regout & (\CXXXr~regout & \Equal9~0 ))) + + .clk(gnd), + .dataa(RAr[7]), + .datab(\REGEN~regout ), + .datac(\CXXXr~regout ), + .datad(\Equal9~0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always9~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \always9~0 .lut_mask = "8000"; +defparam \always9~0 .operation_mode = "normal"; +defparam \always9~0 .output_mode = "comb_only"; +defparam \always9~0 .register_cascade_mode = "off"; +defparam \always9~0 .sum_lutc_input = "datac"; +defparam \always9~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_96, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default +maxii_io \SetFW[0]~I ( + .datain(gnd), + .oe(gnd), + .combout(\SetFW~combout [0]), + .padio(SetFW[0])); +// synopsys translate_off +defparam \SetFW[0]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X4_Y2_N8 +maxii_lcell SetFWLoaded( +// Equation(s): +// \SetFWLoaded~regout = DFFEAS(VCC, GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SetFWLoaded~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam SetFWLoaded.lut_mask = "ffff"; +defparam SetFWLoaded.operation_mode = "normal"; +defparam SetFWLoaded.output_mode = "reg_only"; +defparam SetFWLoaded.register_cascade_mode = "off"; +defparam SetFWLoaded.sum_lutc_input = "datac"; +defparam SetFWLoaded.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y2_N7 +maxii_lcell \SetFWr[0] ( +// Equation(s): +// \Mux2~2 = (LS[1] & (((!LS[2])))) # (!LS[1] & (\Equal1~0_combout & (!SetFWr[0] & LS[2]))) +// SetFWr[0] = DFFEAS(\Mux2~2 , GLOBAL(\C25M~combout ), VCC, , !\SetFWLoaded~regout , \SetFW~combout [0], , , VCC) + + .clk(\C25M~combout ), + .dataa(LS[1]), + .datab(\Equal1~0_combout ), + .datac(\SetFW~combout [0]), + .datad(LS[2]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(!\SetFWLoaded~regout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux2~2 ), + .regout(SetFWr[0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SetFWr[0] .lut_mask = "04aa"; +defparam \SetFWr[0] .operation_mode = "normal"; +defparam \SetFWr[0] .output_mode = "reg_and_comb"; +defparam \SetFWr[0] .register_cascade_mode = "off"; +defparam \SetFWr[0] .sum_lutc_input = "qfbk"; +defparam \SetFWr[0] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_95, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default +maxii_io \SetFW[1]~I ( + .datain(gnd), + .oe(gnd), + .combout(\SetFW~combout [1]), + .padio(SetFW[1])); +// synopsys translate_off +defparam \SetFW[1]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X7_Y3_N6 +maxii_lcell \always9~2 ( +// Equation(s): +// \always9~2_combout = (\always9~1_combout & (!RAr[0] & (RAr[1] & \RAMRegSpecSEL~1 ))) + + .clk(gnd), + .dataa(\always9~1_combout ), + .datab(RAr[0]), + .datac(RAr[1]), + .datad(\RAMRegSpecSEL~1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always9~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \always9~2 .lut_mask = "2000"; +defparam \always9~2 .operation_mode = "normal"; +defparam \always9~2 .output_mode = "comb_only"; +defparam \always9~2 .register_cascade_mode = "off"; +defparam \always9~2 .sum_lutc_input = "datac"; +defparam \always9~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y2_N9 +maxii_lcell \AddrIncH~0 ( +// Equation(s): +// \AddrIncH~0_combout = ((\AddrIncM~regout & (Addr[8]))) + + .clk(gnd), + .dataa(vcc), + .datab(\AddrIncM~regout ), + .datac(Addr[8]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\AddrIncH~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \AddrIncH~0 .lut_mask = "c0c0"; +defparam \AddrIncH~0 .operation_mode = "normal"; +defparam \AddrIncH~0 .output_mode = "comb_only"; +defparam \AddrIncH~0 .register_cascade_mode = "off"; +defparam \AddrIncH~0 .sum_lutc_input = "datac"; +defparam \AddrIncH~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y2_N4 +maxii_lcell \Addr[12] ( +// Equation(s): +// Addr[12] = DFFEAS(Addr[12] $ ((((!\Addr[11]~7 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[4]~4 , , , \always9~3_combout ) +// \Addr[12]~11 = CARRY((Addr[12] & ((!\Addr[11]~7COUT1_58 )))) + + .clk(\C25M~combout ), + .dataa(Addr[12]), + .datab(vcc), + .datac(\RD[4]~4 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~3_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[11]~7 ), + .cin1(\Addr[11]~7COUT1_58 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[12]), + .cout(\Addr[12]~11 ), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Addr[12] .cin0_used = "true"; +defparam \Addr[12] .cin1_used = "true"; +defparam \Addr[12] .lut_mask = "a50a"; +defparam \Addr[12] .operation_mode = "arithmetic"; +defparam \Addr[12] .output_mode = "reg_only"; +defparam \Addr[12] .register_cascade_mode = "off"; +defparam \Addr[12] .sum_lutc_input = "cin"; +defparam \Addr[12] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X5_Y2_N5 +maxii_lcell \Addr[13] ( +// Equation(s): +// Addr[13] = DFFEAS(Addr[13] $ ((((\Addr[12]~11 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[5]~5 , , , \always9~3_combout ) +// \Addr[13]~15 = CARRY(((!\Addr[12]~11 )) # (!Addr[13])) +// \Addr[13]~15COUT1_59 = CARRY(((!\Addr[12]~11 )) # (!Addr[13])) + + .clk(\C25M~combout ), + .dataa(Addr[13]), + .datab(vcc), + .datac(\RD[5]~5 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~3_combout ), + .ena(vcc), + .cin(\Addr[12]~11 ), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[13]), + .cout(), + .cout0(\Addr[13]~15 ), + .cout1(\Addr[13]~15COUT1_59 )); +// synopsys translate_off +defparam \Addr[13] .cin_used = "true"; +defparam \Addr[13] .lut_mask = "5a5f"; +defparam \Addr[13] .operation_mode = "arithmetic"; +defparam \Addr[13] .output_mode = "reg_only"; +defparam \Addr[13] .register_cascade_mode = "off"; +defparam \Addr[13] .sum_lutc_input = "cin"; +defparam \Addr[13] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X5_Y2_N6 +maxii_lcell \Addr[14] ( +// Equation(s): +// Addr[14] = DFFEAS(Addr[14] $ ((((!(!\Addr[12]~11 & \Addr[13]~15 ) # (\Addr[12]~11 & \Addr[13]~15COUT1_59 ))))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[6]~6 , , , \always9~3_combout ) +// \Addr[14]~19 = CARRY((Addr[14] & ((!\Addr[13]~15 )))) +// \Addr[14]~19COUT1_60 = CARRY((Addr[14] & ((!\Addr[13]~15COUT1_59 )))) + + .clk(\C25M~combout ), + .dataa(Addr[14]), + .datab(vcc), + .datac(\RD[6]~6 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~3_combout ), + .ena(vcc), + .cin(\Addr[12]~11 ), + .cin0(\Addr[13]~15 ), + .cin1(\Addr[13]~15COUT1_59 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[14]), + .cout(), + .cout0(\Addr[14]~19 ), + .cout1(\Addr[14]~19COUT1_60 )); +// synopsys translate_off +defparam \Addr[14] .cin0_used = "true"; +defparam \Addr[14] .cin1_used = "true"; +defparam \Addr[14] .cin_used = "true"; +defparam \Addr[14] .lut_mask = "a50a"; +defparam \Addr[14] .operation_mode = "arithmetic"; +defparam \Addr[14] .output_mode = "reg_only"; +defparam \Addr[14] .register_cascade_mode = "off"; +defparam \Addr[14] .sum_lutc_input = "cin"; +defparam \Addr[14] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X5_Y2_N8 +maxii_lcell \AddrIncH~1 ( +// Equation(s): +// \AddrIncH~1_combout = (Addr[13] & (Addr[12] & (Addr[14] & Addr[11]))) + + .clk(gnd), + .dataa(Addr[13]), + .datab(Addr[12]), + .datac(Addr[14]), + .datad(Addr[11]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\AddrIncH~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \AddrIncH~1 .lut_mask = "8000"; +defparam \AddrIncH~1 .operation_mode = "normal"; +defparam \AddrIncH~1 .output_mode = "comb_only"; +defparam \AddrIncH~1 .register_cascade_mode = "off"; +defparam \AddrIncH~1 .sum_lutc_input = "datac"; +defparam \AddrIncH~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y2_N4 +maxii_lcell \AddrIncH~2 ( +// Equation(s): +// \AddrIncH~2_combout = (Addr[9] & (\AddrIncH~0_combout & (Addr[10] & \AddrIncH~1_combout ))) + + .clk(gnd), + .dataa(Addr[9]), + .datab(\AddrIncH~0_combout ), + .datac(Addr[10]), + .datad(\AddrIncH~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\AddrIncH~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \AddrIncH~2 .lut_mask = "8000"; +defparam \AddrIncH~2 .operation_mode = "normal"; +defparam \AddrIncH~2 .output_mode = "comb_only"; +defparam \AddrIncH~2 .register_cascade_mode = "off"; +defparam \AddrIncH~2 .sum_lutc_input = "datac"; +defparam \AddrIncH~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y2_N7 +maxii_lcell \Addr[15] ( +// Equation(s): +// Addr[15] = DFFEAS((Addr[15] $ (((!\Addr[12]~11 & \Addr[14]~19 ) # (\Addr[12]~11 & \Addr[14]~19COUT1_60 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[7]~7 , , , \always9~3_combout ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[15]), + .datac(\RD[7]~7 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~3_combout ), + .ena(vcc), + .cin(\Addr[12]~11 ), + .cin0(\Addr[14]~19 ), + .cin1(\Addr[14]~19COUT1_60 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[15]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Addr[15] .cin0_used = "true"; +defparam \Addr[15] .cin1_used = "true"; +defparam \Addr[15] .cin_used = "true"; +defparam \Addr[15] .lut_mask = "3c3c"; +defparam \Addr[15] .operation_mode = "normal"; +defparam \Addr[15] .output_mode = "reg_only"; +defparam \Addr[15] .register_cascade_mode = "off"; +defparam \Addr[15] .sum_lutc_input = "cin"; +defparam \Addr[15] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y2_N2 +maxii_lcell AddrIncH( +// Equation(s): +// \AddrIncH~regout = DFFEAS((Addr[15] & ((\always9~3_combout & ((!\RD[7]~7 ))) # (!\always9~3_combout & (\AddrIncH~2_combout )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) + + .clk(\C25M~combout ), + .dataa(\AddrIncH~2_combout ), + .datab(\always9~3_combout ), + .datac(\RD[7]~7 ), + .datad(Addr[15]), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\AddrIncH~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam AddrIncH.lut_mask = "2e00"; +defparam AddrIncH.operation_mode = "normal"; +defparam AddrIncH.output_mode = "reg_only"; +defparam AddrIncH.register_cascade_mode = "off"; +defparam AddrIncH.sum_lutc_input = "datac"; +defparam AddrIncH.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y4_N0 +maxii_lcell \Addr[16] ( +// Equation(s): +// Addr[16] = DFFEAS(\AddrIncH~regout $ ((Addr[16])), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[0]~0 , , , \always9~2_combout ) +// \Addr[16]~27 = CARRY((\AddrIncH~regout & (Addr[16]))) +// \Addr[16]~27COUT1_49 = CARRY((\AddrIncH~regout & (Addr[16]))) + + .clk(\C25M~combout ), + .dataa(\AddrIncH~regout ), + .datab(Addr[16]), + .datac(\RD[0]~0 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~2_combout ), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[16]), + .cout(), + .cout0(\Addr[16]~27 ), + .cout1(\Addr[16]~27COUT1_49 )); +// synopsys translate_off +defparam \Addr[16] .lut_mask = "6688"; +defparam \Addr[16] .operation_mode = "arithmetic"; +defparam \Addr[16] .output_mode = "reg_only"; +defparam \Addr[16] .register_cascade_mode = "off"; +defparam \Addr[16] .sum_lutc_input = "datac"; +defparam \Addr[16] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y4_N1 +maxii_lcell \Addr[17] ( +// Equation(s): +// Addr[17] = DFFEAS((Addr[17] $ ((\Addr[16]~27 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[1]~1 , , , \always9~2_combout ) +// \Addr[17]~31 = CARRY(((!\Addr[16]~27 ) # (!Addr[17]))) +// \Addr[17]~31COUT1_50 = CARRY(((!\Addr[16]~27COUT1_49 ) # (!Addr[17]))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[17]), + .datac(\RD[1]~1 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~2_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[16]~27 ), + .cin1(\Addr[16]~27COUT1_49 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[17]), + .cout(), + .cout0(\Addr[17]~31 ), + .cout1(\Addr[17]~31COUT1_50 )); +// synopsys translate_off +defparam \Addr[17] .cin0_used = "true"; +defparam \Addr[17] .cin1_used = "true"; +defparam \Addr[17] .lut_mask = "3c3f"; +defparam \Addr[17] .operation_mode = "arithmetic"; +defparam \Addr[17] .output_mode = "reg_only"; +defparam \Addr[17] .register_cascade_mode = "off"; +defparam \Addr[17] .sum_lutc_input = "cin"; +defparam \Addr[17] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y4_N2 +maxii_lcell \Addr[18] ( +// Equation(s): +// Addr[18] = DFFEAS((Addr[18] $ ((!\Addr[17]~31 ))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[2]~2 , , , \always9~2_combout ) +// \Addr[18]~35 = CARRY(((Addr[18] & !\Addr[17]~31 ))) +// \Addr[18]~35COUT1_51 = CARRY(((Addr[18] & !\Addr[17]~31COUT1_50 ))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[18]), + .datac(\RD[2]~2 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~2_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[17]~31 ), + .cin1(\Addr[17]~31COUT1_50 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[18]), + .cout(), + .cout0(\Addr[18]~35 ), + .cout1(\Addr[18]~35COUT1_51 )); +// synopsys translate_off +defparam \Addr[18] .cin0_used = "true"; +defparam \Addr[18] .cin1_used = "true"; +defparam \Addr[18] .lut_mask = "c30c"; +defparam \Addr[18] .operation_mode = "arithmetic"; +defparam \Addr[18] .output_mode = "reg_only"; +defparam \Addr[18] .register_cascade_mode = "off"; +defparam \Addr[18] .sum_lutc_input = "cin"; +defparam \Addr[18] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y4_N3 +maxii_lcell \Addr[19] ( +// Equation(s): +// Addr[19] = DFFEAS(Addr[19] $ ((((\Addr[18]~35 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[3]~3 , , , \always9~2_combout ) +// \Addr[19]~39 = CARRY(((!\Addr[18]~35 )) # (!Addr[19])) +// \Addr[19]~39COUT1_52 = CARRY(((!\Addr[18]~35COUT1_51 )) # (!Addr[19])) + + .clk(\C25M~combout ), + .dataa(Addr[19]), + .datab(vcc), + .datac(\RD[3]~3 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~2_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[18]~35 ), + .cin1(\Addr[18]~35COUT1_51 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[19]), + .cout(), + .cout0(\Addr[19]~39 ), + .cout1(\Addr[19]~39COUT1_52 )); +// synopsys translate_off +defparam \Addr[19] .cin0_used = "true"; +defparam \Addr[19] .cin1_used = "true"; +defparam \Addr[19] .lut_mask = "5a5f"; +defparam \Addr[19] .operation_mode = "arithmetic"; +defparam \Addr[19] .output_mode = "reg_only"; +defparam \Addr[19] .register_cascade_mode = "off"; +defparam \Addr[19] .sum_lutc_input = "cin"; +defparam \Addr[19] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y4_N4 +maxii_lcell \Addr[20] ( +// Equation(s): +// Addr[20] = DFFEAS(Addr[20] $ ((((!\Addr[19]~39 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[4]~4 , , , \always9~2_combout ) +// \Addr[20]~41 = CARRY((Addr[20] & ((!\Addr[19]~39COUT1_52 )))) + + .clk(\C25M~combout ), + .dataa(Addr[20]), + .datab(vcc), + .datac(\RD[4]~4 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~2_combout ), + .ena(vcc), + .cin(gnd), + .cin0(\Addr[19]~39 ), + .cin1(\Addr[19]~39COUT1_52 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[20]), + .cout(\Addr[20]~41 ), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Addr[20] .cin0_used = "true"; +defparam \Addr[20] .cin1_used = "true"; +defparam \Addr[20] .lut_mask = "a50a"; +defparam \Addr[20] .operation_mode = "arithmetic"; +defparam \Addr[20] .output_mode = "reg_only"; +defparam \Addr[20] .register_cascade_mode = "off"; +defparam \Addr[20] .sum_lutc_input = "cin"; +defparam \Addr[20] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y4_N5 +maxii_lcell \Addr[21] ( +// Equation(s): +// Addr[21] = DFFEAS(Addr[21] $ ((((\Addr[20]~41 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[5]~5 , , , \always9~2_combout ) +// \Addr[21]~43 = CARRY(((!\Addr[20]~41 )) # (!Addr[21])) +// \Addr[21]~43COUT1_53 = CARRY(((!\Addr[20]~41 )) # (!Addr[21])) + + .clk(\C25M~combout ), + .dataa(Addr[21]), + .datab(vcc), + .datac(\RD[5]~5 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~2_combout ), + .ena(vcc), + .cin(\Addr[20]~41 ), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[21]), + .cout(), + .cout0(\Addr[21]~43 ), + .cout1(\Addr[21]~43COUT1_53 )); +// synopsys translate_off +defparam \Addr[21] .cin_used = "true"; +defparam \Addr[21] .lut_mask = "5a5f"; +defparam \Addr[21] .operation_mode = "arithmetic"; +defparam \Addr[21] .output_mode = "reg_only"; +defparam \Addr[21] .register_cascade_mode = "off"; +defparam \Addr[21] .sum_lutc_input = "cin"; +defparam \Addr[21] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y4_N6 +maxii_lcell \Addr[22] ( +// Equation(s): +// Addr[22] = DFFEAS(Addr[22] $ ((((!(!\Addr[20]~41 & \Addr[21]~43 ) # (\Addr[20]~41 & \Addr[21]~43COUT1_53 ))))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[6]~6 , , , \always9~2_combout ) +// \Addr[22]~45 = CARRY((Addr[22] & ((!\Addr[21]~43 )))) +// \Addr[22]~45COUT1_54 = CARRY((Addr[22] & ((!\Addr[21]~43COUT1_53 )))) + + .clk(\C25M~combout ), + .dataa(Addr[22]), + .datab(vcc), + .datac(\RD[6]~6 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~2_combout ), + .ena(vcc), + .cin(\Addr[20]~41 ), + .cin0(\Addr[21]~43 ), + .cin1(\Addr[21]~43COUT1_53 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[22]), + .cout(), + .cout0(\Addr[22]~45 ), + .cout1(\Addr[22]~45COUT1_54 )); +// synopsys translate_off +defparam \Addr[22] .cin0_used = "true"; +defparam \Addr[22] .cin1_used = "true"; +defparam \Addr[22] .cin_used = "true"; +defparam \Addr[22] .lut_mask = "a50a"; +defparam \Addr[22] .operation_mode = "arithmetic"; +defparam \Addr[22] .output_mode = "reg_only"; +defparam \Addr[22] .register_cascade_mode = "off"; +defparam \Addr[22] .sum_lutc_input = "cin"; +defparam \Addr[22] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y4_N7 +maxii_lcell \Addr[23] ( +// Equation(s): +// Addr[23] = DFFEAS((Addr[23] $ (((!\Addr[20]~41 & \Addr[22]~45 ) # (\Addr[20]~41 & \Addr[22]~45COUT1_54 )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , \RD[7]~7 , , , \always9~2_combout ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[23]), + .datac(\RD[7]~7 ), + .datad(vcc), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(\always9~2_combout ), + .ena(vcc), + .cin(\Addr[20]~41 ), + .cin0(\Addr[22]~45 ), + .cin1(\Addr[22]~45COUT1_54 ), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(Addr[23]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Addr[23] .cin0_used = "true"; +defparam \Addr[23] .cin1_used = "true"; +defparam \Addr[23] .cin_used = "true"; +defparam \Addr[23] .lut_mask = "3c3c"; +defparam \Addr[23] .operation_mode = "normal"; +defparam \Addr[23] .output_mode = "reg_only"; +defparam \Addr[23] .register_cascade_mode = "off"; +defparam \Addr[23] .sum_lutc_input = "cin"; +defparam \Addr[23] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X4_Y2_N6 +maxii_lcell \SetFWr[1] ( +// Equation(s): +// \RAMSpecSEL~0 = (((SetFWr[1]) # (!Addr[23])) # (!SetFWr[0])) +// SetFWr[1] = DFFEAS(\RAMSpecSEL~0 , GLOBAL(\C25M~combout ), VCC, , !\SetFWLoaded~regout , \SetFW~combout [1], , , VCC) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(SetFWr[0]), + .datac(\SetFW~combout [1]), + .datad(Addr[23]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(!\SetFWLoaded~regout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RAMSpecSEL~0 ), + .regout(SetFWr[1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SetFWr[1] .lut_mask = "f3ff"; +defparam \SetFWr[1] .operation_mode = "normal"; +defparam \SetFWr[1] .output_mode = "reg_and_comb"; +defparam \SetFWr[1] .register_cascade_mode = "off"; +defparam \SetFWr[1] .sum_lutc_input = "qfbk"; +defparam \SetFWr[1] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X4_Y3_N7 +maxii_lcell \RAMSpecSEL~1 ( +// Equation(s): +// \RAMSpecSEL~1_combout = (\RAMRegSpecSEL~0 & (\always9~0_combout & (\RAMSpecSEL~0 & \RAMRegSpecSEL~1 ))) + + .clk(gnd), + .dataa(\RAMRegSpecSEL~0 ), + .datab(\always9~0_combout ), + .datac(\RAMSpecSEL~0 ), + .datad(\RAMRegSpecSEL~1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RAMSpecSEL~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAMSpecSEL~1 .lut_mask = "8000"; +defparam \RAMSpecSEL~1 .operation_mode = "normal"; +defparam \RAMSpecSEL~1 .output_mode = "comb_only"; +defparam \RAMSpecSEL~1 .register_cascade_mode = "off"; +defparam \RAMSpecSEL~1 .sum_lutc_input = "datac"; +defparam \RAMSpecSEL~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y2_N0 +maxii_lcell \SA[1]~4 ( +// Equation(s): +// \SA[1]~4_combout = (PS[1]) # ((PS[0] & (!\IS.110~regout & \RAMSpecSEL~1_combout ))) + + .clk(gnd), + .dataa(PS[0]), + .datab(PS[1]), + .datac(\IS.110~regout ), + .datad(\RAMSpecSEL~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[1]~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[1]~4 .lut_mask = "cecc"; +defparam \SA[1]~4 .operation_mode = "normal"; +defparam \SA[1]~4 .output_mode = "comb_only"; +defparam \SA[1]~4 .register_cascade_mode = "off"; +defparam \SA[1]~4 .sum_lutc_input = "datac"; +defparam \SA[1]~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y3_N9 +maxii_lcell \RAr[3] ( +// Equation(s): +// \Mux22~1 = (\SA[1]~3_combout & ((\SA[1]~4_combout & ((RAr[3]))) # (!\SA[1]~4_combout & (\Mux22~0_combout )))) # (!\SA[1]~3_combout & (((!\SA[1]~4_combout )))) +// RAr[3] = DFFEAS(\Mux22~1 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [3], , , VCC) + + .clk(\PHI0~combout ), + .dataa(\SA[1]~3_combout ), + .datab(\Mux22~0_combout ), + .datac(\RA~combout [3]), + .datad(\SA[1]~4_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux22~1 ), + .regout(RAr[3]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[3] .lut_mask = "a0dd"; +defparam \RAr[3] .operation_mode = "normal"; +defparam \RAr[3] .output_mode = "reg_and_comb"; +defparam \RAr[3] .register_cascade_mode = "off"; +defparam \RAr[3] .sum_lutc_input = "qfbk"; +defparam \RAr[3] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_100, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[0]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [0]), + .padio(RA[0])); +// synopsys translate_off +defparam \RA[0]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X4_Y3_N5 +maxii_lcell \RAr[0] ( +// Equation(s): +// \always8~0 = (RAr[1] & (RAr[2] & (RAr[0] & RAr[3]))) +// RAr[0] = DFFEAS(\always8~0 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [0], , , VCC) + + .clk(\PHI0~combout ), + .dataa(RAr[1]), + .datab(RAr[2]), + .datac(\RA~combout [0]), + .datad(RAr[3]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always8~0 ), + .regout(RAr[0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[0] .lut_mask = "8000"; +defparam \RAr[0] .operation_mode = "normal"; +defparam \RAr[0] .output_mode = "reg_and_comb"; +defparam \RAr[0] .register_cascade_mode = "off"; +defparam \RAr[0] .sum_lutc_input = "qfbk"; +defparam \RAr[0] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_42, I/O Standard: 3.3V Schmitt Trigger Input, Current Strength: Default +maxii_io \nIOSTRB~I ( + .datain(gnd), + .oe(gnd), + .combout(\nIOSTRB~combout ), + .padio(nIOSTRB)); +// synopsys translate_off +defparam \nIOSTRB~I .operation_mode = "input"; +// synopsys translate_on + +// Location: PIN_3, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[6]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [6]), + .padio(RA[6])); +// synopsys translate_off +defparam \RA[6]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X3_Y4_N4 +maxii_lcell \RAr[6] ( +// Equation(s): +// \Mux19~2 = (((RAr[6]) # (PS[0]))) +// RAr[6] = DFFEAS(\Mux19~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [6], , , VCC) + + .clk(\PHI0~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\RA~combout [6]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux19~2 ), + .regout(RAr[6]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[6] .lut_mask = "fff0"; +defparam \RAr[6] .operation_mode = "normal"; +defparam \RAr[6] .output_mode = "reg_and_comb"; +defparam \RAr[6] .register_cascade_mode = "off"; +defparam \RAr[6] .sum_lutc_input = "qfbk"; +defparam \RAr[6] .synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_1, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[4]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [4]), + .padio(RA[4])); +// synopsys translate_off +defparam \RA[4]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X3_Y4_N3 +maxii_lcell \RAr[4] ( +// Equation(s): +// \Mux21~2 = (((RAr[4] & !PS[0]))) +// RAr[4] = DFFEAS(\Mux21~2 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [4], , , VCC) + + .clk(\PHI0~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\RA~combout [4]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux21~2 ), + .regout(RAr[4]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[4] .lut_mask = "00f0"; +defparam \RAr[4] .operation_mode = "normal"; +defparam \RAr[4] .output_mode = "reg_and_comb"; +defparam \RAr[4] .register_cascade_mode = "off"; +defparam \RAr[4] .sum_lutc_input = "qfbk"; +defparam \RAr[4] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y4_N2 +maxii_lcell nIOSTRBr( +// Equation(s): +// \always8~1 = (((!nIOSTRBr & RAr[4]))) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\nIOSTRB~combout ), + .datad(RAr[4]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always8~1 ), + .regout(\nIOSTRBr~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam nIOSTRBr.lut_mask = "0f00"; +defparam nIOSTRBr.operation_mode = "normal"; +defparam nIOSTRBr.output_mode = "comb_only"; +defparam nIOSTRBr.register_cascade_mode = "off"; +defparam nIOSTRBr.sum_lutc_input = "qfbk"; +defparam nIOSTRBr.synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_2, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \RA[5]~I ( + .datain(gnd), + .oe(gnd), + .combout(\RA~combout [5]), + .padio(RA[5])); +// synopsys translate_off +defparam \RA[5]~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X3_Y4_N7 +maxii_lcell \RAr[5] ( +// Equation(s): +// \always8~3 = (RAr[6] & (\always8~1 & (RAr[5] & \always8~2 ))) +// RAr[5] = DFFEAS(\always8~3 , GLOBAL(\PHI0~combout ), VCC, , , \RA~combout [5], , , VCC) + + .clk(\PHI0~combout ), + .dataa(RAr[6]), + .datab(\always8~1 ), + .datac(\RA~combout [5]), + .datad(\always8~2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always8~3 ), + .regout(RAr[5]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RAr[5] .lut_mask = "8000"; +defparam \RAr[5] .operation_mode = "normal"; +defparam \RAr[5] .output_mode = "reg_and_comb"; +defparam \RAr[5] .register_cascade_mode = "off"; +defparam \RAr[5] .sum_lutc_input = "qfbk"; +defparam \RAr[5] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X4_Y1_N7 +maxii_lcell \always8~4 ( +// Equation(s): +// \always8~4_combout = (\always8~0 & (\Equal19~0_combout & (!\nIOSTRB~combout & \always8~3 ))) + + .clk(gnd), + .dataa(\always8~0 ), + .datab(\Equal19~0_combout ), + .datac(\nIOSTRB~combout ), + .datad(\always8~3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\always8~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \always8~4 .lut_mask = "0800"; +defparam \always8~4 .operation_mode = "normal"; +defparam \always8~4 .output_mode = "comb_only"; +defparam \always8~4 .register_cascade_mode = "off"; +defparam \always8~4 .sum_lutc_input = "datac"; +defparam \always8~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y1_N4 +maxii_lcell IOROMEN( +// Equation(s): +// \IOROMEN~regout = DFFEAS((!\always8~4_combout & ((\IOROMEN~regout ) # ((\Equal19~0_combout & !\nIOSEL~combout )))), GLOBAL(\C25M~combout ), VCC, , , , , !\nRESr~regout , ) + + .clk(\C25M~combout ), + .dataa(\IOROMEN~regout ), + .datab(\always8~4_combout ), + .datac(\Equal19~0_combout ), + .datad(\nIOSEL~combout ), + .aclr(gnd), + .aload(gnd), + .sclr(!\nRESr~regout ), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\IOROMEN~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam IOROMEN.lut_mask = "2232"; +defparam IOROMEN.operation_mode = "normal"; +defparam IOROMEN.output_mode = "reg_only"; +defparam IOROMEN.register_cascade_mode = "off"; +defparam IOROMEN.sum_lutc_input = "datac"; +defparam IOROMEN.synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X4_Y3_N2 +maxii_lcell \Equal16~0 ( +// Equation(s): +// \Equal16~0_combout = (\RA~combout [2] & (\RA~combout [3] & (\RA~combout [1] & \RA~combout [0]))) + + .clk(gnd), + .dataa(\RA~combout [2]), + .datab(\RA~combout [3]), + .datac(\RA~combout [1]), + .datad(\RA~combout [0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal16~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal16~0 .lut_mask = "8000"; +defparam \Equal16~0 .operation_mode = "normal"; +defparam \Equal16~0 .output_mode = "comb_only"; +defparam \Equal16~0 .register_cascade_mode = "off"; +defparam \Equal16~0 .sum_lutc_input = "datac"; +defparam \Equal16~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y4_N1 +maxii_lcell \Equal16~1 ( +// Equation(s): +// \Equal16~1_combout = (\RA~combout [4] & (\RA~combout [7] & (\RA~combout [6] & \RA~combout [5]))) + + .clk(gnd), + .dataa(\RA~combout [4]), + .datab(\RA~combout [7]), + .datac(\RA~combout [6]), + .datad(\RA~combout [5]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal16~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal16~1 .lut_mask = "8000"; +defparam \Equal16~1 .operation_mode = "normal"; +defparam \Equal16~1 .output_mode = "comb_only"; +defparam \Equal16~1 .register_cascade_mode = "off"; +defparam \Equal16~1 .sum_lutc_input = "datac"; +defparam \Equal16~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y2_N1 +maxii_lcell \Equal16~2 ( +// Equation(s): +// \Equal16~2_combout = (\RA~combout [9] & (\RA~combout [8] & (\RA~combout [10] & \Equal16~1_combout ))) + + .clk(gnd), + .dataa(\RA~combout [9]), + .datab(\RA~combout [8]), + .datac(\RA~combout [10]), + .datad(\Equal16~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal16~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal16~2 .lut_mask = "8000"; +defparam \Equal16~2 .operation_mode = "normal"; +defparam \Equal16~2 .output_mode = "comb_only"; +defparam \Equal16~2 .register_cascade_mode = "off"; +defparam \Equal16~2 .sum_lutc_input = "datac"; +defparam \Equal16~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y1_N3 +maxii_lcell \comb~1 ( +// Equation(s): +// \comb~1_combout = (\IOROMEN~regout & (!\nIOSTRB~combout & ((!\Equal16~2_combout ) # (!\Equal16~0_combout )))) + + .clk(gnd), + .dataa(\IOROMEN~regout ), + .datab(\Equal16~0_combout ), + .datac(\nIOSTRB~combout ), + .datad(\Equal16~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\comb~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \comb~1 .lut_mask = "020a"; +defparam \comb~1 .operation_mode = "normal"; +defparam \comb~1 .output_mode = "comb_only"; +defparam \comb~1 .register_cascade_mode = "off"; +defparam \comb~1 .sum_lutc_input = "datac"; +defparam \comb~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y1_N8 +maxii_lcell \comb~2 ( +// Equation(s): +// \comb~2_combout = (\comb~0 & ((\comb~1_combout ) # ((!\nDEVSEL~combout ) # (!\nIOSEL~combout )))) + + .clk(gnd), + .dataa(\comb~1_combout ), + .datab(\nIOSEL~combout ), + .datac(\nDEVSEL~combout ), + .datad(\comb~0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\comb~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \comb~2 .lut_mask = "bf00"; +defparam \comb~2 .operation_mode = "normal"; +defparam \comb~2 .output_mode = "comb_only"; +defparam \comb~2 .register_cascade_mode = "off"; +defparam \comb~2 .sum_lutc_input = "datac"; +defparam \comb~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N1 +maxii_lcell \Mux14~2 ( +// Equation(s): +// \Mux14~2_combout = (((PS[1]) # (PS[0]))) + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(PS[1]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux14~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux14~2 .lut_mask = "fff0"; +defparam \Mux14~2 .operation_mode = "normal"; +defparam \Mux14~2 .output_mode = "comb_only"; +defparam \Mux14~2 .register_cascade_mode = "off"; +defparam \Mux14~2 .sum_lutc_input = "datac"; +defparam \Mux14~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N7 +maxii_lcell \Mux14~3 ( +// Equation(s): +// \Mux14~3_combout = (Addr[23] & (!SetFWr[1] & (!\IS.110~regout & !\Mux14~2_combout ))) + + .clk(gnd), + .dataa(Addr[23]), + .datab(SetFWr[1]), + .datac(\IS.110~regout ), + .datad(\Mux14~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux14~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux14~3 .lut_mask = "0002"; +defparam \Mux14~3 .operation_mode = "normal"; +defparam \Mux14~3 .output_mode = "comb_only"; +defparam \Mux14~3 .register_cascade_mode = "off"; +defparam \Mux14~3 .sum_lutc_input = "datac"; +defparam \Mux14~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N8 +maxii_lcell \Mux14~0 ( +// Equation(s): +// \Mux14~0_combout = (Addr[23] & (!SetFWr[1] & (PS[1] $ (PS[0])))) + + .clk(gnd), + .dataa(Addr[23]), + .datab(SetFWr[1]), + .datac(PS[1]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux14~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux14~0 .lut_mask = "0220"; +defparam \Mux14~0 .operation_mode = "normal"; +defparam \Mux14~0 .output_mode = "comb_only"; +defparam \Mux14~0 .register_cascade_mode = "off"; +defparam \Mux14~0 .sum_lutc_input = "datac"; +defparam \Mux14~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N9 +maxii_lcell \Mux14~1 ( +// Equation(s): +// \Mux14~1_combout = (\RAMSpecSEL~1_combout & (\Mux14~0_combout & ((PS[1]) # (!\IS.110~regout )))) + + .clk(gnd), + .dataa(PS[1]), + .datab(\RAMSpecSEL~1_combout ), + .datac(\IS.110~regout ), + .datad(\Mux14~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux14~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux14~1 .lut_mask = "8c00"; +defparam \Mux14~1 .operation_mode = "normal"; +defparam \Mux14~1 .output_mode = "comb_only"; +defparam \Mux14~1 .register_cascade_mode = "off"; +defparam \Mux14~1 .sum_lutc_input = "datac"; +defparam \Mux14~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N0 +maxii_lcell \SBA[0]~reg0 ( +// Equation(s): +// \SBA[0]~reg0_regout = DFFEAS(((PS[3] & (\Mux14~3_combout )) # (!PS[3] & ((\Mux14~1_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(\Mux14~3_combout ), + .datac(PS[3]), + .datad(\Mux14~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SBA[0]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SBA[0]~reg0 .lut_mask = "cfc0"; +defparam \SBA[0]~reg0 .operation_mode = "normal"; +defparam \SBA[0]~reg0 .output_mode = "reg_only"; +defparam \SBA[0]~reg0 .register_cascade_mode = "off"; +defparam \SBA[0]~reg0 .sum_lutc_input = "datac"; +defparam \SBA[0]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X4_Y2_N5 +maxii_lcell \Mux13~0 ( +// Equation(s): +// \Mux13~0_combout = (PS[0] & (!PS[1] & ((\IS.110~regout ) # (!\RAMSpecSEL~1_combout )))) # (!PS[0] & (PS[1] & ((!\RAMSpecSEL~1_combout )))) + + .clk(gnd), + .dataa(PS[0]), + .datab(PS[1]), + .datac(\IS.110~regout ), + .datad(\RAMSpecSEL~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux13~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux13~0 .lut_mask = "2066"; +defparam \Mux13~0 .operation_mode = "normal"; +defparam \Mux13~0 .output_mode = "comb_only"; +defparam \Mux13~0 .register_cascade_mode = "off"; +defparam \Mux13~0 .sum_lutc_input = "datac"; +defparam \Mux13~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y2_N8 +maxii_lcell \SBA[1]~reg0 ( +// Equation(s): +// \SBA[1]~reg0_regout = DFFEAS((PS[3] & (\IS.110~regout & ((!\Mux14~2_combout )))) # (!PS[3] & (((\Mux13~0_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(\IS.110~regout ), + .datab(\Mux13~0_combout ), + .datac(PS[3]), + .datad(\Mux14~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SBA[1]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SBA[1]~reg0 .lut_mask = "0cac"; +defparam \SBA[1]~reg0 .operation_mode = "normal"; +defparam \SBA[1]~reg0 .output_mode = "reg_only"; +defparam \SBA[1]~reg0 .register_cascade_mode = "off"; +defparam \SBA[1]~reg0 .sum_lutc_input = "datac"; +defparam \SBA[1]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y2_N7 +maxii_lcell \Mux24~3 ( +// Equation(s): +// \Mux24~3_combout = (PS[3] & (LS[1] & ((!\Mux14~2_combout )))) # (!PS[3] & (((\Mux24~2 )))) + + .clk(gnd), + .dataa(PS[3]), + .datab(LS[1]), + .datac(\Mux24~2 ), + .datad(\Mux14~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux24~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux24~3 .lut_mask = "50d8"; +defparam \Mux24~3 .operation_mode = "normal"; +defparam \Mux24~3 .output_mode = "comb_only"; +defparam \Mux24~3 .register_cascade_mode = "off"; +defparam \Mux24~3 .sum_lutc_input = "datac"; +defparam \Mux24~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y4_N4 +maxii_lcell \SA[1]~5 ( +// Equation(s): +// \SA[1]~5_combout = ((PS[0]) # ((\IS.110~regout & PS[3]))) + + .clk(gnd), + .dataa(vcc), + .datab(\IS.110~regout ), + .datac(PS[3]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[1]~5_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[1]~5 .lut_mask = "ffc0"; +defparam \SA[1]~5 .operation_mode = "normal"; +defparam \SA[1]~5 .output_mode = "comb_only"; +defparam \SA[1]~5 .register_cascade_mode = "off"; +defparam \SA[1]~5 .sum_lutc_input = "datac"; +defparam \SA[1]~5 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y4_N7 +maxii_lcell \SA[1]~6 ( +// Equation(s): +// \SA[1]~6_combout = (\SA[1]~5_combout ) # ((PS[1] & ((PS[3]) # (!\RAMSpecSEL~1_combout ))) # (!PS[1] & (!PS[3]))) + + .clk(gnd), + .dataa(\SA[1]~5_combout ), + .datab(PS[1]), + .datac(PS[3]), + .datad(\RAMSpecSEL~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[1]~6_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[1]~6 .lut_mask = "ebef"; +defparam \SA[1]~6 .operation_mode = "normal"; +defparam \SA[1]~6 .output_mode = "comb_only"; +defparam \SA[1]~6 .register_cascade_mode = "off"; +defparam \SA[1]~6 .sum_lutc_input = "datac"; +defparam \SA[1]~6 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y2_N5 +maxii_lcell \SA[0]~reg0 ( +// Equation(s): +// \SA[0]~reg0_regout = DFFEAS(((\SA[1]~6_combout & ((\Mux24~3_combout ))) # (!\SA[1]~6_combout & (Addr[1]))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(Addr[1]), + .datab(vcc), + .datac(\Mux24~3_combout ), + .datad(\SA[1]~6_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[0]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[0]~reg0 .lut_mask = "f0aa"; +defparam \SA[0]~reg0 .operation_mode = "normal"; +defparam \SA[0]~reg0 .output_mode = "reg_only"; +defparam \SA[0]~reg0 .register_cascade_mode = "off"; +defparam \SA[0]~reg0 .sum_lutc_input = "datac"; +defparam \SA[0]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y2_N6 +maxii_lcell \Mux23~3 ( +// Equation(s): +// \Mux23~3_combout = (PS[3] & (!\Mux14~2_combout & (LS[2]))) # (!PS[3] & (((\Mux23~2 )))) + + .clk(gnd), + .dataa(\Mux14~2_combout ), + .datab(LS[2]), + .datac(PS[3]), + .datad(\Mux23~2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux23~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux23~3 .lut_mask = "4f40"; +defparam \Mux23~3 .operation_mode = "normal"; +defparam \Mux23~3 .output_mode = "comb_only"; +defparam \Mux23~3 .register_cascade_mode = "off"; +defparam \Mux23~3 .sum_lutc_input = "datac"; +defparam \Mux23~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y2_N4 +maxii_lcell \SA[1]~reg0 ( +// Equation(s): +// \SA[1]~reg0_regout = DFFEAS(((\SA[1]~6_combout & ((\Mux23~3_combout ))) # (!\SA[1]~6_combout & (Addr[2]))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[2]), + .datac(\Mux23~3_combout ), + .datad(\SA[1]~6_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[1]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[1]~reg0 .lut_mask = "f0cc"; +defparam \SA[1]~reg0 .operation_mode = "normal"; +defparam \SA[1]~reg0 .output_mode = "reg_only"; +defparam \SA[1]~reg0 .register_cascade_mode = "off"; +defparam \SA[1]~reg0 .sum_lutc_input = "datac"; +defparam \SA[1]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y2_N9 +maxii_lcell Bank( +// Equation(s): +// \Bank~regout = DFFEAS((\always9~1_combout & ((\always8~0 & (\RD[0]~0 )) # (!\always8~0 & ((\Bank~regout ))))) # (!\always9~1_combout & (((\Bank~regout )))), GLOBAL(\C25M~combout ), GLOBAL(\nRESr~regout ), , , , , , ) + + .clk(\C25M~combout ), + .dataa(\always9~1_combout ), + .datab(\RD[0]~0 ), + .datac(\always8~0 ), + .datad(\Bank~regout ), + .aclr(!\nRESr~regout ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\Bank~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam Bank.lut_mask = "df80"; +defparam Bank.operation_mode = "normal"; +defparam Bank.output_mode = "reg_only"; +defparam Bank.register_cascade_mode = "off"; +defparam Bank.sum_lutc_input = "datac"; +defparam Bank.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y2_N3 +maxii_lcell \Mux22~2 ( +// Equation(s): +// \Mux22~2_combout = (\SA[1]~2_combout & (((\Mux22~1 )))) # (!\SA[1]~2_combout & ((\Mux22~1 & ((\Bank~regout ))) # (!\Mux22~1 & (Addr[12])))) + + .clk(gnd), + .dataa(Addr[12]), + .datab(\SA[1]~2_combout ), + .datac(\Mux22~1 ), + .datad(\Bank~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux22~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux22~2 .lut_mask = "f2c2"; +defparam \Mux22~2 .operation_mode = "normal"; +defparam \Mux22~2 .output_mode = "comb_only"; +defparam \Mux22~2 .register_cascade_mode = "off"; +defparam \Mux22~2 .sum_lutc_input = "datac"; +defparam \Mux22~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y2_N0 +maxii_lcell \Mux22~3 ( +// Equation(s): +// \Mux22~3_combout = (PS[3] & (((LS[3] & !\Mux14~2_combout )))) # (!PS[3] & (\Mux22~2_combout )) + + .clk(gnd), + .dataa(\Mux22~2_combout ), + .datab(LS[3]), + .datac(PS[3]), + .datad(\Mux14~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux22~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux22~3 .lut_mask = "0aca"; +defparam \Mux22~3 .operation_mode = "normal"; +defparam \Mux22~3 .output_mode = "comb_only"; +defparam \Mux22~3 .register_cascade_mode = "off"; +defparam \Mux22~3 .sum_lutc_input = "datac"; +defparam \Mux22~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y2_N1 +maxii_lcell \SA[2]~reg0 ( +// Equation(s): +// \SA[2]~reg0_regout = DFFEAS(((\SA[1]~6_combout & ((\Mux22~3_combout ))) # (!\SA[1]~6_combout & (Addr[3]))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(Addr[3]), + .datac(\Mux22~3_combout ), + .datad(\SA[1]~6_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[2]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[2]~reg0 .lut_mask = "f0cc"; +defparam \SA[2]~reg0 .operation_mode = "normal"; +defparam \SA[2]~reg0 .output_mode = "reg_only"; +defparam \SA[2]~reg0 .register_cascade_mode = "off"; +defparam \SA[2]~reg0 .sum_lutc_input = "datac"; +defparam \SA[2]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y4_N6 +maxii_lcell \SA[3]~15 ( +// Equation(s): +// \SA[3]~15_combout = (PS[3] & (!PS[1] & (!\IS.110~regout & !PS[0]))) # (!PS[3] & (PS[1])) + + .clk(gnd), + .dataa(PS[3]), + .datab(PS[1]), + .datac(\IS.110~regout ), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[3]~15_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[3]~15 .lut_mask = "4446"; +defparam \SA[3]~15 .operation_mode = "normal"; +defparam \SA[3]~15 .output_mode = "comb_only"; +defparam \SA[3]~15 .register_cascade_mode = "off"; +defparam \SA[3]~15 .sum_lutc_input = "datac"; +defparam \SA[3]~15 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y4_N8 +maxii_lcell \SA[3]~9 ( +// Equation(s): +// \SA[3]~9_combout = ((PS[3] & (!PS[1] & !PS[0]))) # (!\SA[1]~6_combout ) + + .clk(gnd), + .dataa(PS[3]), + .datab(PS[1]), + .datac(\SA[1]~6_combout ), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[3]~9_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[3]~9 .lut_mask = "0f2f"; +defparam \SA[3]~9 .operation_mode = "normal"; +defparam \SA[3]~9 .output_mode = "comb_only"; +defparam \SA[3]~9 .register_cascade_mode = "off"; +defparam \SA[3]~9 .sum_lutc_input = "datac"; +defparam \SA[3]~9 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N6 +maxii_lcell \Mux21~3 ( +// Equation(s): +// \Mux21~3_combout = (\SA[3]~15_combout & (((Addr[4]) # (!\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (LS[4] & ((\SA[3]~9_combout )))) + + .clk(gnd), + .dataa(\SA[3]~15_combout ), + .datab(LS[4]), + .datac(Addr[4]), + .datad(\SA[3]~9_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux21~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux21~3 .lut_mask = "e4aa"; +defparam \Mux21~3 .operation_mode = "normal"; +defparam \Mux21~3 .output_mode = "comb_only"; +defparam \Mux21~3 .register_cascade_mode = "off"; +defparam \Mux21~3 .sum_lutc_input = "datac"; +defparam \Mux21~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y4_N8 +maxii_lcell \Mux21~4 ( +// Equation(s): +// \Mux21~4_combout = (Addr[13] & (PS[0] & (\RAMSpecSEL~1_combout & !\IS.110~regout ))) + + .clk(gnd), + .dataa(Addr[13]), + .datab(PS[0]), + .datac(\RAMSpecSEL~1_combout ), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux21~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux21~4 .lut_mask = "0080"; +defparam \Mux21~4 .operation_mode = "normal"; +defparam \Mux21~4 .output_mode = "comb_only"; +defparam \Mux21~4 .register_cascade_mode = "off"; +defparam \Mux21~4 .sum_lutc_input = "datac"; +defparam \Mux21~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y4_N2 +maxii_lcell \SA[3]~8 ( +// Equation(s): +// \SA[3]~8_combout = (PS[3]) # ((!\SA[1]~5_combout & (PS[1] & \RAMSpecSEL~1_combout ))) + + .clk(gnd), + .dataa(\SA[1]~5_combout ), + .datab(PS[1]), + .datac(PS[3]), + .datad(\RAMSpecSEL~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[3]~8_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[3]~8 .lut_mask = "f4f0"; +defparam \SA[3]~8 .operation_mode = "normal"; +defparam \SA[3]~8 .output_mode = "comb_only"; +defparam \SA[3]~8 .register_cascade_mode = "off"; +defparam \SA[3]~8 .sum_lutc_input = "datac"; +defparam \SA[3]~8 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N3 +maxii_lcell \SA[3]~reg0 ( +// Equation(s): +// \SA[3]~reg0_regout = DFFEAS((\Mux21~3_combout & ((\Mux21~2 ) # ((\SA[3]~8_combout )))) # (!\Mux21~3_combout & (((\Mux21~4_combout & !\SA[3]~8_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(\Mux21~3_combout ), + .datab(\Mux21~2 ), + .datac(\Mux21~4_combout ), + .datad(\SA[3]~8_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[3]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[3]~reg0 .lut_mask = "aad8"; +defparam \SA[3]~reg0 .operation_mode = "normal"; +defparam \SA[3]~reg0 .output_mode = "reg_only"; +defparam \SA[3]~reg0 .register_cascade_mode = "off"; +defparam \SA[3]~reg0 .sum_lutc_input = "datac"; +defparam \SA[3]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y4_N9 +maxii_lcell \Mux20~4 ( +// Equation(s): +// \Mux20~4_combout = (\RAMSpecSEL~1_combout & (PS[0] & (Addr[14] & !\IS.110~regout ))) + + .clk(gnd), + .dataa(\RAMSpecSEL~1_combout ), + .datab(PS[0]), + .datac(Addr[14]), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux20~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux20~4 .lut_mask = "0080"; +defparam \Mux20~4 .operation_mode = "normal"; +defparam \Mux20~4 .output_mode = "comb_only"; +defparam \Mux20~4 .register_cascade_mode = "off"; +defparam \Mux20~4 .sum_lutc_input = "datac"; +defparam \Mux20~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y4_N0 +maxii_lcell \Mux20~2 ( +// Equation(s): +// \Mux20~2_combout = (RAr[5] & (((!PS[0])))) + + .clk(gnd), + .dataa(RAr[5]), + .datab(vcc), + .datac(vcc), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux20~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux20~2 .lut_mask = "00aa"; +defparam \Mux20~2 .operation_mode = "normal"; +defparam \Mux20~2 .output_mode = "comb_only"; +defparam \Mux20~2 .register_cascade_mode = "off"; +defparam \Mux20~2 .sum_lutc_input = "datac"; +defparam \Mux20~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N7 +maxii_lcell \Mux20~3 ( +// Equation(s): +// \Mux20~3_combout = (\SA[3]~9_combout & ((\SA[3]~15_combout & ((Addr[5]))) # (!\SA[3]~15_combout & (LS[5])))) # (!\SA[3]~9_combout & (((\SA[3]~15_combout )))) + + .clk(gnd), + .dataa(LS[5]), + .datab(\SA[3]~9_combout ), + .datac(\SA[3]~15_combout ), + .datad(Addr[5]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux20~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux20~3 .lut_mask = "f838"; +defparam \Mux20~3 .operation_mode = "normal"; +defparam \Mux20~3 .output_mode = "comb_only"; +defparam \Mux20~3 .register_cascade_mode = "off"; +defparam \Mux20~3 .sum_lutc_input = "datac"; +defparam \Mux20~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N4 +maxii_lcell \SA[4]~reg0 ( +// Equation(s): +// \SA[4]~reg0_regout = DFFEAS((\Mux20~3_combout & (((\Mux20~2_combout ) # (\SA[3]~8_combout )))) # (!\Mux20~3_combout & (\Mux20~4_combout & ((!\SA[3]~8_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(\Mux20~4_combout ), + .datab(\Mux20~2_combout ), + .datac(\Mux20~3_combout ), + .datad(\SA[3]~8_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[4]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[4]~reg0 .lut_mask = "f0ca"; +defparam \SA[4]~reg0 .operation_mode = "normal"; +defparam \SA[4]~reg0 .output_mode = "reg_only"; +defparam \SA[4]~reg0 .register_cascade_mode = "off"; +defparam \SA[4]~reg0 .sum_lutc_input = "datac"; +defparam \SA[4]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X6_Y4_N5 +maxii_lcell \Mux19~3 ( +// Equation(s): +// \Mux19~3_combout = (\SA[3]~15_combout & (Addr[6] & ((\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (((LS[6]) # (!\SA[3]~9_combout )))) + + .clk(gnd), + .dataa(Addr[6]), + .datab(\SA[3]~15_combout ), + .datac(LS[6]), + .datad(\SA[3]~9_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux19~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux19~3 .lut_mask = "b833"; +defparam \Mux19~3 .operation_mode = "normal"; +defparam \Mux19~3 .output_mode = "comb_only"; +defparam \Mux19~3 .register_cascade_mode = "off"; +defparam \Mux19~3 .sum_lutc_input = "datac"; +defparam \Mux19~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y4_N9 +maxii_lcell \Mux19~5 ( +// Equation(s): +// \Mux19~5_combout = (\IS.110~regout ) # (((Addr[15]) # (!PS[0])) # (!\RAMSpecSEL~1_combout )) + + .clk(gnd), + .dataa(\IS.110~regout ), + .datab(\RAMSpecSEL~1_combout ), + .datac(Addr[15]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux19~5_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux19~5 .lut_mask = "fbff"; +defparam \Mux19~5 .operation_mode = "normal"; +defparam \Mux19~5 .output_mode = "comb_only"; +defparam \Mux19~5 .register_cascade_mode = "off"; +defparam \Mux19~5 .sum_lutc_input = "datac"; +defparam \Mux19~5 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y4_N3 +maxii_lcell \Mux19~4 ( +// Equation(s): +// \Mux19~4_combout = (\Mux19~3_combout & ((\Mux19~5_combout ) # ((\SA[3]~8_combout )))) # (!\Mux19~3_combout & (((\Mux19~2 & !\SA[3]~8_combout )))) + + .clk(gnd), + .dataa(\Mux19~3_combout ), + .datab(\Mux19~5_combout ), + .datac(\Mux19~2 ), + .datad(\SA[3]~8_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux19~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux19~4 .lut_mask = "aad8"; +defparam \Mux19~4 .operation_mode = "normal"; +defparam \Mux19~4 .output_mode = "comb_only"; +defparam \Mux19~4 .register_cascade_mode = "off"; +defparam \Mux19~4 .sum_lutc_input = "datac"; +defparam \Mux19~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y4_N1 +maxii_lcell \SA[5]~reg0 ( +// Equation(s): +// \SA[5]~reg0_regout = DFFEAS((((\Mux19~4_combout ))), GLOBAL(\C25M~combout ), VCC, , , VCC, , , PS[2]) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(\Mux19~4_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[2]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[5]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[5]~reg0 .lut_mask = "ff00"; +defparam \SA[5]~reg0 .operation_mode = "normal"; +defparam \SA[5]~reg0 .output_mode = "reg_only"; +defparam \SA[5]~reg0 .register_cascade_mode = "off"; +defparam \SA[5]~reg0 .sum_lutc_input = "datac"; +defparam \SA[5]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y4_N0 +maxii_lcell \Mux18~4 ( +// Equation(s): +// \Mux18~4_combout = (!\IS.110~regout & (PS[0] & (\RAMSpecSEL~1_combout & Addr[16]))) + + .clk(gnd), + .dataa(\IS.110~regout ), + .datab(PS[0]), + .datac(\RAMSpecSEL~1_combout ), + .datad(Addr[16]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux18~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux18~4 .lut_mask = "4000"; +defparam \Mux18~4 .operation_mode = "normal"; +defparam \Mux18~4 .output_mode = "comb_only"; +defparam \Mux18~4 .register_cascade_mode = "off"; +defparam \Mux18~4 .sum_lutc_input = "datac"; +defparam \Mux18~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N0 +maxii_lcell \Mux18~3 ( +// Equation(s): +// \Mux18~3_combout = (\SA[3]~15_combout & ((Addr[7]) # ((!\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (((LS[7] & \SA[3]~9_combout )))) + + .clk(gnd), + .dataa(\SA[3]~15_combout ), + .datab(Addr[7]), + .datac(LS[7]), + .datad(\SA[3]~9_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux18~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux18~3 .lut_mask = "d8aa"; +defparam \Mux18~3 .operation_mode = "normal"; +defparam \Mux18~3 .output_mode = "comb_only"; +defparam \Mux18~3 .register_cascade_mode = "off"; +defparam \Mux18~3 .sum_lutc_input = "datac"; +defparam \Mux18~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y2_N9 +maxii_lcell \Mux18~2 ( +// Equation(s): +// \Mux18~2_combout = (((RAr[7] & !PS[0]))) + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(RAr[7]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux18~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux18~2 .lut_mask = "00f0"; +defparam \Mux18~2 .operation_mode = "normal"; +defparam \Mux18~2 .output_mode = "comb_only"; +defparam \Mux18~2 .register_cascade_mode = "off"; +defparam \Mux18~2 .sum_lutc_input = "datac"; +defparam \Mux18~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N8 +maxii_lcell \SA[6]~reg0 ( +// Equation(s): +// \SA[6]~reg0_regout = DFFEAS((\SA[3]~8_combout & (((\Mux18~3_combout )))) # (!\SA[3]~8_combout & ((\Mux18~3_combout & ((\Mux18~2_combout ))) # (!\Mux18~3_combout & (\Mux18~4_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(\Mux18~4_combout ), + .datab(\SA[3]~8_combout ), + .datac(\Mux18~3_combout ), + .datad(\Mux18~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[6]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[6]~reg0 .lut_mask = "f2c2"; +defparam \SA[6]~reg0 .operation_mode = "normal"; +defparam \SA[6]~reg0 .output_mode = "reg_only"; +defparam \SA[6]~reg0 .register_cascade_mode = "off"; +defparam \SA[6]~reg0 .sum_lutc_input = "datac"; +defparam \SA[6]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X3_Y4_N5 +maxii_lcell \Mux17~4 ( +// Equation(s): +// \Mux17~4_combout = (Addr[17] & (PS[0] & (\RAMSpecSEL~1_combout & !\IS.110~regout ))) + + .clk(gnd), + .dataa(Addr[17]), + .datab(PS[0]), + .datac(\RAMSpecSEL~1_combout ), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux17~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux17~4 .lut_mask = "0080"; +defparam \Mux17~4 .operation_mode = "normal"; +defparam \Mux17~4 .output_mode = "comb_only"; +defparam \Mux17~4 .register_cascade_mode = "off"; +defparam \Mux17~4 .sum_lutc_input = "datac"; +defparam \Mux17~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y2_N5 +maxii_lcell \Mux17~2 ( +// Equation(s): +// \Mux17~2_combout = (((RAr[8] & !PS[0]))) + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(RAr[8]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux17~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux17~2 .lut_mask = "00f0"; +defparam \Mux17~2 .operation_mode = "normal"; +defparam \Mux17~2 .output_mode = "comb_only"; +defparam \Mux17~2 .register_cascade_mode = "off"; +defparam \Mux17~2 .sum_lutc_input = "datac"; +defparam \Mux17~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N2 +maxii_lcell \Mux17~3 ( +// Equation(s): +// \Mux17~3_combout = (\SA[3]~15_combout & ((Addr[8]) # ((!\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (((LS[8] & \SA[3]~9_combout )))) + + .clk(gnd), + .dataa(Addr[8]), + .datab(LS[8]), + .datac(\SA[3]~15_combout ), + .datad(\SA[3]~9_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux17~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux17~3 .lut_mask = "acf0"; +defparam \Mux17~3 .operation_mode = "normal"; +defparam \Mux17~3 .output_mode = "comb_only"; +defparam \Mux17~3 .register_cascade_mode = "off"; +defparam \Mux17~3 .sum_lutc_input = "datac"; +defparam \Mux17~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N9 +maxii_lcell \SA[7]~reg0 ( +// Equation(s): +// \SA[7]~reg0_regout = DFFEAS((\SA[3]~8_combout & (((\Mux17~3_combout )))) # (!\SA[3]~8_combout & ((\Mux17~3_combout & ((\Mux17~2_combout ))) # (!\Mux17~3_combout & (\Mux17~4_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(\Mux17~4_combout ), + .datab(\SA[3]~8_combout ), + .datac(\Mux17~2_combout ), + .datad(\Mux17~3_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[7]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[7]~reg0 .lut_mask = "fc22"; +defparam \SA[7]~reg0 .operation_mode = "normal"; +defparam \SA[7]~reg0 .output_mode = "reg_only"; +defparam \SA[7]~reg0 .register_cascade_mode = "off"; +defparam \SA[7]~reg0 .sum_lutc_input = "datac"; +defparam \SA[7]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X4_Y4_N5 +maxii_lcell \Mux16~3 ( +// Equation(s): +// \Mux16~3_combout = (\SA[3]~15_combout & ((Addr[9]) # ((!\SA[3]~9_combout )))) # (!\SA[3]~15_combout & (((LS[9] & \SA[3]~9_combout )))) + + .clk(gnd), + .dataa(Addr[9]), + .datab(LS[9]), + .datac(\SA[3]~15_combout ), + .datad(\SA[3]~9_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux16~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux16~3 .lut_mask = "acf0"; +defparam \Mux16~3 .operation_mode = "normal"; +defparam \Mux16~3 .output_mode = "comb_only"; +defparam \Mux16~3 .register_cascade_mode = "off"; +defparam \Mux16~3 .sum_lutc_input = "datac"; +defparam \Mux16~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y4_N6 +maxii_lcell \Mux16~4 ( +// Equation(s): +// \Mux16~4_combout = (Addr[18] & (PS[0] & (\RAMSpecSEL~1_combout & !\IS.110~regout ))) + + .clk(gnd), + .dataa(Addr[18]), + .datab(PS[0]), + .datac(\RAMSpecSEL~1_combout ), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux16~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux16~4 .lut_mask = "0080"; +defparam \Mux16~4 .operation_mode = "normal"; +defparam \Mux16~4 .output_mode = "comb_only"; +defparam \Mux16~4 .register_cascade_mode = "off"; +defparam \Mux16~4 .sum_lutc_input = "datac"; +defparam \Mux16~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y4_N1 +maxii_lcell \SA[8]~reg0 ( +// Equation(s): +// \SA[8]~reg0_regout = DFFEAS((\Mux16~3_combout & (((\Mux16~2 ) # (\SA[3]~8_combout )))) # (!\Mux16~3_combout & (\Mux16~4_combout & ((!\SA[3]~8_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , PS[2], ) + + .clk(\C25M~combout ), + .dataa(\Mux16~3_combout ), + .datab(\Mux16~4_combout ), + .datac(\Mux16~2 ), + .datad(\SA[3]~8_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(PS[2]), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[8]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[8]~reg0 .lut_mask = "aae4"; +defparam \SA[8]~reg0 .operation_mode = "normal"; +defparam \SA[8]~reg0 .output_mode = "reg_only"; +defparam \SA[8]~reg0 .register_cascade_mode = "off"; +defparam \SA[8]~reg0 .sum_lutc_input = "datac"; +defparam \SA[8]~reg0 .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y1_N0 +maxii_lcell \SA[1]~7 ( +// Equation(s): +// \SA[1]~7_combout = (PS[0] & (((!\IS.110~regout )))) + + .clk(gnd), + .dataa(PS[0]), + .datab(vcc), + .datac(vcc), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[1]~7_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[1]~7 .lut_mask = "00aa"; +defparam \SA[1]~7 .operation_mode = "normal"; +defparam \SA[1]~7 .output_mode = "comb_only"; +defparam \SA[1]~7 .register_cascade_mode = "off"; +defparam \SA[1]~7 .sum_lutc_input = "datac"; +defparam \SA[1]~7 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N5 +maxii_lcell \SA~10 ( +// Equation(s): +// \SA~10_combout = (\SA[1]~7_combout & (\RAMSpecSEL~1_combout & (!PS[2] & \Equal1~0_combout ))) + + .clk(gnd), + .dataa(\SA[1]~7_combout ), + .datab(\RAMSpecSEL~1_combout ), + .datac(PS[2]), + .datad(\Equal1~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA~10_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA~10 .lut_mask = "0800"; +defparam \SA~10 .operation_mode = "normal"; +defparam \SA~10 .output_mode = "comb_only"; +defparam \SA~10 .register_cascade_mode = "off"; +defparam \SA~10 .sum_lutc_input = "datac"; +defparam \SA~10 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y4_N9 +maxii_lcell \SA[9]~reg0 ( +// Equation(s): +// \SA[9]~reg0_regout = DFFEAS((((Addr[19])) # (!\SA~10_combout )), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(\SA~10_combout ), + .datac(vcc), + .datad(Addr[19]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[9]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[9]~reg0 .lut_mask = "ff33"; +defparam \SA[9]~reg0 .operation_mode = "normal"; +defparam \SA[9]~reg0 .output_mode = "reg_only"; +defparam \SA[9]~reg0 .register_cascade_mode = "off"; +defparam \SA[9]~reg0 .sum_lutc_input = "datac"; +defparam \SA[9]~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N6 +maxii_lcell \Mux15~0 ( +// Equation(s): +// \Mux15~0_combout = (PS[2]) # ((PS[1] $ (PS[3])) # (!PS[0])) + + .clk(gnd), + .dataa(PS[1]), + .datab(PS[3]), + .datac(PS[2]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux15~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux15~0 .lut_mask = "f6ff"; +defparam \Mux15~0 .operation_mode = "normal"; +defparam \Mux15~0 .output_mode = "comb_only"; +defparam \Mux15~0 .register_cascade_mode = "off"; +defparam \Mux15~0 .sum_lutc_input = "datac"; +defparam \Mux15~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y3_N8 +maxii_lcell \Mux15~1 ( +// Equation(s): +// \Mux15~1_combout = ((\IS.110~regout ) # ((!SetFWr[1] & Addr[20]))) + + .clk(gnd), + .dataa(vcc), + .datab(SetFWr[1]), + .datac(\IS.110~regout ), + .datad(Addr[20]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux15~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux15~1 .lut_mask = "f3f0"; +defparam \Mux15~1 .operation_mode = "normal"; +defparam \Mux15~1 .output_mode = "comb_only"; +defparam \Mux15~1 .register_cascade_mode = "off"; +defparam \Mux15~1 .sum_lutc_input = "datac"; +defparam \Mux15~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y3_N2 +maxii_lcell \SA[10]~reg0 ( +// Equation(s): +// \SA[10]~reg0_regout = DFFEAS((\Mux15~0_combout ) # ((!PS[1] & ((\Mux15~1_combout ) # (!\RAMSpecSEL~1_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(PS[1]), + .datab(\RAMSpecSEL~1_combout ), + .datac(\Mux15~0_combout ), + .datad(\Mux15~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[10]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[10]~reg0 .lut_mask = "f5f1"; +defparam \SA[10]~reg0 .operation_mode = "normal"; +defparam \SA[10]~reg0 .output_mode = "reg_only"; +defparam \SA[10]~reg0 .register_cascade_mode = "off"; +defparam \SA[10]~reg0 .sum_lutc_input = "datac"; +defparam \SA[10]~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y4_N8 +maxii_lcell \SA[11]~reg0 ( +// Equation(s): +// \SA[11]~reg0_regout = DFFEAS(((!SetFWr[1] & (Addr[21] & \SA~10_combout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(SetFWr[1]), + .datac(Addr[21]), + .datad(\SA~10_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[11]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[11]~reg0 .lut_mask = "3000"; +defparam \SA[11]~reg0 .operation_mode = "normal"; +defparam \SA[11]~reg0 .output_mode = "reg_only"; +defparam \SA[11]~reg0 .register_cascade_mode = "off"; +defparam \SA[11]~reg0 .sum_lutc_input = "datac"; +defparam \SA[11]~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y3_N3 +maxii_lcell \SA[12]~reg0 ( +// Equation(s): +// \SA[12]~reg0_regout = DFFEAS(((!SetFWr[1] & (Addr[22] & \SA~10_combout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(SetFWr[1]), + .datac(Addr[22]), + .datad(\SA~10_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SA[12]~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[12]~reg0 .lut_mask = "3000"; +defparam \SA[12]~reg0 .operation_mode = "normal"; +defparam \SA[12]~reg0 .output_mode = "reg_only"; +defparam \SA[12]~reg0 .register_cascade_mode = "off"; +defparam \SA[12]~reg0 .sum_lutc_input = "datac"; +defparam \SA[12]~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y1_N2 +maxii_lcell \nRCS~3 ( +// Equation(s): +// \nRCS~3_combout = (\CXXXr~regout & (\nWEr~regout & ((\IOROMEN~regout ) # (!RAr[11])))) + + .clk(gnd), + .dataa(\IOROMEN~regout ), + .datab(RAr[11]), + .datac(\CXXXr~regout ), + .datad(\nWEr~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\nRCS~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRCS~3 .lut_mask = "b000"; +defparam \nRCS~3 .operation_mode = "normal"; +defparam \nRCS~3 .output_mode = "comb_only"; +defparam \nRCS~3 .register_cascade_mode = "off"; +defparam \nRCS~3 .sum_lutc_input = "datac"; +defparam \nRCS~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y1_N9 +maxii_lcell \nRCS~4 ( +// Equation(s): +// \nRCS~4_combout = ((!\Equal9~0 & ((\nRCS~3_combout )))) + + .clk(gnd), + .dataa(vcc), + .datab(\Equal9~0 ), + .datac(vcc), + .datad(\nRCS~3_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\nRCS~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRCS~4 .lut_mask = "3300"; +defparam \nRCS~4 .operation_mode = "normal"; +defparam \nRCS~4 .output_mode = "comb_only"; +defparam \nRCS~4 .register_cascade_mode = "off"; +defparam \nRCS~4 .sum_lutc_input = "datac"; +defparam \nRCS~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y1_N9 +maxii_lcell \Mux12~1 ( +// Equation(s): +// \Mux12~1_combout = (\nWEr~regout & (((PS[1])))) + + .clk(gnd), + .dataa(\nWEr~regout ), + .datab(vcc), + .datac(vcc), + .datad(PS[1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux12~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux12~1 .lut_mask = "aa00"; +defparam \Mux12~1 .operation_mode = "normal"; +defparam \Mux12~1 .output_mode = "comb_only"; +defparam \Mux12~1 .register_cascade_mode = "off"; +defparam \Mux12~1 .sum_lutc_input = "datac"; +defparam \Mux12~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y1_N0 +maxii_lcell \Mux12~2 ( +// Equation(s): +// \Mux12~2_combout = (\IS.111~regout & (\Mux12~1_combout & ((\RAMSpecSEL~1_combout ) # (\nRCS~4_combout )))) + + .clk(gnd), + .dataa(\IS.111~regout ), + .datab(\RAMSpecSEL~1_combout ), + .datac(\nRCS~4_combout ), + .datad(\Mux12~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux12~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux12~2 .lut_mask = "a800"; +defparam \Mux12~2 .operation_mode = "normal"; +defparam \Mux12~2 .output_mode = "comb_only"; +defparam \Mux12~2 .register_cascade_mode = "off"; +defparam \Mux12~2 .sum_lutc_input = "datac"; +defparam \Mux12~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y1_N7 +maxii_lcell \nRCS~5 ( +// Equation(s): +// \nRCS~5_combout = (\IS.110~regout ) # ((\IS.111~regout & ((\nRCS~4_combout ) # (\RAMSpecSEL~1_combout )))) + + .clk(gnd), + .dataa(\IS.111~regout ), + .datab(\IS.110~regout ), + .datac(\nRCS~4_combout ), + .datad(\RAMSpecSEL~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\nRCS~5_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRCS~5 .lut_mask = "eeec"; +defparam \nRCS~5 .operation_mode = "normal"; +defparam \nRCS~5 .output_mode = "comb_only"; +defparam \nRCS~5 .register_cascade_mode = "off"; +defparam \nRCS~5 .sum_lutc_input = "datac"; +defparam \nRCS~5 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N6 +maxii_lcell \Mux12~3 ( +// Equation(s): +// \Mux12~3_combout = (\Mux12~2_combout & ((PS[1] $ (!PS[0])))) # (!\Mux12~2_combout & (((PS[1]) # (!PS[0])) # (!\nRCS~5_combout ))) + + .clk(gnd), + .dataa(\Mux12~2_combout ), + .datab(\nRCS~5_combout ), + .datac(PS[1]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux12~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux12~3 .lut_mask = "f15f"; +defparam \Mux12~3 .operation_mode = "normal"; +defparam \Mux12~3 .output_mode = "comb_only"; +defparam \Mux12~3 .register_cascade_mode = "off"; +defparam \Mux12~3 .sum_lutc_input = "datac"; +defparam \Mux12~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y1_N2 +maxii_lcell \IS.000 ( +// Equation(s): +// \IS.000~regout = DFFEAS(VCC, GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(vcc), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\IS~19_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\IS.000~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS.000 .lut_mask = "ffff"; +defparam \IS.000 .operation_mode = "normal"; +defparam \IS.000 .output_mode = "reg_only"; +defparam \IS.000 .register_cascade_mode = "off"; +defparam \IS.000 .sum_lutc_input = "datac"; +defparam \IS.000 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y1_N3 +maxii_lcell \nRCS~2 ( +// Equation(s): +// \nRCS~2_combout = (\IS.111~regout & (LS[1] & (LS[0]))) # (!\IS.111~regout & (((\IS.000~regout )))) + + .clk(gnd), + .dataa(\IS.111~regout ), + .datab(LS[1]), + .datac(LS[0]), + .datad(\IS.000~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\nRCS~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRCS~2 .lut_mask = "d580"; +defparam \nRCS~2 .operation_mode = "normal"; +defparam \nRCS~2 .output_mode = "comb_only"; +defparam \nRCS~2 .register_cascade_mode = "off"; +defparam \nRCS~2 .sum_lutc_input = "datac"; +defparam \nRCS~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N9 +maxii_lcell \Mux12~0 ( +// Equation(s): +// \Mux12~0_combout = (PS[1] & (((PS[0] & !\nRCS~2_combout )))) # (!PS[1] & (((PS[0])) # (!\nRCS~1 ))) + + .clk(gnd), + .dataa(PS[1]), + .datab(\nRCS~1 ), + .datac(PS[0]), + .datad(\nRCS~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux12~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux12~0 .lut_mask = "51f1"; +defparam \Mux12~0 .operation_mode = "normal"; +defparam \Mux12~0 .output_mode = "comb_only"; +defparam \Mux12~0 .register_cascade_mode = "off"; +defparam \Mux12~0 .sum_lutc_input = "datac"; +defparam \Mux12~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N4 +maxii_lcell \nRCS~reg0 ( +// Equation(s): +// \nRCS~reg0_regout = DFFEAS((!PS[2] & ((PS[3] & ((!\Mux12~0_combout ))) # (!PS[3] & (!\Mux12~3_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(\Mux12~3_combout ), + .datab(PS[3]), + .datac(PS[2]), + .datad(\Mux12~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\nRCS~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRCS~reg0 .lut_mask = "010d"; +defparam \nRCS~reg0 .operation_mode = "normal"; +defparam \nRCS~reg0 .output_mode = "reg_only"; +defparam \nRCS~reg0 .register_cascade_mode = "off"; +defparam \nRCS~reg0 .sum_lutc_input = "datac"; +defparam \nRCS~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N2 +maxii_lcell \nRAS~reg0 ( +// Equation(s): +// \nRAS~reg0_regout = DFFEAS((!PS[2] & ((PS[1] & (PS[3])) # (!PS[1] & (!PS[3] & PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(PS[1]), + .datab(PS[3]), + .datac(PS[2]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\nRAS~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nRAS~reg0 .lut_mask = "0908"; +defparam \nRAS~reg0 .operation_mode = "normal"; +defparam \nRAS~reg0 .output_mode = "reg_only"; +defparam \nRAS~reg0 .register_cascade_mode = "off"; +defparam \nRAS~reg0 .sum_lutc_input = "datac"; +defparam \nRAS~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y2_N3 +maxii_lcell \nCAS~reg0 ( +// Equation(s): +// \nCAS~reg0_regout = DFFEAS((!PS[2] & ((PS[1] & (PS[3] $ (!PS[0]))) # (!PS[1] & (PS[3] & !PS[0])))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(PS[1]), + .datab(PS[3]), + .datac(PS[2]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\nCAS~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nCAS~reg0 .lut_mask = "0806"; +defparam \nCAS~reg0 .operation_mode = "normal"; +defparam \nCAS~reg0 .output_mode = "reg_only"; +defparam \nCAS~reg0 .register_cascade_mode = "off"; +defparam \nCAS~reg0 .sum_lutc_input = "datac"; +defparam \nCAS~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y4_N4 +maxii_lcell \Selector0~0 ( +// Equation(s): +// \Selector0~0_combout = (((!PS[1]) # (!\IS.001~regout ))) + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(\IS.001~regout ), + .datad(PS[1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Selector0~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Selector0~0 .lut_mask = "0fff"; +defparam \Selector0~0 .operation_mode = "normal"; +defparam \Selector0~0 .output_mode = "comb_only"; +defparam \Selector0~0 .register_cascade_mode = "off"; +defparam \Selector0~0 .sum_lutc_input = "datac"; +defparam \Selector0~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y4_N6 +maxii_lcell \nSWE~reg0 ( +// Equation(s): +// \nSWE~reg0_regout = DFFEAS((PS[3] & (!PS[2] & ((!PS[0]) # (!\Selector0~0_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(\Selector0~0_combout ), + .datab(PS[3]), + .datac(PS[2]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\nSWE~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \nSWE~reg0 .lut_mask = "040c"; +defparam \nSWE~reg0 .operation_mode = "normal"; +defparam \nSWE~reg0 .output_mode = "reg_only"; +defparam \nSWE~reg0 .register_cascade_mode = "off"; +defparam \nSWE~reg0 .sum_lutc_input = "datac"; +defparam \nSWE~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y1_N1 +maxii_lcell \Equal1~1 ( +// Equation(s): +// \Equal1~1_combout = (!PS[0] & (((!PS[2])))) + + .clk(gnd), + .dataa(PS[0]), + .datab(vcc), + .datac(PS[2]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal1~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal1~1 .lut_mask = "0505"; +defparam \Equal1~1 .operation_mode = "normal"; +defparam \Equal1~1 .output_mode = "comb_only"; +defparam \Equal1~1 .register_cascade_mode = "off"; +defparam \Equal1~1 .sum_lutc_input = "datac"; +defparam \Equal1~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y3_N1 +maxii_lcell \Selector1~0 ( +// Equation(s): +// \Selector1~0_combout = (PS[3] & ((LS[0]) # ((PS[1])))) # (!PS[3] & (((RAr[0]) # (!PS[1])))) + + .clk(gnd), + .dataa(PS[3]), + .datab(LS[0]), + .datac(RAr[0]), + .datad(PS[1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Selector1~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Selector1~0 .lut_mask = "fadd"; +defparam \Selector1~0 .operation_mode = "normal"; +defparam \Selector1~0 .output_mode = "comb_only"; +defparam \Selector1~0 .register_cascade_mode = "off"; +defparam \Selector1~0 .sum_lutc_input = "datac"; +defparam \Selector1~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y3_N4 +maxii_lcell \DQMH~0 ( +// Equation(s): +// \DQMH~0_combout = (PS[1] & (((!PS[3] & \RAMSpecSEL~1_combout )))) # (!PS[1] & (!\IS.110~regout & (PS[3]))) + + .clk(gnd), + .dataa(PS[1]), + .datab(\IS.110~regout ), + .datac(PS[3]), + .datad(\RAMSpecSEL~1_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\DQMH~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \DQMH~0 .lut_mask = "1a10"; +defparam \DQMH~0 .operation_mode = "normal"; +defparam \DQMH~0 .output_mode = "comb_only"; +defparam \DQMH~0 .register_cascade_mode = "off"; +defparam \DQMH~0 .sum_lutc_input = "datac"; +defparam \DQMH~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y3_N9 +maxii_lcell \DQML~reg0 ( +// Equation(s): +// \DQML~reg0_regout = DFFEAS((\Equal1~1_combout & ((\DQMH~0_combout & ((!Addr[0]))) # (!\DQMH~0_combout & (!\Selector1~0_combout )))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(\Equal1~1_combout ), + .datab(\Selector1~0_combout ), + .datac(\DQMH~0_combout ), + .datad(Addr[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\DQML~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \DQML~reg0 .lut_mask = "02a2"; +defparam \DQML~reg0 .operation_mode = "normal"; +defparam \DQML~reg0 .output_mode = "reg_only"; +defparam \DQML~reg0 .register_cascade_mode = "off"; +defparam \DQML~reg0 .sum_lutc_input = "datac"; +defparam \DQML~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y3_N0 +maxii_lcell \Selector2~0 ( +// Equation(s): +// \Selector2~0_combout = (PS[3] & (((PS[1])) # (!LS[0]))) # (!PS[3] & (((!PS[1]) # (!RAr[0])))) + + .clk(gnd), + .dataa(PS[3]), + .datab(LS[0]), + .datac(RAr[0]), + .datad(PS[1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Selector2~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Selector2~0 .lut_mask = "af77"; +defparam \Selector2~0 .operation_mode = "normal"; +defparam \Selector2~0 .output_mode = "comb_only"; +defparam \Selector2~0 .register_cascade_mode = "off"; +defparam \Selector2~0 .sum_lutc_input = "datac"; +defparam \Selector2~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y3_N5 +maxii_lcell \DQMH~reg0 ( +// Equation(s): +// \DQMH~reg0_regout = DFFEAS((\Equal1~1_combout & ((\DQMH~0_combout & (Addr[0])) # (!\DQMH~0_combout & ((!\Selector2~0_combout ))))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(Addr[0]), + .datab(\Selector2~0_combout ), + .datac(\Equal1~1_combout ), + .datad(\DQMH~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\DQMH~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \DQMH~reg0 .lut_mask = "a030"; +defparam \DQMH~reg0 .operation_mode = "normal"; +defparam \DQMH~reg0 .output_mode = "reg_only"; +defparam \DQMH~reg0 .register_cascade_mode = "off"; +defparam \DQMH~reg0 .sum_lutc_input = "datac"; +defparam \DQMH~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N0 +maxii_lcell \Mux11~0 ( +// Equation(s): +// \Mux11~0_combout = (PS[3] & (PS[1] & (\nRCS~2_combout ))) # (!PS[3] & (((\Mux12~2_combout )))) + + .clk(gnd), + .dataa(PS[1]), + .datab(\nRCS~2_combout ), + .datac(PS[3]), + .datad(\Mux12~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux11~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux11~0 .lut_mask = "8f80"; +defparam \Mux11~0 .operation_mode = "normal"; +defparam \Mux11~0 .output_mode = "comb_only"; +defparam \Mux11~0 .register_cascade_mode = "off"; +defparam \Mux11~0 .sum_lutc_input = "datac"; +defparam \Mux11~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N5 +maxii_lcell \Mux11~1 ( +// Equation(s): +// \Mux11~1_combout = (\nRCS~5_combout & ((PS[0]) # ((!PS[3] & \PS~0 )))) + + .clk(gnd), + .dataa(PS[3]), + .datab(\nRCS~5_combout ), + .datac(\PS~0 ), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux11~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux11~1 .lut_mask = "cc40"; +defparam \Mux11~1 .operation_mode = "normal"; +defparam \Mux11~1 .output_mode = "comb_only"; +defparam \Mux11~1 .register_cascade_mode = "off"; +defparam \Mux11~1 .sum_lutc_input = "datac"; +defparam \Mux11~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N2 +maxii_lcell \Mux11~2 ( +// Equation(s): +// \Mux11~2_combout = (\Mux11~1_combout ) # ((PS[3] & ((\nRCS~1 ) # (PS[0])))) + + .clk(gnd), + .dataa(\Mux11~1_combout ), + .datab(\nRCS~1 ), + .datac(PS[3]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux11~2_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux11~2 .lut_mask = "faea"; +defparam \Mux11~2 .operation_mode = "normal"; +defparam \Mux11~2 .output_mode = "comb_only"; +defparam \Mux11~2 .register_cascade_mode = "off"; +defparam \Mux11~2 .sum_lutc_input = "datac"; +defparam \Mux11~2 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N3 +maxii_lcell \Mux11~3 ( +// Equation(s): +// \Mux11~3_combout = (!PS[2] & ((\Mux11~0_combout ) # ((!PS[1] & \Mux11~2_combout )))) + + .clk(gnd), + .dataa(PS[1]), + .datab(\Mux11~0_combout ), + .datac(PS[2]), + .datad(\Mux11~2_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux11~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux11~3 .lut_mask = "0d0c"; +defparam \Mux11~3 .operation_mode = "normal"; +defparam \Mux11~3 .output_mode = "comb_only"; +defparam \Mux11~3 .register_cascade_mode = "off"; +defparam \Mux11~3 .sum_lutc_input = "datac"; +defparam \Mux11~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N7 +maxii_lcell \Equal2~0 ( +// Equation(s): +// \Equal2~0_combout = (PS[1] & (((PS[2] & PS[0])))) + + .clk(gnd), + .dataa(PS[1]), + .datab(vcc), + .datac(PS[2]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal2~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal2~0 .lut_mask = "a000"; +defparam \Equal2~0 .operation_mode = "normal"; +defparam \Equal2~0 .output_mode = "comb_only"; +defparam \Equal2~0 .register_cascade_mode = "off"; +defparam \Equal2~0 .sum_lutc_input = "datac"; +defparam \Equal2~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y1_N8 +maxii_lcell \RCKE~reg0 ( +// Equation(s): +// \RCKE~reg0_regout = DFFEAS((!\Mux11~3_combout & (((PS[3]) # (!\Equal2~0_combout )) # (!\nRCS~1 ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(\Mux11~3_combout ), + .datab(\nRCS~1 ), + .datac(PS[3]), + .datad(\Equal2~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\RCKE~reg0_regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RCKE~reg0 .lut_mask = "5155"; +defparam \RCKE~reg0 .operation_mode = "normal"; +defparam \RCKE~reg0 .output_mode = "reg_only"; +defparam \RCKE~reg0 .register_cascade_mode = "off"; +defparam \RCKE~reg0 .sum_lutc_input = "datac"; +defparam \RCKE~reg0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y2_N1 +maxii_lcell \IS.101 ( +// Equation(s): +// \IS.101~regout = DFFEAS((!LS[2] & (\Equal5~0_combout & (!LS[0] & !LS[13]))), GLOBAL(\C25M~combout ), VCC, , \IS~19_combout , , , , ) + + .clk(\C25M~combout ), + .dataa(LS[2]), + .datab(\Equal5~0_combout ), + .datac(LS[0]), + .datad(LS[13]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\IS~19_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\IS.101~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \IS.101 .lut_mask = "0004"; +defparam \IS.101 .operation_mode = "normal"; +defparam \IS.101 .output_mode = "reg_only"; +defparam \IS.101 .register_cascade_mode = "off"; +defparam \IS.101 .sum_lutc_input = "datac"; +defparam \IS.101 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y4_N9 +maxii_lcell FCS( +// Equation(s): +// \FCS~regout = DFFEAS((\IS.110~regout ) # ((\IS.100~regout ) # ((\IS.101~regout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(\IS.110~regout ), + .datab(\IS.100~regout ), + .datac(\IS.101~regout ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\FCS~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam FCS.lut_mask = "fefe"; +defparam FCS.operation_mode = "normal"; +defparam FCS.output_mode = "reg_only"; +defparam FCS.register_cascade_mode = "off"; +defparam FCS.sum_lutc_input = "datac"; +defparam FCS.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X3_Y1_N1 +maxii_lcell FCKOE( +// Equation(s): +// \FCKOE~regout = DFFEAS((((\IS.111~regout ) # (\IS.000~regout ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\IS.111~regout ), + .datad(\IS.000~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\FCKOE~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam FCKOE.lut_mask = "fff0"; +defparam FCKOE.operation_mode = "normal"; +defparam FCKOE.output_mode = "reg_only"; +defparam FCKOE.register_cascade_mode = "off"; +defparam FCKOE.sum_lutc_input = "datac"; +defparam FCKOE.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y4_N2 +maxii_lcell FCKout( +// Equation(s): +// \FCKout~regout = DFFEAS(((!\IS.101~regout & ((PS[3]) # (!\IS.110~regout )))) # (!PS[0]), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(\IS.110~regout ), + .datab(PS[3]), + .datac(\IS.101~regout ), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\FCKout~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam FCKout.lut_mask = "0dff"; +defparam FCKout.operation_mode = "normal"; +defparam FCKout.output_mode = "reg_only"; +defparam FCKout.register_cascade_mode = "off"; +defparam FCKout.sum_lutc_input = "datac"; +defparam FCKout.synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N7 +maxii_lcell \RDD[1]~23 ( +// Equation(s): +// \RDD[1]~23_combout = (!RAr[3] & (\always9~0_combout & (!RAr[2] & !RAr[1]))) + + .clk(gnd), + .dataa(RAr[3]), + .datab(\always9~0_combout ), + .datac(RAr[2]), + .datad(RAr[1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD[1]~23_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[1]~23 .lut_mask = "0004"; +defparam \RDD[1]~23 .operation_mode = "normal"; +defparam \RDD[1]~23 .output_mode = "comb_only"; +defparam \RDD[1]~23 .register_cascade_mode = "off"; +defparam \RDD[1]~23 .sum_lutc_input = "datac"; +defparam \RDD[1]~23 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X4_Y3_N1 +maxii_lcell \RDD[1]~22 ( +// Equation(s): +// \RDD[1]~22_combout = (!RAr[3] & (!RAr[2] & (!RAr[0] & \always9~0_combout ))) + + .clk(gnd), + .dataa(RAr[3]), + .datab(RAr[2]), + .datac(RAr[0]), + .datad(\always9~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD[1]~22_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[1]~22 .lut_mask = "0100"; +defparam \RDD[1]~22 .operation_mode = "normal"; +defparam \RDD[1]~22 .output_mode = "comb_only"; +defparam \RDD[1]~22 .register_cascade_mode = "off"; +defparam \RDD[1]~22 .sum_lutc_input = "datac"; +defparam \RDD[1]~22 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N7 +maxii_lcell \RDD~4 ( +// Equation(s): +// \RDD~4_combout = (\RDD[1]~23_combout & ((Addr[8]) # ((\RDD[1]~22_combout )))) # (!\RDD[1]~23_combout & (((!\RDD[1]~22_combout & \SD[0]~0 )))) + + .clk(gnd), + .dataa(\RDD[1]~23_combout ), + .datab(Addr[8]), + .datac(\RDD[1]~22_combout ), + .datad(\SD[0]~0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD~4_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD~4 .lut_mask = "ada8"; +defparam \RDD~4 .operation_mode = "normal"; +defparam \RDD~4 .output_mode = "comb_only"; +defparam \RDD~4 .register_cascade_mode = "off"; +defparam \RDD~4 .sum_lutc_input = "datac"; +defparam \RDD~4 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y4_N5 +maxii_lcell \Equal20~0 ( +// Equation(s): +// \Equal20~0_combout = (!PS[1] & (!PS[3] & (PS[2] & PS[0]))) + + .clk(gnd), + .dataa(PS[1]), + .datab(PS[3]), + .datac(PS[2]), + .datad(PS[0]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Equal20~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Equal20~0 .lut_mask = "1000"; +defparam \Equal20~0 .operation_mode = "normal"; +defparam \Equal20~0 .output_mode = "comb_only"; +defparam \Equal20~0 .register_cascade_mode = "off"; +defparam \Equal20~0 .sum_lutc_input = "datac"; +defparam \Equal20~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N4 +maxii_lcell \RDD[0] ( +// Equation(s): +// RDD[0] = DFFEAS((\RDD~4_combout & ((Addr[0]) # ((!\RDD[1]~22_combout )))) # (!\RDD~4_combout & (((\RDD[1]~22_combout & Addr[16])))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) + + .clk(!\C25M~combout ), + .dataa(Addr[0]), + .datab(\RDD~4_combout ), + .datac(\RDD[1]~22_combout ), + .datad(Addr[16]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal20~0_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(RDD[0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[0] .lut_mask = "bc8c"; +defparam \RDD[0] .operation_mode = "normal"; +defparam \RDD[0] .output_mode = "reg_only"; +defparam \RDD[0] .register_cascade_mode = "off"; +defparam \RDD[0] .sum_lutc_input = "datac"; +defparam \RDD[0] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N1 +maxii_lcell \RDD~6 ( +// Equation(s): +// \RDD~6_combout = (\RDD[1]~23_combout & ((Addr[9]) # ((\RDD[1]~22_combout )))) # (!\RDD[1]~23_combout & (((!\RDD[1]~22_combout & \SD[1]~1 )))) + + .clk(gnd), + .dataa(\RDD[1]~23_combout ), + .datab(Addr[9]), + .datac(\RDD[1]~22_combout ), + .datad(\SD[1]~1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD~6_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD~6 .lut_mask = "ada8"; +defparam \RDD~6 .operation_mode = "normal"; +defparam \RDD~6 .output_mode = "comb_only"; +defparam \RDD~6 .register_cascade_mode = "off"; +defparam \RDD~6 .sum_lutc_input = "datac"; +defparam \RDD~6 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N2 +maxii_lcell \RDD[1] ( +// Equation(s): +// RDD[1] = DFFEAS((\RDD[1]~22_combout & ((\RDD~6_combout & ((Addr[1]))) # (!\RDD~6_combout & (Addr[17])))) # (!\RDD[1]~22_combout & (\RDD~6_combout )), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) + + .clk(!\C25M~combout ), + .dataa(\RDD[1]~22_combout ), + .datab(\RDD~6_combout ), + .datac(Addr[17]), + .datad(Addr[1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal20~0_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(RDD[1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[1] .lut_mask = "ec64"; +defparam \RDD[1] .operation_mode = "normal"; +defparam \RDD[1] .output_mode = "reg_only"; +defparam \RDD[1] .register_cascade_mode = "off"; +defparam \RDD[1] .sum_lutc_input = "datac"; +defparam \RDD[1] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N9 +maxii_lcell \RDD~8 ( +// Equation(s): +// \RDD~8_combout = (\RDD[1]~23_combout & (((\RDD[1]~22_combout ) # (Addr[10])))) # (!\RDD[1]~23_combout & (\SD[2]~2 & (!\RDD[1]~22_combout ))) + + .clk(gnd), + .dataa(\RDD[1]~23_combout ), + .datab(\SD[2]~2 ), + .datac(\RDD[1]~22_combout ), + .datad(Addr[10]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD~8_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD~8 .lut_mask = "aea4"; +defparam \RDD~8 .operation_mode = "normal"; +defparam \RDD~8 .output_mode = "comb_only"; +defparam \RDD~8 .register_cascade_mode = "off"; +defparam \RDD~8 .sum_lutc_input = "datac"; +defparam \RDD~8 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N0 +maxii_lcell \RDD[2] ( +// Equation(s): +// RDD[2] = DFFEAS((\RDD[1]~22_combout & ((\RDD~8_combout & (Addr[2])) # (!\RDD~8_combout & ((Addr[18]))))) # (!\RDD[1]~22_combout & (\RDD~8_combout )), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) + + .clk(!\C25M~combout ), + .dataa(\RDD[1]~22_combout ), + .datab(\RDD~8_combout ), + .datac(Addr[2]), + .datad(Addr[18]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal20~0_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(RDD[2]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[2] .lut_mask = "e6c4"; +defparam \RDD[2] .operation_mode = "normal"; +defparam \RDD[2] .output_mode = "reg_only"; +defparam \RDD[2] .register_cascade_mode = "off"; +defparam \RDD[2] .sum_lutc_input = "datac"; +defparam \RDD[2] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N8 +maxii_lcell \RDD~10 ( +// Equation(s): +// \RDD~10_combout = (\RDD[1]~22_combout & (((\RDD[1]~23_combout )))) # (!\RDD[1]~22_combout & ((\RDD[1]~23_combout & (Addr[11])) # (!\RDD[1]~23_combout & ((\SD[3]~3 ))))) + + .clk(gnd), + .dataa(\RDD[1]~22_combout ), + .datab(Addr[11]), + .datac(\RDD[1]~23_combout ), + .datad(\SD[3]~3 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD~10_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD~10 .lut_mask = "e5e0"; +defparam \RDD~10 .operation_mode = "normal"; +defparam \RDD~10 .output_mode = "comb_only"; +defparam \RDD~10 .register_cascade_mode = "off"; +defparam \RDD~10 .sum_lutc_input = "datac"; +defparam \RDD~10 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y3_N5 +maxii_lcell \RDD[3] ( +// Equation(s): +// RDD[3] = DFFEAS((\RDD[1]~22_combout & ((\RDD~10_combout & (Addr[3])) # (!\RDD~10_combout & ((Addr[19]))))) # (!\RDD[1]~22_combout & (((\RDD~10_combout )))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) + + .clk(!\C25M~combout ), + .dataa(Addr[3]), + .datab(Addr[19]), + .datac(\RDD[1]~22_combout ), + .datad(\RDD~10_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal20~0_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(RDD[3]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[3] .lut_mask = "afc0"; +defparam \RDD[3] .operation_mode = "normal"; +defparam \RDD[3] .output_mode = "reg_only"; +defparam \RDD[3] .register_cascade_mode = "off"; +defparam \RDD[3] .sum_lutc_input = "datac"; +defparam \RDD[3] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N4 +maxii_lcell \RDD[4]~12 ( +// Equation(s): +// \RDD[4]~12_combout = ((RAr[0] $ (!RAr[1])) # (!\always9~0_combout )) # (!\RAMRegSpecSEL~1 ) + + .clk(gnd), + .dataa(\RAMRegSpecSEL~1 ), + .datab(\always9~0_combout ), + .datac(RAr[0]), + .datad(RAr[1]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD[4]~12_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[4]~12 .lut_mask = "f77f"; +defparam \RDD[4]~12 .operation_mode = "normal"; +defparam \RDD[4]~12 .output_mode = "comb_only"; +defparam \RDD[4]~12 .register_cascade_mode = "off"; +defparam \RDD[4]~12 .sum_lutc_input = "datac"; +defparam \RDD[4]~12 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N8 +maxii_lcell \RDD~14 ( +// Equation(s): +// \RDD~14_combout = (\RDD[4]~12_combout & (((Addr[4] & \RDD[1]~23_combout )))) # (!\RDD[4]~12_combout & ((Addr[12]) # ((!\RDD[1]~23_combout )))) + + .clk(gnd), + .dataa(\RDD[4]~12_combout ), + .datab(Addr[12]), + .datac(Addr[4]), + .datad(\RDD[1]~23_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD~14_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD~14 .lut_mask = "e455"; +defparam \RDD~14 .operation_mode = "normal"; +defparam \RDD~14 .output_mode = "comb_only"; +defparam \RDD~14 .register_cascade_mode = "off"; +defparam \RDD~14 .sum_lutc_input = "datac"; +defparam \RDD~14 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N9 +maxii_lcell \RDD[4]~13 ( +// Equation(s): +// \RDD[4]~13_combout = (!\RDD[1]~23_combout & ((\RDD[4]~12_combout ) # ((!SetFWr[1])))) + + .clk(gnd), + .dataa(\RDD[4]~12_combout ), + .datab(\RDD[1]~23_combout ), + .datac(SetFWr[1]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD[4]~13_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[4]~13 .lut_mask = "2323"; +defparam \RDD[4]~13 .operation_mode = "normal"; +defparam \RDD[4]~13 .output_mode = "comb_only"; +defparam \RDD[4]~13 .register_cascade_mode = "off"; +defparam \RDD[4]~13 .sum_lutc_input = "datac"; +defparam \RDD[4]~13 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N5 +maxii_lcell \RDD[4] ( +// Equation(s): +// RDD[4] = DFFEAS((\RDD~14_combout & (((Addr[20])) # (!\RDD[4]~13_combout ))) # (!\RDD~14_combout & (\RDD[4]~13_combout & (\SD[4]~4 ))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) + + .clk(!\C25M~combout ), + .dataa(\RDD~14_combout ), + .datab(\RDD[4]~13_combout ), + .datac(\SD[4]~4 ), + .datad(Addr[20]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal20~0_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(RDD[4]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[4] .lut_mask = "ea62"; +defparam \RDD[4] .operation_mode = "normal"; +defparam \RDD[4] .output_mode = "reg_only"; +defparam \RDD[4] .register_cascade_mode = "off"; +defparam \RDD[4] .sum_lutc_input = "datac"; +defparam \RDD[4] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N0 +maxii_lcell \RDD~16 ( +// Equation(s): +// \RDD~16_combout = (\RDD[4]~12_combout & (\RDD[1]~23_combout & ((Addr[5])))) # (!\RDD[4]~12_combout & (((Addr[13])) # (!\RDD[1]~23_combout ))) + + .clk(gnd), + .dataa(\RDD[4]~12_combout ), + .datab(\RDD[1]~23_combout ), + .datac(Addr[13]), + .datad(Addr[5]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD~16_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD~16 .lut_mask = "d951"; +defparam \RDD~16 .operation_mode = "normal"; +defparam \RDD~16 .output_mode = "comb_only"; +defparam \RDD~16 .register_cascade_mode = "off"; +defparam \RDD~16 .sum_lutc_input = "datac"; +defparam \RDD~16 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N1 +maxii_lcell \RDD[5] ( +// Equation(s): +// RDD[5] = DFFEAS((\RDD[4]~13_combout & ((\RDD~16_combout & ((Addr[21]))) # (!\RDD~16_combout & (\SD[5]~5 )))) # (!\RDD[4]~13_combout & (((\RDD~16_combout )))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) + + .clk(!\C25M~combout ), + .dataa(\SD[5]~5 ), + .datab(\RDD[4]~13_combout ), + .datac(Addr[21]), + .datad(\RDD~16_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal20~0_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(RDD[5]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[5] .lut_mask = "f388"; +defparam \RDD[5] .operation_mode = "normal"; +defparam \RDD[5] .output_mode = "reg_only"; +defparam \RDD[5] .register_cascade_mode = "off"; +defparam \RDD[5] .sum_lutc_input = "datac"; +defparam \RDD[5] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N6 +maxii_lcell \RDD~18 ( +// Equation(s): +// \RDD~18_combout = (\RDD[1]~23_combout & ((\RDD[4]~12_combout & ((Addr[6]))) # (!\RDD[4]~12_combout & (Addr[14])))) # (!\RDD[1]~23_combout & (((!\RDD[4]~12_combout )))) + + .clk(gnd), + .dataa(Addr[14]), + .datab(\RDD[1]~23_combout ), + .datac(\RDD[4]~12_combout ), + .datad(Addr[6]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD~18_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD~18 .lut_mask = "cb0b"; +defparam \RDD~18 .operation_mode = "normal"; +defparam \RDD~18 .output_mode = "comb_only"; +defparam \RDD~18 .register_cascade_mode = "off"; +defparam \RDD~18 .sum_lutc_input = "datac"; +defparam \RDD~18 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N2 +maxii_lcell \RDD[6] ( +// Equation(s): +// RDD[6] = DFFEAS((\RDD[4]~13_combout & ((\RDD~18_combout & (Addr[22])) # (!\RDD~18_combout & ((\SD[6]~6 ))))) # (!\RDD[4]~13_combout & (((\RDD~18_combout )))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) + + .clk(!\C25M~combout ), + .dataa(Addr[22]), + .datab(\RDD[4]~13_combout ), + .datac(\RDD~18_combout ), + .datad(\SD[6]~6 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal20~0_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(RDD[6]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[6] .lut_mask = "bcb0"; +defparam \RDD[6] .operation_mode = "normal"; +defparam \RDD[6] .output_mode = "reg_only"; +defparam \RDD[6] .register_cascade_mode = "off"; +defparam \RDD[6] .sum_lutc_input = "datac"; +defparam \RDD[6] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X6_Y4_N0 +maxii_lcell \RDD~20 ( +// Equation(s): +// \RDD~20_combout = (\RDD[4]~12_combout & (Addr[7] & ((\RDD[1]~23_combout )))) # (!\RDD[4]~12_combout & (((Addr[15]) # (!\RDD[1]~23_combout )))) + + .clk(gnd), + .dataa(Addr[7]), + .datab(\RDD[4]~12_combout ), + .datac(Addr[15]), + .datad(\RDD[1]~23_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\RDD~20_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD~20 .lut_mask = "b833"; +defparam \RDD~20 .operation_mode = "normal"; +defparam \RDD~20 .output_mode = "comb_only"; +defparam \RDD~20 .register_cascade_mode = "off"; +defparam \RDD~20 .sum_lutc_input = "datac"; +defparam \RDD~20 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X5_Y4_N3 +maxii_lcell \RDD[7] ( +// Equation(s): +// RDD[7] = DFFEAS((\RDD~20_combout & (((Addr[23]) # (!\RDD[4]~13_combout )))) # (!\RDD~20_combout & (\SD[7]~7 & ((\RDD[4]~13_combout )))), !GLOBAL(\C25M~combout ), VCC, , \Equal20~0_combout , , , , ) + + .clk(!\C25M~combout ), + .dataa(\RDD~20_combout ), + .datab(\SD[7]~7 ), + .datac(Addr[23]), + .datad(\RDD[4]~13_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\Equal20~0_combout ), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(RDD[7]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \RDD[7] .lut_mask = "e4aa"; +defparam \RDD[7] .operation_mode = "normal"; +defparam \RDD[7] .output_mode = "reg_only"; +defparam \RDD[7] .register_cascade_mode = "off"; +defparam \RDD[7] .sum_lutc_input = "datac"; +defparam \RDD[7] .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X7_Y1_N6 +maxii_lcell \WRD[0] ( +// Equation(s): +// WRD[0] = DFFEAS((\IS.110~regout & (\MOSI~0 )) # (!\IS.110~regout & (((\RD[0]~0 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[0], , , PS[0]) + + .clk(\C25M~combout ), + .dataa(\IS.110~regout ), + .datab(\MOSI~0 ), + .datac(WRD[0]), + .datad(\RD[0]~0 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[0]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(WRD[0]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \WRD[0] .lut_mask = "dd88"; +defparam \WRD[0] .operation_mode = "normal"; +defparam \WRD[0] .output_mode = "reg_only"; +defparam \WRD[0] .register_cascade_mode = "off"; +defparam \WRD[0] .sum_lutc_input = "datac"; +defparam \WRD[0] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X5_Y1_N4 +maxii_lcell SDOE( +// Equation(s): +// \SDOE~regout = DFFEAS((((\Equal19~0_combout & \nRCS~1 ))), GLOBAL(\C25M~combout ), VCC, , , , , , ) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\Equal19~0_combout ), + .datad(\nRCS~1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\SDOE~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam SDOE.lut_mask = "f000"; +defparam SDOE.operation_mode = "normal"; +defparam SDOE.output_mode = "reg_only"; +defparam SDOE.register_cascade_mode = "off"; +defparam SDOE.sum_lutc_input = "datac"; +defparam SDOE.synch_mode = "off"; +// synopsys translate_on + +// Location: PIN_16, I/O Standard: 3.3-V LVTTL, Current Strength: Default +maxii_io \MISO~I ( + .datain(gnd), + .oe(gnd), + .combout(\MISO~combout ), + .padio(MISO)); +// synopsys translate_off +defparam \MISO~I .bus_hold = "true"; +defparam \MISO~I .operation_mode = "input"; +// synopsys translate_on + +// Location: LC_X7_Y1_N7 +maxii_lcell \WRD[1] ( +// Equation(s): +// WRD[1] = DFFEAS((\IS.110~regout & (\MISO~combout )) # (!\IS.110~regout & (((\RD[1]~1 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[1], , , PS[0]) + + .clk(\C25M~combout ), + .dataa(\IS.110~regout ), + .datab(\MISO~combout ), + .datac(WRD[1]), + .datad(\RD[1]~1 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[0]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(WRD[1]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \WRD[1] .lut_mask = "dd88"; +defparam \WRD[1] .operation_mode = "normal"; +defparam \WRD[1] .output_mode = "reg_only"; +defparam \WRD[1] .register_cascade_mode = "off"; +defparam \WRD[1] .sum_lutc_input = "datac"; +defparam \WRD[1] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y1_N2 +maxii_lcell \WRD[2] ( +// Equation(s): +// WRD[2] = DFFEAS(((\IS.110~regout & (WRD[0])) # (!\IS.110~regout & ((\RD[2]~2 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[2], , , PS[0]) + + .clk(\C25M~combout ), + .dataa(WRD[0]), + .datab(\RD[2]~2 ), + .datac(WRD[2]), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[0]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(WRD[2]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \WRD[2] .lut_mask = "aacc"; +defparam \WRD[2] .operation_mode = "normal"; +defparam \WRD[2] .output_mode = "reg_only"; +defparam \WRD[2] .register_cascade_mode = "off"; +defparam \WRD[2] .sum_lutc_input = "datac"; +defparam \WRD[2] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y1_N3 +maxii_lcell \WRD[3] ( +// Equation(s): +// WRD[3] = DFFEAS(((\IS.110~regout & (WRD[1])) # (!\IS.110~regout & ((\RD[3]~3 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[3], , , PS[0]) + + .clk(\C25M~combout ), + .dataa(WRD[1]), + .datab(\RD[3]~3 ), + .datac(WRD[3]), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[0]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(WRD[3]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \WRD[3] .lut_mask = "aacc"; +defparam \WRD[3] .operation_mode = "normal"; +defparam \WRD[3] .output_mode = "reg_only"; +defparam \WRD[3] .register_cascade_mode = "off"; +defparam \WRD[3] .sum_lutc_input = "datac"; +defparam \WRD[3] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y1_N5 +maxii_lcell \WRD[4] ( +// Equation(s): +// WRD[4] = DFFEAS((\IS.110~regout & (((WRD[2])))) # (!\IS.110~regout & (\RD[4]~4 )), GLOBAL(\C25M~combout ), VCC, , , WRD[4], , , PS[0]) + + .clk(\C25M~combout ), + .dataa(\IS.110~regout ), + .datab(\RD[4]~4 ), + .datac(WRD[4]), + .datad(WRD[2]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[0]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(WRD[4]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \WRD[4] .lut_mask = "ee44"; +defparam \WRD[4] .operation_mode = "normal"; +defparam \WRD[4] .output_mode = "reg_only"; +defparam \WRD[4] .register_cascade_mode = "off"; +defparam \WRD[4] .sum_lutc_input = "datac"; +defparam \WRD[4] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y1_N8 +maxii_lcell \WRD[5] ( +// Equation(s): +// WRD[5] = DFFEAS((\IS.110~regout & (((WRD[3])))) # (!\IS.110~regout & (\RD[5]~5 )), GLOBAL(\C25M~combout ), VCC, , , WRD[5], , , PS[0]) + + .clk(\C25M~combout ), + .dataa(\IS.110~regout ), + .datab(\RD[5]~5 ), + .datac(WRD[5]), + .datad(WRD[3]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[0]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(WRD[5]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \WRD[5] .lut_mask = "ee44"; +defparam \WRD[5] .operation_mode = "normal"; +defparam \WRD[5] .output_mode = "reg_only"; +defparam \WRD[5] .register_cascade_mode = "off"; +defparam \WRD[5] .sum_lutc_input = "datac"; +defparam \WRD[5] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y1_N9 +maxii_lcell \WRD[6] ( +// Equation(s): +// WRD[6] = DFFEAS(((\IS.110~regout & (WRD[4])) # (!\IS.110~regout & ((\RD[6]~6 )))), GLOBAL(\C25M~combout ), VCC, , , WRD[6], , , PS[0]) + + .clk(\C25M~combout ), + .dataa(WRD[4]), + .datab(\RD[6]~6 ), + .datac(WRD[6]), + .datad(\IS.110~regout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[0]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(WRD[6]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \WRD[6] .lut_mask = "aacc"; +defparam \WRD[6] .operation_mode = "normal"; +defparam \WRD[6] .output_mode = "reg_only"; +defparam \WRD[6] .register_cascade_mode = "off"; +defparam \WRD[6] .sum_lutc_input = "datac"; +defparam \WRD[6] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X7_Y1_N4 +maxii_lcell \WRD[7] ( +// Equation(s): +// WRD[7] = DFFEAS((\IS.110~regout & (((WRD[5])))) # (!\IS.110~regout & (\RD[7]~7 )), GLOBAL(\C25M~combout ), VCC, , , WRD[7], , , PS[0]) + + .clk(\C25M~combout ), + .dataa(\IS.110~regout ), + .datab(\RD[7]~7 ), + .datac(WRD[7]), + .datad(WRD[5]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(PS[0]), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(WRD[7]), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \WRD[7] .lut_mask = "ee44"; +defparam \WRD[7] .operation_mode = "normal"; +defparam \WRD[7] .output_mode = "reg_only"; +defparam \WRD[7] .register_cascade_mode = "off"; +defparam \WRD[7] .sum_lutc_input = "datac"; +defparam \WRD[7] .synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X4_Y2_N2 +maxii_lcell \Mux2~0 ( +// Equation(s): +// \Mux2~0_combout = (LS[1] & (!PS[1] & ((!LS[2])))) # (!LS[1] & (PS[1] & (!SetFWr[1] & LS[2]))) + + .clk(gnd), + .dataa(LS[1]), + .datab(PS[1]), + .datac(SetFWr[1]), + .datad(LS[2]), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux2~0_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux2~0 .lut_mask = "0422"; +defparam \Mux2~0 .operation_mode = "normal"; +defparam \Mux2~0 .output_mode = "comb_only"; +defparam \Mux2~0 .register_cascade_mode = "off"; +defparam \Mux2~0 .sum_lutc_input = "datac"; +defparam \Mux2~0 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y1_N8 +maxii_lcell \Mux2~1 ( +// Equation(s): +// \Mux2~1_combout = (((LS[0] & \Mux2~0_combout ))) + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(LS[0]), + .datad(\Mux2~0_combout ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux2~1_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux2~1 .lut_mask = "f000"; +defparam \Mux2~1 .operation_mode = "normal"; +defparam \Mux2~1 .output_mode = "comb_only"; +defparam \Mux2~1 .register_cascade_mode = "off"; +defparam \Mux2~1 .sum_lutc_input = "datac"; +defparam \Mux2~1 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y1_N9 +maxii_lcell \Mux2~3 ( +// Equation(s): +// \Mux2~3_combout = (((LS[0] & \Mux2~2 ))) + + .clk(gnd), + .dataa(vcc), + .datab(vcc), + .datac(LS[0]), + .datad(\Mux2~2 ), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\Mux2~3_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \Mux2~3 .lut_mask = "f000"; +defparam \Mux2~3 .operation_mode = "normal"; +defparam \Mux2~3 .output_mode = "comb_only"; +defparam \Mux2~3 .register_cascade_mode = "off"; +defparam \Mux2~3 .sum_lutc_input = "datac"; +defparam \Mux2~3 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y1_N6 +maxii_lcell \SA[1]~14 ( +// Equation(s): +// \SA[1]~14_combout = PS[3] $ ((((PS[1])))) + + .clk(gnd), + .dataa(PS[3]), + .datab(vcc), + .datac(PS[1]), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(\SA[1]~14_combout ), + .regout(), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam \SA[1]~14 .lut_mask = "5a5a"; +defparam \SA[1]~14 .operation_mode = "normal"; +defparam \SA[1]~14 .output_mode = "comb_only"; +defparam \SA[1]~14 .register_cascade_mode = "off"; +defparam \SA[1]~14 .sum_lutc_input = "datac"; +defparam \SA[1]~14 .synch_mode = "off"; +// synopsys translate_on + +// Location: LC_X2_Y1_N4 +maxii_lcell MOSIout( +// Equation(s): +// \MOSIout~regout = DFFEAS((PS[2] & (((\Mux2~3_combout )))) # (!PS[2] & (\Mux2~1_combout & ((\SA[1]~14_combout )))), GLOBAL(\C25M~combout ), VCC, , PS[0], , , !PS[0], ) + + .clk(\C25M~combout ), + .dataa(\Mux2~1_combout ), + .datab(\Mux2~3_combout ), + .datac(\SA[1]~14_combout ), + .datad(PS[2]), + .aclr(gnd), + .aload(gnd), + .sclr(!PS[0]), + .sload(gnd), + .ena(PS[0]), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\MOSIout~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam MOSIout.lut_mask = "cca0"; +defparam MOSIout.operation_mode = "normal"; +defparam MOSIout.output_mode = "reg_only"; +defparam MOSIout.register_cascade_mode = "off"; +defparam MOSIout.sum_lutc_input = "datac"; +defparam MOSIout.synch_mode = "on"; +// synopsys translate_on + +// Location: LC_X2_Y2_N8 +maxii_lcell MOSIOE( +// Equation(s): +// \MOSIOE~regout = DFFEAS(GND, GLOBAL(\C25M~combout ), VCC, , , \IS.101~regout , , , VCC) + + .clk(\C25M~combout ), + .dataa(vcc), + .datab(vcc), + .datac(\IS.101~regout ), + .datad(vcc), + .aclr(gnd), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .cin(gnd), + .cin0(gnd), + .cin1(vcc), + .inverta(gnd), + .regcascin(gnd), + .devclrn(devclrn), + .devpor(devpor), + .combout(), + .regout(\MOSIOE~regout ), + .cout(), + .cout0(), + .cout1()); +// synopsys translate_off +defparam MOSIOE.lut_mask = "0000"; +defparam MOSIOE.operation_mode = "normal"; +defparam MOSIOE.output_mode = "reg_only"; +defparam MOSIOE.register_cascade_mode = "off"; +defparam MOSIOE.sum_lutc_input = "datac"; +defparam MOSIOE.synch_mode = "on"; +// synopsys translate_on + +// Location: PIN_30, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \nRESout~I ( + .datain(\nRESout~reg0_regout ), + .oe(vcc), + .combout(), + .padio(nRESout)); +// synopsys translate_off +defparam \nRESout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_20, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \INTout~I ( + .datain(\INTin~combout ), + .oe(vcc), + .combout(), + .padio(INTout)); +// synopsys translate_off +defparam \INTout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_18, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \DMAout~I ( + .datain(\DMAin~combout ), + .oe(vcc), + .combout(), + .padio(DMAout)); +// synopsys translate_off +defparam \DMAout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_26, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \nNMIout~I ( + .datain(vcc), + .oe(vcc), + .combout(), + .padio(nNMIout)); +// synopsys translate_off +defparam \nNMIout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_29, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \nIRQout~I ( + .datain(vcc), + .oe(vcc), + .combout(), + .padio(nIRQout)); +// synopsys translate_off +defparam \nIRQout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_28, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \nRDYout~I ( + .datain(vcc), + .oe(vcc), + .combout(), + .padio(nRDYout)); +// synopsys translate_off +defparam \nRDYout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_27, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \nINHout~I ( + .datain(vcc), + .oe(vcc), + .combout(), + .padio(nINHout)); +// synopsys translate_off +defparam \nINHout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_33, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \RWout~I ( + .datain(vcc), + .oe(vcc), + .combout(), + .padio(RWout)); +// synopsys translate_off +defparam \RWout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_21, I/O Standard: 3.3-V LVTTL, Current Strength: 16mA +maxii_io \nDMAout~I ( + .datain(vcc), + .oe(vcc), + .combout(), + .padio(nDMAout)); +// synopsys translate_off +defparam \nDMAout~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_19, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RAdir~I ( + .datain(vcc), + .oe(vcc), + .combout(), + .padio(RAdir)); +// synopsys translate_off +defparam \RAdir~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_17, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RDdir~I ( + .datain(!\comb~2_combout ), + .oe(vcc), + .combout(), + .padio(RDdir)); +// synopsys translate_off +defparam \RDdir~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_69, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SBA[0]~I ( + .datain(\SBA[0]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SBA[0])); +// synopsys translate_off +defparam \SBA[0]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_71, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SBA[1]~I ( + .datain(\SBA[1]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SBA[1])); +// synopsys translate_off +defparam \SBA[1]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_75, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[0]~I ( + .datain(\SA[0]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[0])); +// synopsys translate_off +defparam \SA[0]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_81, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[1]~I ( + .datain(\SA[1]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[1])); +// synopsys translate_off +defparam \SA[1]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_82, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[2]~I ( + .datain(\SA[2]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[2])); +// synopsys translate_off +defparam \SA[2]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_84, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[3]~I ( + .datain(\SA[3]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[3])); +// synopsys translate_off +defparam \SA[3]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_76, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[4]~I ( + .datain(\SA[4]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[4])); +// synopsys translate_off +defparam \SA[4]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_83, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[5]~I ( + .datain(\SA[5]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[5])); +// synopsys translate_off +defparam \SA[5]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_77, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[6]~I ( + .datain(\SA[6]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[6])); +// synopsys translate_off +defparam \SA[6]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_78, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[7]~I ( + .datain(\SA[7]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[7])); +// synopsys translate_off +defparam \SA[7]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_74, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[8]~I ( + .datain(\SA[8]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[8])); +// synopsys translate_off +defparam \SA[8]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_72, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[9]~I ( + .datain(\SA[9]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[9])); +// synopsys translate_off +defparam \SA[9]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_73, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[10]~I ( + .datain(\SA[10]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[10])); +// synopsys translate_off +defparam \SA[10]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_70, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[11]~I ( + .datain(\SA[11]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[11])); +// synopsys translate_off +defparam \SA[11]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_68, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \SA[12]~I ( + .datain(\SA[12]~reg0_regout ), + .oe(vcc), + .combout(), + .padio(SA[12])); +// synopsys translate_off +defparam \SA[12]~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_67, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \nRCS~I ( + .datain(!\nRCS~reg0_regout ), + .oe(vcc), + .combout(), + .padio(nRCS)); +// synopsys translate_off +defparam \nRCS~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_62, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \nRAS~I ( + .datain(!\nRAS~reg0_regout ), + .oe(vcc), + .combout(), + .padio(nRAS)); +// synopsys translate_off +defparam \nRAS~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_61, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \nCAS~I ( + .datain(!\nCAS~reg0_regout ), + .oe(vcc), + .combout(), + .padio(nCAS)); +// synopsys translate_off +defparam \nCAS~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_58, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \nSWE~I ( + .datain(!\nSWE~reg0_regout ), + .oe(vcc), + .combout(), + .padio(nSWE)); +// synopsys translate_off +defparam \nSWE~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_85, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \DQML~I ( + .datain(!\DQML~reg0_regout ), + .oe(vcc), + .combout(), + .padio(DQML)); +// synopsys translate_off +defparam \DQML~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_57, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \DQMH~I ( + .datain(!\DQMH~reg0_regout ), + .oe(vcc), + .combout(), + .padio(DQMH)); +// synopsys translate_off +defparam \DQMH~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_66, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \RCKE~I ( + .datain(!\RCKE~reg0_regout ), + .oe(vcc), + .combout(), + .padio(RCKE)); +// synopsys translate_off +defparam \RCKE~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_5, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \nFCS~I ( + .datain(!\FCS~regout ), + .oe(\FCKOE~regout ), + .combout(), + .padio(nFCS)); +// synopsys translate_off +defparam \nFCS~I .operation_mode = "output"; +// synopsys translate_on + +// Location: PIN_12, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA +maxii_io \FCK~I ( + .datain(\FCKout~regout ), + .oe(\FCKOE~regout ), + .combout(), + .padio(FCK)); +// synopsys translate_off +defparam \FCK~I .bus_hold = "true"; +defparam \FCK~I .operation_mode = "output"; +// synopsys translate_on + +endmodule diff --git a/cpld2/GR8RAM.qpf b/cpld2/GR8RAM.qpf deleted file mode 100644 index c72b9e0..0000000 --- a/cpld2/GR8RAM.qpf +++ /dev/null @@ -1,31 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2022 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition -# Date created = 11:15:44 February 28, 2023 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "22.1" -DATE = "11:15:44 February 28, 2023" - -# Revisions - -PROJECT_REVISION = "GR8RAM" diff --git a/cpld2/GR8RAM.qsf b/cpld2/GR8RAM.qsf deleted file mode 100644 index e2e3f88..0000000 --- a/cpld2/GR8RAM.qsf +++ /dev/null @@ -1,257 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -# Date created = 13:41:40 March 15, 2021 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# GR8RAM_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "MAX II" -set_global_assignment -name DEVICE EPM240T100C5 -set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 22.1STD.0 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:15:44 FEBRUARY 28, 2023" -set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" -set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V -set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" -set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR simulation/questa -section_id eda_simulation -set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation -set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity -set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan -set_location_assignment PIN_2 -to RA[5] -set_location_assignment PIN_3 -to RA[6] -set_location_assignment PIN_4 -to RA[3] -set_location_assignment PIN_5 -to nFCS -set_location_assignment PIN_6 -to RA[7] -set_location_assignment PIN_7 -to RA[8] -set_location_assignment PIN_8 -to RA[9] -set_location_assignment PIN_12 -to FCK -set_location_assignment PIN_14 -to RA[10] -set_location_assignment PIN_15 -to MOSI -set_location_assignment PIN_16 -to MISO -set_location_assignment PIN_30 -to nRESout -set_location_assignment PIN_34 -to RA[11] -set_location_assignment PIN_35 -to RA[12] -set_location_assignment PIN_36 -to RA[13] -set_location_assignment PIN_37 -to RA[14] -set_location_assignment PIN_38 -to RA[15] -set_location_assignment PIN_39 -to nIOSEL -set_location_assignment PIN_42 -to nIOSTRB -set_location_assignment PIN_40 -to nDEVSEL -set_location_assignment PIN_41 -to PHI0 -set_location_assignment PIN_43 -to nWE -set_location_assignment PIN_44 -to nRES -set_location_assignment PIN_47 -to SD[1] -set_location_assignment PIN_50 -to SD[0] -set_location_assignment PIN_51 -to SD[4] -set_location_assignment PIN_100 -to RA[0] -set_location_assignment PIN_99 -to RD[7] -set_location_assignment PIN_52 -to SD[5] -set_location_assignment PIN_54 -to SD[7] -set_location_assignment PIN_55 -to SD[3] -set_location_assignment PIN_56 -to SD[2] -set_location_assignment PIN_53 -to SD[6] -set_location_assignment PIN_57 -to DQMH -set_location_assignment PIN_58 -to nSWE -set_location_assignment PIN_62 -to nRAS -set_location_assignment PIN_61 -to nCAS -set_location_assignment PIN_64 -to C25M -set_location_assignment PIN_66 -to RCKE -set_location_assignment PIN_67 -to nRCS -set_location_assignment PIN_68 -to SA[12] -set_location_assignment PIN_69 -to SBA[0] -set_location_assignment PIN_70 -to SA[11] -set_location_assignment PIN_71 -to SBA[1] -set_location_assignment PIN_72 -to SA[9] -set_location_assignment PIN_73 -to SA[10] -set_location_assignment PIN_74 -to SA[8] -set_location_assignment PIN_75 -to SA[0] -set_location_assignment PIN_76 -to SA[4] -set_location_assignment PIN_77 -to SA[6] -set_location_assignment PIN_78 -to SA[7] -set_location_assignment PIN_81 -to SA[1] -set_location_assignment PIN_82 -to SA[2] -set_location_assignment PIN_83 -to SA[5] -set_location_assignment PIN_84 -to SA[3] -set_location_assignment PIN_85 -to DQML -set_location_assignment PIN_86 -to RD[0] -set_location_assignment PIN_87 -to RD[1] -set_location_assignment PIN_88 -to RD[2] -set_location_assignment PIN_89 -to RD[3] -set_location_assignment PIN_90 -to RD[4] -set_location_assignment PIN_91 -to RD[5] -set_location_assignment PIN_92 -to RD[6] -set_location_assignment PIN_97 -to RA[2] -set_location_assignment PIN_98 -to RA[1] -set_location_assignment PIN_96 -to SetFW[0] -set_location_assignment PIN_95 -to SetFW[1] -set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 -set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2 -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nFCS -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nFCS -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to FCK -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to FCK -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MOSI -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MOSI -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MISO -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MISO -set_location_assignment PIN_21 -to nDMAout -set_location_assignment PIN_19 -to RAdir -set_location_assignment PIN_20 -to INTout -set_location_assignment PIN_26 -to nNMIout -set_location_assignment PIN_27 -to nINHout -set_location_assignment PIN_28 -to nRDYout -set_location_assignment PIN_29 -to nIRQout -set_location_assignment PIN_33 -to RWout -set_location_assignment PIN_48 -to DMAin -set_location_assignment PIN_49 -to INTin -set_location_assignment PIN_17 -to RDdir -set_location_assignment PIN_18 -to DMAout -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAdir -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RAdir -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RAdir -set_instance_assignment -name SLOW_SLEW_RATE ON -to RAdir -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RAdir -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RDdir -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RDdir -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RDdir -set_instance_assignment -name SLOW_SLEW_RATE ON -to RDdir -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RDdir -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to PHI0 -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to PHI0 -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to PHI0 -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nWE -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nWE -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nDEVSEL -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nDEVSEL -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nDEVSEL -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSEL -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSEL -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSEL -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSTRB -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSTRB -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSTRB -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nRES -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRES -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRES -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRESout -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nRESout -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRESout -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRESout -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRESout -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nFCS -set_instance_assignment -name SLOW_SLEW_RATE ON -to nFCS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nFCS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FCK -set_instance_assignment -name SLOW_SLEW_RATE ON -to FCK -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to FCK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MOSI -set_instance_assignment -name SLOW_SLEW_RATE ON -to MOSI -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MOSI -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MISO -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to C25M -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to C25M -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to C25M -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRCS -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRCS -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRCS -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRCS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRAS -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRAS -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nCAS -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nCAS -set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nSWE -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nSWE -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nSWE -set_instance_assignment -name SLOW_SLEW_RATE ON -to nSWE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nSWE -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RCKE -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RCKE -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RCKE -set_instance_assignment -name SLOW_SLEW_RATE ON -to RCKE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RCKE -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBA -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SBA -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SBA -set_instance_assignment -name SLOW_SLEW_RATE ON -to SBA -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SBA -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA -set_instance_assignment -name SLOW_SLEW_RATE ON -to SA -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQMH -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQMH -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQMH -set_instance_assignment -name SLOW_SLEW_RATE ON -to DQMH -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQMH -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQML -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQML -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQML -set_instance_assignment -name SLOW_SLEW_RATE ON -to DQML -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQML -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SetFW -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SetFW -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SetFW -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SD -set_instance_assignment -name SLOW_SLEW_RATE ON -to SD -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SD -set_global_assignment -name SDC_FILE GR8RAM.sdc \ No newline at end of file diff --git a/cpld2/GR8RAM.qws b/cpld2/GR8RAM.qws deleted file mode 100644 index 63563b76eda4b19c3f4f321afd3f1b7df67b8d5e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 48 ocmZ?JV1NM`h8%`OhGK>ihIoc@hJ1!1hHN0O04SEskP1@-0GYrBX8-^I diff --git a/cpld2/GR8RAM.sdc b/cpld2/GR8RAM.sdc deleted file mode 100644 index a7c9d8f..0000000 --- a/cpld2/GR8RAM.sdc +++ /dev/null @@ -1,3 +0,0 @@ -create_clock -period 40 [get_ports C25M] -create_clock -period 978 [get_ports PHI0] -set_clock_groups -asynchronous -group C25M -group PHI0 \ No newline at end of file diff --git a/cpld2/GR8RAM.v b/cpld2/GR8RAM.v deleted file mode 100644 index 41dd3b5..0000000 --- a/cpld2/GR8RAM.v +++ /dev/null @@ -1,568 +0,0 @@ -module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, - INTin, INTout, DMAin, DMAout, - nNMIout, nIRQout, nRDYout, nINHout, RWout, nDMAout, - RA, nWE, RD, RAdir, RDdir, nIOSEL, nDEVSEL, nIOSTRB, - SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, - nFCS, FCK, MISO, MOSI); - - /* Clock signals */ - input C25M, PHI0; - reg PHI0r1, PHI0r2; - always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end - - /* Reset filter */ - input nRES; - reg [3:0] nRESf = 0; - reg nRESr = 0; - always @(posedge C25M) begin - nRESf[3:0] <= { nRESf[2:0], nRES }; - nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; - end - - /* Firmware select */ - input [1:0] SetFW; - reg [1:0] SetFWr; - reg SetFWLoaded = 0; - always @(posedge C25M) begin - if (~SetFWLoaded) begin - SetFWLoaded <= 1; - SetFWr[1:0] <= SetFW[1:0]; - end - end - wire [1:0] SetROM = ~SetFWr[1:0]; - wire SetEN16MB = SetROM[1:0]==2'b11; - wire SetEN24bit = SetROM[1]; - - /* State counter from PHI0 rising edge */ - reg [3:0] PS = 0; - wire PSStart = PS==0 && PHI0r1 && ~PHI0r2; - always @(posedge C25M) begin - if (PSStart) PS <= 1; - else if (PS==0) PS <= 0; - else PS <= PS+1; - end - - /* Long state counter: counts from 0 to $3FFF */ - reg [13:0] LS = 0; - always @(posedge C25M) begin if (PS==15) LS <= LS+1; end - - /* Init state */ - output reg nRESout = 0; - reg [2:0] IS = 0; - always @(posedge C25M) begin - if (IS==7) nRESout <= 1; - else if (PS==15) begin - if (LS==14'h1FCE) IS <= 1; // PC all + load mode - else if (LS==14'h1FCF) IS <= 4; // AREF pause, SPI select - else if (LS==14'h1FFA) IS <= 5; // SPI flash command - else if (LS==14'h1FFF) IS <= 6; // Flash load driver - else if (LS==14'h3FFF) IS <= 7; // Operating mode - end - end - - /* Apple IO area select signals */ - input nIOSEL, nDEVSEL, nIOSTRB; - - /* Apple address bus */ - input [15:0] RA; input nWE; - reg [11:0] RAr; reg nWEr; - reg CXXXr; - always @(posedge PHI0) begin - CXXXr <= RA[15:12]==4'hC; - RAr[11:0] <= RA[11:0]; - nWEr <= nWE; - end - - /* Apple select signals */ - wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (~RAr[11])); - wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; - wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; - wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; - wire RAMSpecSEL = RAMRegSpecSEL && (~SetEN24bit || SetEN16MB || ~Addr[23]); - wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; - wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; - wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; - wire BankSEL = REGEN && ~nDEVSEL && BankSpecSEL; - wire RAMRegSEL = ~nDEVSEL && RAMRegSpecSEL; - wire RAMSEL = ~nDEVSEL && RAMSpecSEL; - wire RAMWR = RAMSEL && ~nWEr; - wire AddrHSEL = REGEN && ~nDEVSEL && AddrHSpecSEL; - wire AddrMSEL = REGEN && ~nDEVSEL && AddrMSpecSEL; - wire AddrLSEL = REGEN && ~nDEVSEL && AddrLSpecSEL; - - /* IOROMEN and REGEN control */ - reg IOROMEN = 0; - reg REGEN = 0; - reg nIOSTRBr; - wire IOROMRES = RAr[10:0]==11'h7FF && ~nIOSTRB && ~nIOSTRBr; - always @(posedge C25M, negedge nRESr) begin - if (~nRESr) REGEN <= 0; - else if (PS==8 && ~nIOSEL) REGEN <= 1; - end - always @(posedge C25M) begin - nIOSTRBr <= nIOSTRB; - if (~nRESr) IOROMEN <= 0; - else if (PS==8 && IOROMRES) IOROMEN <= 0; - else if (PS==8 && ~nIOSEL) IOROMEN <= 1; - end - - /* Apple data bus */ - inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; - reg [7:0] RDD; - output RDdir = ~(PHI0r2 && nWE && PHI0 && - (~nDEVSEL || ~nIOSEL || (~nIOSTRB && IOROMEN && RA[10:0]!=11'h7FF))); - - /* Slinky address registers */ - reg [23:0] Addr = 0; - reg AddrIncL = 0; - reg AddrIncM = 0; - reg AddrIncH = 0; - always @(posedge C25M, negedge nRESr) begin - if (~nRESr) begin - Addr[23:0] <= 24'h000000; - AddrIncL <= 0; - AddrIncM <= 0; - AddrIncH <= 0; - end else begin - if (PS==8 && RAMRegSEL) AddrIncL <= 1; - else AddrIncL <= 0; - - if (PS==8 && AddrLSEL && ~nWEr) begin - Addr[7:0] <= RD[7:0]; - AddrIncM <= Addr[7] && ~RD[7]; - end else if (AddrIncL) begin - Addr[7:0] <= Addr[7:0]+1; - AddrIncM <= Addr[7:0]==8'hFF; - end else AddrIncM <= 0; - - if (PS==8 && AddrMSEL && ~nWEr) begin - Addr[15:8] <= RD[7:0]; - AddrIncH <= Addr[15] && ~RD[7]; - end else if (AddrIncM) begin - Addr[15:8] <= Addr[15:8]+1; - AddrIncH <= Addr[15:8]==8'hFF; - end else AddrIncH <= 0; - - if (PS==8 && AddrHSEL && ~nWEr) begin - Addr[23:16] <= RD[7:0]; - end else if (AddrIncH) begin - Addr[23:16] <= Addr[23:16]+1; - end - end - end - - /* ROM bank register */ - reg Bank = 0; - always @(posedge C25M, negedge nRESr) begin - if (~nRESr) Bank <= 0; - else if (PS==8 && BankSEL && ~nWEr) begin - Bank <= RD[0]; - end - end - - /* SPI flash control signals */ - output nFCS = FCKOE ? ~FCS : 1'bZ; - reg FCS = 0; - output FCK = FCKOE ? FCKout : 1'bZ; - reg FCKOE = 0; - reg FCKout = 0; - inout MOSI = MOSIOE ? MOSIout : 1'bZ; - reg MOSIOE = 0; - input MISO; - always @(posedge C25M) begin - case (PS[3:0]) - 0: begin // NOP CKE - FCKout <= 1'b1; - end 1: begin // ACT - FCKout <= ~(IS==5 || IS==6); - end 2: begin // RD - FCKout <= 1'b1; - end 3: begin // NOP CKE - FCKout <= ~(IS==5 || IS==6); - end 4: begin // NOP CKE - FCKout <= 1'b1; - end 5: begin // NOP CKE - FCKout <= ~(IS==5 || IS==6); - end 6: begin // NOP CKE - FCKout <= 1'b1; - end 7: begin // NOP CKE - FCKout <= ~(IS==5 || IS==6); - end 8: begin // WR AP - FCKout <= 1'b1; - end 9: begin // NOP CKE - FCKout <= ~(IS==5); - end 10: begin // PC all - FCKout <= 1'b1; - end 11: begin // AREF - FCKout <= ~(IS==5); - end 12: begin // NOP CKE - FCKout <= 1'b1; - end 13: begin // NOP CKE - FCKout <= ~(IS==5); - end 14: begin // NOP CKE - FCKout <= 1'b1; - end 15: begin // NOP CKE - FCKout <= ~(IS==5); - end - endcase - FCS <= IS==4 || IS==5 || IS==6; - MOSIOE <= IS==5; - FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; - end - - /* SPI flash MOSI control */ - reg MOSIout = 0; - always @(posedge C25M) begin - case (PS[3:0]) - 1: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 7 - 3'h4: MOSIout <= 1'b0; // Address bit 23 - 3'h5: MOSIout <= 1'b0; // Address bit 15 - 3'h6: MOSIout <= 1'b0; // Address bit 7 - default MOSIout <= 1'b0; - endcase - end 3: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 6 - 3'h4: MOSIout <= 1'b0; // Address bit 22 - 3'h5: MOSIout <= SetROM[1]; // Address bit 14 - 3'h6: MOSIout <= 1'b0; // Address bit 6 - default MOSIout <= 1'b0; - endcase - end 5: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 5 - 3'h4: MOSIout <= 1'b0; // Address bit 21 - 3'h5: MOSIout <= SetROM[0]; // Address bit 13 - 3'h6: MOSIout <= 1'b0; // Address bit 5 - default MOSIout <= 1'b0; - endcase - end 7: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 4 - 3'h4: MOSIout <= 1'b0; // Address bit 20 - 3'h5: MOSIout <= 1'b0; // Address bit 12 - 3'h6: MOSIout <= 1'b0; // Address bit 4 - default MOSIout <= 1'b0; - endcase - end 9: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 3 - 3'h4: MOSIout <= 1'b0; // Address bit 19 - 3'h5: MOSIout <= 1'b0; // Address bit 11 - 3'h6: MOSIout <= 1'b0; // Address bit 3 - default MOSIout <= 1'b0; - endcase - end 11: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b0; // Command bit 2 - 3'h4: MOSIout <= 1'b0; // Address bit 18 - 3'h5: MOSIout <= 1'b0; // Address bit 10 - 3'h6: MOSIout <= 1'b0; // Address bit 2 - default MOSIout <= 1'b0; - endcase - end 13: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 1 - 3'h4: MOSIout <= 1'b0; // Address bit 16 - 3'h5: MOSIout <= 1'b0; // Address bit 9 - 3'h6: MOSIout <= 1'b0; // Address bit 1 - default MOSIout <= 1'b0; - endcase - end 15: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 0 - 3'h4: MOSIout <= 1'b0; // Address bit 15 - 3'h5: MOSIout <= 1'b0; // Address bit 7 - 3'h6: MOSIout <= 1'b0; // Address bit 0 - default MOSIout <= 1'b0; - endcase - end - endcase - end - - /* SDRAM data bus */ - inout [7:0] SD = SDOE ? WRD[7:0] : 8'bZ; - reg [7:0] WRD; - reg SDOE = 0; - always @(posedge C25M) begin - case (PS[3:0]) - 0: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 1: begin // ACT - end 2: begin // RD - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 3: begin // NOP CKE - end 4: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 5: begin // NOP CKE - end 6: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 7: begin // NOP CKE - end 8: begin // WR AP - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 9: begin // NOP CKE - end 10: begin // PC all - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 11: begin // AREF - end 12: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 13: begin // NOP CKE - end 14: begin // NOP CKE - if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; - else WRD[7:0] <= RD[7:0]; - end 15: begin // NOP CKE - end - endcase - end - - /* Apple data bus from SDRAM */ - always @(negedge C25M) begin - if (PS==5) begin - if (AddrLSpecSEL) RDD[7:0] <= Addr[7:0]; - else if (AddrMSpecSEL) RDD[7:0] <= Addr[15:8]; - else if (AddrHSpecSEL) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; - else RDD[7:0] <= SD[7:0]; - end - end - - /* SDRAM command */ - output reg RCKE = 1; - output reg nRCS = 1; - output reg nRAS = 1; - output reg nCAS = 1; - output reg nSWE = 1; - wire RefReqd = LS[1:0] == 2'b11; - always @(posedge C25M) begin - case (PS[3:0]) - 0: begin // NOP CKE / NOP CKD - RCKE <= PSStart && (IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 1: begin // ACT CKE / NOP CKD (ACT) - RCKE <= IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL)); - nRCS <= ~(IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); - nRAS <= 0; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 2: begin // RD CKE / NOP CKD (RD) - RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); - nRCS <= ~(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); - nRAS <= 1; - nCAS <= 0; - nSWE <= 1; - SDOE <= 0; - end 3: begin // NOP CKE / CKD - RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 4: begin // NOP CKD - RCKE <= 0; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 5: begin // NOP CKD - RCKE <= 0; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 6: begin // NOP CKD - RCKE <= 0; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 7: begin // NOP CKE / CKD - RCKE <= IS==6 || (RAMWR && IS==7); - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 8: begin // WR AP CKE / NOP CKD (WR AP) - RCKE <= IS==6 || (RAMWR && IS==7); - nRCS <= ~(IS==6 || (RAMWR && IS==7)); - nRAS <= 1; - nCAS <= 0; - nSWE <= 0; - SDOE <= IS==6 || (RAMWR && IS==7); - end 9: begin // NOP CKE / NOP CKD - RCKE <= 1; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end 10: begin // PC all CKE / PC all CKD - RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); - nRCS <= 0; - nRAS <= 0; - nCAS <= 1; - nSWE <= 0; - SDOE <= 0; - end 11: begin // LDM CKE / AREF CKE / NOP CKD - RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); - nRCS <= ~(IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd)); - nRAS <= 0; - nCAS <= 0; - nSWE <= ~(IS==1); - SDOE <= 0; - end default: begin // NOP CKD - RCKE <= 0; - nRCS <= 1; - nRAS <= 1; - nCAS <= 1; - nSWE <= 1; - SDOE <= 0; - end - endcase - end - - /* SDRAM address */ - output reg DQML = 1; - output reg DQMH = 1; - output reg [1:0] SBA; - output reg [12:0] SA; - always @(posedge C25M) begin - case (PS[3:0]) - 0: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 1: begin // ACT - DQML <= 1'b1; - DQMH <= 1'b1; - if (IS==6) begin - SBA[1:0] <= { 2'b10 }; - SA[12:0] <= { 10'b0011000100, LS[12:10] }; - end else if (RAMSpecSEL) begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; - SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; - SA[9:0] <= Addr[19:10]; - end else begin - SBA[1:0] <= 2'b10; - SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; - end - end 2: begin // RD - if (RAMSpecSEL) begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; - SA[12:0] <= { 4'b0011, Addr[9:1] }; - DQML <= Addr[0]; - DQMH <= ~Addr[0]; - end else begin - SBA[1:0] <= 2'b10; - SA[12:0] <= { 4'b0011, RAr[9:1]}; - DQML <= RAr[0]; - DQMH <= ~RAr[0]; - end - end 3: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 4: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 5: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 6: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 7: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 8: begin // WR AP - if (IS==6) begin - SBA[1:0] <= 2'b10; - SA[12:0] <= { 4'b0011, LS[9:1] }; - DQML <= LS[0]; - DQMH <= ~LS[0]; - end else begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; - SA[12:0] <= { 4'b0011, Addr[9:1] }; - DQML <= Addr[0]; - DQMH <= ~Addr[0]; - end - end 9: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 10: begin // PC all - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 11: begin // AREF / load mode - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0001000100000; - end 12: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 13: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 14: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end 15: begin // NOP CKE - DQML <= 1'b1; - DQMH <= 1'b1; - SBA[1:0] <= 2'b00; - SA[12:0] <= 13'b0011000100000; - end - endcase - end - - /* DMA/INT in/out */ - input INTin, DMAin; - output INTout = INTin; - output DMAout = DMAin; - - /* Unused Pins */ - output RAdir = 1; - output nDMAout = 1; - output nNMIout = 1; - output nINHout = 1; - output nRDYout = 1; - output nIRQout = 1; - output RWout = 1; -endmodule diff --git a/cpld2/db/GR8RAM.db_info b/cpld2/db/GR8RAM.db_info deleted file mode 100644 index 5865109..0000000 --- a/cpld2/db/GR8RAM.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition -Version_Index = 553882368 -Creation_Time = Tue Feb 28 11:15:44 2023