diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index 189a496..a55b9a9 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -41,7 +41,7 @@ set_global_assignment -name DEVICE EPM240T100C5 set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "13:41:40 MARCH 15, 2021" -set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 diff --git a/cpld/GR8RAM_assignment_defaults.qdf b/cpld/GR8RAM_assignment_defaults.qdf new file mode 100644 index 0000000..31a43a3 --- /dev/null +++ b/cpld/GR8RAM_assignment_defaults.qdf @@ -0,0 +1,806 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:10:33 February 28, 2023 +# +# -------------------------------------------------------------------------- # +# +# Note: +# +# 1) Do not modify this file. This file was generated +# automatically by the Quartus Prime software and is used +# to preserve global assignments across Quartus Prime versions. +# +# -------------------------------------------------------------------------- # + +set_global_assignment -name IP_COMPONENT_REPORT_HIERARCHY Off +set_global_assignment -name IP_COMPONENT_INTERNAL Off +set_global_assignment -name PROJECT_SHOW_ENTITY_NAME On +set_global_assignment -name PROJECT_USE_SIMPLIFIED_NAMES Off +set_global_assignment -name ENABLE_REDUCED_MEMORY_MODE Off +set_global_assignment -name VER_COMPATIBLE_DB_DIR export_db +set_global_assignment -name AUTO_EXPORT_VER_COMPATIBLE_DB Off +set_global_assignment -name FLOW_DISABLE_ASSEMBLER Off +set_global_assignment -name FLOW_ENABLE_POWER_ANALYZER Off +set_global_assignment -name FLOW_ENABLE_HC_COMPARE Off +set_global_assignment -name HC_OUTPUT_DIR hc_output +set_global_assignment -name SAVE_MIGRATION_INFO_DURING_COMPILATION Off +set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS Off +set_global_assignment -name RUN_FULL_COMPILE_ON_DEVICE_CHANGE On +set_global_assignment -name FLOW_ENABLE_RTL_VIEWER Off +set_global_assignment -name READ_OR_WRITE_IN_BYTE_ADDRESS "Use global settings" +set_global_assignment -name FLOW_HARDCOPY_DESIGN_READINESS_CHECK On +set_global_assignment -name FLOW_ENABLE_PARALLEL_MODULES On +set_global_assignment -name ENABLE_COMPACT_REPORT_TABLE Off +set_global_assignment -name REVISION_TYPE Base -family "Arria V" +set_global_assignment -name REVISION_TYPE Base -family "Stratix V" +set_global_assignment -name REVISION_TYPE Base -family "Arria V GZ" +set_global_assignment -name REVISION_TYPE Base -family "Cyclone V" +set_global_assignment -name DEFAULT_HOLD_MULTICYCLE "Same as Multicycle" +set_global_assignment -name CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS On +set_global_assignment -name CUT_OFF_READ_DURING_WRITE_PATHS On +set_global_assignment -name CUT_OFF_IO_PIN_FEEDBACK On +set_global_assignment -name DO_COMBINED_ANALYSIS Off +set_global_assignment -name TDC_AGGRESSIVE_HOLD_CLOSURE_EFFORT Off +set_global_assignment -name ENABLE_HPS_INTERNAL_TIMING Off +set_global_assignment -name EMIF_SOC_PHYCLK_ADVANCE_MODELING Off +set_global_assignment -name USE_DLL_FREQUENCY_FOR_DQS_DELAY_CHAIN Off +set_global_assignment -name ANALYZE_LATCHES_AS_SYNCHRONOUS_ELEMENTS On +set_global_assignment -name TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS On +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_MULTICORNER_ANALYSIS On -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_DO_REPORT_TIMING Off +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_REPORT_WORST_CASE_TIMING_PATHS Off -family "Cyclone V" +set_global_assignment -name TIMING_ANALYZER_REPORT_NUM_WORST_CASE_TIMING_PATHS 100 +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone 10 LP" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "MAX 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV E" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix IV" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria 10" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Stratix V" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria V GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL Off -family "MAX II" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Arria II GZ" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone IV GX" +set_global_assignment -name TIMING_ANALYZER_DO_CCPP_REMOVAL On -family "Cyclone V" +set_global_assignment -name OPTIMIZATION_MODE Balanced +set_global_assignment -name ALLOW_REGISTER_MERGING On +set_global_assignment -name ALLOW_REGISTER_DUPLICATION On +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Cyclone 10 LP" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix IV" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV E" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER ON -family "Arria 10" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Stratix V" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria V GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "MAX II" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Arria II GZ" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone IV GX" +set_global_assignment -name DISABLE_LEGACY_TIMING_ANALYZER OFF -family "Cyclone V" +set_global_assignment -name MUX_RESTRUCTURE Auto +set_global_assignment -name MLAB_ADD_TIMING_CONSTRAINTS_FOR_MIXED_PORT_FEED_THROUGH_MODE_SETTING_DONT_CARE Off +set_global_assignment -name ENABLE_IP_DEBUG Off +set_global_assignment -name SAVE_DISK_SPACE On +set_global_assignment -name OCP_HW_EVAL -value OFF +set_global_assignment -name DEVICE_FILTER_PACKAGE Any +set_global_assignment -name DEVICE_FILTER_PIN_COUNT Any +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE Any +set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY -value "Cyclone V" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "MAX 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria 10" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone 10 LP" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria 10" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_PROTECTED_REGISTERS_REPORTED 100 +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SYNTHESIS_MIGRATION_ROWS 5000 +set_global_assignment -name SYNTHESIS_S10_MIGRATION_CHECKS Off +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone 10 LP" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "MAX 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria 10" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name PRPOF_ID Off +set_global_assignment -name DISABLE_DSP_NEGATE_INFERENCING Off +set_global_assignment -name REPORT_PARAMETER_SETTINGS_PRO On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS_PRO On +set_global_assignment -name ENABLE_STATE_MACHINE_INFERENCE Off +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name PHYSICAL_SYNTHESIS Off +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE "Single Image" +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria 10" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Stratix V" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Arria V GZ" +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" -family "Cyclone V" +set_global_assignment -name VID_OPERATION_MODE "PMBus Slave" +set_global_assignment -name USE_CONF_DONE AUTO +set_global_assignment -name USE_PWRMGT_SCL AUTO +set_global_assignment -name USE_PWRMGT_SDA AUTO +set_global_assignment -name USE_PWRMGT_ALERT AUTO +set_global_assignment -name USE_INIT_DONE AUTO +set_global_assignment -name USE_CVP_CONFDONE AUTO +set_global_assignment -name USE_SEU_ERROR AUTO +set_global_assignment -name RESERVE_AVST_CLK_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_VALID_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA15_THROUGH_DATA0_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_AVST_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name ENABLE_UNUSED_RX_CLOCK_WORKAROUND Off +set_global_assignment -name PRESERVE_UNUSED_XCVR_CHANNEL Off +set_global_assignment -name IGNORE_HSSI_COLUMN_POWER_WHEN_PRESERVING_UNUSED_XCVR_CHANNELS On +set_global_assignment -name AUTO_RESERVE_CLKUSR_FOR_CALIBRATION On +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN Off +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name ENABLE_NCONFIG_FROM_CORE On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "MAX 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria 10" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone 10 LP" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "MAX 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria 10" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix IV" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria 10" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Stratix V" +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic -family "Arria V GZ" +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF +set_global_assignment -name RESERVE_ROUTING_OUTPUT_FLEXIBILITY Off +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name QII_AUTO_PACKED_REGISTERS Auto +set_global_assignment -name AUTO_PACKED_REGISTERS_MAX Auto +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone 10 LP" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix IV" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV E" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria 10" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Stratix V" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "MAX II" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria V GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Arria II GZ" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone IV GX" +set_global_assignment -name AUTO_DELAY_CHAINS On -family "Cyclone V" +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION Auto +set_global_assignment -name ROUTER_REGISTER_DUPLICATION Auto +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Auto +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone 10 LP" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "MAX 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria 10" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria 10" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name RESERVE_PR_PINS Off +set_global_assignment -name CONVERT_PR_WARNINGS_TO_ERRORS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT Medium +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION Off -family "Stratix IV" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria 10" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Stratix V" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Arria V GZ" +set_global_assignment -name ADVANCED_PHYSICAL_OPTIMIZATION On -family "Cyclone V" +set_global_assignment -name RELATIVE_NEUTRON_FLUX 1.0 +set_global_assignment -name SEU_FIT_REPORT Off +set_global_assignment -name HYPER_RETIMER Off -family "Arria 10" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ADD_PIPELINING_MAX "-1" +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_ASYNCH_CLEAR Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_USER_PRESERVE_RESTRICTION Auto +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_DSP_BLOCKS On +set_global_assignment -name HYPER_RETIMER_FAST_FORWARD_RAM_BLOCKS On +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone 10 LP" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX 10" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name PWRMGT_SLAVE_DEVICE_TYPE "PV3102 or EM1130" +set_global_assignment -name PWRMGT_SLAVE_DEVICE0_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE1_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE2_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE3_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE4_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE5_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE6_ADDRESS 0000000 +set_global_assignment -name PWRMGT_SLAVE_DEVICE7_ADDRESS 0000000 +set_global_assignment -name PWRMGT_VOLTAGE_OUTPUT_FORMAT "Auto discovery" +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_M 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_B 0 +set_global_assignment -name PWRMGT_DIRECT_FORMAT_COEFFICIENT_R 0 +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone 10 LP" +set_global_assignment -name ENABLE_OCT_DONE On -family "MAX 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV E" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria 10" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Stratix V" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria V GZ" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Arria II GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone IV GX" +set_global_assignment -name ENABLE_OCT_DONE Off -family "Cyclone V" +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name ENABLE_ADV_SEU_DETECTION Off +set_global_assignment -name POR_SCHEME "Instant ON" +set_global_assignment -name EN_USER_IO_WEAK_PULLUP On +set_global_assignment -name EN_SPI_IO_WEAK_PULLUP On +set_global_assignment -name POF_VERIFY_PROTECT Off +set_global_assignment -name ENABLE_SPI_MODE_CHECK Off +set_global_assignment -name FORCE_SSMCLK_TO_ISMCLK On +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 0 +set_global_assignment -name GENERATE_PMSF_FILES On +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name HPS_EARLY_IO_RELEASE Off +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name ENABLE_SMART_VOLTAGE_ID Off +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST On -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_EXTENDED_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p2 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb deleted file mode 100755 index ae22efc..0000000 Binary files a/cpld/db/GR8RAM.(0).cnf.cdb and /dev/null differ diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb deleted file mode 100755 index d932c80..0000000 Binary files a/cpld/db/GR8RAM.(0).cnf.hdb and /dev/null differ diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg deleted file mode 100755 index c8aa5e2..0000000 --- a/cpld/db/GR8RAM.asm.qmsg +++ /dev/null @@ -1,6 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1631597731746 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1631597731746 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 14 01:35:31 2021 " "Processing started: Tue Sep 14 01:35:31 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1631597731746 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1631597731746 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1631597731746 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1631597731986 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1631597731986 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597732146 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:32 2021 " "Processing ended: Tue Sep 14 01:35:32 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597732146 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597732146 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597732146 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1631597732146 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb deleted file mode 100755 index a0f1646..0000000 Binary files a/cpld/db/GR8RAM.asm.rdb and /dev/null differ diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb deleted file mode 100755 index 365caf7..0000000 Binary files a/cpld/db/GR8RAM.asm_labs.ddb and /dev/null differ diff --git a/cpld/db/GR8RAM.cbx.xml b/cpld/db/GR8RAM.cbx.xml deleted file mode 100755 index 4106069..0000000 --- a/cpld/db/GR8RAM.cbx.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb deleted file mode 100755 index 54bf809..0000000 Binary files a/cpld/db/GR8RAM.cmp.cdb and /dev/null differ diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb deleted file mode 100755 index d982e47..0000000 Binary files a/cpld/db/GR8RAM.cmp.hdb and /dev/null differ diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb deleted file mode 100755 index 4057977..0000000 Binary files a/cpld/db/GR8RAM.cmp.idb and /dev/null differ diff --git a/cpld/db/GR8RAM.cmp.kpt b/cpld/db/GR8RAM.cmp.kpt deleted file mode 100755 index 2f27ca1..0000000 Binary files a/cpld/db/GR8RAM.cmp.kpt and /dev/null differ diff --git a/cpld/db/GR8RAM.cmp.logdb b/cpld/db/GR8RAM.cmp.logdb deleted file mode 100755 index 626799f..0000000 --- a/cpld/db/GR8RAM.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb deleted file mode 100755 index e4ec603..0000000 Binary files a/cpld/db/GR8RAM.cmp.rdb and /dev/null differ diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb deleted file mode 100755 index ac484d5..0000000 Binary files a/cpld/db/GR8RAM.cmp0.ddb and /dev/null differ diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg deleted file mode 100755 index fb6216a..0000000 --- a/cpld/db/GR8RAM.fit.qmsg +++ /dev/null @@ -1,38 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1631597728526 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1631597728536 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1631597728586 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1631597728586 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1631597728726 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1631597728736 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1631597728876 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1631597728876 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1631597729026 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1631597729036 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1631597729036 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1631597729036 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1631597729046 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1631597729046 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1631597729046 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 418 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 94 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1631597729066 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 16 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1631597729066 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1631597729086 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1631597729116 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597729186 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1631597729306 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597729566 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1631597729576 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1631597730096 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730096 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1631597730126 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1631597730346 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1631597730346 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730656 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1631597730666 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1631597730666 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1631597730716 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1631597730776 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "544 " "Peak virtual memory: 544 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:30 2021 " "Processing ended: Tue Sep 14 01:35:30 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597730806 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1631597730806 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info deleted file mode 100755 index 554e4da..0000000 --- a/cpld/db/GR8RAM.hier_info +++ /dev/null @@ -1,220 +0,0 @@ -|GR8RAM -C25M => SA[0]~reg0.CLK -C25M => SA[1]~reg0.CLK -C25M => SA[2]~reg0.CLK -C25M => SA[3]~reg0.CLK -C25M => SA[4]~reg0.CLK -C25M => SA[5]~reg0.CLK -C25M => SA[6]~reg0.CLK -C25M => SA[7]~reg0.CLK -C25M => SA[8]~reg0.CLK -C25M => SA[9]~reg0.CLK -C25M => SA[10]~reg0.CLK -C25M => SA[11]~reg0.CLK -C25M => SA[12]~reg0.CLK -C25M => SBA[0]~reg0.CLK -C25M => SBA[1]~reg0.CLK -C25M => DQMH~reg0.CLK -C25M => DQML~reg0.CLK -C25M => SDOE.CLK -C25M => nSWE~reg0.CLK -C25M => nCAS~reg0.CLK -C25M => nRAS~reg0.CLK -C25M => nRCS~reg0.CLK -C25M => RCKE~reg0.CLK -C25M => WRD[0].CLK -C25M => WRD[1].CLK -C25M => WRD[2].CLK -C25M => WRD[3].CLK -C25M => WRD[4].CLK -C25M => WRD[5].CLK -C25M => WRD[6].CLK -C25M => WRD[7].CLK -C25M => MOSIout.CLK -C25M => FCKOE.CLK -C25M => MOSIOE.CLK -C25M => FCS.CLK -C25M => FCKout.CLK -C25M => Bank.CLK -C25M => AddrIncH.CLK -C25M => AddrIncM.CLK -C25M => AddrIncL.CLK -C25M => Addr[0].CLK -C25M => Addr[1].CLK -C25M => Addr[2].CLK -C25M => Addr[3].CLK -C25M => Addr[4].CLK -C25M => Addr[5].CLK -C25M => Addr[6].CLK -C25M => Addr[7].CLK -C25M => Addr[8].CLK -C25M => Addr[9].CLK -C25M => Addr[10].CLK -C25M => Addr[11].CLK -C25M => Addr[12].CLK -C25M => Addr[13].CLK -C25M => Addr[14].CLK -C25M => Addr[15].CLK -C25M => Addr[16].CLK -C25M => Addr[17].CLK -C25M => Addr[18].CLK -C25M => Addr[19].CLK -C25M => Addr[20].CLK -C25M => Addr[21].CLK -C25M => Addr[22].CLK -C25M => Addr[23].CLK -C25M => IOROMEN.CLK -C25M => nIOSTRBr.CLK -C25M => REGEN.CLK -C25M => nRESout~reg0.CLK -C25M => LS[0].CLK -C25M => LS[1].CLK -C25M => LS[2].CLK -C25M => LS[3].CLK -C25M => LS[4].CLK -C25M => LS[5].CLK -C25M => LS[6].CLK -C25M => LS[7].CLK -C25M => LS[8].CLK -C25M => LS[9].CLK -C25M => LS[10].CLK -C25M => LS[11].CLK -C25M => LS[12].CLK -C25M => LS[13].CLK -C25M => PS[0].CLK -C25M => PS[1].CLK -C25M => PS[2].CLK -C25M => PS[3].CLK -C25M => SetFWr[0].CLK -C25M => SetFWr[1].CLK -C25M => SetFWLoaded.CLK -C25M => nRESr.CLK -C25M => nRESf[0].CLK -C25M => nRESf[1].CLK -C25M => nRESf[2].CLK -C25M => nRESf[3].CLK -C25M => PHI0r2.CLK -C25M => PHI0r1.CLK -C25M => IS~7.DATAIN -C25M => RDD[0].CLK -C25M => RDD[1].CLK -C25M => RDD[2].CLK -C25M => RDD[3].CLK -C25M => RDD[4].CLK -C25M => RDD[5].CLK -C25M => RDD[6].CLK -C25M => RDD[7].CLK -PHI0 => comb.IN1 -PHI0 => nWEr.CLK -PHI0 => RAr[0].CLK -PHI0 => RAr[1].CLK -PHI0 => RAr[2].CLK -PHI0 => RAr[3].CLK -PHI0 => RAr[4].CLK -PHI0 => RAr[5].CLK -PHI0 => RAr[6].CLK -PHI0 => RAr[7].CLK -PHI0 => RAr[8].CLK -PHI0 => RAr[9].CLK -PHI0 => RAr[10].CLK -PHI0 => RAr[11].CLK -PHI0 => CXXXr.CLK -PHI0 => PHI0r1.DATAIN -nRES => nRESf[0].DATAIN -nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => SetFWr[0].DATAIN -SetFW[1] => SetFWr[1].DATAIN -INTin => INTout.DATAIN -INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE -DMAin => DMAout.DATAIN -DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE -nNMIout <= -nIRQout <= -nRDYout <= -nINHout <= -RWout <= -nDMAout <= -RA[0] => RAr[0].DATAIN -RA[0] => Equal16.IN10 -RA[1] => RAr[1].DATAIN -RA[1] => Equal16.IN9 -RA[2] => RAr[2].DATAIN -RA[2] => Equal16.IN8 -RA[3] => RAr[3].DATAIN -RA[3] => Equal16.IN7 -RA[4] => RAr[4].DATAIN -RA[4] => Equal16.IN6 -RA[5] => RAr[5].DATAIN -RA[5] => Equal16.IN5 -RA[6] => RAr[6].DATAIN -RA[6] => Equal16.IN4 -RA[7] => RAr[7].DATAIN -RA[7] => Equal16.IN3 -RA[8] => RAr[8].DATAIN -RA[8] => Equal16.IN2 -RA[9] => RAr[9].DATAIN -RA[9] => Equal16.IN1 -RA[10] => RAr[10].DATAIN -RA[10] => Equal16.IN0 -RA[11] => RAr[11].DATAIN -RA[12] => Equal8.IN1 -RA[13] => Equal8.IN0 -RA[14] => Equal8.IN3 -RA[15] => Equal8.IN2 -nWE => comb.IN1 -nWE => nWEr.DATAIN -RD[0] <> RD[0] -RD[1] <> RD[1] -RD[2] <> RD[2] -RD[3] <> RD[3] -RD[4] <> RD[4] -RD[5] <> RD[5] -RD[6] <> RD[6] -RD[7] <> RD[7] -RAdir <= -RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE -nIOSEL => comb.IN0 -nIOSEL => always7.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMSEL.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMRegSEL.IN1 -nIOSTRB => nIOSTRBr.DATAIN -nIOSTRB => comb.IN1 -nIOSTRB => comb.IN1 -SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[1] <= SA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[2] <= SA[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[3] <= SA[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[4] <= SA[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[5] <= SA[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[6] <= SA[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[7] <= SA[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[8] <= SA[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[9] <= SA[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[10] <= SA[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[11] <= SA[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE -SA[12] <= SA[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRCS <= nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nRAS <= nRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nCAS <= nCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -nSWE <= nSWE~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQML <= DQML~reg0.DB_MAX_OUTPUT_PORT_TYPE -DQMH <= DQMH~reg0.DB_MAX_OUTPUT_PORT_TYPE -RCKE <= RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE -SD[0] <> SD[0] -SD[1] <> SD[1] -SD[2] <> SD[2] -SD[3] <> SD[3] -SD[4] <> SD[4] -SD[5] <> SD[5] -SD[6] <> SD[6] -SD[7] <> SD[7] -nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE -FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE -MISO => WRD.DATAB -MOSI <> MOSI - - diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif deleted file mode 100755 index 6af5f23..0000000 Binary files a/cpld/db/GR8RAM.hif and /dev/null differ diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo deleted file mode 100755 index fa2304d..0000000 Binary files a/cpld/db/GR8RAM.ipinfo and /dev/null differ diff --git a/cpld/db/GR8RAM.lpc.html b/cpld/db/GR8RAM.lpc.html deleted file mode 100755 index fbc5ab5..0000000 --- a/cpld/db/GR8RAM.lpc.html +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - - - - - - - - - - - - -
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb deleted file mode 100755 index adf8589..0000000 Binary files a/cpld/db/GR8RAM.lpc.rdb and /dev/null differ diff --git a/cpld/db/GR8RAM.lpc.txt b/cpld/db/GR8RAM.lpc.txt deleted file mode 100755 index a463804..0000000 --- a/cpld/db/GR8RAM.lpc.txt +++ /dev/null @@ -1,5 +0,0 @@ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+-----------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb deleted file mode 100755 index d641494..0000000 Binary files a/cpld/db/GR8RAM.map.cdb and /dev/null differ diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb deleted file mode 100755 index e1ab595..0000000 Binary files a/cpld/db/GR8RAM.map.hdb and /dev/null differ diff --git a/cpld/db/GR8RAM.map.logdb b/cpld/db/GR8RAM.map.logdb deleted file mode 100755 index 626799f..0000000 --- a/cpld/db/GR8RAM.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg deleted file mode 100755 index bb3a4cf..0000000 --- a/cpld/db/GR8RAM.map.qmsg +++ /dev/null @@ -1,19 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 14 01:35:25 2021 " "Processing started: Tue Sep 14 01:35:25 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1631597725836 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1631597726126 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(110) " "Verilog HDL warning at GR8RAM.v(110): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 110 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1631597726216 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(286) " "Verilog HDL warning at GR8RAM.v(286): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 286 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1631597726216 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1631597726226 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1631597726226 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1631597726256 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(42) " "Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 42 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(47) " "Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 47 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(134) " "Verilog HDL assignment warning at GR8RAM.v(134): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 134 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(142) " "Verilog HDL assignment warning at GR8RAM.v(142): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 142 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(149) " "Verilog HDL assignment warning at GR8RAM.v(149): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 149 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1631597726266 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1631597726806 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 563 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 566 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 565 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 564 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 567 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 562 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 561 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1631597726986 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1631597726986 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1631597727226 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "337 " "Implemented 337 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1631597727256 ""} { "Info" "ICUT_CUT_TM_LCELLS" "257 " "Implemented 257 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1631597727256 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1631597727256 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1631597727336 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "422 " "Peak virtual memory: 422 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:27 2021 " "Processing ended: Tue Sep 14 01:35:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1631597727356 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb deleted file mode 100755 index 30bc868..0000000 Binary files a/cpld/db/GR8RAM.map.rdb and /dev/null differ diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb deleted file mode 100755 index f232fe4..0000000 Binary files a/cpld/db/GR8RAM.pre_map.hdb and /dev/null differ diff --git a/cpld/db/GR8RAM.pti_db_list.ddb b/cpld/db/GR8RAM.pti_db_list.ddb deleted file mode 100755 index 89aa9b4..0000000 Binary files a/cpld/db/GR8RAM.pti_db_list.ddb and /dev/null differ diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb deleted file mode 100755 index 0278be2..0000000 Binary files a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb and /dev/null differ diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb deleted file mode 100755 index 86d39e0..0000000 Binary files a/cpld/db/GR8RAM.routing.rdb and /dev/null differ diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb deleted file mode 100755 index 3d01036..0000000 Binary files a/cpld/db/GR8RAM.rtlv.hdb and /dev/null differ diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb deleted file mode 100755 index aca72e9..0000000 Binary files a/cpld/db/GR8RAM.rtlv_sg.cdb and /dev/null differ diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb deleted file mode 100755 index bf4c983..0000000 Binary files a/cpld/db/GR8RAM.rtlv_sg_swap.cdb and /dev/null differ diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb deleted file mode 100755 index 4597751..0000000 Binary files a/cpld/db/GR8RAM.sgdiff.cdb and /dev/null differ diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb deleted file mode 100755 index e983e2f..0000000 Binary files a/cpld/db/GR8RAM.sgdiff.hdb and /dev/null differ diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci old mode 100755 new mode 100644 index 1d6d60f..65c27fe Binary files a/cpld/db/GR8RAM.sld_design_entry.sci and b/cpld/db/GR8RAM.sld_design_entry.sci differ diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci deleted file mode 100755 index 1d6d60f..0000000 Binary files a/cpld/db/GR8RAM.sld_design_entry_dsc.sci and /dev/null differ diff --git a/cpld/db/GR8RAM.smart_action.txt b/cpld/db/GR8RAM.smart_action.txt deleted file mode 100755 index c8e8a13..0000000 --- a/cpld/db/GR8RAM.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/cpld/db/GR8RAM.smp_dump.txt b/cpld/db/GR8RAM.smp_dump.txt deleted file mode 100755 index 8062576..0000000 --- a/cpld/db/GR8RAM.smp_dump.txt +++ /dev/null @@ -1,9 +0,0 @@ - -State Machine - |GR8RAM|IS -Name IS.state_bit_2 IS.state_bit_1 IS.state_bit_0 -IS.000 0 0 0 -IS.001 0 0 1 -IS.100 1 0 0 -IS.101 1 0 1 -IS.110 0 1 0 -IS.111 0 1 1 diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg deleted file mode 100755 index 9811367..0000000 --- a/cpld/db/GR8RAM.sta.qmsg +++ /dev/null @@ -1,20 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 14 01:35:32 2021 " "Processing started: Tue Sep 14 01:35:32 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1631597733226 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1631597733306 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1631597733426 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1631597733476 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1631597733476 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1631597733536 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1631597733876 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1631597733926 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1631597733926 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 12.419 " "Worst-case setup slack is 12.419" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 12.419 0.000 C25M " " 12.419 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733936 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.393 " "Worst-case hold slack is 1.393" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.393 0.000 C25M " " 1.393 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.300 " "Worst-case recovery slack is 33.300" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.300 0.000 C25M " " 33.300 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.146 " "Worst-case removal slack is 6.146" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.146 0.000 C25M " " 6.146 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1631597733946 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1631597733996 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1631597734016 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1631597734016 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "374 " "Peak virtual memory: 374 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 14 01:35:34 2021 " "Processing ended: Tue Sep 14 01:35:34 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1631597734056 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb deleted file mode 100755 index a3f53ba..0000000 Binary files a/cpld/db/GR8RAM.sta.rdb and /dev/null differ diff --git a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb deleted file mode 100755 index 599251e..0000000 Binary files a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb and /dev/null differ diff --git a/cpld/db/GR8RAM.syn_hier_info b/cpld/db/GR8RAM.syn_hier_info deleted file mode 100755 index e69de29..0000000 diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb deleted file mode 100755 index 91bbe10..0000000 Binary files a/cpld/db/GR8RAM.tis_db_list.ddb and /dev/null differ diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb deleted file mode 100755 index c3f8e94..0000000 Binary files a/cpld/db/GR8RAM.vpr.ammdb and /dev/null differ diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info old mode 100755 new mode 100644 index c41e730..e7990f0 --- a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info +++ b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info @@ -1,3 +1,3 @@ -Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -Version_Index = 302049280 -Creation_Time = Thu Mar 18 03:51:58 2021 +Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Version_Index = 553882368 +Creation_Time = Tue Feb 28 11:10:33 2023 diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf index fb7cb58..c83d408 100644 --- a/cpld/output_files/GR8RAM.cdf +++ b/cpld/output_files/GR8RAM.cdf @@ -1,10 +1,10 @@ -/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ +/* Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition */ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); - P ActionCode(Vfy) - Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(2) SEC_Device(EPM240T100) Child_OpMask(2 2 2)); + P ActionCode(Cfg) + Device PartName(EPM240T100) Path("//Mac/iCloud/Repos2/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(1) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); ChainEnd; diff --git a/cpld/output_files/GR8RAM.svf b/cpld/output_files/GR8RAM.svf new file mode 100644 index 0000000..74a77b5 --- /dev/null +++ b/cpld/output_files/GR8RAM.svf @@ -0,0 +1,11651 @@ +!Copyright (C) 2022 Intel Corporation. All rights reserved. +!Your use of Intel Corporation's design tools, logic functions +!and other software and tools, and any partner logic +!functions, and any output files from any of the foregoing +!(including device programming or simulation files), and any +!associated documentation or information are expressly subject +!to the terms and conditions of the Intel Program License +!Subscription Agreement, the Intel Quartus Prime License Agreement, +!the Intel FPGA IP License Agreement, or other applicable license +!agreement, including, without limitation, that your use is for +!the sole purpose of programming logic devices manufactured by +!Intel and sold by Intel or its authorized distributors. Please +!refer to the applicable agreement for further details, at +!https://fpgasoftware.intel.com/eula. +! +!Quartus Prime SVF converter 22.1 +! +!Device #1: EPM240 - //Mac/iCloud/Repos2/GR8RAM/cpld/output_files/GR8RAM.pof Sat Feb 25 09:32:41 2023 +! +!NOTE "USERCODE" "00161CF0"; +! +!NOTE "CHECKSUM" "001620E8"; +! +! +! +FREQUENCY 1.00E+06 HZ; +! +! +! +TRST ABSENT; +ENDDR IDLE; +ENDIR IRPAUSE; +STATE IDLE; +SIR 10 TDI (005); +RUNTEST IDLE 8 TCK ENDSTATE IDLE; +SDR 240 TDI (FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); +SIR 10 TDI (3FF); +RUNTEST 1003 TCK; +SIR 10 TDI (2CC); +RUNTEST 1003 TCK; +! +! +! +!CHECKING SILICON ID +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0089); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (8232) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (2AA2); +SDR 16 TDI (FFFF) TDO (4A82); +SDR 16 TDI (FFFF) TDO (0C2C); +SDR 16 TDI (FFFF) TDO (0000); +! +! +! +!BULK ERASE +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0011); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F2); +RUNTEST 500003 TCK; +! +! +! +!PROGRAM +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFE7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F3E); +RUNTEST 100 TCK; +SDR 16 TDI (79F3); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (C666); +RUNTEST 100 TCK; +SDR 16 TDI (6FF9); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BC66); +RUNTEST 100 TCK; +SDR 16 TDI (67FE); +RUNTEST 100 TCK; +SDR 16 TDI (733F); +RUNTEST 100 TCK; +SDR 16 TDI (FF19); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (ECCF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFCC); +RUNTEST 100 TCK; +SDR 16 TDI (CFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (CFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (76FF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDA); +RUNTEST 100 TCK; +SDR 16 TDI (7DFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (EF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7B7B); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EF7E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FCCD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (76FB); +RUNTEST 100 TCK; +SDR 16 TDI (D7AF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DBB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (BAFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BBF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (B7BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (6CDF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (3FD7); +RUNTEST 100 TCK; +SDR 16 TDI (BDEB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (FEBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (ADDF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7C); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (3775); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBCC); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (773F); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (DFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DD); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF6); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF75); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAAF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFB9); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBA); +RUNTEST 100 TCK; +SDR 16 TDI (7DBB); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D3F7); +RUNTEST 100 TCK; +SDR 16 TDI (B97F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (EDEF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFC); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77F9); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7C); +RUNTEST 100 TCK; +SDR 16 TDI (6DF7); +RUNTEST 100 TCK; +SDR 16 TDI (3E9F); +RUNTEST 100 TCK; +SDR 16 TDI (BC7F); +RUNTEST 100 TCK; +SDR 16 TDI (33FD); +RUNTEST 100 TCK; +SDR 16 TDI (6FCA); +RUNTEST 100 TCK; +SDR 16 TDI (FFB6); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF21); +RUNTEST 100 TCK; +SDR 16 TDI (7DE7); +RUNTEST 100 TCK; +SDR 16 TDI (8FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBE3); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F37); +RUNTEST 100 TCK; +SDR 16 TDI (FF4B); +RUNTEST 100 TCK; +SDR 16 TDI (B99F); +RUNTEST 100 TCK; +SDR 16 TDI (F9DE); +RUNTEST 100 TCK; +SDR 16 TDI (779B); +RUNTEST 100 TCK; +SDR 16 TDI (786F); +RUNTEST 100 TCK; +SDR 16 TDI (BDDC); +RUNTEST 100 TCK; +SDR 16 TDI (727F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (77FC); +RUNTEST 100 TCK; +SDR 16 TDI (E57C); +RUNTEST 100 TCK; +SDR 16 TDI (A3F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6F7D); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BAAF); +RUNTEST 100 TCK; +SDR 16 TDI (8FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B66F); +RUNTEST 100 TCK; +SDR 16 TDI (FCFC); +RUNTEST 100 TCK; +SDR 16 TDI (67DE); +RUNTEST 100 TCK; +SDR 16 TDI (1FFA); +RUNTEST 100 TCK; +SDR 16 TDI (A000); +RUNTEST 100 TCK; +SDR 16 TDI (FFE0); +RUNTEST 100 TCK; +SDR 16 TDI (7882); +RUNTEST 100 TCK; +SDR 16 TDI (1F0F); +RUNTEST 100 TCK; +SDR 16 TDI (A61F); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (7245); +RUNTEST 100 TCK; +SDR 16 TDI (A8EF); +RUNTEST 100 TCK; +SDR 16 TDI (B781); +RUNTEST 100 TCK; +SDR 16 TDI (80F5); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FC3C); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (1BA2); +RUNTEST 100 TCK; +SDR 16 TDI (A000); +RUNTEST 100 TCK; +SDR 16 TDI (FFD0); +RUNTEST 100 TCK; +SDR 16 TDI (7800); +RUNTEST 100 TCK; +SDR 16 TDI (1F0F); +RUNTEST 100 TCK; +SDR 16 TDI (A01E); +RUNTEST 100 TCK; +SDR 16 TDI (F9F6); +RUNTEST 100 TCK; +SDR 16 TDI (6280); +RUNTEST 100 TCK; +SDR 16 TDI (A8CF); +RUNTEST 100 TCK; +SDR 16 TDI (AF81); +RUNTEST 100 TCK; +SDR 16 TDI (907F); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFC); +RUNTEST 100 TCK; +SDR 16 TDI (67E7); +RUNTEST 100 TCK; +SDR 16 TDI (1FFB); +RUNTEST 100 TCK; +SDR 16 TDI (A230); +RUNTEST 100 TCK; +SDR 16 TDI (33F0); +RUNTEST 100 TCK; +SDR 16 TDI (6001); +RUNTEST 100 TCK; +SDR 16 TDI (9F0F); +RUNTEST 100 TCK; +SDR 16 TDI (BF9F); +RUNTEST 100 TCK; +SDR 16 TDI (FFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7253); +RUNTEST 100 TCK; +SDR 16 TDI (00DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF09); +RUNTEST 100 TCK; +SDR 16 TDI (80F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDBE); +RUNTEST 100 TCK; +SDR 16 TDI (67E1); +RUNTEST 100 TCK; +SDR 16 TDI (01C2); +RUNTEST 100 TCK; +SDR 16 TDI (A030); +RUNTEST 100 TCK; +SDR 16 TDI (33F4); +RUNTEST 100 TCK; +SDR 16 TDI (6001); +RUNTEST 100 TCK; +SDR 16 TDI (9F7E); +RUNTEST 100 TCK; +SDR 16 TDI (BF9F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6290); +RUNTEST 100 TCK; +SDR 16 TDI (80DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF09); +RUNTEST 100 TCK; +SDR 16 TDI (007F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAA7); +RUNTEST 100 TCK; +SDR 16 TDI (3332); +RUNTEST 100 TCK; +SDR 16 TDI (61E6); +RUNTEST 100 TCK; +SDR 16 TDI (EE6F); +RUNTEST 100 TCK; +SDR 16 TDI (A318); +RUNTEST 100 TCK; +SDR 16 TDI (11F2); +RUNTEST 100 TCK; +SDR 16 TDI (6144); +RUNTEST 100 TCK; +SDR 16 TDI (3CEC); +RUNTEST 100 TCK; +SDR 16 TDI (BDCF); +RUNTEST 100 TCK; +SDR 16 TDI (9BB8); +RUNTEST 100 TCK; +SDR 16 TDI (73C9); +RUNTEST 100 TCK; +SDR 16 TDI (CCE7); +RUNTEST 100 TCK; +SDR 16 TDI (B89C); +RUNTEST 100 TCK; +SDR 16 TDI (98FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7777); +RUNTEST 100 TCK; +SDR 16 TDI (6952); +RUNTEST 100 TCK; +SDR 16 TDI (6767); +RUNTEST 100 TCK; +SDR 16 TDI (B773); +RUNTEST 100 TCK; +SDR 16 TDI (22A1); +RUNTEST 100 TCK; +SDR 16 TDI (6627); +RUNTEST 100 TCK; +SDR 16 TDI (7DCD); +RUNTEST 100 TCK; +SDR 16 TDI (B985); +RUNTEST 100 TCK; +SDR 16 TDI (599D); +RUNTEST 100 TCK; +SDR 16 TDI (79DD); +RUNTEST 100 TCK; +SDR 16 TDI (DDC2); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (77CF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF99); +RUNTEST 100 TCK; +SDR 16 TDI (DFEE); +RUNTEST 100 TCK; +SDR 16 TDI (7DDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3BB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7D7D); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (6DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7D7F); +RUNTEST 100 TCK; +SDR 16 TDI (FDFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FE7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (737F); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF6); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DC); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BCFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7B7E); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF5D); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DEFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BF9); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EBF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (6B77); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (BB5F); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7D7F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFC); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7CBD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFE); +RUNTEST 100 TCK; +SDR 16 TDI (B96F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7D75); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (F37F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFF9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55F); +RUNTEST 100 TCK; +SDR 16 TDI (F7BF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DF4); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BF3A); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBB); +RUNTEST 100 TCK; +SDR 16 TDI (77D9); +RUNTEST 100 TCK; +SDR 16 TDI (7BBF); +RUNTEST 100 TCK; +SDR 16 TDI (BEF3); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B55E); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFE); +RUNTEST 100 TCK; +SDR 16 TDI (BD9B); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD5F); +RUNTEST 100 TCK; +SDR 16 TDI (DF75); +RUNTEST 100 TCK; +SDR 16 TDI (69FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AAAF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DEB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB7); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FF6); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7CFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (775B); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6CFB); +RUNTEST 100 TCK; +SDR 16 TDI (7DBF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6DED); +RUNTEST 100 TCK; +SDR 16 TDI (F37F); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (CFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7F76); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (3AFB); +RUNTEST 100 TCK; +SDR 16 TDI (7717); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEEC); +RUNTEST 100 TCK; +SDR 16 TDI (FE5F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFC); +RUNTEST 100 TCK; +SDR 16 TDI (B8BF); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (62EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFCF); +RUNTEST 100 TCK; +SDR 16 TDI (ADBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEB); +RUNTEST 100 TCK; +SDR 16 TDI (D45F); +RUNTEST 100 TCK; +SDR 16 TDI (BE5F); +RUNTEST 100 TCK; +SDR 16 TDI (566A); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (67F7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (9FD7); +RUNTEST 100 TCK; +SDR 16 TDI (BFE3); +RUNTEST 100 TCK; +SDR 16 TDI (BBF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (5CFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (D71D); +RUNTEST 100 TCK; +SDR 16 TDI (78FD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDAE); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B99D); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C0); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (B4A0); +RUNTEST 100 TCK; +SDR 16 TDI (03EE); +RUNTEST 100 TCK; +SDR 16 TDI (7580); +RUNTEST 100 TCK; +SDR 16 TDI (1302); +RUNTEST 100 TCK; +SDR 16 TDI (A01E); +RUNTEST 100 TCK; +SDR 16 TDI (1819); +RUNTEST 100 TCK; +SDR 16 TDI (70AF); +RUNTEST 100 TCK; +SDR 16 TDI (FC0F); +RUNTEST 100 TCK; +SDR 16 TDI (B079); +RUNTEST 100 TCK; +SDR 16 TDI (87F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFE); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A0A0); +RUNTEST 100 TCK; +SDR 16 TDI (03D0); +RUNTEST 100 TCK; +SDR 16 TDI (7580); +RUNTEST 100 TCK; +SDR 16 TDI (1302); +RUNTEST 100 TCK; +SDR 16 TDI (B41B); +RUNTEST 100 TCK; +SDR 16 TDI (9F99); +RUNTEST 100 TCK; +SDR 16 TDI (70AB); +RUNTEST 100 TCK; +SDR 16 TDI (0C0F); +RUNTEST 100 TCK; +SDR 16 TDI (AAA9); +RUNTEST 100 TCK; +SDR 16 TDI (D07F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD5D); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FF0); +RUNTEST 100 TCK; +SDR 16 TDI (2C60); +RUNTEST 100 TCK; +SDR 16 TDI (AA40); +RUNTEST 100 TCK; +SDR 16 TDI (E3FF); +RUNTEST 100 TCK; +SDR 16 TDI (6C06); +RUNTEST 100 TCK; +SDR 16 TDI (30C7); +RUNTEST 100 TCK; +SDR 16 TDI (A47F); +RUNTEST 100 TCK; +SDR 16 TDI (E066); +RUNTEST 100 TCK; +SDR 16 TDI (610F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B86C); +RUNTEST 100 TCK; +SDR 16 TDI (84F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67F6); +RUNTEST 100 TCK; +SDR 16 TDI (0D86); +RUNTEST 100 TCK; +SDR 16 TDI (A040); +RUNTEST 100 TCK; +SDR 16 TDI (C3F0); +RUNTEST 100 TCK; +SDR 16 TDI (7C06); +RUNTEST 100 TCK; +SDR 16 TDI (10C1); +RUNTEST 100 TCK; +SDR 16 TDI (A07F); +RUNTEST 100 TCK; +SDR 16 TDI (9DE6); +RUNTEST 100 TCK; +SDR 16 TDI (6083); +RUNTEST 100 TCK; +SDR 16 TDI (3C0F); +RUNTEST 100 TCK; +SDR 16 TDI (BCC8); +RUNTEST 100 TCK; +SDR 16 TDI (07FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAA7); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (2EEF); +RUNTEST 100 TCK; +SDR 16 TDI (B219); +RUNTEST 100 TCK; +SDR 16 TDI (31F1); +RUNTEST 100 TCK; +SDR 16 TDI (6286); +RUNTEST 100 TCK; +SDR 16 TDI (38CF); +RUNTEST 100 TCK; +SDR 16 TDI (B9AF); +RUNTEST 100 TCK; +SDR 16 TDI (9999); +RUNTEST 100 TCK; +SDR 16 TDI (71CF); +RUNTEST 100 TCK; +SDR 16 TDI (CEC7); +RUNTEST 100 TCK; +SDR 16 TDI (BA9C); +RUNTEST 100 TCK; +SDR 16 TDI (9BFE); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6167); +RUNTEST 100 TCK; +SDR 16 TDI (6445); +RUNTEST 100 TCK; +SDR 16 TDI (B763); +RUNTEST 100 TCK; +SDR 16 TDI (36A9); +RUNTEST 100 TCK; +SDR 16 TDI (7677); +RUNTEST 100 TCK; +SDR 16 TDI (79DC); +RUNTEST 100 TCK; +SDR 16 TDI (BBA5); +RUNTEST 100 TCK; +SDR 16 TDI (19D9); +RUNTEST 100 TCK; +SDR 16 TDI (79DC); +RUNTEST 100 TCK; +SDR 16 TDI (DC9A); +RUNTEST 100 TCK; +SDR 16 TDI (B1CD); +RUNTEST 100 TCK; +SDR 16 TDI (D9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFC7); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (EBFA); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (6DFB); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF3F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BBFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFDF); +RUNTEST 100 TCK; +SDR 16 TDI (6BF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77F7); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77EF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (DBED); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (66FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FE); +RUNTEST 100 TCK; +SDR 16 TDI (BBDE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (EFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7EEB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (B77D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFE); +RUNTEST 100 TCK; +SDR 16 TDI (DF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEB); +RUNTEST 100 TCK; +SDR 16 TDI (D7FB); +RUNTEST 100 TCK; +SDR 16 TDI (AFDF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FF76); +RUNTEST 100 TCK; +SDR 16 TDI (7D7B); +RUNTEST 100 TCK; +SDR 16 TDI (EF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BDD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBE); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7B); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (737F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (DEEB); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFAF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (7DD5); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DF3B); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7BE); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (CBDE); +RUNTEST 100 TCK; +SDR 16 TDI (7DEB); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BCFB); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDE); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (FFEB); +RUNTEST 100 TCK; +SDR 16 TDI (BBDB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD7D); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (EDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFBE); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DE0); +RUNTEST 100 TCK; +SDR 16 TDI (13F6); +RUNTEST 100 TCK; +SDR 16 TDI (AEFD); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (6C97); +RUNTEST 100 TCK; +SDR 16 TDI (B3EF); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (F9F9); +RUNTEST 100 TCK; +SDR 16 TDI (7676); +RUNTEST 100 TCK; +SDR 16 TDI (FE6F); +RUNTEST 100 TCK; +SDR 16 TDI (BBE9); +RUNTEST 100 TCK; +SDR 16 TDI (33FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B5F2); +RUNTEST 100 TCK; +SDR 16 TDI (FFFC); +RUNTEST 100 TCK; +SDR 16 TDI (7F7B); +RUNTEST 100 TCK; +SDR 16 TDI (DEDA); +RUNTEST 100 TCK; +SDR 16 TDI (BCFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (CD6A); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (677F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BF2F); +RUNTEST 100 TCK; +SDR 16 TDI (F7F3); +RUNTEST 100 TCK; +SDR 16 TDI (73FD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BF9E); +RUNTEST 100 TCK; +SDR 16 TDI (CE1E); +RUNTEST 100 TCK; +SDR 16 TDI (69BB); +RUNTEST 100 TCK; +SDR 16 TDI (2F9F); +RUNTEST 100 TCK; +SDR 16 TDI (BC9F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBAD); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C2); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (A130); +RUNTEST 100 TCK; +SDR 16 TDI (EFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7404); +RUNTEST 100 TCK; +SDR 16 TDI (BC00); +RUNTEST 100 TCK; +SDR 16 TDI (B17F); +RUNTEST 100 TCK; +SDR 16 TDI (0100); +RUNTEST 100 TCK; +SDR 16 TDI (6888); +RUNTEST 100 TCK; +SDR 16 TDI (5C1F); +RUNTEST 100 TCK; +SDR 16 TDI (B768); +RUNTEST 100 TCK; +SDR 16 TDI (0075); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF5E); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A3F0); +RUNTEST 100 TCK; +SDR 16 TDI (8FDE); +RUNTEST 100 TCK; +SDR 16 TDI (78A7); +RUNTEST 100 TCK; +SDR 16 TDI (BA00); +RUNTEST 100 TCK; +SDR 16 TDI (A11E); +RUNTEST 100 TCK; +SDR 16 TDI (8002); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (5C2F); +RUNTEST 100 TCK; +SDR 16 TDI (AF08); +RUNTEST 100 TCK; +SDR 16 TDI (10FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FD); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FE0); +RUNTEST 100 TCK; +SDR 16 TDI (4C61); +RUNTEST 100 TCK; +SDR 16 TDI (A538); +RUNTEST 100 TCK; +SDR 16 TDI (EFF3); +RUNTEST 100 TCK; +SDR 16 TDI (6066); +RUNTEST 100 TCK; +SDR 16 TDI (1CC8); +RUNTEST 100 TCK; +SDR 16 TDI (B19F); +RUNTEST 100 TCK; +SDR 16 TDI (C208); +RUNTEST 100 TCK; +SDR 16 TDI (6078); +RUNTEST 100 TCK; +SDR 16 TDI (BC6F); +RUNTEST 100 TCK; +SDR 16 TDI (BF99); +RUNTEST 100 TCK; +SDR 16 TDI (F875); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67E0); +RUNTEST 100 TCK; +SDR 16 TDI (0D86); +RUNTEST 100 TCK; +SDR 16 TDI (A338); +RUNTEST 100 TCK; +SDR 16 TDI (2FFC); +RUNTEST 100 TCK; +SDR 16 TDI (6126); +RUNTEST 100 TCK; +SDR 16 TDI (19C8); +RUNTEST 100 TCK; +SDR 16 TDI (A19F); +RUNTEST 100 TCK; +SDR 16 TDI (E00A); +RUNTEST 100 TCK; +SDR 16 TDI (70F0); +RUNTEST 100 TCK; +SDR 16 TDI (9C1F); +RUNTEST 100 TCK; +SDR 16 TDI (BF98); +RUNTEST 100 TCK; +SDR 16 TDI (787F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BA3F); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71E6); +RUNTEST 100 TCK; +SDR 16 TDI (6EEF); +RUNTEST 100 TCK; +SDR 16 TDI (B333); +RUNTEST 100 TCK; +SDR 16 TDI (75F3); +RUNTEST 100 TCK; +SDR 16 TDI (6E7F); +RUNTEST 100 TCK; +SDR 16 TDI (7EE8); +RUNTEST 100 TCK; +SDR 16 TDI (B9CF); +RUNTEST 100 TCK; +SDR 16 TDI (D98B); +RUNTEST 100 TCK; +SDR 16 TDI (71E8); +RUNTEST 100 TCK; +SDR 16 TDI (8E83); +RUNTEST 100 TCK; +SDR 16 TDI (B998); +RUNTEST 100 TCK; +SDR 16 TDI (89FA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6177); +RUNTEST 100 TCK; +SDR 16 TDI (2445); +RUNTEST 100 TCK; +SDR 16 TDI (B777); +RUNTEST 100 TCK; +SDR 16 TDI (74AB); +RUNTEST 100 TCK; +SDR 16 TDI (6767); +RUNTEST 100 TCK; +SDR 16 TDI (7CCD); +RUNTEST 100 TCK; +SDR 16 TDI (BB85); +RUNTEST 100 TCK; +SDR 16 TDI (5DD9); +RUNTEST 100 TCK; +SDR 16 TDI (7BCD); +RUNTEST 100 TCK; +SDR 16 TDI (DCD2); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (D9FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FCF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (FFE7); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BCEF); +RUNTEST 100 TCK; +SDR 16 TDI (3FFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B3BF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (CDDB); +RUNTEST 100 TCK; +SDR 16 TDI (7F67); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (F77B); +RUNTEST 100 TCK; +SDR 16 TDI (B5DF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FDE); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5DD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (73BF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7E); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F57F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDE7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFDB); +RUNTEST 100 TCK; +SDR 16 TDI (7BE7); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (DD37); +RUNTEST 100 TCK; +SDR 16 TDI (7DF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7DEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7F3F); +RUNTEST 100 TCK; +SDR 16 TDI (AFF7); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (77D7); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (EFDF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6BEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF3); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (DBFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7B7); +RUNTEST 100 TCK; +SDR 16 TDI (FBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7EDB); +RUNTEST 100 TCK; +SDR 16 TDI (DF77); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (CB5F); +RUNTEST 100 TCK; +SDR 16 TDI (7EF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F9FB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BA7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6DEC); +RUNTEST 100 TCK; +SDR 16 TDI (EDE7); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (7B9F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF6); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (6EF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB7); +RUNTEST 100 TCK; +SDR 16 TDI (7BF6); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEBB); +RUNTEST 100 TCK; +SDR 16 TDI (77FE); +RUNTEST 100 TCK; +SDR 16 TDI (DBFB); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFB); +RUNTEST 100 TCK; +SDR 16 TDI (55AB); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7A); +RUNTEST 100 TCK; +SDR 16 TDI (7D6F); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFB6); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDAD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD9); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFC); +RUNTEST 100 TCK; +SDR 16 TDI (FFB9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (6E77); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BEF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF33); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B65E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FA); +RUNTEST 100 TCK; +SDR 16 TDI (73AF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (3FFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BEB); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B76B); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFE); +RUNTEST 100 TCK; +SDR 16 TDI (757F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (7B6A); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (B99D); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7775); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7DF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FD6F); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7DED); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFB); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (AABF); +RUNTEST 100 TCK; +SDR 16 TDI (FCFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7BF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF75); +RUNTEST 100 TCK; +SDR 16 TDI (6DEC); +RUNTEST 100 TCK; +SDR 16 TDI (B3F6); +RUNTEST 100 TCK; +SDR 16 TDI (A7D3); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB1); +RUNTEST 100 TCK; +SDR 16 TDI (93C1); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF3E); +RUNTEST 100 TCK; +SDR 16 TDI (73C3); +RUNTEST 100 TCK; +SDR 16 TDI (3FBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3FC); +RUNTEST 100 TCK; +SDR 16 TDI (7EDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FC); +RUNTEST 100 TCK; +SDR 16 TDI (73FF); +RUNTEST 100 TCK; +SDR 16 TDI (FC3F); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (E6FD); +RUNTEST 100 TCK; +SDR 16 TDI (7EFD); +RUNTEST 100 TCK; +SDR 16 TDI (E6EF); +RUNTEST 100 TCK; +SDR 16 TDI (BCE9); +RUNTEST 100 TCK; +SDR 16 TDI (BCEA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (5F5F); +RUNTEST 100 TCK; +SDR 16 TDI (B8BC); +RUNTEST 100 TCK; +SDR 16 TDI (FBEB); +RUNTEST 100 TCK; +SDR 16 TDI (6C4E); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (A11B); +RUNTEST 100 TCK; +SDR 16 TDI (D9DF); +RUNTEST 100 TCK; +SDR 16 TDI (6D3F); +RUNTEST 100 TCK; +SDR 16 TDI (D95F); +RUNTEST 100 TCK; +SDR 16 TDI (B75F); +RUNTEST 100 TCK; +SDR 16 TDI (E77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE5D); +RUNTEST 100 TCK; +SDR 16 TDI (69FC); +RUNTEST 100 TCK; +SDR 16 TDI (67C0); +RUNTEST 100 TCK; +SDR 16 TDI (0B9E); +RUNTEST 100 TCK; +SDR 16 TDI (A320); +RUNTEST 100 TCK; +SDR 16 TDI (03C0); +RUNTEST 100 TCK; +SDR 16 TDI (60A2); +RUNTEST 100 TCK; +SDR 16 TDI (5E39); +RUNTEST 100 TCK; +SDR 16 TDI (B997); +RUNTEST 100 TCK; +SDR 16 TDI (6084); +RUNTEST 100 TCK; +SDR 16 TDI (7CB5); +RUNTEST 100 TCK; +SDR 16 TDI (F48F); +RUNTEST 100 TCK; +SDR 16 TDI (A49F); +RUNTEST 100 TCK; +SDR 16 TDI (E3F5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAFE); +RUNTEST 100 TCK; +SDR 16 TDI (E9C3); +RUNTEST 100 TCK; +SDR 16 TDI (67A0); +RUNTEST 100 TCK; +SDR 16 TDI (0BE0); +RUNTEST 100 TCK; +SDR 16 TDI (A382); +RUNTEST 100 TCK; +SDR 16 TDI (03D0); +RUNTEST 100 TCK; +SDR 16 TDI (62E0); +RUNTEST 100 TCK; +SDR 16 TDI (1201); +RUNTEST 100 TCK; +SDR 16 TDI (A01F); +RUNTEST 100 TCK; +SDR 16 TDI (8884); +RUNTEST 100 TCK; +SDR 16 TDI (6080); +RUNTEST 100 TCK; +SDR 16 TDI (F43F); +RUNTEST 100 TCK; +SDR 16 TDI (AC1E); +RUNTEST 100 TCK; +SDR 16 TDI (897F); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF6D); +RUNTEST 100 TCK; +SDR 16 TDI (9283); +RUNTEST 100 TCK; +SDR 16 TDI (7FF0); +RUNTEST 100 TCK; +SDR 16 TDI (0C61); +RUNTEST 100 TCK; +SDR 16 TDI (A32C); +RUNTEST 100 TCK; +SDR 16 TDI (23F9); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (7CDB); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (E7E6); +RUNTEST 100 TCK; +SDR 16 TDI (7BCF); +RUNTEST 100 TCK; +SDR 16 TDI (0F8F); +RUNTEST 100 TCK; +SDR 16 TDI (BEBF); +RUNTEST 100 TCK; +SDR 16 TDI (F975); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDE); +RUNTEST 100 TCK; +SDR 16 TDI (D2B0); +RUNTEST 100 TCK; +SDR 16 TDI (67F6); +RUNTEST 100 TCK; +SDR 16 TDI (4D86); +RUNTEST 100 TCK; +SDR 16 TDI (A37E); +RUNTEST 100 TCK; +SDR 16 TDI (33F0); +RUNTEST 100 TCK; +SDR 16 TDI (6000); +RUNTEST 100 TCK; +SDR 16 TDI (7CD3); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (EE66); +RUNTEST 100 TCK; +SDR 16 TDI (6BC9); +RUNTEST 100 TCK; +SDR 16 TDI (49FF); +RUNTEST 100 TCK; +SDR 16 TDI (BE3C); +RUNTEST 100 TCK; +SDR 16 TDI (897F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BE27); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (6EEF); +RUNTEST 100 TCK; +SDR 16 TDI (A3BB); +RUNTEST 100 TCK; +SDR 16 TDI (B1F3); +RUNTEST 100 TCK; +SDR 16 TDI (66E6); +RUNTEST 100 TCK; +SDR 16 TDI (7CCF); +RUNTEST 100 TCK; +SDR 16 TDI (B98F); +RUNTEST 100 TCK; +SDR 16 TDI (D99F); +RUNTEST 100 TCK; +SDR 16 TDI (73EE); +RUNTEST 100 TCK; +SDR 16 TDI (8EC7); +RUNTEST 100 TCK; +SDR 16 TDI (BDD9); +RUNTEST 100 TCK; +SDR 16 TDI (99EB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (1111); +RUNTEST 100 TCK; +SDR 16 TDI (6167); +RUNTEST 100 TCK; +SDR 16 TDI (6445); +RUNTEST 100 TCK; +SDR 16 TDI (A777); +RUNTEST 100 TCK; +SDR 16 TDI (74A9); +RUNTEST 100 TCK; +SDR 16 TDI (7277); +RUNTEST 100 TCK; +SDR 16 TDI (3CDC); +RUNTEST 100 TCK; +SDR 16 TDI (BB25); +RUNTEST 100 TCK; +SDR 16 TDI (1DD9); +RUNTEST 100 TCK; +SDR 16 TDI (7BCC); +RUNTEST 100 TCK; +SDR 16 TDI (DC92); +RUNTEST 100 TCK; +SDR 16 TDI (B5CC); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (DDDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDE); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (F8FF); +RUNTEST 100 TCK; +SDR 16 TDI (6FCE); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDEF); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (E7F7); +RUNTEST 100 TCK; +SDR 16 TDI (B3BB); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAEE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (B7EF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (DF6D); +RUNTEST 100 TCK; +SDR 16 TDI (6B5F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF77); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FD); +RUNTEST 100 TCK; +SDR 16 TDI (BE7D); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (76EB); +RUNTEST 100 TCK; +SDR 16 TDI (F7DE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (76E5); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7DE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFAB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D6BF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FAFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DF5E); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDE); +RUNTEST 100 TCK; +SDR 16 TDI (F5FB); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FEF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (FECD); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (CF77); +RUNTEST 100 TCK; +SDR 16 TDI (777F); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (75BF); +RUNTEST 100 TCK; +SDR 16 TDI (AB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (677E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FF37); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (EBF7); +RUNTEST 100 TCK; +SDR 16 TDI (6ACF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (ADF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (B77F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (75BF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (6FDE); +RUNTEST 100 TCK; +SDR 16 TDI (DE6F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (79BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (EDD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEBE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF3); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (D757); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F4EF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (77DF); +RUNTEST 100 TCK; +SDR 16 TDI (D77B); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FE); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FBE); +RUNTEST 100 TCK; +SDR 16 TDI (B6DE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (7DEF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDAD); +RUNTEST 100 TCK; +SDR 16 TDI (77BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AE5F); +RUNTEST 100 TCK; +SDR 16 TDI (EBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (DFDB); +RUNTEST 100 TCK; +SDR 16 TDI (BAB7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (77FB); +RUNTEST 100 TCK; +SDR 16 TDI (FAEF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7F77); +RUNTEST 100 TCK; +SDR 16 TDI (FFF9); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB77); +RUNTEST 100 TCK; +SDR 16 TDI (7ADB); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (EEFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AF5F); +RUNTEST 100 TCK; +SDR 16 TDI (9FAE); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (77BB); +RUNTEST 100 TCK; +SDR 16 TDI (FEDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7D); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (EEFE); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFF5); +RUNTEST 100 TCK; +SDR 16 TDI (6BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A5FE); +RUNTEST 100 TCK; +SDR 16 TDI (FE7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (AE7F); +RUNTEST 100 TCK; +SDR 16 TDI (EFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7B6F); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (BF7D); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (7F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEA); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (6BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FD57); +RUNTEST 100 TCK; +SDR 16 TDI (9FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FA77); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFAA); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7E7); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFB); +RUNTEST 100 TCK; +SDR 16 TDI (DB7F); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7F5E); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BD25); +RUNTEST 100 TCK; +SDR 16 TDI (4DEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BA5B); +RUNTEST 100 TCK; +SDR 16 TDI (E7F5); +RUNTEST 100 TCK; +SDR 16 TDI (7D5B); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (9E9D); +RUNTEST 100 TCK; +SDR 16 TDI (9FD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFA); +RUNTEST 100 TCK; +SDR 16 TDI (DE7D); +RUNTEST 100 TCK; +SDR 16 TDI (BEFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B5FC); +RUNTEST 100 TCK; +SDR 16 TDI (67FA); +RUNTEST 100 TCK; +SDR 16 TDI (77F9); +RUNTEST 100 TCK; +SDR 16 TDI (4AE3); +RUNTEST 100 TCK; +SDR 16 TDI (ADF7); +RUNTEST 100 TCK; +SDR 16 TDI (DF7F); +RUNTEST 100 TCK; +SDR 16 TDI (56EF); +RUNTEST 100 TCK; +SDR 16 TDI (7E82); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (F0EB); +RUNTEST 100 TCK; +SDR 16 TDI (5B97); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7B); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DEDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F51E); +RUNTEST 100 TCK; +SDR 16 TDI (B7AF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFA); +RUNTEST 100 TCK; +SDR 16 TDI (6BB5); +RUNTEST 100 TCK; +SDR 16 TDI (9B7D); +RUNTEST 100 TCK; +SDR 16 TDI (A77F); +RUNTEST 100 TCK; +SDR 16 TDI (EF3C); +RUNTEST 100 TCK; +SDR 16 TDI (4E6D); +RUNTEST 100 TCK; +SDR 16 TDI (F5FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8F5F); +RUNTEST 100 TCK; +SDR 16 TDI (002E); +RUNTEST 100 TCK; +SDR 16 TDI (5FCF); +RUNTEST 100 TCK; +SDR 16 TDI (EFBA); +RUNTEST 100 TCK; +SDR 16 TDI (A36B); +RUNTEST 100 TCK; +SDR 16 TDI (F7EC); +RUNTEST 100 TCK; +SDR 16 TDI (602B); +RUNTEST 100 TCK; +SDR 16 TDI (9970); +RUNTEST 100 TCK; +SDR 16 TDI (8A77); +RUNTEST 100 TCK; +SDR 16 TDI (084C); +RUNTEST 100 TCK; +SDR 16 TDI (7041); +RUNTEST 100 TCK; +SDR 16 TDI (A06F); +RUNTEST 100 TCK; +SDR 16 TDI (A4E2); +RUNTEST 100 TCK; +SDR 16 TDI (E7EC); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A7FE); +RUNTEST 100 TCK; +SDR 16 TDI (8022); +RUNTEST 100 TCK; +SDR 16 TDI (47A5); +RUNTEST 100 TCK; +SDR 16 TDI (E422); +RUNTEST 100 TCK; +SDR 16 TDI (AB2B); +RUNTEST 100 TCK; +SDR 16 TDI (F2F0); +RUNTEST 100 TCK; +SDR 16 TDI (6A0B); +RUNTEST 100 TCK; +SDR 16 TDI (91F4); +RUNTEST 100 TCK; +SDR 16 TDI (881B); +RUNTEST 100 TCK; +SDR 16 TDI (8944); +RUNTEST 100 TCK; +SDR 16 TDI (4040); +RUNTEST 100 TCK; +SDR 16 TDI (A08F); +RUNTEST 100 TCK; +SDR 16 TDI (BCFA); +RUNTEST 100 TCK; +SDR 16 TDI (F86F); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9D5F); +RUNTEST 100 TCK; +SDR 16 TDI (022E); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (F7BA); +RUNTEST 100 TCK; +SDR 16 TDI (A28F); +RUNTEST 100 TCK; +SDR 16 TDI (EBFB); +RUNTEST 100 TCK; +SDR 16 TDI (6410); +RUNTEST 100 TCK; +SDR 16 TDI (1EB0); +RUNTEST 100 TCK; +SDR 16 TDI (AFBF); +RUNTEST 100 TCK; +SDR 16 TDI (F86B); +RUNTEST 100 TCK; +SDR 16 TDI (7040); +RUNTEST 100 TCK; +SDR 16 TDI (6C5F); +RUNTEST 100 TCK; +SDR 16 TDI (9F19); +RUNTEST 100 TCK; +SDR 16 TDI (187D); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFE); +RUNTEST 100 TCK; +SDR 16 TDI (6422); +RUNTEST 100 TCK; +SDR 16 TDI (67E4); +RUNTEST 100 TCK; +SDR 16 TDI (0422); +RUNTEST 100 TCK; +SDR 16 TDI (A203); +RUNTEST 100 TCK; +SDR 16 TDI (E3FB); +RUNTEST 100 TCK; +SDR 16 TDI (7213); +RUNTEST 100 TCK; +SDR 16 TDI (36F0); +RUNTEST 100 TCK; +SDR 16 TDI (8BBF); +RUNTEST 100 TCK; +SDR 16 TDI (E063); +RUNTEST 100 TCK; +SDR 16 TDI (6FD0); +RUNTEST 100 TCK; +SDR 16 TDI (608F); +RUNTEST 100 TCK; +SDR 16 TDI (BF61); +RUNTEST 100 TCK; +SDR 16 TDI (61BF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BA3B); +RUNTEST 100 TCK; +SDR 16 TDI (71EE); +RUNTEST 100 TCK; +SDR 16 TDI (EEEF); +RUNTEST 100 TCK; +SDR 16 TDI (A123); +RUNTEST 100 TCK; +SDR 16 TDI (11F3); +RUNTEST 100 TCK; +SDR 16 TDI (484D); +RUNTEST 100 TCK; +SDR 16 TDI (3888); +RUNTEST 100 TCK; +SDR 16 TDI (938F); +RUNTEST 100 TCK; +SDR 16 TDI (B888); +RUNTEST 100 TCK; +SDR 16 TDI (50CC); +RUNTEST 100 TCK; +SDR 16 TDI (CCE7); +RUNTEST 100 TCK; +SDR 16 TDI (BBBB); +RUNTEST 100 TCK; +SDR 16 TDI (BBEA); +RUNTEST 100 TCK; +SDR 16 TDI (67FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (97FF); +RUNTEST 100 TCK; +SDR 16 TDI (3373); +RUNTEST 100 TCK; +SDR 16 TDI (6146); +RUNTEST 100 TCK; +SDR 16 TDI (6667); +RUNTEST 100 TCK; +SDR 16 TDI (B737); +RUNTEST 100 TCK; +SDR 16 TDI (72A9); +RUNTEST 100 TCK; +SDR 16 TDI (6623); +RUNTEST 100 TCK; +SDR 16 TDI (7DD9); +RUNTEST 100 TCK; +SDR 16 TDI (BBB5); +RUNTEST 100 TCK; +SDR 16 TDI (1CDD); +RUNTEST 100 TCK; +SDR 16 TDI (73C9); +RUNTEST 100 TCK; +SDR 16 TDI (C9C2); +RUNTEST 100 TCK; +SDR 16 TDI (9111); +RUNTEST 100 TCK; +SDR 16 TDI (117F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (FDDD); +RUNTEST 100 TCK; +SDR 16 TDI (BDBF); +RUNTEST 100 TCK; +SDR 16 TDI (DFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7CDD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (9FDF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7E7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (A7F7); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (EEEF); +RUNTEST 100 TCK; +SDR 16 TDI (95E7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB5); +RUNTEST 100 TCK; +SDR 16 TDI (6FF5); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BF7C); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFBE); +RUNTEST 100 TCK; +SDR 16 TDI (7BF6); +RUNTEST 100 TCK; +SDR 16 TDI (74D5); +RUNTEST 100 TCK; +SDR 16 TDI (FF9F); +RUNTEST 100 TCK; +SDR 16 TDI (B2BF); +RUNTEST 100 TCK; +SDR 16 TDI (D2FF); +RUNTEST 100 TCK; +SDR 16 TDI (5F7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (9FBF); +RUNTEST 100 TCK; +SDR 16 TDI (ADDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (F7F5); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (77DD); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (DFDD); +RUNTEST 100 TCK; +SDR 16 TDI (6FF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (99D7); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DEE); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7F7D); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FF5F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FDD); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FD6F); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BEE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFB); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (5F77); +RUNTEST 100 TCK; +SDR 16 TDI (AF7D); +RUNTEST 100 TCK; +SDR 16 TDI (9F7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BF9); +RUNTEST 100 TCK; +SDR 16 TDI (FB5F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (57EC); +RUNTEST 100 TCK; +SDR 16 TDI (EF7F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (4FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BDF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5ED3); +RUNTEST 100 TCK; +SDR 16 TDI (D77D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF7); +RUNTEST 100 TCK; +SDR 16 TDI (59F6); +RUNTEST 100 TCK; +SDR 16 TDI (FF9F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (3BFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FF7); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7D7); +RUNTEST 100 TCK; +SDR 16 TDI (BAFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FAD); +RUNTEST 100 TCK; +SDR 16 TDI (FB7F); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFE); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBAF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FBE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (93FF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (5EFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (8FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (5EDD); +RUNTEST 100 TCK; +SDR 16 TDI (BE66); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDBB); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (ADFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7EEF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (ABBF); +RUNTEST 100 TCK; +SDR 16 TDI (B5BF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FEE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (FF6F); +RUNTEST 100 TCK; +SDR 16 TDI (79FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFB7); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AB5F); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (AFF6); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB9F); +RUNTEST 100 TCK; +SDR 16 TDI (97FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDD); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (7FB6); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (979F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FDF5); +RUNTEST 100 TCK; +SDR 16 TDI (BDDF); +RUNTEST 100 TCK; +SDR 16 TDI (BD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (7EFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (8A5F); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7D); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (DBF7); +RUNTEST 100 TCK; +SDR 16 TDI (7DFE); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (AEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFA); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (5BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (FD7F); +RUNTEST 100 TCK; +SDR 16 TDI (7FEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (6EFF); +RUNTEST 100 TCK; +SDR 16 TDI (F5FB); +RUNTEST 100 TCK; +SDR 16 TDI (9DFF); +RUNTEST 100 TCK; +SDR 16 TDI (F6FD); +RUNTEST 100 TCK; +SDR 16 TDI (57FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FD7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (BBDF); +RUNTEST 100 TCK; +SDR 16 TDI (9DF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (6EFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BAEF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D5DF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF35); +RUNTEST 100 TCK; +SDR 16 TDI (96BD); +RUNTEST 100 TCK; +SDR 16 TDI (EBFD); +RUNTEST 100 TCK; +SDR 16 TDI (5DDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEBD); +RUNTEST 100 TCK; +SDR 16 TDI (F7EF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9BFF); +RUNTEST 100 TCK; +SDR 16 TDI (B72F); +RUNTEST 100 TCK; +SDR 16 TDI (4FEF); +RUNTEST 100 TCK; +SDR 16 TDI (9256); +RUNTEST 100 TCK; +SDR 16 TDI (B3DE); +RUNTEST 100 TCK; +SDR 16 TDI (DBFA); +RUNTEST 100 TCK; +SDR 16 TDI (6AFE); +RUNTEST 100 TCK; +SDR 16 TDI (FEB2); +RUNTEST 100 TCK; +SDR 16 TDI (AFFD); +RUNTEST 100 TCK; +SDR 16 TDI (F0D3); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (9DED); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DDD); +RUNTEST 100 TCK; +SDR 16 TDI (7FB7); +RUNTEST 100 TCK; +SDR 16 TDI (FDBF); +RUNTEST 100 TCK; +SDR 16 TDI (BE6D); +RUNTEST 100 TCK; +SDR 16 TDI (A7FD); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (DB5D); +RUNTEST 100 TCK; +SDR 16 TDI (97DF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (57BF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BB5E); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (CAFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FE9); +RUNTEST 100 TCK; +SDR 16 TDI (9DB3); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (5D5F); +RUNTEST 100 TCK; +SDR 16 TDI (75FF); +RUNTEST 100 TCK; +SDR 16 TDI (B83F); +RUNTEST 100 TCK; +SDR 16 TDI (CFEC); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (BEFB); +RUNTEST 100 TCK; +SDR 16 TDI (3FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (875C); +RUNTEST 100 TCK; +SDR 16 TDI (B834); +RUNTEST 100 TCK; +SDR 16 TDI (47D8); +RUNTEST 100 TCK; +SDR 16 TDI (807B); +RUNTEST 100 TCK; +SDR 16 TDI (B23E); +RUNTEST 100 TCK; +SDR 16 TDI (23ED); +RUNTEST 100 TCK; +SDR 16 TDI (6FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7B3A); +RUNTEST 100 TCK; +SDR 16 TDI (B997); +RUNTEST 100 TCK; +SDR 16 TDI (192C); +RUNTEST 100 TCK; +SDR 16 TDI (617A); +RUNTEST 100 TCK; +SDR 16 TDI (7F0F); +RUNTEST 100 TCK; +SDR 16 TDI (9000); +RUNTEST 100 TCK; +SDR 16 TDI (67EA); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AEFC); +RUNTEST 100 TCK; +SDR 16 TDI (9420); +RUNTEST 100 TCK; +SDR 16 TDI (67B8); +RUNTEST 100 TCK; +SDR 16 TDI (821E); +RUNTEST 100 TCK; +SDR 16 TDI (A0F8); +RUNTEST 100 TCK; +SDR 16 TDI (0FD3); +RUNTEST 100 TCK; +SDR 16 TDI (667E); +RUNTEST 100 TCK; +SDR 16 TDI (1814); +RUNTEST 100 TCK; +SDR 16 TDI (999B); +RUNTEST 100 TCK; +SDR 16 TDI (980C); +RUNTEST 100 TCK; +SDR 16 TDI (417A); +RUNTEST 100 TCK; +SDR 16 TDI (70CD); +RUNTEST 100 TCK; +SDR 16 TDI (B800); +RUNTEST 100 TCK; +SDR 16 TDI (607F); +RUNTEST 100 TCK; +SDR 16 TDI (6FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B75F); +RUNTEST 100 TCK; +SDR 16 TDI (763B); +RUNTEST 100 TCK; +SDR 16 TDI (7FE0); +RUNTEST 100 TCK; +SDR 16 TDI (109B); +RUNTEST 100 TCK; +SDR 16 TDI (923C); +RUNTEST 100 TCK; +SDR 16 TDI (2FF1); +RUNTEST 100 TCK; +SDR 16 TDI (47FE); +RUNTEST 100 TCK; +SDR 16 TDI (7CB0); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (D86E); +RUNTEST 100 TCK; +SDR 16 TDI (61A4); +RUNTEST 100 TCK; +SDR 16 TDI (A0FF); +RUNTEST 100 TCK; +SDR 16 TDI (B8C0); +RUNTEST 100 TCK; +SDR 16 TDI (986B); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7C20); +RUNTEST 100 TCK; +SDR 16 TDI (67E1); +RUNTEST 100 TCK; +SDR 16 TDI (328C); +RUNTEST 100 TCK; +SDR 16 TDI (A3FC); +RUNTEST 100 TCK; +SDR 16 TDI (0FF3); +RUNTEST 100 TCK; +SDR 16 TDI (467E); +RUNTEST 100 TCK; +SDR 16 TDI (1CA0); +RUNTEST 100 TCK; +SDR 16 TDI (A23F); +RUNTEST 100 TCK; +SDR 16 TDI (C02E); +RUNTEST 100 TCK; +SDR 16 TDI (61A4); +RUNTEST 100 TCK; +SDR 16 TDI (AC0F); +RUNTEST 100 TCK; +SDR 16 TDI (9840); +RUNTEST 100 TCK; +SDR 16 TDI (19FE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFD7); +RUNTEST 100 TCK; +SDR 16 TDI (B23B); +RUNTEST 100 TCK; +SDR 16 TDI (63E6); +RUNTEST 100 TCK; +SDR 16 TDI (FE67); +RUNTEST 100 TCK; +SDR 16 TDI (B330); +RUNTEST 100 TCK; +SDR 16 TDI (B1FE); +RUNTEST 100 TCK; +SDR 16 TDI (6666); +RUNTEST 100 TCK; +SDR 16 TDI (7CFC); +RUNTEST 100 TCK; +SDR 16 TDI (9DCF); +RUNTEST 100 TCK; +SDR 16 TDI (B9F9); +RUNTEST 100 TCK; +SDR 16 TDI (51EE); +RUNTEST 100 TCK; +SDR 16 TDI (EEE7); +RUNTEST 100 TCK; +SDR 16 TDI (B9C9); +RUNTEST 100 TCK; +SDR 16 TDI (BBFA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (3773); +RUNTEST 100 TCK; +SDR 16 TDI (6956); +RUNTEST 100 TCK; +SDR 16 TDI (6777); +RUNTEST 100 TCK; +SDR 16 TDI (9273); +RUNTEST 100 TCK; +SDR 16 TDI (26A1); +RUNTEST 100 TCK; +SDR 16 TDI (5626); +RUNTEST 100 TCK; +SDR 16 TDI (7DCC); +RUNTEST 100 TCK; +SDR 16 TDI (B985); +RUNTEST 100 TCK; +SDR 16 TDI (1C9D); +RUNTEST 100 TCK; +SDR 16 TDI (7B44); +RUNTEST 100 TCK; +SDR 16 TDI (4442); +RUNTEST 100 TCK; +SDR 16 TDI (B5CD); +RUNTEST 100 TCK; +SDR 16 TDI (917F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FF9); +RUNTEST 100 TCK; +SDR 16 TDI (FBDF); +RUNTEST 100 TCK; +SDR 16 TDI (53CF); +RUNTEST 100 TCK; +SDR 16 TDI (FEEE); +RUNTEST 100 TCK; +SDR 16 TDI (BBFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (6FDE); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B3FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7DFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7BF7); +RUNTEST 100 TCK; +SDR 16 TDI (7E6D); +RUNTEST 100 TCK; +SDR 16 TDI (BEDF); +RUNTEST 100 TCK; +SDR 16 TDI (FEFB); +RUNTEST 100 TCK; +SDR 16 TDI (7B7F); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7577); +RUNTEST 100 TCK; +SDR 16 TDI (6FFD); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (B7DD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (777D); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BBFF); +RUNTEST 100 TCK; +SDR 16 TDI (D5D7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (6FFB); +RUNTEST 100 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FD); +RUNTEST 100 TCK; +SDR 16 TDI (FFF7); +RUNTEST 100 TCK; +SDR 16 TDI (77FD); +RUNTEST 100 TCK; +SDR 16 TDI (FFAF); +RUNTEST 100 TCK; +SDR 16 TDI (BFBF); +RUNTEST 100 TCK; +SDR 16 TDI (AD77); +RUNTEST 100 TCK; +SDR 16 TDI (7FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (AFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F57F); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7D76); +RUNTEST 100 TCK; +SDR 16 TDI (FDFD); +RUNTEST 100 TCK; +SDR 16 TDI (BB7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFDA); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FBBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BDFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF5E); +RUNTEST 100 TCK; +SDR 16 TDI (B5DF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (F9BE); +RUNTEST 100 TCK; +SDR 16 TDI (BD3F); +RUNTEST 100 TCK; +SDR 16 TDI (FEF3); +RUNTEST 100 TCK; +SDR 16 TDI (7EF7); +RUNTEST 100 TCK; +SDR 16 TDI (FEFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFEF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFA); +RUNTEST 100 TCK; +SDR 16 TDI (5DFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (DFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFE); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (AADF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EA7E); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FB7B); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (DDFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FEDB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFB); +RUNTEST 100 TCK; +SDR 16 TDI (FF7F); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (D7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7BFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BB5F); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (5DFB); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF6); +RUNTEST 100 TCK; +SDR 16 TDI (77EF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FB); +RUNTEST 100 TCK; +SDR 16 TDI (5FDF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFE); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF7); +RUNTEST 100 TCK; +SDR 16 TDI (FFEF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF5); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFDF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFF9); +RUNTEST 100 TCK; +SDR 16 TDI (77FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FBFF); +RUNTEST 100 TCK; +SDR 16 TDI (4FBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (F7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF9); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BEFF); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFEE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFD); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B7FF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFD); +RUNTEST 100 TCK; +SDR 16 TDI (DFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFDF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EAEF); +RUNTEST 100 TCK; +SDR 16 TDI (BBBF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF8); +RUNTEST 100 TCK; +SDR 16 TDI (FFBF); +RUNTEST 100 TCK; +SDR 16 TDI (BF77); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (5FFD); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFED); +RUNTEST 100 TCK; +SDR 16 TDI (DFFD); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (B6FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (D95F); +RUNTEST 100 TCK; +SDR 16 TDI (BDCF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FDDF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (9FFF); +RUNTEST 100 TCK; +SDR 16 TDI (EFFD); +RUNTEST 100 TCK; +SDR 16 TDI (53FF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (977F); +RUNTEST 100 TCK; +SDR 16 TDI (E7FF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FF3); +RUNTEST 100 TCK; +SDR 16 TDI (BF7F); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFB); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFC); +RUNTEST 100 TCK; +SDR 16 TDI (F9E7); +RUNTEST 100 TCK; +SDR 16 TDI (59F3); +RUNTEST 100 TCK; +SDR 16 TDI (FFFE); +RUNTEST 100 TCK; +SDR 16 TDI (AF9E); +RUNTEST 100 TCK; +SDR 16 TDI (7CFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (F3E7); +RUNTEST 100 TCK; +SDR 16 TDI (B3E7); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (5FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (7FFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +! +! +! +!VERIFY +! +! +! +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (7FFF) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFE7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F3E); +SDR 16 TDI (FFFF) TDO (79F3); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (C666); +SDR 16 TDI (FFFF) TDO (6FF9); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BC66); +SDR 16 TDI (FFFF) TDO (67FE); +SDR 16 TDI (FFFF) TDO (733F); +SDR 16 TDI (FFFF) TDO (FF19); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (ECCF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFCC); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (CFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (76FF); +SDR 16 TDI (FFFF) TDO (ABFD); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFDA); +SDR 16 TDI (FFFF) TDO (7DFE); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (EF5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7B7B); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EF7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FCCD); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (76FB); +SDR 16 TDI (FFFF) TDO (D7AF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6DBB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (BAFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BEEF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (B7BF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (6CDF); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F9EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (3FD7); +SDR 16 TDI (FFFF) TDO (BDEB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (FEBF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (ADDF); +SDR 16 TDI (FFFF) TDO (FB7C); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (3775); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FBCC); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (773F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (DFAF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DD); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF6); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (FFB9); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FBBA); +SDR 16 TDI (FFFF) TDO (7DBB); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (D3F7); +SDR 16 TDI (FFFF) TDO (B97F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FAF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (EDEF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7BFC); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77F9); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7C); +SDR 16 TDI (FFFF) TDO (6DF7); +SDR 16 TDI (FFFF) TDO (3E9F); +SDR 16 TDI (FFFF) TDO (BC7F); +SDR 16 TDI (FFFF) TDO (33FD); +SDR 16 TDI (FFFF) TDO (6FCA); +SDR 16 TDI (FFFF) TDO (FFB6); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF21); +SDR 16 TDI (FFFF) TDO (7DE7); +SDR 16 TDI (FFFF) TDO (8FBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBE3); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7F37); +SDR 16 TDI (FFFF) TDO (FF4B); +SDR 16 TDI (FFFF) TDO (B99F); +SDR 16 TDI (FFFF) TDO (F9DE); +SDR 16 TDI (FFFF) TDO (779B); +SDR 16 TDI (FFFF) TDO (786F); +SDR 16 TDI (FFFF) TDO (BDDC); +SDR 16 TDI (FFFF) TDO (727F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77FC); +SDR 16 TDI (FFFF) TDO (E57C); +SDR 16 TDI (FFFF) TDO (A3F7); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6F7D); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BAAF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B66F); +SDR 16 TDI (FFFF) TDO (FCFC); +SDR 16 TDI (FFFF) TDO (67DE); +SDR 16 TDI (FFFF) TDO (1FFA); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (FFE0); +SDR 16 TDI (FFFF) TDO (7882); +SDR 16 TDI (FFFF) TDO (1F0F); +SDR 16 TDI (FFFF) TDO (A61F); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (7245); +SDR 16 TDI (FFFF) TDO (A8EF); +SDR 16 TDI (FFFF) TDO (B781); +SDR 16 TDI (FFFF) TDO (80F5); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FC3C); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (1BA2); +SDR 16 TDI (FFFF) TDO (A000); +SDR 16 TDI (FFFF) TDO (FFD0); +SDR 16 TDI (FFFF) TDO (7800); +SDR 16 TDI (FFFF) TDO (1F0F); +SDR 16 TDI (FFFF) TDO (A01E); +SDR 16 TDI (FFFF) TDO (F9F6); +SDR 16 TDI (FFFF) TDO (6280); +SDR 16 TDI (FFFF) TDO (A8CF); +SDR 16 TDI (FFFF) TDO (AF81); +SDR 16 TDI (FFFF) TDO (907F); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FDFC); +SDR 16 TDI (FFFF) TDO (67E7); +SDR 16 TDI (FFFF) TDO (1FFB); +SDR 16 TDI (FFFF) TDO (A230); +SDR 16 TDI (FFFF) TDO (33F0); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (9F0F); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7253); +SDR 16 TDI (FFFF) TDO (00DF); +SDR 16 TDI (FFFF) TDO (BF09); +SDR 16 TDI (FFFF) TDO (80F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FDBE); +SDR 16 TDI (FFFF) TDO (67E1); +SDR 16 TDI (FFFF) TDO (01C2); +SDR 16 TDI (FFFF) TDO (A030); +SDR 16 TDI (FFFF) TDO (33F4); +SDR 16 TDI (FFFF) TDO (6001); +SDR 16 TDI (FFFF) TDO (9F7E); +SDR 16 TDI (FFFF) TDO (BF9F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6290); +SDR 16 TDI (FFFF) TDO (80DF); +SDR 16 TDI (FFFF) TDO (BF09); +SDR 16 TDI (FFFF) TDO (007F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAA7); +SDR 16 TDI (FFFF) TDO (3332); +SDR 16 TDI (FFFF) TDO (61E6); +SDR 16 TDI (FFFF) TDO (EE6F); +SDR 16 TDI (FFFF) TDO (A318); +SDR 16 TDI (FFFF) TDO (11F2); +SDR 16 TDI (FFFF) TDO (6144); +SDR 16 TDI (FFFF) TDO (3CEC); +SDR 16 TDI (FFFF) TDO (BDCF); +SDR 16 TDI (FFFF) TDO (9BB8); +SDR 16 TDI (FFFF) TDO (73C9); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (B89C); +SDR 16 TDI (FFFF) TDO (98FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7777); +SDR 16 TDI (FFFF) TDO (6952); +SDR 16 TDI (FFFF) TDO (6767); +SDR 16 TDI (FFFF) TDO (B773); +SDR 16 TDI (FFFF) TDO (22A1); +SDR 16 TDI (FFFF) TDO (6627); +SDR 16 TDI (FFFF) TDO (7DCD); +SDR 16 TDI (FFFF) TDO (B985); +SDR 16 TDI (FFFF) TDO (599D); +SDR 16 TDI (FFFF) TDO (79DD); +SDR 16 TDI (FFFF) TDO (DDC2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEF7); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (77CF); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (BF99); +SDR 16 TDI (FFFF) TDO (DFEE); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (B3BB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EBFA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7F7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7D7D); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (FDFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (7FE7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (737F); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDF6); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7DC); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFAF); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7B7E); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5D); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DEFF); +SDR 16 TDI (FFFF) TDO (6BF9); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBDB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (6EBF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (6B77); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7D7F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFB7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7CBD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (B96F); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7D75); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFF9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (5FFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55F); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DF4); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BF3A); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (BFBB); +SDR 16 TDI (FFFF) TDO (77D9); +SDR 16 TDI (FFFF) TDO (7BBF); +SDR 16 TDI (FFFF) TDO (BEF3); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B55E); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (BD9B); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDDF); +SDR 16 TDI (FFFF) TDO (BD5F); +SDR 16 TDI (FFFF) TDO (DF75); +SDR 16 TDI (FFFF) TDO (69FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AAAF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DEB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6FF6); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFE); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (FFDB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (775B); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (6CFB); +SDR 16 TDI (FFFF) TDO (7DBF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6DED); +SDR 16 TDI (FFFF) TDO (F37F); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (CFFE); +SDR 16 TDI (FFFF) TDO (7F76); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (3AFB); +SDR 16 TDI (FFFF) TDO (7717); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEEC); +SDR 16 TDI (FFFF) TDO (FE5F); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (7FFC); +SDR 16 TDI (FFFF) TDO (B8BF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (62EF); +SDR 16 TDI (FFFF) TDO (FFCF); +SDR 16 TDI (FFFF) TDO (ADBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (D45F); +SDR 16 TDI (FFFF) TDO (BE5F); +SDR 16 TDI (FFFF) TDO (566A); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (67F7); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (9FD7); +SDR 16 TDI (FFFF) TDO (BFE3); +SDR 16 TDI (FFFF) TDO (BBF5); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (5CFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (D71D); +SDR 16 TDI (FFFF) TDO (78FD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (BDAE); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B99D); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C0); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (B4A0); +SDR 16 TDI (FFFF) TDO (03EE); +SDR 16 TDI (FFFF) TDO (7580); +SDR 16 TDI (FFFF) TDO (1302); +SDR 16 TDI (FFFF) TDO (A01E); +SDR 16 TDI (FFFF) TDO (1819); +SDR 16 TDI (FFFF) TDO (70AF); +SDR 16 TDI (FFFF) TDO (FC0F); +SDR 16 TDI (FFFF) TDO (B079); +SDR 16 TDI (FFFF) TDO (87F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ABFE); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A0A0); +SDR 16 TDI (FFFF) TDO (03D0); +SDR 16 TDI (FFFF) TDO (7580); +SDR 16 TDI (FFFF) TDO (1302); +SDR 16 TDI (FFFF) TDO (B41B); +SDR 16 TDI (FFFF) TDO (9F99); +SDR 16 TDI (FFFF) TDO (70AB); +SDR 16 TDI (FFFF) TDO (0C0F); +SDR 16 TDI (FFFF) TDO (AAA9); +SDR 16 TDI (FFFF) TDO (D07F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD5D); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FF0); +SDR 16 TDI (FFFF) TDO (2C60); +SDR 16 TDI (FFFF) TDO (AA40); +SDR 16 TDI (FFFF) TDO (E3FF); +SDR 16 TDI (FFFF) TDO (6C06); +SDR 16 TDI (FFFF) TDO (30C7); +SDR 16 TDI (FFFF) TDO (A47F); +SDR 16 TDI (FFFF) TDO (E066); +SDR 16 TDI (FFFF) TDO (610F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B86C); +SDR 16 TDI (FFFF) TDO (84F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67F6); +SDR 16 TDI (FFFF) TDO (0D86); +SDR 16 TDI (FFFF) TDO (A040); +SDR 16 TDI (FFFF) TDO (C3F0); +SDR 16 TDI (FFFF) TDO (7C06); +SDR 16 TDI (FFFF) TDO (10C1); +SDR 16 TDI (FFFF) TDO (A07F); +SDR 16 TDI (FFFF) TDO (9DE6); +SDR 16 TDI (FFFF) TDO (6083); +SDR 16 TDI (FFFF) TDO (3C0F); +SDR 16 TDI (FFFF) TDO (BCC8); +SDR 16 TDI (FFFF) TDO (07FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAA7); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (2EEF); +SDR 16 TDI (FFFF) TDO (B219); +SDR 16 TDI (FFFF) TDO (31F1); +SDR 16 TDI (FFFF) TDO (6286); +SDR 16 TDI (FFFF) TDO (38CF); +SDR 16 TDI (FFFF) TDO (B9AF); +SDR 16 TDI (FFFF) TDO (9999); +SDR 16 TDI (FFFF) TDO (71CF); +SDR 16 TDI (FFFF) TDO (CEC7); +SDR 16 TDI (FFFF) TDO (BA9C); +SDR 16 TDI (FFFF) TDO (9BFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6167); +SDR 16 TDI (FFFF) TDO (6445); +SDR 16 TDI (FFFF) TDO (B763); +SDR 16 TDI (FFFF) TDO (36A9); +SDR 16 TDI (FFFF) TDO (7677); +SDR 16 TDI (FFFF) TDO (79DC); +SDR 16 TDI (FFFF) TDO (BBA5); +SDR 16 TDI (FFFF) TDO (19D9); +SDR 16 TDI (FFFF) TDO (79DC); +SDR 16 TDI (FFFF) TDO (DC9A); +SDR 16 TDI (FFFF) TDO (B1CD); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBDD); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (BFC7); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (6FEF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (EBFA); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (6DFB); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FF3F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DD7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (6BF7); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77F7); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DBED); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (66FF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FE); +SDR 16 TDI (FFFF) TDO (BBDE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (EFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (7EEB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (B77D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6EFE); +SDR 16 TDI (FFFF) TDO (DF5F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (BBFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEB); +SDR 16 TDI (FFFF) TDO (D7FB); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FF76); +SDR 16 TDI (FFFF) TDO (7D7B); +SDR 16 TDI (FFFF) TDO (EF5F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (F9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BDD); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFBE); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BBEF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB7B); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (737F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (DEEB); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BBB); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (7DD5); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DF3B); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6B7F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7BE); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FDB); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (CBDE); +SDR 16 TDI (FFFF) TDO (7DEB); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BCFB); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDDE); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FFEB); +SDR 16 TDI (FFFF) TDO (BBDB); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BDDD); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6B7F); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD7D); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (EDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (AFBE); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7DE0); +SDR 16 TDI (FFFF) TDO (13F6); +SDR 16 TDI (FFFF) TDO (AEFD); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (6C97); +SDR 16 TDI (FFFF) TDO (B3EF); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (F9F9); +SDR 16 TDI (FFFF) TDO (7676); +SDR 16 TDI (FFFF) TDO (FE6F); +SDR 16 TDI (FFFF) TDO (BBE9); +SDR 16 TDI (FFFF) TDO (33FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B5F2); +SDR 16 TDI (FFFF) TDO (FFFC); +SDR 16 TDI (FFFF) TDO (7F7B); +SDR 16 TDI (FFFF) TDO (DEDA); +SDR 16 TDI (FFFF) TDO (BCFF); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (DFFB); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (CD6A); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (677F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BF2F); +SDR 16 TDI (FFFF) TDO (F7F3); +SDR 16 TDI (FFFF) TDO (73FD); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (BF9E); +SDR 16 TDI (FFFF) TDO (CE1E); +SDR 16 TDI (FFFF) TDO (69BB); +SDR 16 TDI (FFFF) TDO (2F9F); +SDR 16 TDI (FFFF) TDO (BC9F); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBAD); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C2); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (A130); +SDR 16 TDI (FFFF) TDO (EFEF); +SDR 16 TDI (FFFF) TDO (7404); +SDR 16 TDI (FFFF) TDO (BC00); +SDR 16 TDI (FFFF) TDO (B17F); +SDR 16 TDI (FFFF) TDO (0100); +SDR 16 TDI (FFFF) TDO (6888); +SDR 16 TDI (FFFF) TDO (5C1F); +SDR 16 TDI (FFFF) TDO (B768); +SDR 16 TDI (FFFF) TDO (0075); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF5E); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A3F0); +SDR 16 TDI (FFFF) TDO (8FDE); +SDR 16 TDI (FFFF) TDO (78A7); +SDR 16 TDI (FFFF) TDO (BA00); +SDR 16 TDI (FFFF) TDO (A11E); +SDR 16 TDI (FFFF) TDO (8002); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (5C2F); +SDR 16 TDI (FFFF) TDO (AF08); +SDR 16 TDI (FFFF) TDO (10FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5FD); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FE0); +SDR 16 TDI (FFFF) TDO (4C61); +SDR 16 TDI (FFFF) TDO (A538); +SDR 16 TDI (FFFF) TDO (EFF3); +SDR 16 TDI (FFFF) TDO (6066); +SDR 16 TDI (FFFF) TDO (1CC8); +SDR 16 TDI (FFFF) TDO (B19F); +SDR 16 TDI (FFFF) TDO (C208); +SDR 16 TDI (FFFF) TDO (6078); +SDR 16 TDI (FFFF) TDO (BC6F); +SDR 16 TDI (FFFF) TDO (BF99); +SDR 16 TDI (FFFF) TDO (F875); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67E0); +SDR 16 TDI (FFFF) TDO (0D86); +SDR 16 TDI (FFFF) TDO (A338); +SDR 16 TDI (FFFF) TDO (2FFC); +SDR 16 TDI (FFFF) TDO (6126); +SDR 16 TDI (FFFF) TDO (19C8); +SDR 16 TDI (FFFF) TDO (A19F); +SDR 16 TDI (FFFF) TDO (E00A); +SDR 16 TDI (FFFF) TDO (70F0); +SDR 16 TDI (FFFF) TDO (9C1F); +SDR 16 TDI (FFFF) TDO (BF98); +SDR 16 TDI (FFFF) TDO (787F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BA3F); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71E6); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (B333); +SDR 16 TDI (FFFF) TDO (75F3); +SDR 16 TDI (FFFF) TDO (6E7F); +SDR 16 TDI (FFFF) TDO (7EE8); +SDR 16 TDI (FFFF) TDO (B9CF); +SDR 16 TDI (FFFF) TDO (D98B); +SDR 16 TDI (FFFF) TDO (71E8); +SDR 16 TDI (FFFF) TDO (8E83); +SDR 16 TDI (FFFF) TDO (B998); +SDR 16 TDI (FFFF) TDO (89FA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6177); +SDR 16 TDI (FFFF) TDO (2445); +SDR 16 TDI (FFFF) TDO (B777); +SDR 16 TDI (FFFF) TDO (74AB); +SDR 16 TDI (FFFF) TDO (6767); +SDR 16 TDI (FFFF) TDO (7CCD); +SDR 16 TDI (FFFF) TDO (BB85); +SDR 16 TDI (FFFF) TDO (5DD9); +SDR 16 TDI (FFFF) TDO (7BCD); +SDR 16 TDI (FFFF) TDO (DCD2); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (D9FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FCF); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (FFE7); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BCEF); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (B3BF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (6DFF); +SDR 16 TDI (FFFF) TDO (F7DB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (CDDB); +SDR 16 TDI (FFFF) TDO (7F67); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F77B); +SDR 16 TDI (FFFF) TDO (B5DF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (7FDE); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5DD); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (73BF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DBFF); +SDR 16 TDI (FFFF) TDO (7F7E); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FF7E); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F57F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FDE7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EFDB); +SDR 16 TDI (FFFF) TDO (7BE7); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (DD37); +SDR 16 TDI (FFFF) TDO (7DF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7DEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7F3F); +SDR 16 TDI (FFFF) TDO (AFF7); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (77D7); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (EFDF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6BEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (AFDF); +SDR 16 TDI (FFFF) TDO (FFF3); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FEEF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (DBFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7B7); +SDR 16 TDI (FFFF) TDO (FBF7); +SDR 16 TDI (FFFF) TDO (7EDB); +SDR 16 TDI (FFFF) TDO (DF77); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (CB5F); +SDR 16 TDI (FFFF) TDO (7EF5); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F9FB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BA7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (6DEC); +SDR 16 TDI (FFFF) TDO (EDE7); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (7B9F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (BFF6); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (BEFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (6EF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DF7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFB7); +SDR 16 TDI (FFFF) TDO (7BF6); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FEBB); +SDR 16 TDI (FFFF) TDO (77FE); +SDR 16 TDI (FFFF) TDO (DBFB); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (6EFB); +SDR 16 TDI (FFFF) TDO (55AB); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FB7A); +SDR 16 TDI (FFFF) TDO (7D6F); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (BFB6); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDAD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFD9); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7DFC); +SDR 16 TDI (FFFF) TDO (FFB9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (6E77); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (BEF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FF33); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (B5FF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B65E); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FA); +SDR 16 TDI (FFFF) TDO (73AF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (3FFD); +SDR 16 TDI (FFFF) TDO (7BEB); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (F7F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B76B); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FEFE); +SDR 16 TDI (FFFF) TDO (757F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (7B6A); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (B99D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7775); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F7DF); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FD6F); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7DED); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (AFFB); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (AABF); +SDR 16 TDI (FFFF) TDO (FCFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7BF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF75); +SDR 16 TDI (FFFF) TDO (6DEC); +SDR 16 TDI (FFFF) TDO (B3F6); +SDR 16 TDI (FFFF) TDO (A7D3); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FB1); +SDR 16 TDI (FFFF) TDO (93C1); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FF3E); +SDR 16 TDI (FFFF) TDO (73C3); +SDR 16 TDI (FFFF) TDO (3FBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3FC); +SDR 16 TDI (FFFF) TDO (7EDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (D7FC); +SDR 16 TDI (FFFF) TDO (73FF); +SDR 16 TDI (FFFF) TDO (FC3F); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (E6FD); +SDR 16 TDI (FFFF) TDO (7EFD); +SDR 16 TDI (FFFF) TDO (E6EF); +SDR 16 TDI (FFFF) TDO (BCE9); +SDR 16 TDI (FFFF) TDO (BCEA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (5F5F); +SDR 16 TDI (FFFF) TDO (B8BC); +SDR 16 TDI (FFFF) TDO (FBEB); +SDR 16 TDI (FFFF) TDO (6C4E); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (A11B); +SDR 16 TDI (FFFF) TDO (D9DF); +SDR 16 TDI (FFFF) TDO (6D3F); +SDR 16 TDI (FFFF) TDO (D95F); +SDR 16 TDI (FFFF) TDO (B75F); +SDR 16 TDI (FFFF) TDO (E77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE5D); +SDR 16 TDI (FFFF) TDO (69FC); +SDR 16 TDI (FFFF) TDO (67C0); +SDR 16 TDI (FFFF) TDO (0B9E); +SDR 16 TDI (FFFF) TDO (A320); +SDR 16 TDI (FFFF) TDO (03C0); +SDR 16 TDI (FFFF) TDO (60A2); +SDR 16 TDI (FFFF) TDO (5E39); +SDR 16 TDI (FFFF) TDO (B997); +SDR 16 TDI (FFFF) TDO (6084); +SDR 16 TDI (FFFF) TDO (7CB5); +SDR 16 TDI (FFFF) TDO (F48F); +SDR 16 TDI (FFFF) TDO (A49F); +SDR 16 TDI (FFFF) TDO (E3F5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BAFE); +SDR 16 TDI (FFFF) TDO (E9C3); +SDR 16 TDI (FFFF) TDO (67A0); +SDR 16 TDI (FFFF) TDO (0BE0); +SDR 16 TDI (FFFF) TDO (A382); +SDR 16 TDI (FFFF) TDO (03D0); +SDR 16 TDI (FFFF) TDO (62E0); +SDR 16 TDI (FFFF) TDO (1201); +SDR 16 TDI (FFFF) TDO (A01F); +SDR 16 TDI (FFFF) TDO (8884); +SDR 16 TDI (FFFF) TDO (6080); +SDR 16 TDI (FFFF) TDO (F43F); +SDR 16 TDI (FFFF) TDO (AC1E); +SDR 16 TDI (FFFF) TDO (897F); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF6D); +SDR 16 TDI (FFFF) TDO (9283); +SDR 16 TDI (FFFF) TDO (7FF0); +SDR 16 TDI (FFFF) TDO (0C61); +SDR 16 TDI (FFFF) TDO (A32C); +SDR 16 TDI (FFFF) TDO (23F9); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (7CDB); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (E7E6); +SDR 16 TDI (FFFF) TDO (7BCF); +SDR 16 TDI (FFFF) TDO (0F8F); +SDR 16 TDI (FFFF) TDO (BEBF); +SDR 16 TDI (FFFF) TDO (F975); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDE); +SDR 16 TDI (FFFF) TDO (D2B0); +SDR 16 TDI (FFFF) TDO (67F6); +SDR 16 TDI (FFFF) TDO (4D86); +SDR 16 TDI (FFFF) TDO (A37E); +SDR 16 TDI (FFFF) TDO (33F0); +SDR 16 TDI (FFFF) TDO (6000); +SDR 16 TDI (FFFF) TDO (7CD3); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (EE66); +SDR 16 TDI (FFFF) TDO (6BC9); +SDR 16 TDI (FFFF) TDO (49FF); +SDR 16 TDI (FFFF) TDO (BE3C); +SDR 16 TDI (FFFF) TDO (897F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BE27); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (6EEF); +SDR 16 TDI (FFFF) TDO (A3BB); +SDR 16 TDI (FFFF) TDO (B1F3); +SDR 16 TDI (FFFF) TDO (66E6); +SDR 16 TDI (FFFF) TDO (7CCF); +SDR 16 TDI (FFFF) TDO (B98F); +SDR 16 TDI (FFFF) TDO (D99F); +SDR 16 TDI (FFFF) TDO (73EE); +SDR 16 TDI (FFFF) TDO (8EC7); +SDR 16 TDI (FFFF) TDO (BDD9); +SDR 16 TDI (FFFF) TDO (99EB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (1111); +SDR 16 TDI (FFFF) TDO (6167); +SDR 16 TDI (FFFF) TDO (6445); +SDR 16 TDI (FFFF) TDO (A777); +SDR 16 TDI (FFFF) TDO (74A9); +SDR 16 TDI (FFFF) TDO (7277); +SDR 16 TDI (FFFF) TDO (3CDC); +SDR 16 TDI (FFFF) TDO (BB25); +SDR 16 TDI (FFFF) TDO (1DD9); +SDR 16 TDI (FFFF) TDO (7BCC); +SDR 16 TDI (FFFF) TDO (DC92); +SDR 16 TDI (FFFF) TDO (B5CC); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (DDDD); +SDR 16 TDI (FFFF) TDO (7FDE); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (F8FF); +SDR 16 TDI (FFFF) TDO (6FCE); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BDEF); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (E7F7); +SDR 16 TDI (FFFF) TDO (B3BB); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BAEE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (B7EF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (DF6D); +SDR 16 TDI (FFFF) TDO (6B5F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BBDE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF77); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FD); +SDR 16 TDI (FFFF) TDO (BE7D); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (76EB); +SDR 16 TDI (FFFF) TDO (F7DE); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EBDF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (76E5); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (B7DE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFAB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D6BF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FAFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DF5E); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDDE); +SDR 16 TDI (FFFF) TDO (F5FB); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (FEF7); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (FECD); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (CF77); +SDR 16 TDI (FFFF) TDO (777F); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (75BF); +SDR 16 TDI (FFFF) TDO (AB7F); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (677E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FF37); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (EBF7); +SDR 16 TDI (FFFF) TDO (6ACF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (ADF7); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (B77F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (75BF); +SDR 16 TDI (FFFF) TDO (B7DF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (6FDE); +SDR 16 TDI (FFFF) TDO (DE6F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (79BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EDD7); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (AEBE); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (BFF3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (D757); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F4EF); +SDR 16 TDI (FFFF) TDO (BF7B); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (77DF); +SDR 16 TDI (FFFF) TDO (D77B); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FE); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FBE); +SDR 16 TDI (FFFF) TDO (B6DE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (7DEF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (FDAD); +SDR 16 TDI (FFFF) TDO (77BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AE5F); +SDR 16 TDI (FFFF) TDO (EBF7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (DFDB); +SDR 16 TDI (FFFF) TDO (BAB7); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (ABDF); +SDR 16 TDI (FFFF) TDO (FFDE); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (ABFD); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (77FB); +SDR 16 TDI (FFFF) TDO (FAEF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7F77); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BB77); +SDR 16 TDI (FFFF) TDO (7ADB); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (EEFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AF5F); +SDR 16 TDI (FFFF) TDO (9FAE); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (77BB); +SDR 16 TDI (FFFF) TDO (FEDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (EEFE); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFF5); +SDR 16 TDI (FFFF) TDO (6BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A5FE); +SDR 16 TDI (FFFF) TDO (FE7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (AE7F); +SDR 16 TDI (FFFF) TDO (EFF6); +SDR 16 TDI (FFFF) TDO (7B6F); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (BF7D); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (7F7F); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFEA); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (6BFD); +SDR 16 TDI (FFFF) TDO (FD57); +SDR 16 TDI (FFFF) TDO (9FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FA77); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFAA); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FEFD); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7E7); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (DB7F); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (7F5E); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BD25); +SDR 16 TDI (FFFF) TDO (4DEF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BA5B); +SDR 16 TDI (FFFF) TDO (E7F5); +SDR 16 TDI (FFFF) TDO (7D5B); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (9E9D); +SDR 16 TDI (FFFF) TDO (9FD7); +SDR 16 TDI (FFFF) TDO (7FFA); +SDR 16 TDI (FFFF) TDO (DE7D); +SDR 16 TDI (FFFF) TDO (BEFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B5FC); +SDR 16 TDI (FFFF) TDO (67FA); +SDR 16 TDI (FFFF) TDO (77F9); +SDR 16 TDI (FFFF) TDO (4AE3); +SDR 16 TDI (FFFF) TDO (ADF7); +SDR 16 TDI (FFFF) TDO (DF7F); +SDR 16 TDI (FFFF) TDO (56EF); +SDR 16 TDI (FFFF) TDO (7E82); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (F0EB); +SDR 16 TDI (FFFF) TDO (5B97); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FF7B); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (DEDF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F51E); +SDR 16 TDI (FFFF) TDO (B7AF); +SDR 16 TDI (FFFF) TDO (FBFA); +SDR 16 TDI (FFFF) TDO (6BB5); +SDR 16 TDI (FFFF) TDO (9B7D); +SDR 16 TDI (FFFF) TDO (A77F); +SDR 16 TDI (FFFF) TDO (EF3C); +SDR 16 TDI (FFFF) TDO (4E6D); +SDR 16 TDI (FFFF) TDO (F5FF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8F5F); +SDR 16 TDI (FFFF) TDO (002E); +SDR 16 TDI (FFFF) TDO (5FCF); +SDR 16 TDI (FFFF) TDO (EFBA); +SDR 16 TDI (FFFF) TDO (A36B); +SDR 16 TDI (FFFF) TDO (F7EC); +SDR 16 TDI (FFFF) TDO (602B); +SDR 16 TDI (FFFF) TDO (9970); +SDR 16 TDI (FFFF) TDO (8A77); +SDR 16 TDI (FFFF) TDO (084C); +SDR 16 TDI (FFFF) TDO (7041); +SDR 16 TDI (FFFF) TDO (A06F); +SDR 16 TDI (FFFF) TDO (A4E2); +SDR 16 TDI (FFFF) TDO (E7EC); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (A7FE); +SDR 16 TDI (FFFF) TDO (8022); +SDR 16 TDI (FFFF) TDO (47A5); +SDR 16 TDI (FFFF) TDO (E422); +SDR 16 TDI (FFFF) TDO (AB2B); +SDR 16 TDI (FFFF) TDO (F2F0); +SDR 16 TDI (FFFF) TDO (6A0B); +SDR 16 TDI (FFFF) TDO (91F4); +SDR 16 TDI (FFFF) TDO (881B); +SDR 16 TDI (FFFF) TDO (8944); +SDR 16 TDI (FFFF) TDO (4040); +SDR 16 TDI (FFFF) TDO (A08F); +SDR 16 TDI (FFFF) TDO (BCFA); +SDR 16 TDI (FFFF) TDO (F86F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9D5F); +SDR 16 TDI (FFFF) TDO (022E); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (F7BA); +SDR 16 TDI (FFFF) TDO (A28F); +SDR 16 TDI (FFFF) TDO (EBFB); +SDR 16 TDI (FFFF) TDO (6410); +SDR 16 TDI (FFFF) TDO (1EB0); +SDR 16 TDI (FFFF) TDO (AFBF); +SDR 16 TDI (FFFF) TDO (F86B); +SDR 16 TDI (FFFF) TDO (7040); +SDR 16 TDI (FFFF) TDO (6C5F); +SDR 16 TDI (FFFF) TDO (9F19); +SDR 16 TDI (FFFF) TDO (187D); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFE); +SDR 16 TDI (FFFF) TDO (6422); +SDR 16 TDI (FFFF) TDO (67E4); +SDR 16 TDI (FFFF) TDO (0422); +SDR 16 TDI (FFFF) TDO (A203); +SDR 16 TDI (FFFF) TDO (E3FB); +SDR 16 TDI (FFFF) TDO (7213); +SDR 16 TDI (FFFF) TDO (36F0); +SDR 16 TDI (FFFF) TDO (8BBF); +SDR 16 TDI (FFFF) TDO (E063); +SDR 16 TDI (FFFF) TDO (6FD0); +SDR 16 TDI (FFFF) TDO (608F); +SDR 16 TDI (FFFF) TDO (BF61); +SDR 16 TDI (FFFF) TDO (61BF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (BA3B); +SDR 16 TDI (FFFF) TDO (71EE); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (A123); +SDR 16 TDI (FFFF) TDO (11F3); +SDR 16 TDI (FFFF) TDO (484D); +SDR 16 TDI (FFFF) TDO (3888); +SDR 16 TDI (FFFF) TDO (938F); +SDR 16 TDI (FFFF) TDO (B888); +SDR 16 TDI (FFFF) TDO (50CC); +SDR 16 TDI (FFFF) TDO (CCE7); +SDR 16 TDI (FFFF) TDO (BBBB); +SDR 16 TDI (FFFF) TDO (BBEA); +SDR 16 TDI (FFFF) TDO (67FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (3373); +SDR 16 TDI (FFFF) TDO (6146); +SDR 16 TDI (FFFF) TDO (6667); +SDR 16 TDI (FFFF) TDO (B737); +SDR 16 TDI (FFFF) TDO (72A9); +SDR 16 TDI (FFFF) TDO (6623); +SDR 16 TDI (FFFF) TDO (7DD9); +SDR 16 TDI (FFFF) TDO (BBB5); +SDR 16 TDI (FFFF) TDO (1CDD); +SDR 16 TDI (FFFF) TDO (73C9); +SDR 16 TDI (FFFF) TDO (C9C2); +SDR 16 TDI (FFFF) TDO (9111); +SDR 16 TDI (FFFF) TDO (117F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDD); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FDDD); +SDR 16 TDI (FFFF) TDO (BDBF); +SDR 16 TDI (FFFF) TDO (DFEF); +SDR 16 TDI (FFFF) TDO (7CDD); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (9FDF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (7E7F); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (A7F7); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (EEEF); +SDR 16 TDI (FFFF) TDO (95E7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFB5); +SDR 16 TDI (FFFF) TDO (6FF5); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BF7C); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (BFBE); +SDR 16 TDI (FFFF) TDO (7BF6); +SDR 16 TDI (FFFF) TDO (74D5); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (B2BF); +SDR 16 TDI (FFFF) TDO (D2FF); +SDR 16 TDI (FFFF) TDO (5F7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (9FBF); +SDR 16 TDI (FFFF) TDO (ADDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8FFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (F7F5); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (77DD); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (DFDD); +SDR 16 TDI (FFFF) TDO (6FF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (99D7); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7DEE); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (EFF7); +SDR 16 TDI (FFFF) TDO (7F7D); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (FF5F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FDD); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FD6F); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (9BEE); +SDR 16 TDI (FFFF) TDO (FBFB); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5F77); +SDR 16 TDI (FFFF) TDO (AF7D); +SDR 16 TDI (FFFF) TDO (9F7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BF9); +SDR 16 TDI (FFFF) TDO (FB5F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (57EC); +SDR 16 TDI (FFFF) TDO (EF7F); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (9EFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (4FFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (BDF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5ED3); +SDR 16 TDI (FFFF) TDO (D77D); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDF7); +SDR 16 TDI (FFFF) TDO (59F6); +SDR 16 TDI (FFFF) TDO (FF9F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (3BFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FF7); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (D7D7); +SDR 16 TDI (FFFF) TDO (BAFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FAD); +SDR 16 TDI (FFFF) TDO (FB7F); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FDFE); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FBAF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FEF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (9FBE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (93FF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (5EFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (8FFD); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (5EDD); +SDR 16 TDI (FFFF) TDO (BE66); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (BDBB); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (ADFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFB); +SDR 16 TDI (FFFF) TDO (7EEF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (ABBF); +SDR 16 TDI (FFFF) TDO (B5BF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FEE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (FF6F); +SDR 16 TDI (FFFF) TDO (79FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (FFB7); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AB5F); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (AFF6); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (BB9F); +SDR 16 TDI (FFFF) TDO (97FF); +SDR 16 TDI (FFFF) TDO (FFDD); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (7FB6); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (979F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FDF5); +SDR 16 TDI (FFFF) TDO (BDDF); +SDR 16 TDI (FFFF) TDO (BD7F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (7EFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (8A5F); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FF7D); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (DBF7); +SDR 16 TDI (FFFF) TDO (7DFE); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (AEFF); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFA); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (5BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (FD7F); +SDR 16 TDI (FFFF) TDO (7FEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (6EFF); +SDR 16 TDI (FFFF) TDO (F5FB); +SDR 16 TDI (FFFF) TDO (9DFF); +SDR 16 TDI (FFFF) TDO (F6FD); +SDR 16 TDI (FFFF) TDO (57FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFB); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FD7); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (BBDF); +SDR 16 TDI (FFFF) TDO (9DF6); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (6EFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BAEF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (D5DF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FF35); +SDR 16 TDI (FFFF) TDO (96BD); +SDR 16 TDI (FFFF) TDO (EBFD); +SDR 16 TDI (FFFF) TDO (5DDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEBD); +SDR 16 TDI (FFFF) TDO (F7EF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FBFE); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9BFF); +SDR 16 TDI (FFFF) TDO (B72F); +SDR 16 TDI (FFFF) TDO (4FEF); +SDR 16 TDI (FFFF) TDO (9256); +SDR 16 TDI (FFFF) TDO (B3DE); +SDR 16 TDI (FFFF) TDO (DBFA); +SDR 16 TDI (FFFF) TDO (6AFE); +SDR 16 TDI (FFFF) TDO (FEB2); +SDR 16 TDI (FFFF) TDO (AFFD); +SDR 16 TDI (FFFF) TDO (F0D3); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (9DED); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BDFF); +SDR 16 TDI (FFFF) TDO (7DDD); +SDR 16 TDI (FFFF) TDO (7FB7); +SDR 16 TDI (FFFF) TDO (FDBF); +SDR 16 TDI (FFFF) TDO (BE6D); +SDR 16 TDI (FFFF) TDO (A7FD); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DB5D); +SDR 16 TDI (FFFF) TDO (97DF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (57BF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (BB5E); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (CAFB); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FE9); +SDR 16 TDI (FFFF) TDO (9DB3); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (5D5F); +SDR 16 TDI (FFFF) TDO (75FF); +SDR 16 TDI (FFFF) TDO (B83F); +SDR 16 TDI (FFFF) TDO (CFEC); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (BEFB); +SDR 16 TDI (FFFF) TDO (3FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (875C); +SDR 16 TDI (FFFF) TDO (B834); +SDR 16 TDI (FFFF) TDO (47D8); +SDR 16 TDI (FFFF) TDO (807B); +SDR 16 TDI (FFFF) TDO (B23E); +SDR 16 TDI (FFFF) TDO (23ED); +SDR 16 TDI (FFFF) TDO (6FFE); +SDR 16 TDI (FFFF) TDO (7B3A); +SDR 16 TDI (FFFF) TDO (B997); +SDR 16 TDI (FFFF) TDO (192C); +SDR 16 TDI (FFFF) TDO (617A); +SDR 16 TDI (FFFF) TDO (7F0F); +SDR 16 TDI (FFFF) TDO (9000); +SDR 16 TDI (FFFF) TDO (67EA); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AEFC); +SDR 16 TDI (FFFF) TDO (9420); +SDR 16 TDI (FFFF) TDO (67B8); +SDR 16 TDI (FFFF) TDO (821E); +SDR 16 TDI (FFFF) TDO (A0F8); +SDR 16 TDI (FFFF) TDO (0FD3); +SDR 16 TDI (FFFF) TDO (667E); +SDR 16 TDI (FFFF) TDO (1814); +SDR 16 TDI (FFFF) TDO (999B); +SDR 16 TDI (FFFF) TDO (980C); +SDR 16 TDI (FFFF) TDO (417A); +SDR 16 TDI (FFFF) TDO (70CD); +SDR 16 TDI (FFFF) TDO (B800); +SDR 16 TDI (FFFF) TDO (607F); +SDR 16 TDI (FFFF) TDO (6FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B75F); +SDR 16 TDI (FFFF) TDO (763B); +SDR 16 TDI (FFFF) TDO (7FE0); +SDR 16 TDI (FFFF) TDO (109B); +SDR 16 TDI (FFFF) TDO (923C); +SDR 16 TDI (FFFF) TDO (2FF1); +SDR 16 TDI (FFFF) TDO (47FE); +SDR 16 TDI (FFFF) TDO (7CB0); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (D86E); +SDR 16 TDI (FFFF) TDO (61A4); +SDR 16 TDI (FFFF) TDO (A0FF); +SDR 16 TDI (FFFF) TDO (B8C0); +SDR 16 TDI (FFFF) TDO (986B); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7C20); +SDR 16 TDI (FFFF) TDO (67E1); +SDR 16 TDI (FFFF) TDO (328C); +SDR 16 TDI (FFFF) TDO (A3FC); +SDR 16 TDI (FFFF) TDO (0FF3); +SDR 16 TDI (FFFF) TDO (467E); +SDR 16 TDI (FFFF) TDO (1CA0); +SDR 16 TDI (FFFF) TDO (A23F); +SDR 16 TDI (FFFF) TDO (C02E); +SDR 16 TDI (FFFF) TDO (61A4); +SDR 16 TDI (FFFF) TDO (AC0F); +SDR 16 TDI (FFFF) TDO (9840); +SDR 16 TDI (FFFF) TDO (19FE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFD7); +SDR 16 TDI (FFFF) TDO (B23B); +SDR 16 TDI (FFFF) TDO (63E6); +SDR 16 TDI (FFFF) TDO (FE67); +SDR 16 TDI (FFFF) TDO (B330); +SDR 16 TDI (FFFF) TDO (B1FE); +SDR 16 TDI (FFFF) TDO (6666); +SDR 16 TDI (FFFF) TDO (7CFC); +SDR 16 TDI (FFFF) TDO (9DCF); +SDR 16 TDI (FFFF) TDO (B9F9); +SDR 16 TDI (FFFF) TDO (51EE); +SDR 16 TDI (FFFF) TDO (EEE7); +SDR 16 TDI (FFFF) TDO (B9C9); +SDR 16 TDI (FFFF) TDO (BBFA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (3773); +SDR 16 TDI (FFFF) TDO (6956); +SDR 16 TDI (FFFF) TDO (6777); +SDR 16 TDI (FFFF) TDO (9273); +SDR 16 TDI (FFFF) TDO (26A1); +SDR 16 TDI (FFFF) TDO (5626); +SDR 16 TDI (FFFF) TDO (7DCC); +SDR 16 TDI (FFFF) TDO (B985); +SDR 16 TDI (FFFF) TDO (1C9D); +SDR 16 TDI (FFFF) TDO (7B44); +SDR 16 TDI (FFFF) TDO (4442); +SDR 16 TDI (FFFF) TDO (B5CD); +SDR 16 TDI (FFFF) TDO (917F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FF9); +SDR 16 TDI (FFFF) TDO (FBDF); +SDR 16 TDI (FFFF) TDO (53CF); +SDR 16 TDI (FFFF) TDO (FEEE); +SDR 16 TDI (FFFF) TDO (BBFD); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (6FDE); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7BFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B3FF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7DFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7BF7); +SDR 16 TDI (FFFF) TDO (7E6D); +SDR 16 TDI (FFFF) TDO (BEDF); +SDR 16 TDI (FFFF) TDO (FEFB); +SDR 16 TDI (FFFF) TDO (7B7F); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7577); +SDR 16 TDI (FFFF) TDO (6FFD); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (B7DD); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (777D); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BBFF); +SDR 16 TDI (FFFF) TDO (D5D7); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (6FFB); +SDR 16 TDI (FFFF) TDO (7BFF); +SDR 16 TDI (FFFF) TDO (B7FD); +SDR 16 TDI (FFFF) TDO (FFF7); +SDR 16 TDI (FFFF) TDO (77FD); +SDR 16 TDI (FFFF) TDO (FFAF); +SDR 16 TDI (FFFF) TDO (BFBF); +SDR 16 TDI (FFFF) TDO (AD77); +SDR 16 TDI (FFFF) TDO (7FBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (AFFF); +SDR 16 TDI (FFFF) TDO (F57F); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFD); +SDR 16 TDI (FFFF) TDO (7D76); +SDR 16 TDI (FFFF) TDO (FDFD); +SDR 16 TDI (FFFF) TDO (BB7F); +SDR 16 TDI (FFFF) TDO (FFDA); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FBBF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BDFB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF5E); +SDR 16 TDI (FFFF) TDO (B5DF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (F9BE); +SDR 16 TDI (FFFF) TDO (BD3F); +SDR 16 TDI (FFFF) TDO (FEF3); +SDR 16 TDI (FFFF) TDO (7EF7); +SDR 16 TDI (FFFF) TDO (FEFF); +SDR 16 TDI (FFFF) TDO (BFEF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFA); +SDR 16 TDI (FFFF) TDO (5DFF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (DFBF); +SDR 16 TDI (FFFF) TDO (BFFE); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (AADF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (EA7E); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FB7B); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (DDFF); +SDR 16 TDI (FFFF) TDO (BFF7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FEDB); +SDR 16 TDI (FFFF) TDO (7FFB); +SDR 16 TDI (FFFF) TDO (FF7F); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (D7FF); +SDR 16 TDI (FFFF) TDO (7BFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BB5F); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (5DFB); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF6); +SDR 16 TDI (FFFF) TDO (77EF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FB); +SDR 16 TDI (FFFF) TDO (5FDF); +SDR 16 TDI (FFFF) TDO (EFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FFE); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (7FF7); +SDR 16 TDI (FFFF) TDO (FFEF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF5); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFDF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFF9); +SDR 16 TDI (FFFF) TDO (77FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FBFF); +SDR 16 TDI (FFFF) TDO (4FBF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (F7FF); +SDR 16 TDI (FFFF) TDO (7FF9); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BEFF); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFEE); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFD); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B7FF); +SDR 16 TDI (FFFF) TDO (EFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDFF); +SDR 16 TDI (FFFF) TDO (7FFD); +SDR 16 TDI (FFFF) TDO (DFFF); +SDR 16 TDI (FFFF) TDO (BFDF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (EAEF); +SDR 16 TDI (FFFF) TDO (BBBF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FF8); +SDR 16 TDI (FFFF) TDO (FFBF); +SDR 16 TDI (FFFF) TDO (BF77); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (5FFD); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (BFED); +SDR 16 TDI (FFFF) TDO (DFFD); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (B6FF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (D95F); +SDR 16 TDI (FFFF) TDO (BDCF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FDDF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (9FFF); +SDR 16 TDI (FFFF) TDO (EFFD); +SDR 16 TDI (FFFF) TDO (53FF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (977F); +SDR 16 TDI (FFFF) TDO (E7FF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (7FF3); +SDR 16 TDI (FFFF) TDO (BF7F); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFB); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFC); +SDR 16 TDI (FFFF) TDO (F9E7); +SDR 16 TDI (FFFF) TDO (59F3); +SDR 16 TDI (FFFF) TDO (FFFE); +SDR 16 TDI (FFFF) TDO (AF9E); +SDR 16 TDI (FFFF) TDO (7CFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (F3E7); +SDR 16 TDI (FFFF) TDO (B3E7); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (5FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (7FFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (BFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0001); +SIR 10 TDI (205); +RUNTEST 8 TCK; +SDR 16 TDI (FFFF) TDO (FFFF) MASK (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SDR 16 TDI (FFFF) TDO (FFFF); +SIR 10 TDI (203); +RUNTEST 8 TCK; +SDR 13 TDI (0000); +SIR 10 TDI (2F4); +RUNTEST 8 TCK; +SDR 16 TDI (7BFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SDR 16 TDI (BFFF); +RUNTEST 100 TCK; +SDR 16 TDI (FFFF); +RUNTEST 100 TCK; +SIR 10 TDI (201); +RUNTEST 1003 TCK; +SIR 10 TDI (3FF); +RUNTEST 1000 TCK; +STATE IDLE; diff --git a/cpld2/GR8RAM.qpf b/cpld2/GR8RAM.qpf new file mode 100644 index 0000000..c72b9e0 --- /dev/null +++ b/cpld2/GR8RAM.qpf @@ -0,0 +1,31 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:15:44 February 28, 2023 +# +# -------------------------------------------------------------------------- # + +QUARTUS_VERSION = "22.1" +DATE = "11:15:44 February 28, 2023" + +# Revisions + +PROJECT_REVISION = "GR8RAM" diff --git a/cpld2/GR8RAM.qsf b/cpld2/GR8RAM.qsf new file mode 100644 index 0000000..4d54b77 --- /dev/null +++ b/cpld2/GR8RAM.qsf @@ -0,0 +1,61 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2022 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions +# and other software and tools, and any partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel FPGA IP License Agreement, or other applicable license +# agreement, including, without limitation, that your use is for +# the sole purpose of programming logic devices manufactured by +# Intel and sold by Intel or its authorized distributors. Please +# refer to the applicable agreement for further details, at +# https://fpgasoftware.intel.com/eula. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +# Date created = 11:15:44 February 28, 2023 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# GR8RAM_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Intel recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "MAX II" +set_global_assignment -name DEVICE EPM240T100C5 +set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 22.1STD.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:15:44 FEBRUARY 28, 2023" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" +set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V +set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" +set_global_assignment -name EDA_NETLIST_WRITER_OUTPUT_DIR simulation/questa -section_id eda_simulation +set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation +set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan \ No newline at end of file diff --git a/cpld2/GR8RAM.qws b/cpld2/GR8RAM.qws new file mode 100644 index 0000000..63563b7 Binary files /dev/null and b/cpld2/GR8RAM.qws differ diff --git a/cpld2/GR8RAM.sdc b/cpld2/GR8RAM.sdc new file mode 100644 index 0000000..a7c9d8f --- /dev/null +++ b/cpld2/GR8RAM.sdc @@ -0,0 +1,3 @@ +create_clock -period 40 [get_ports C25M] +create_clock -period 978 [get_ports PHI0] +set_clock_groups -asynchronous -group C25M -group PHI0 \ No newline at end of file diff --git a/cpld2/GR8RAM.v b/cpld2/GR8RAM.v new file mode 100644 index 0000000..41dd3b5 --- /dev/null +++ b/cpld2/GR8RAM.v @@ -0,0 +1,568 @@ +module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, + INTin, INTout, DMAin, DMAout, + nNMIout, nIRQout, nRDYout, nINHout, RWout, nDMAout, + RA, nWE, RD, RAdir, RDdir, nIOSEL, nDEVSEL, nIOSTRB, + SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, + nFCS, FCK, MISO, MOSI); + + /* Clock signals */ + input C25M, PHI0; + reg PHI0r1, PHI0r2; + always @(posedge C25M) begin PHI0r1 <= PHI0; PHI0r2 <= PHI0r1; end + + /* Reset filter */ + input nRES; + reg [3:0] nRESf = 0; + reg nRESr = 0; + always @(posedge C25M) begin + nRESf[3:0] <= { nRESf[2:0], nRES }; + nRESr <= nRESf[3] || nRESf[2] || nRESf[1] || nRESf[0]; + end + + /* Firmware select */ + input [1:0] SetFW; + reg [1:0] SetFWr; + reg SetFWLoaded = 0; + always @(posedge C25M) begin + if (~SetFWLoaded) begin + SetFWLoaded <= 1; + SetFWr[1:0] <= SetFW[1:0]; + end + end + wire [1:0] SetROM = ~SetFWr[1:0]; + wire SetEN16MB = SetROM[1:0]==2'b11; + wire SetEN24bit = SetROM[1]; + + /* State counter from PHI0 rising edge */ + reg [3:0] PS = 0; + wire PSStart = PS==0 && PHI0r1 && ~PHI0r2; + always @(posedge C25M) begin + if (PSStart) PS <= 1; + else if (PS==0) PS <= 0; + else PS <= PS+1; + end + + /* Long state counter: counts from 0 to $3FFF */ + reg [13:0] LS = 0; + always @(posedge C25M) begin if (PS==15) LS <= LS+1; end + + /* Init state */ + output reg nRESout = 0; + reg [2:0] IS = 0; + always @(posedge C25M) begin + if (IS==7) nRESout <= 1; + else if (PS==15) begin + if (LS==14'h1FCE) IS <= 1; // PC all + load mode + else if (LS==14'h1FCF) IS <= 4; // AREF pause, SPI select + else if (LS==14'h1FFA) IS <= 5; // SPI flash command + else if (LS==14'h1FFF) IS <= 6; // Flash load driver + else if (LS==14'h3FFF) IS <= 7; // Operating mode + end + end + + /* Apple IO area select signals */ + input nIOSEL, nDEVSEL, nIOSTRB; + + /* Apple address bus */ + input [15:0] RA; input nWE; + reg [11:0] RAr; reg nWEr; + reg CXXXr; + always @(posedge PHI0) begin + CXXXr <= RA[15:12]==4'hC; + RAr[11:0] <= RA[11:0]; + nWEr <= nWE; + end + + /* Apple select signals */ + wire ROMSpecRD = CXXXr && RAr[11:8]!=4'h0 && nWEr && ((RAr[11] && IOROMEN) || (~RAr[11])); + wire REGSpecSEL = CXXXr && RAr[11:8]==4'h0 && RAr[7] && REGEN; + wire BankSpecSEL = REGSpecSEL && RAr[3:0]==4'hF; + wire RAMRegSpecSEL = REGSpecSEL && RAr[3:0]==4'h3; + wire RAMSpecSEL = RAMRegSpecSEL && (~SetEN24bit || SetEN16MB || ~Addr[23]); + wire AddrHSpecSEL = REGSpecSEL && RAr[3:0]==4'h2; + wire AddrMSpecSEL = REGSpecSEL && RAr[3:0]==4'h1; + wire AddrLSpecSEL = REGSpecSEL && RAr[3:0]==4'h0; + wire BankSEL = REGEN && ~nDEVSEL && BankSpecSEL; + wire RAMRegSEL = ~nDEVSEL && RAMRegSpecSEL; + wire RAMSEL = ~nDEVSEL && RAMSpecSEL; + wire RAMWR = RAMSEL && ~nWEr; + wire AddrHSEL = REGEN && ~nDEVSEL && AddrHSpecSEL; + wire AddrMSEL = REGEN && ~nDEVSEL && AddrMSpecSEL; + wire AddrLSEL = REGEN && ~nDEVSEL && AddrLSpecSEL; + + /* IOROMEN and REGEN control */ + reg IOROMEN = 0; + reg REGEN = 0; + reg nIOSTRBr; + wire IOROMRES = RAr[10:0]==11'h7FF && ~nIOSTRB && ~nIOSTRBr; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) REGEN <= 0; + else if (PS==8 && ~nIOSEL) REGEN <= 1; + end + always @(posedge C25M) begin + nIOSTRBr <= nIOSTRB; + if (~nRESr) IOROMEN <= 0; + else if (PS==8 && IOROMRES) IOROMEN <= 0; + else if (PS==8 && ~nIOSEL) IOROMEN <= 1; + end + + /* Apple data bus */ + inout [7:0] RD = RDdir ? 8'bZ : RDD[7:0]; + reg [7:0] RDD; + output RDdir = ~(PHI0r2 && nWE && PHI0 && + (~nDEVSEL || ~nIOSEL || (~nIOSTRB && IOROMEN && RA[10:0]!=11'h7FF))); + + /* Slinky address registers */ + reg [23:0] Addr = 0; + reg AddrIncL = 0; + reg AddrIncM = 0; + reg AddrIncH = 0; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) begin + Addr[23:0] <= 24'h000000; + AddrIncL <= 0; + AddrIncM <= 0; + AddrIncH <= 0; + end else begin + if (PS==8 && RAMRegSEL) AddrIncL <= 1; + else AddrIncL <= 0; + + if (PS==8 && AddrLSEL && ~nWEr) begin + Addr[7:0] <= RD[7:0]; + AddrIncM <= Addr[7] && ~RD[7]; + end else if (AddrIncL) begin + Addr[7:0] <= Addr[7:0]+1; + AddrIncM <= Addr[7:0]==8'hFF; + end else AddrIncM <= 0; + + if (PS==8 && AddrMSEL && ~nWEr) begin + Addr[15:8] <= RD[7:0]; + AddrIncH <= Addr[15] && ~RD[7]; + end else if (AddrIncM) begin + Addr[15:8] <= Addr[15:8]+1; + AddrIncH <= Addr[15:8]==8'hFF; + end else AddrIncH <= 0; + + if (PS==8 && AddrHSEL && ~nWEr) begin + Addr[23:16] <= RD[7:0]; + end else if (AddrIncH) begin + Addr[23:16] <= Addr[23:16]+1; + end + end + end + + /* ROM bank register */ + reg Bank = 0; + always @(posedge C25M, negedge nRESr) begin + if (~nRESr) Bank <= 0; + else if (PS==8 && BankSEL && ~nWEr) begin + Bank <= RD[0]; + end + end + + /* SPI flash control signals */ + output nFCS = FCKOE ? ~FCS : 1'bZ; + reg FCS = 0; + output FCK = FCKOE ? FCKout : 1'bZ; + reg FCKOE = 0; + reg FCKout = 0; + inout MOSI = MOSIOE ? MOSIout : 1'bZ; + reg MOSIOE = 0; + input MISO; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + FCKout <= 1'b1; + end 1: begin // ACT + FCKout <= ~(IS==5 || IS==6); + end 2: begin // RD + FCKout <= 1'b1; + end 3: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 4: begin // NOP CKE + FCKout <= 1'b1; + end 5: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 6: begin // NOP CKE + FCKout <= 1'b1; + end 7: begin // NOP CKE + FCKout <= ~(IS==5 || IS==6); + end 8: begin // WR AP + FCKout <= 1'b1; + end 9: begin // NOP CKE + FCKout <= ~(IS==5); + end 10: begin // PC all + FCKout <= 1'b1; + end 11: begin // AREF + FCKout <= ~(IS==5); + end 12: begin // NOP CKE + FCKout <= 1'b1; + end 13: begin // NOP CKE + FCKout <= ~(IS==5); + end 14: begin // NOP CKE + FCKout <= 1'b1; + end 15: begin // NOP CKE + FCKout <= ~(IS==5); + end + endcase + FCS <= IS==4 || IS==5 || IS==6; + MOSIOE <= IS==5; + FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; + end + + /* SPI flash MOSI control */ + reg MOSIout = 0; + always @(posedge C25M) begin + case (PS[3:0]) + 1: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 7 + 3'h4: MOSIout <= 1'b0; // Address bit 23 + 3'h5: MOSIout <= 1'b0; // Address bit 15 + 3'h6: MOSIout <= 1'b0; // Address bit 7 + default MOSIout <= 1'b0; + endcase + end 3: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 6 + 3'h4: MOSIout <= 1'b0; // Address bit 22 + 3'h5: MOSIout <= SetROM[1]; // Address bit 14 + 3'h6: MOSIout <= 1'b0; // Address bit 6 + default MOSIout <= 1'b0; + endcase + end 5: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 5 + 3'h4: MOSIout <= 1'b0; // Address bit 21 + 3'h5: MOSIout <= SetROM[0]; // Address bit 13 + 3'h6: MOSIout <= 1'b0; // Address bit 5 + default MOSIout <= 1'b0; + endcase + end 7: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 4 + 3'h4: MOSIout <= 1'b0; // Address bit 20 + 3'h5: MOSIout <= 1'b0; // Address bit 12 + 3'h6: MOSIout <= 1'b0; // Address bit 4 + default MOSIout <= 1'b0; + endcase + end 9: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 3 + 3'h4: MOSIout <= 1'b0; // Address bit 19 + 3'h5: MOSIout <= 1'b0; // Address bit 11 + 3'h6: MOSIout <= 1'b0; // Address bit 3 + default MOSIout <= 1'b0; + endcase + end 11: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b0; // Command bit 2 + 3'h4: MOSIout <= 1'b0; // Address bit 18 + 3'h5: MOSIout <= 1'b0; // Address bit 10 + 3'h6: MOSIout <= 1'b0; // Address bit 2 + default MOSIout <= 1'b0; + endcase + end 13: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 1 + 3'h4: MOSIout <= 1'b0; // Address bit 16 + 3'h5: MOSIout <= 1'b0; // Address bit 9 + 3'h6: MOSIout <= 1'b0; // Address bit 1 + default MOSIout <= 1'b0; + endcase + end 15: begin + case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 0 + 3'h4: MOSIout <= 1'b0; // Address bit 15 + 3'h5: MOSIout <= 1'b0; // Address bit 7 + 3'h6: MOSIout <= 1'b0; // Address bit 0 + default MOSIout <= 1'b0; + endcase + end + endcase + end + + /* SDRAM data bus */ + inout [7:0] SD = SDOE ? WRD[7:0] : 8'bZ; + reg [7:0] WRD; + reg SDOE = 0; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 1: begin // ACT + end 2: begin // RD + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 3: begin // NOP CKE + end 4: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 5: begin // NOP CKE + end 6: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 7: begin // NOP CKE + end 8: begin // WR AP + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 9: begin // NOP CKE + end 10: begin // PC all + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 11: begin // AREF + end 12: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 13: begin // NOP CKE + end 14: begin // NOP CKE + if (IS==6) WRD[7:0] <= { WRD[5:0], MISO, MOSI }; + else WRD[7:0] <= RD[7:0]; + end 15: begin // NOP CKE + end + endcase + end + + /* Apple data bus from SDRAM */ + always @(negedge C25M) begin + if (PS==5) begin + if (AddrLSpecSEL) RDD[7:0] <= Addr[7:0]; + else if (AddrMSpecSEL) RDD[7:0] <= Addr[15:8]; + else if (AddrHSpecSEL) RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; + else RDD[7:0] <= SD[7:0]; + end + end + + /* SDRAM command */ + output reg RCKE = 1; + output reg nRCS = 1; + output reg nRAS = 1; + output reg nCAS = 1; + output reg nSWE = 1; + wire RefReqd = LS[1:0] == 2'b11; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE / NOP CKD + RCKE <= PSStart && (IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 1: begin // ACT CKE / NOP CKD (ACT) + RCKE <= IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL)); + nRCS <= ~(IS==6 || (IS==7 && (ROMSpecRD || RAMSpecSEL))); + nRAS <= 0; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 2: begin // RD CKE / NOP CKD (RD) + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= ~(IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 1; + SDOE <= 0; + end 3: begin // NOP CKE / CKD + RCKE <= IS==7 && nWEr && (ROMSpecRD || RAMSpecSEL); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 4: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 5: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 6: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 7: begin // NOP CKE / CKD + RCKE <= IS==6 || (RAMWR && IS==7); + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 8: begin // WR AP CKE / NOP CKD (WR AP) + RCKE <= IS==6 || (RAMWR && IS==7); + nRCS <= ~(IS==6 || (RAMWR && IS==7)); + nRAS <= 1; + nCAS <= 0; + nSWE <= 0; + SDOE <= IS==6 || (RAMWR && IS==7); + end 9: begin // NOP CKE / NOP CKD + RCKE <= 1; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end 10: begin // PC all CKE / PC all CKD + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); + nRCS <= 0; + nRAS <= 0; + nCAS <= 1; + nSWE <= 0; + SDOE <= 0; + end 11: begin // LDM CKE / AREF CKE / NOP CKD + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); + nRCS <= ~(IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd)); + nRAS <= 0; + nCAS <= 0; + nSWE <= ~(IS==1); + SDOE <= 0; + end default: begin // NOP CKD + RCKE <= 0; + nRCS <= 1; + nRAS <= 1; + nCAS <= 1; + nSWE <= 1; + SDOE <= 0; + end + endcase + end + + /* SDRAM address */ + output reg DQML = 1; + output reg DQMH = 1; + output reg [1:0] SBA; + output reg [12:0] SA; + always @(posedge C25M) begin + case (PS[3:0]) + 0: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 1: begin // ACT + DQML <= 1'b1; + DQMH <= 1'b1; + if (IS==6) begin + SBA[1:0] <= { 2'b10 }; + SA[12:0] <= { 10'b0011000100, LS[12:10] }; + end else if (RAMSpecSEL) begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; + SA[9:0] <= Addr[19:10]; + end else begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 10'b0011000100, Bank, RAr[11:10] }; + end + end 2: begin // RD + if (RAMSpecSEL) begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:0] <= { 4'b0011, Addr[9:1] }; + DQML <= Addr[0]; + DQMH <= ~Addr[0]; + end else begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 4'b0011, RAr[9:1]}; + DQML <= RAr[0]; + DQMH <= ~RAr[0]; + end + end 3: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 4: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 5: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 6: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 7: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 8: begin // WR AP + if (IS==6) begin + SBA[1:0] <= 2'b10; + SA[12:0] <= { 4'b0011, LS[9:1] }; + DQML <= LS[0]; + DQMH <= ~LS[0]; + end else begin + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SA[12:0] <= { 4'b0011, Addr[9:1] }; + DQML <= Addr[0]; + DQMH <= ~Addr[0]; + end + end 9: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 10: begin // PC all + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 11: begin // AREF / load mode + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0001000100000; + end 12: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 13: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 14: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end 15: begin // NOP CKE + DQML <= 1'b1; + DQMH <= 1'b1; + SBA[1:0] <= 2'b00; + SA[12:0] <= 13'b0011000100000; + end + endcase + end + + /* DMA/INT in/out */ + input INTin, DMAin; + output INTout = INTin; + output DMAout = DMAin; + + /* Unused Pins */ + output RAdir = 1; + output nDMAout = 1; + output nNMIout = 1; + output nINHout = 1; + output nRDYout = 1; + output nIRQout = 1; + output RWout = 1; +endmodule diff --git a/cpld2/db/GR8RAM.db_info b/cpld2/db/GR8RAM.db_info new file mode 100644 index 0000000..5865109 --- /dev/null +++ b/cpld2/db/GR8RAM.db_info @@ -0,0 +1,3 @@ +Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Version_Index = 553882368 +Creation_Time = Tue Feb 28 11:15:44 2023 diff --git a/cpld2/db/GR8RAM.sld_design_entry.sci b/cpld2/db/GR8RAM.sld_design_entry.sci new file mode 100644 index 0000000..65c27fe Binary files /dev/null and b/cpld2/db/GR8RAM.sld_design_entry.sci differ